Skip to content
Snippets Groups Projects
Commit c11280cb authored by Eric Kooistra's avatar Eric Kooistra
Browse files

Removed common_lib, because not applicable here.

parent 1c96d042
No related branches found
No related tags found
No related merge requests found
......@@ -50,12 +50,11 @@
-- sclr : in std_logic := '0';
-- oe : in std_logic := '1';
-- dataout : out std_logic_vector(width-1 downto 0);
-- oe_out : out std_logic_vector(width-1 downto 0) );
-- oe_out : out std_logic_vector(width-1 downto 0) );
-- end component;
LIBRARY IEEE, common_lib;
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE common_lib.common_pkg.ALL;
LIBRARY altera_mf;
USE altera_mf.altera_mf_components.ALL;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment