diff --git a/libraries/technology/altera/altera_mf/altera_mf_ddio_out.vhd b/libraries/technology/altera/altera_mf/altera_mf_ddio_out.vhd index 51199c9175715fd6019e067636e1fc4e12e733bc..cb4be10ded379555af2b95cd70952ba5fa5ac4e5 100644 --- a/libraries/technology/altera/altera_mf/altera_mf_ddio_out.vhd +++ b/libraries/technology/altera/altera_mf/altera_mf_ddio_out.vhd @@ -50,12 +50,11 @@ -- sclr : in std_logic := '0'; -- oe : in std_logic := '1'; -- dataout : out std_logic_vector(width-1 downto 0); --- oe_out : out std_logic_vector(width-1 downto 0) ); +-- oe_out : out std_logic_vector(width-1 downto 0) ); -- end component; -LIBRARY IEEE, common_lib; +LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; -USE common_lib.common_pkg.ALL; LIBRARY altera_mf; USE altera_mf.altera_mf_components.ALL;