Skip to content
Snippets Groups Projects

RTSD-155: vhdl_style2_0, fixed empty line and indent

Closed RTSD-155: vhdl_style2_0, fixed empty line and indent
11 unresolved threads
Closed Pieter Donker requested to merge RTSD-155 into master
11 unresolved threads

Closes RTSD-155

Merge request reports

Loading
Loading

Activity

Filter activity
  • Approvals
  • Assignees & reviewers
  • Comments (from bots)
  • Comments (from users)
  • Commits & branches
  • Edits
  • Labels
  • Lock status
  • Mentions
  • Merge request status
  • Tracking
1 1 library IEEE;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
2 use IEEE.std_logic_1164.all;
3 use IEEE.numeric_std.all;
4 4
5 5 entity pfs_fir is
6 6 generic (
7 7 g_in_dat_w : natural;
8 8 g_out_dat_w : natural;
9 9 g_coef_dat_w : natural;
10 -- g_nof_fir : NATURAL;
11 -- g_nof_subbands : NATURAL;
10 -- g_nof_fir : NATURAL;
  • 198 198
    199 199 if unsigned(prefilter_cnt) = (g_nof_prefilter - 1) and last_tap = '1' then
    200 200 nxt_taps_addr_base <=
    201 std_logic_vector(unsigned(taps_addr_base) + 1);
    201 std_logic_vector(unsigned(taps_addr_base) + 1);
  • 198 198
    199 199 if unsigned(prefilter_cnt) = (g_nof_prefilter - 1) and last_tap = '1' then
    200 200 nxt_taps_addr_base <=
    201 std_logic_vector(unsigned(taps_addr_base) + 1);
    201 std_logic_vector(unsigned(taps_addr_base) + 1);
    202 202 end if;
    203 203 end process;
    204 204
    205 205 taps_addr_offset <= std_logic_vector(unsigned(taps_addr_base)
    206 + unsigned(taps_cnt));
    206 + unsigned(taps_cnt));
  • 100 100 for j in 1 to 16 loop
    101 101 for i in 1 to 1024 loop
    102 102 if j = 1 then
    103 in_dat_x <= TO_UVEC(2**10, in_dat_x'length);
    103 in_dat_x <= TO_UVEC(2 ** 10, in_dat_x'length);
  • 289 end if;
    284 determine_bin : process (clk)
    285 begin
    286 if rising_edge(clk) then
    287 if unswitch_val = '1' then
    288 bin <= std_logic_vector(unsigned(bin) + 1);
    290 289 end if;
    291 end process;
    290 end if;
    291 end process;
    292 292
    293 band <= bin(bin'high downto 1);
    293 band <= bin(bin'high downto 1);
    294 294
    295 power <= std_logic_vector( signed(unswitch_re) * signed(unswitch_re)
    295 power <= std_logic_vector( signed(unswitch_re) * signed(unswitch_re)
  • 330 nxt_fifo_dat <= wr_dat & fifo_dat(fifo_dat'high downto 1);
    331 end if;
    332 rd_dat <= fifo_dat(0);
    333 end process;
    333 334 end generate;
    334 335
    335 336 fifo3_gen : if c_regbank_size = c_pipeline generate
    336 fifo3_reg : process (clk, rst)
    337 begin
    338 if rst = '1' then
    339 fifo_dat <= (others => (others => '0'));
    340 elsif rising_edge(clk) then
    341 fifo_dat <= nxt_fifo_dat;
    342 end if;
    343 end process;
    344 337
  • 356 rd_req => rd_req,
    357 clk => clk,
    358 rst => rst
    359 );
    360 360 end generate fifo_gen;
    361 361
    362 362 fifo2_gen : if c_regbank_size > c_pipeline and c_regbank_size <= 8 generate
    363 fifo2_reg : process (clk, rst)
    364 begin
    365 if rst = '1' then
    366 fifo_dat <= (others => (others => '0'));
    367 elsif rising_edge(clk) then
    368 fifo_dat <= nxt_fifo_dat;
    369 end if;
    370 end process;
    371 363
  • 118 in_sync => bf2_sync,
    119 out_re => out_re,
    120 out_im => out_im,
    121 out_val => out_val,
    122 out_sync => out_sync,
    123 clk => clk,
    124 rst => rst
    125 );
    126 126 end generate;
    127 127
    128 128 gen_last: if g_index = 0 generate
    129 129 signal reg_val : std_logic;
    130 130 signal reg_sync : std_logic;
    131 131
    132 begin
    132 begin
  • 77
    78 signal mult_out_re : std_logic_vector(c_mult_out_w - 1 downto 0);
    79 signal mult_out_im : std_logic_vector(c_mult_out_w - 1 downto 0);
    80
    81 signal coeff_dat : std_logic_vector(2 * c_coeff_w - 1 downto 0);
    82 signal coeff_re : std_logic_vector(c_coeff_w - 1 downto 0);
    83 signal coeff_im : std_logic_vector(c_coeff_w - 1 downto 0);
    39 constant c_twid_rom : t_c_mem := (
    40 latency => 2,
    41 adr_w => c_adr_w,
    42 dat_w => 2 * c_coeff_w, -- complex
    43 nof_dat => 3 * c_nof_twids / 4, -- <= 2**g_addr_w
    44 init_sl => '0');
    45
    46 constant c_twid_file : string :=
    47 "data/twiddle_" & natural'image(c_coeff_w)
  • 62 62 constant c_sdp_bdo_reorder_nof_blocks_max : natural := 16;
    63 63 constant c_sdp_bdo_reorder_nof_blocks_w : natural := ceil_log2(c_sdp_bdo_reorder_nof_blocks_max + 1);
    64 64 constant c_sdp_bdo_reorder_nof_ch_max : natural := c_sdp_bdo_reorder_nof_blocks_max *
    65 c_sdp_nof_beamlets_per_block *
    66 c_sdp_nof_words_per_beamlet; -- = 7808
    65 c_sdp_nof_beamlets_per_block *
  • 80 80
    81 81 constant c_sdp_bdo_destinations_info_rst : t_sdp_bdo_destinations_info :=
    82 82 ( (others => (others => '0')),
  • closed

  • Please register or sign in to reply
    Loading