Skip to content
Snippets Groups Projects

RTSD-156: apply vhdl_style_fix, delete space before semicolon

Merged RTSD-156: apply vhdl_style_fix, delete space before semicolon
82 files
+ 491
491
Compare changes
  • Side-by-side
  • Inline

Files

@@ -22,8 +22,8 @@ architecture stratix of pfs_coefsbuf is
@@ -22,8 +22,8 @@ architecture stratix of pfs_coefsbuf is
intended_device_family : string
intended_device_family : string
);
);
port (
port (
aclr0 : in std_logic ;
aclr0 : in std_logic;
clock0 : in std_logic ;
clock0 : in std_logic;
address_a : in std_logic_vector(g_addr_w - 1 downto 0);
address_a : in std_logic_vector(g_addr_w - 1 downto 0);
q_a : out std_logic_vector(g_data_w - 1 downto 0)
q_a : out std_logic_vector(g_data_w - 1 downto 0)
);
);
Loading