Skip to content

RTSD-156: apply vhdl_style_fix, delete space before semicolon

Pieter Donker requested to merge RTSD-156 into master

Closes RTSD-156

Merge request reports