Skip to content
Snippets Groups Projects
Commit fd369dbc authored by Eric Kooistra's avatar Eric Kooistra
Browse files

Correct p_verify_crosslets using vector_or() and init values.

parent 1ae1e27c
No related branches found
No related tags found
1 merge request!390Resolve L2SDP-1013 "B"
Pipeline #76182 passed
......@@ -403,9 +403,9 @@ architecture tb of tb_sdp_crosslets_remote_ring is
signal crosslets_copi_arr : t_mem_copi_arr(c_last_rn downto 0) := (others => c_mem_copi_rst);
signal crosslets_cipo_2arr : t_crosslets_cipo_2arr(c_last_rn downto 0);
signal x_sosi_2arr : t_crosslets_sosi_2arr(c_last_rn downto 0);
signal x_sosi_2arr_valids : std_logic_vector(g_nof_rn * c_P_sq - 1 downto 0);
signal x_sosi_2arr_valids : std_logic_vector(g_nof_rn * c_P_sq - 1 downto 0) := (others => '0');
signal x_sosi_arr : t_dp_sosi_arr(c_last_rn downto 0);
signal x_sosi : t_dp_sosi;
signal x_sosi : t_dp_sosi := c_dp_sosi_rst;
-- 10GbE ring
signal tr_10gbe_ring_rx_sosi_arr : t_dp_sosi_arr(c_last_rn downto 0) := (others => c_dp_sosi_rst);
......@@ -842,7 +842,7 @@ begin
if x_sosi.valid = '1' then
assert vector_and(x_sosi_2arr_valids) = '1' report "Missing aligned output valid" severity error;
else
assert vector_and(x_sosi_2arr_valids) = '0' report "Unexpected aligned output valid" severity error;
assert vector_or(x_sosi_2arr_valids) = '0' report "Unexpected aligned output valid" severity error;
end if;
end process;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment