diff --git a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_crosslets_remote_ring.vhd b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_crosslets_remote_ring.vhd
index 1ec7de0db3d269388ee83389ea985b8da6ebf426..f3ec0216b7663de1707e007a9dad35c9ae598afc 100644
--- a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_crosslets_remote_ring.vhd
+++ b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_crosslets_remote_ring.vhd
@@ -403,9 +403,9 @@ architecture tb of tb_sdp_crosslets_remote_ring is
   signal crosslets_copi_arr       : t_mem_copi_arr(c_last_rn downto 0) := (others => c_mem_copi_rst);
   signal crosslets_cipo_2arr      : t_crosslets_cipo_2arr(c_last_rn downto 0);
   signal x_sosi_2arr              : t_crosslets_sosi_2arr(c_last_rn downto 0);
-  signal x_sosi_2arr_valids       : std_logic_vector(g_nof_rn * c_P_sq - 1 downto 0);
+  signal x_sosi_2arr_valids       : std_logic_vector(g_nof_rn * c_P_sq - 1 downto 0) := (others => '0');
   signal x_sosi_arr               : t_dp_sosi_arr(c_last_rn downto 0);
-  signal x_sosi                   : t_dp_sosi;
+  signal x_sosi                   : t_dp_sosi := c_dp_sosi_rst;
 
   -- 10GbE ring
   signal tr_10gbe_ring_rx_sosi_arr    : t_dp_sosi_arr(c_last_rn downto 0) := (others => c_dp_sosi_rst);
@@ -842,7 +842,7 @@ begin
     if x_sosi.valid = '1' then
       assert vector_and(x_sosi_2arr_valids) = '1' report "Missing aligned output valid" severity error;
     else
-      assert vector_and(x_sosi_2arr_valids) = '0' report "Unexpected aligned output valid" severity error;
+      assert vector_or(x_sosi_2arr_valids) = '0' report "Unexpected aligned output valid" severity error;
     end if;
   end process;