Skip to content
Snippets Groups Projects
Commit f1343070 authored by David Brouwer's avatar David Brouwer
Browse files

Added component description for ip_agi027_xxxx_ram_crk_cw.

parent 058da7b0
No related branches found
No related tags found
1 merge request!363Porting ram for Intel Agilex 7
......@@ -593,6 +593,29 @@ package tech_memory_component_pkg is
);
end component;
component ip_agi027_xxxx_ram_crk_cw is
generic (
g_wr_adr_w : natural := 5;
g_wr_dat_w : natural := 32;
g_wr_nof_words : natural := 2**5;
g_rd_adr_w : natural := 4;
g_rd_dat_w : natural := 64;
g_rd_nof_words : natural := 2**4;
g_rd_latency : natural := 1; -- choose 1 or 2
g_init_file : string := "UNUSED"
);
port
(
data : in std_logic_vector(g_wr_dat_w - 1 downto 0);
wraddress : in std_logic_vector(g_wr_adr_w - 1 downto 0);
wrclk : in std_logic := '1';
wren : in std_logic := '0';
rdaddress : in std_logic_vector(g_rd_adr_w - 1 downto 0);
rdclk : in std_logic;
q : out std_logic_vector(g_rd_dat_w - 1 downto 0)
);
end component;
component ip_agi027_xxxx_ram_rw_rw is
generic (
g_inferred : boolean := false;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment