Skip to content
Snippets Groups Projects
Commit c420d17f authored by Kenneth Hiemstra's avatar Kenneth Hiemstra
Browse files

removed unnecessary signal pll_locked

parent f014b877
No related branches found
No related tags found
No related merge requests found
...@@ -169,7 +169,6 @@ ARCHITECTURE str OF unb2b_jesd IS ...@@ -169,7 +169,6 @@ ARCHITECTURE str OF unb2b_jesd IS
SIGNAL qsfp_red_led_arr : STD_LOGIC_VECTOR(c_unb2_board_tr_qsfp.nof_bus-1 DOWNTO 0); SIGNAL qsfp_red_led_arr : STD_LOGIC_VECTOR(c_unb2_board_tr_qsfp.nof_bus-1 DOWNTO 0);
-- JESD signals -- JESD signals
signal pll_locked : std_logic;
signal jesd204_rx_link_error : std_logic; signal jesd204_rx_link_error : std_logic;
signal jesd204_rx_link_data : std_logic_vector(31 downto 0); signal jesd204_rx_link_data : std_logic_vector(31 downto 0);
...@@ -304,9 +303,7 @@ BEGIN ...@@ -304,9 +303,7 @@ BEGIN
-- . 1GbE Control Interface -- . 1GbE Control Interface
ETH_clk => ETH_CLK, ETH_clk => ETH_CLK,
ETH_SGIN => ETH_SGIN, ETH_SGIN => ETH_SGIN,
ETH_SGOUT => ETH_SGOUT, ETH_SGOUT => ETH_SGOUT
pll_locked => pll_locked
); );
----------------------------------------------------------------------------- -----------------------------------------------------------------------------
...@@ -398,7 +395,6 @@ BEGIN ...@@ -398,7 +395,6 @@ BEGIN
jesd204_device_clk => st_clk jesd204_device_clk => st_clk
); );
QSFP_LED(0) <= pll_locked;
CLK <= jesd204_device_clk; CLK <= jesd204_device_clk;
--PPS <= jesd204_rx_sysref; --PPS <= jesd204_rx_sysref;
jesd204_rx_sysref_n <= NOT jesd204_rx_sysref; jesd204_rx_sysref_n <= NOT jesd204_rx_sysref;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment