Skip to content
Snippets Groups Projects
Commit b5af20d4 authored by Pieter Donker's avatar Pieter Donker
Browse files

RTSD-79, fixed merge conflicts 2

parent 0fc85f9a
No related branches found
No related tags found
1 merge request!329Draft: Resolve RTSD-79
Pipeline #49562 passed
Showing
with 22 additions and 30 deletions
......@@ -152,5 +152,3 @@ begin
out_sosi_arr <= pft_sosi_arr;
end str;
......@@ -28,4 +28,3 @@ entity pfs_fir is
res_sync : out std_logic
);
end pfs_fir;
\ No newline at end of file
......@@ -17,4 +17,3 @@ entity pfs_fir_coefsbuf is
rst : in std_logic
);
end pfs_fir_coefsbuf;
\ No newline at end of file
......@@ -19,4 +19,3 @@ entity pfs_fir_tapsbuf is
rst : in std_logic
);
end pfs_fir_tapsbuf;
\ No newline at end of file
......@@ -37,4 +37,3 @@ end pfs_pkg;
package body pfs_pkg is
end pfs_pkg;
\ No newline at end of file
......@@ -125,4 +125,3 @@ begin
end process;
end tb;
\ No newline at end of file
......@@ -48,4 +48,3 @@ end pft_pkg;
package body pft_pkg is
end pft_pkg;
\ No newline at end of file
......@@ -72,9 +72,9 @@ architecture rtl of pft_separate is
signal rd_cnt : std_logic_vector(g_fft_sz_w - 1 downto 0);
signal nxt_rd_cnt : std_logic_vector(rd_cnt'range);
signal page_rdy_dly : std_logic_vector( 0 TO c_tot_delay - 1);
signal rdval_dly : std_logic_vector( 0 TO c_tot_delay - 1);
signal rdsync_dly : std_logic_vector( 0 TO c_tot_delay + 1);
signal page_rdy_dly : std_logic_vector( 0 to c_tot_delay - 1);
signal rdval_dly : std_logic_vector( 0 to c_tot_delay - 1);
signal rdsync_dly : std_logic_vector( 0 to c_tot_delay + 1);
signal nxt_rdsync_dly : std_logic_vector(rdsync_dly'range);
signal rdsync_reg : std_logic;
signal nxt_rdsync_reg : std_logic;
......
......@@ -70,8 +70,8 @@ entity lofar2_unb2b_adc_6ch_200MHz is
-- 1GbE Control Interface
ETH_CLK : in std_logic;
ETH_SGin : IN std_logic_vector(c_unb2b_board_nof_eth - 1 downto 0);
ETH_SGout : OUT std_logic_vector(c_unb2b_board_nof_eth - 1 downto 0);
ETH_SGin : in std_logic_vector(c_unb2b_board_nof_eth - 1 downto 0);
ETH_SGout : out std_logic_vector(c_unb2b_board_nof_eth - 1 downto 0);
-- LEDs
QSFP_LED : out std_logic_vector(c_unb2b_board_tr_qsfp_nof_leds - 1 downto 0);
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment