Skip to content
Snippets Groups Projects
Commit 8821cb41 authored by Reinier van der Walle's avatar Reinier van der Walle
Browse files

removed unused M&C points

parent cfca746a
No related branches found
No related tags found
No related merge requests found
Pipeline #22436 passed
...@@ -185,14 +185,6 @@ ARCHITECTURE str OF lofar2_unb2c_ring IS ...@@ -185,14 +185,6 @@ ARCHITECTURE str OF lofar2_unb2c_ring IS
SIGNAL rom_unb_system_info_copi : t_mem_copi := c_mem_copi_rst; SIGNAL rom_unb_system_info_copi : t_mem_copi := c_mem_copi_rst;
SIGNAL rom_unb_system_info_cipo : t_mem_cipo := c_mem_cipo_rst; SIGNAL rom_unb_system_info_cipo : t_mem_cipo := c_mem_cipo_rst;
-- UniBoard I2C sens
SIGNAL reg_unb_sens_copi : t_mem_copi := c_mem_copi_rst;
SIGNAL reg_unb_sens_cipo : t_mem_cipo := c_mem_cipo_rst;
-- pm bus
SIGNAL reg_unb_pmbus_copi : t_mem_copi := c_mem_copi_rst;
SIGNAL reg_unb_pmbus_cipo : t_mem_cipo := c_mem_cipo_rst;
-- FPGA sensors -- FPGA sensors
SIGNAL reg_fpga_temp_sens_copi : t_mem_copi := c_mem_copi_rst; SIGNAL reg_fpga_temp_sens_copi : t_mem_copi := c_mem_copi_rst;
SIGNAL reg_fpga_temp_sens_cipo : t_mem_cipo := c_mem_cipo_rst; SIGNAL reg_fpga_temp_sens_cipo : t_mem_cipo := c_mem_cipo_rst;
...@@ -480,10 +472,6 @@ BEGIN ...@@ -480,10 +472,6 @@ BEGIN
reg_unb_system_info_cipo => reg_unb_system_info_cipo, reg_unb_system_info_cipo => reg_unb_system_info_cipo,
rom_unb_system_info_copi => rom_unb_system_info_copi, rom_unb_system_info_copi => rom_unb_system_info_copi,
rom_unb_system_info_cipo => rom_unb_system_info_cipo, rom_unb_system_info_cipo => rom_unb_system_info_cipo,
reg_unb_sens_copi => reg_unb_sens_copi,
reg_unb_sens_cipo => reg_unb_sens_cipo,
reg_unb_pmbus_copi => reg_unb_pmbus_copi,
reg_unb_pmbus_cipo => reg_unb_pmbus_cipo,
reg_fpga_temp_sens_copi => reg_fpga_temp_sens_copi, reg_fpga_temp_sens_copi => reg_fpga_temp_sens_copi,
reg_fpga_temp_sens_cipo => reg_fpga_temp_sens_cipo, reg_fpga_temp_sens_cipo => reg_fpga_temp_sens_cipo,
reg_fpga_voltage_sens_copi => reg_fpga_voltage_sens_copi, reg_fpga_voltage_sens_copi => reg_fpga_voltage_sens_copi,
......
...@@ -141,7 +141,7 @@ PACKAGE qsys_lofar2_unb2c_ring_pkg IS ...@@ -141,7 +141,7 @@ PACKAGE qsys_lofar2_unb2c_ring_pkg IS
reg_dpmm_data_reset_export : out std_logic; -- export reg_dpmm_data_reset_export : out std_logic; -- export
reg_dpmm_data_write_export : out std_logic; -- export reg_dpmm_data_write_export : out std_logic; -- export
reg_dpmm_data_writedata_export : out std_logic_vector(31 downto 0); -- export reg_dpmm_data_writedata_export : out std_logic_vector(31 downto 0); -- export
reg_epcs_address_export : out std_logc_vector(2 downto 0); -- export reg_epcs_address_export : out std_logic_vector(2 downto 0); -- export
reg_epcs_clk_export : out std_logic; -- export reg_epcs_clk_export : out std_logic; -- export
reg_epcs_read_export : out std_logic; -- export reg_epcs_read_export : out std_logic; -- export
reg_epcs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export reg_epcs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment