diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd index 2fa52a97ad601af1106280b527b715bbf32e354c..af7bae2dc1b9f67c5d5f411b80a32052b2d52260 100644 --- a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd @@ -185,14 +185,6 @@ ARCHITECTURE str OF lofar2_unb2c_ring IS SIGNAL rom_unb_system_info_copi : t_mem_copi := c_mem_copi_rst; SIGNAL rom_unb_system_info_cipo : t_mem_cipo := c_mem_cipo_rst; - -- UniBoard I2C sens - SIGNAL reg_unb_sens_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_unb_sens_cipo : t_mem_cipo := c_mem_cipo_rst; - - -- pm bus - SIGNAL reg_unb_pmbus_copi : t_mem_copi := c_mem_copi_rst; - SIGNAL reg_unb_pmbus_cipo : t_mem_cipo := c_mem_cipo_rst; - -- FPGA sensors SIGNAL reg_fpga_temp_sens_copi : t_mem_copi := c_mem_copi_rst; SIGNAL reg_fpga_temp_sens_cipo : t_mem_cipo := c_mem_cipo_rst; @@ -480,10 +472,6 @@ BEGIN reg_unb_system_info_cipo => reg_unb_system_info_cipo, rom_unb_system_info_copi => rom_unb_system_info_copi, rom_unb_system_info_cipo => rom_unb_system_info_cipo, - reg_unb_sens_copi => reg_unb_sens_copi, - reg_unb_sens_cipo => reg_unb_sens_cipo, - reg_unb_pmbus_copi => reg_unb_pmbus_copi, - reg_unb_pmbus_cipo => reg_unb_pmbus_cipo, reg_fpga_temp_sens_copi => reg_fpga_temp_sens_copi, reg_fpga_temp_sens_cipo => reg_fpga_temp_sens_cipo, reg_fpga_voltage_sens_copi => reg_fpga_voltage_sens_copi, diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd index 94fac191443c3aa20f61966af340309349877992..1ead618cec9a0108d7de6cd14790421cba83c051 100644 --- a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd @@ -141,7 +141,7 @@ PACKAGE qsys_lofar2_unb2c_ring_pkg IS reg_dpmm_data_reset_export : out std_logic; -- export reg_dpmm_data_write_export : out std_logic; -- export reg_dpmm_data_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_epcs_address_export : out std_logc_vector(2 downto 0); -- export + reg_epcs_address_export : out std_logic_vector(2 downto 0); -- export reg_epcs_clk_export : out std_logic; -- export reg_epcs_read_export : out std_logic; -- export reg_epcs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export