Skip to content
Snippets Groups Projects
Commit 80dc6bb8 authored by Kenneth Hiemstra's avatar Kenneth Hiemstra
Browse files

compiler options corrected

parent 7e37db9e
No related branches found
No related tags found
No related merge requests found
...@@ -67,7 +67,6 @@ set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS" ...@@ -67,7 +67,6 @@ set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING ON
set_global_assignment -name FITTER_EFFORT "STANDARD FIT" set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS ON
set_global_assignment -name SMART_RECOMPILE ON
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL MAXIMUM set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL MAXIMUM
......
...@@ -33,11 +33,8 @@ create_clock -period 1.552 -name {SA_CLK} { SA_CLK } ...@@ -33,11 +33,8 @@ create_clock -period 1.552 -name {SA_CLK} { SA_CLK }
create_clock -period 1.552 -name {SB_CLK} { SB_CLK } create_clock -period 1.552 -name {SB_CLK} { SB_CLK }
create_clock -period 1.552 -name {BCK_REF_CLK} { BCK_REF_CLK } create_clock -period 1.552 -name {BCK_REF_CLK} { BCK_REF_CLK }
derive_pll_clocks derive_pll_clocks
derive_clock_uncertainty
#derive_clock_uncertainty
# Effectively set false path from this clock to all other clocks # Effectively set false path from this clock to all other clocks
#set_clock_groups -asynchronous -group [get_clocks altera_reserved_tck] #set_clock_groups -asynchronous -group [get_clocks altera_reserved_tck]
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment