Skip to content
Snippets Groups Projects
Commit 7f0fc211 authored by Reinier van der Walle's avatar Reinier van der Walle
Browse files

commit part of processing review comments

parent 8e69766c
No related branches found
No related tags found
2 merge requests!100Removed text for XSub that is now written in Confluence Subband correlator...,!48Resolve L2SDP-133
...@@ -493,7 +493,6 @@ BEGIN ...@@ -493,7 +493,6 @@ BEGIN
g_technology => g_technology, g_technology => g_technology,
g_nof_streams => c_sdp_S_pn, g_nof_streams => c_sdp_S_pn,
g_buf_nof_data => c_sdp_ait_buf_nof_data_bsn, g_buf_nof_data => c_sdp_ait_buf_nof_data_bsn,
g_aduh_buffer_nof_symbols => c_sdp_ait_aduh_nof_data,
g_sim => g_sim g_sim => g_sim
) )
PORT MAP( PORT MAP(
......
...@@ -77,14 +77,14 @@ PACKAGE qsys_lofar2_unb2b_filterbank_pkg IS ...@@ -77,14 +77,14 @@ PACKAGE qsys_lofar2_unb2b_filterbank_pkg IS
ram_aduh_monitor_reset_export : out std_logic; -- export ram_aduh_monitor_reset_export : out std_logic; -- export
ram_aduh_monitor_write_export : out std_logic; -- export ram_aduh_monitor_write_export : out std_logic; -- export
ram_aduh_monitor_writedata_export : out std_logic_vector(31 downto 0); -- export ram_aduh_monitor_writedata_export : out std_logic_vector(31 downto 0); -- export
ram_diag_data_buf_bsn_address_export : out std_logic_vector(16 downto 0); -- export ram_diag_data_buf_bsn_address_export : out std_logic_vector(13 downto 0); -- export
ram_diag_data_buf_bsn_clk_export : out std_logic; -- export ram_diag_data_buf_bsn_clk_export : out std_logic; -- export
ram_diag_data_buf_bsn_read_export : out std_logic; -- export ram_diag_data_buf_bsn_read_export : out std_logic; -- export
ram_diag_data_buf_bsn_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export ram_diag_data_buf_bsn_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
ram_diag_data_buf_bsn_reset_export : out std_logic; -- export ram_diag_data_buf_bsn_reset_export : out std_logic; -- export
ram_diag_data_buf_bsn_write_export : out std_logic; -- export ram_diag_data_buf_bsn_write_export : out std_logic; -- export
ram_diag_data_buf_bsn_writedata_export : out std_logic_vector(31 downto 0); -- export ram_diag_data_buf_bsn_writedata_export : out std_logic_vector(31 downto 0); -- export
ram_diag_data_buf_jesd_address_export : out std_logic_vector(13 downto 0); -- export ram_diag_data_buf_jesd_address_export : out std_logic_vector(10 downto 0); -- export
ram_diag_data_buf_jesd_clk_export : out std_logic; -- export ram_diag_data_buf_jesd_clk_export : out std_logic; -- export
ram_diag_data_buf_jesd_read_export : out std_logic; -- export ram_diag_data_buf_jesd_read_export : out std_logic; -- export
ram_diag_data_buf_jesd_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export ram_diag_data_buf_jesd_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment