diff --git a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank.vhd b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank.vhd index df1e10148970eba847190c58d2428dccdf0a1968..5411570d345feb20d2c03e08b9b013b5dea89e5d 100644 --- a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/lofar2_unb2b_filterbank.vhd @@ -493,7 +493,6 @@ BEGIN g_technology => g_technology, g_nof_streams => c_sdp_S_pn, g_buf_nof_data => c_sdp_ait_buf_nof_data_bsn, - g_aduh_buffer_nof_symbols => c_sdp_ait_aduh_nof_data, g_sim => g_sim ) PORT MAP( diff --git a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/qsys_lofar2_unb2b_filterbank_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/qsys_lofar2_unb2b_filterbank_pkg.vhd index 506989cd8d23f91a8677c705a1b6f6ccb9161eb4..abe9daa6f4630121a4ec1292bb631445dd418bfc 100644 --- a/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/qsys_lofar2_unb2b_filterbank_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_filterbank/src/vhdl/qsys_lofar2_unb2b_filterbank_pkg.vhd @@ -77,14 +77,14 @@ PACKAGE qsys_lofar2_unb2b_filterbank_pkg IS ram_aduh_monitor_reset_export : out std_logic; -- export ram_aduh_monitor_write_export : out std_logic; -- export ram_aduh_monitor_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_diag_data_buf_bsn_address_export : out std_logic_vector(16 downto 0); -- export + ram_diag_data_buf_bsn_address_export : out std_logic_vector(13 downto 0); -- export ram_diag_data_buf_bsn_clk_export : out std_logic; -- export ram_diag_data_buf_bsn_read_export : out std_logic; -- export ram_diag_data_buf_bsn_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export ram_diag_data_buf_bsn_reset_export : out std_logic; -- export ram_diag_data_buf_bsn_write_export : out std_logic; -- export ram_diag_data_buf_bsn_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_diag_data_buf_jesd_address_export : out std_logic_vector(13 downto 0); -- export + ram_diag_data_buf_jesd_address_export : out std_logic_vector(10 downto 0); -- export ram_diag_data_buf_jesd_clk_export : out std_logic; -- export ram_diag_data_buf_jesd_read_export : out std_logic; -- export ram_diag_data_buf_jesd_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export