Skip to content
Snippets Groups Projects
Commit 7e99a602 authored by David Brouwer's avatar David Brouwer
Browse files

RTSD-209: Fix g_in_dat_a -> g_in_dat_b for datab in component lpm_mult.

parent 6b4df340
No related branches found
No related tags found
1 merge request!370RTSD-229: Verify the wpfb for iwave agilex7 through synthesis in Quartus
......@@ -37,7 +37,7 @@ use ieee.numeric_std.all;
library lpm;
use lpm.lpm_components.all;
entity ip_agi027_xxxx_mult is
entity ip_agi027_xxxx_mult is
generic (
g_in_a_w : positive := 18; -- Width of the data A port
g_in_b_w : positive := 18; -- Width of the data B port
......@@ -80,7 +80,7 @@ architecture str of ip_agi027_xxxx_mult is
);
port (
dataa : in std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
datab : in std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
datab : in std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
-- sum : in STD_LOGIC_VECTOR((g_nof_mult*g_in_s_w-1 DOWNTO 0) := (OTHERS => '0'); (not used in current designs)
-- aclr : in STD_LOGIC; (not used in current designs)
clock : in std_logic;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment