From 7e99a602b4a9ce5dae7ee5a385c6fb59ff3581fc Mon Sep 17 00:00:00 2001
From: David Brouwer <dbrouwer@astron.nl>
Date: Thu, 30 Nov 2023 17:13:38 +0100
Subject: [PATCH] RTSD-209: Fix g_in_dat_a -> g_in_dat_b for datab in component
 lpm_mult.

---
 .../technology/ip_agi027_xxxx/mult/ip_agi027_xxxx_mult.vhd    | 4 ++--
 1 file changed, 2 insertions(+), 2 deletions(-)

diff --git a/libraries/technology/ip_agi027_xxxx/mult/ip_agi027_xxxx_mult.vhd b/libraries/technology/ip_agi027_xxxx/mult/ip_agi027_xxxx_mult.vhd
index 5752150499..080b3bdf2d 100644
--- a/libraries/technology/ip_agi027_xxxx/mult/ip_agi027_xxxx_mult.vhd
+++ b/libraries/technology/ip_agi027_xxxx/mult/ip_agi027_xxxx_mult.vhd
@@ -37,7 +37,7 @@ use ieee.numeric_std.all;
 library lpm;
 use lpm.lpm_components.all;
 
- entity  ip_agi027_xxxx_mult is
+ entity ip_agi027_xxxx_mult is
   generic (
     g_in_a_w           : positive := 18;  -- Width of the data A port
     g_in_b_w           : positive := 18;  -- Width of the data B port
@@ -80,7 +80,7 @@ architecture str of ip_agi027_xxxx_mult is
   );
   port (
       dataa : in std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
-      datab : in std_logic_vector(g_nof_mult * g_in_a_w - 1 downto 0);
+      datab : in std_logic_vector(g_nof_mult * g_in_b_w - 1 downto 0);
     --  sum : in STD_LOGIC_VECTOR((g_nof_mult*g_in_s_w-1 DOWNTO 0) := (OTHERS => '0'); (not used in current designs)
     --  aclr : in STD_LOGIC; (not used in current designs)
       clock : in std_logic;
-- 
GitLab