Skip to content
Snippets Groups Projects
Commit 56e07eea authored by Pepping's avatar Pepping
Browse files

Readdata of the reg_dp_xonoff is now connected

parent de0b6c24
No related branches found
No related tags found
No related merge requests found
...@@ -520,6 +520,7 @@ ARCHITECTURE str OF mmm_apertif_unb1_correlator IS ...@@ -520,6 +520,7 @@ ARCHITECTURE str OF mmm_apertif_unb1_correlator IS
ram_st_sst_write_export : out std_logic; ram_st_sst_write_export : out std_logic;
eth1g_reg_read_export : out std_logic; eth1g_reg_read_export : out std_logic;
ram_diag_data_buffer_input_post_clk_export : out std_logic; ram_diag_data_buffer_input_post_clk_export : out std_logic;
reg_dp_xonoff_output_readdata_export : in std_logic_vector(31 downto 0) := (others => '0');
reg_diag_data_buffer_mesh_reset_export : out std_logic; reg_diag_data_buffer_mesh_reset_export : out std_logic;
ram_diag_data_buffer_input_post_writedata_export : out std_logic_vector(31 downto 0); ram_diag_data_buffer_input_post_writedata_export : out std_logic_vector(31 downto 0);
eth1g_tse_write_export : out std_logic; eth1g_tse_write_export : out std_logic;
...@@ -940,6 +941,7 @@ BEGIN ...@@ -940,6 +941,7 @@ BEGIN
reg_dp_xonoff_output_address_export => reg_dp_xonoff_output_mosi.address(0), reg_dp_xonoff_output_address_export => reg_dp_xonoff_output_mosi.address(0),
reg_dp_xonoff_output_clk_export => OPEN, reg_dp_xonoff_output_clk_export => OPEN,
reg_dp_xonoff_output_read_export => reg_dp_xonoff_output_mosi.rd, reg_dp_xonoff_output_read_export => reg_dp_xonoff_output_mosi.rd,
reg_dp_xonoff_output_readdata_export => reg_dp_xonoff_output_miso.rddata(c_word_w-1 DOWNTO 0),
reg_dp_xonoff_output_reset_export => OPEN, reg_dp_xonoff_output_reset_export => OPEN,
reg_dp_xonoff_output_write_export => reg_dp_xonoff_output_mosi.wr, reg_dp_xonoff_output_write_export => reg_dp_xonoff_output_mosi.wr,
reg_dp_xonoff_output_writedata_export => reg_dp_xonoff_output_mosi.wrdata(c_word_w-1 DOWNTO 0), reg_dp_xonoff_output_writedata_export => reg_dp_xonoff_output_mosi.wrdata(c_word_w-1 DOWNTO 0),
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment