Skip to content
Snippets Groups Projects
Commit 556d6f0b authored by Kenneth Hiemstra's avatar Kenneth Hiemstra
Browse files

removed SENS_* lines which are I2C

parent 2ddf0824
Branches
No related tags found
2 merge requests!100Removed text for XSub that is now written in Confluence Subband correlator...,!77Resolve L2SDP-37 (merge request)
Showing
with 11 additions and 102 deletions
...@@ -56,9 +56,6 @@ ENTITY unb2c_minimal IS ...@@ -56,9 +56,6 @@ ENTITY unb2c_minimal IS
TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
-- I2C Interface to Sensors -- I2C Interface to Sensors
SENS_SC : INOUT STD_LOGIC;
SENS_SD : INOUT STD_LOGIC;
PMBUS_SC : INOUT STD_LOGIC; PMBUS_SC : INOUT STD_LOGIC;
PMBUS_SD : INOUT STD_LOGIC; PMBUS_SD : INOUT STD_LOGIC;
PMBUS_ALERT : IN STD_LOGIC := '0'; PMBUS_ALERT : IN STD_LOGIC := '0';
...@@ -274,8 +271,6 @@ BEGIN ...@@ -274,8 +271,6 @@ BEGIN
ID => ID, ID => ID,
TESTIO => TESTIO, TESTIO => TESTIO,
-- . I2C Interface to Sensors -- . I2C Interface to Sensors
SENS_SC => SENS_SC,
SENS_SD => SENS_SD,
-- PM bus -- PM bus
PMBUS_SC => PMBUS_SC, PMBUS_SC => PMBUS_SC,
PMBUS_SD => PMBUS_SD, PMBUS_SD => PMBUS_SD,
......
...@@ -52,9 +52,6 @@ ENTITY unb2c_test_10GbE IS ...@@ -52,9 +52,6 @@ ENTITY unb2c_test_10GbE IS
ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
-- I2C Interface to Sensors
SENS_SC : INOUT STD_LOGIC;
SENS_SD : INOUT STD_LOGIC;
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
...@@ -76,6 +73,7 @@ ENTITY unb2c_test_10GbE IS ...@@ -76,6 +73,7 @@ ENTITY unb2c_test_10GbE IS
RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0); RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0);
RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0); RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0);
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC : INOUT STD_LOGIC; PMBUS_SC : INOUT STD_LOGIC;
PMBUS_SD : INOUT STD_LOGIC; PMBUS_SD : INOUT STD_LOGIC;
...@@ -127,9 +125,6 @@ BEGIN ...@@ -127,9 +125,6 @@ BEGIN
ID => ID, ID => ID,
TESTIO => TESTIO, TESTIO => TESTIO,
-- I2C Interface to Sensors
SENS_SC => SENS_SC,
SENS_SD => SENS_SD,
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_clk => ETH_clk, ETH_clk => ETH_clk,
...@@ -151,6 +146,7 @@ BEGIN ...@@ -151,6 +146,7 @@ BEGIN
RING_1_RX => RING_1_RX, RING_1_RX => RING_1_RX,
RING_1_TX => RING_1_TX, RING_1_TX => RING_1_TX,
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC => PMBUS_SC, PMBUS_SC => PMBUS_SC,
PMBUS_SD => PMBUS_SD, PMBUS_SD => PMBUS_SD,
......
...@@ -53,15 +53,13 @@ ENTITY unb2c_test_ddr IS ...@@ -53,15 +53,13 @@ ENTITY unb2c_test_ddr IS
ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
-- I2C Interface to Sensors
SENS_SC : INOUT STD_LOGIC;
SENS_SD : INOUT STD_LOGIC;
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC : INOUT STD_LOGIC; PMBUS_SC : INOUT STD_LOGIC;
PMBUS_SD : INOUT STD_LOGIC; PMBUS_SD : INOUT STD_LOGIC;
...@@ -113,15 +111,13 @@ BEGIN ...@@ -113,15 +111,13 @@ BEGIN
ID => ID, ID => ID,
TESTIO => TESTIO, TESTIO => TESTIO,
-- I2C Interface to Sensors
SENS_SC => SENS_SC,
SENS_SD => SENS_SD,
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_clk => ETH_clk, ETH_clk => ETH_clk,
ETH_SGIN => ETH_SGIN, ETH_SGIN => ETH_SGIN,
ETH_SGOUT => ETH_SGOUT, ETH_SGOUT => ETH_SGOUT,
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC => PMBUS_SC, PMBUS_SC => PMBUS_SC,
PMBUS_SD => PMBUS_SD, PMBUS_SD => PMBUS_SD,
......
...@@ -52,15 +52,13 @@ ENTITY unb2c_test_heater IS ...@@ -52,15 +52,13 @@ ENTITY unb2c_test_heater IS
ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
-- I2C Interface to Sensors
SENS_SC : INOUT STD_LOGIC;
SENS_SD : INOUT STD_LOGIC;
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC : INOUT STD_LOGIC; PMBUS_SC : INOUT STD_LOGIC;
PMBUS_SD : INOUT STD_LOGIC; PMBUS_SD : INOUT STD_LOGIC;
...@@ -98,15 +96,13 @@ BEGIN ...@@ -98,15 +96,13 @@ BEGIN
ID => ID, ID => ID,
TESTIO => TESTIO, TESTIO => TESTIO,
-- I2C Interface to Sensors
SENS_SC => SENS_SC,
SENS_SD => SENS_SD,
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_clk => ETH_clk, ETH_clk => ETH_clk,
ETH_SGIN => ETH_SGIN, ETH_SGIN => ETH_SGIN,
ETH_SGOUT => ETH_SGOUT, ETH_SGOUT => ETH_SGOUT,
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC => PMBUS_SC, PMBUS_SC => PMBUS_SC,
PMBUS_SD => PMBUS_SD, PMBUS_SD => PMBUS_SD,
......
...@@ -52,15 +52,13 @@ ENTITY unb2c_test_jesd204b IS ...@@ -52,15 +52,13 @@ ENTITY unb2c_test_jesd204b IS
ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
-- I2C Interface to Sensors
SENS_SC : INOUT STD_LOGIC;
SENS_SD : INOUT STD_LOGIC;
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC : INOUT STD_LOGIC; PMBUS_SC : INOUT STD_LOGIC;
PMBUS_SD : INOUT STD_LOGIC; PMBUS_SD : INOUT STD_LOGIC;
...@@ -98,15 +96,13 @@ BEGIN ...@@ -98,15 +96,13 @@ BEGIN
ID => ID, ID => ID,
TESTIO => TESTIO, TESTIO => TESTIO,
-- I2C Interface to Sensors
SENS_SC => SENS_SC,
SENS_SD => SENS_SD,
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_clk => ETH_clk, ETH_clk => ETH_clk,
ETH_SGIN => ETH_SGIN, ETH_SGIN => ETH_SGIN,
ETH_SGOUT => ETH_SGOUT, ETH_SGOUT => ETH_SGOUT,
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC => PMBUS_SC, PMBUS_SC => PMBUS_SC,
PMBUS_SD => PMBUS_SD, PMBUS_SD => PMBUS_SD,
......
...@@ -52,15 +52,13 @@ ENTITY unb2c_test_minimal IS ...@@ -52,15 +52,13 @@ ENTITY unb2c_test_minimal IS
ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
-- I2C Interface to Sensors
SENS_SC : INOUT STD_LOGIC;
SENS_SD : INOUT STD_LOGIC;
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC : INOUT STD_LOGIC; PMBUS_SC : INOUT STD_LOGIC;
PMBUS_SD : INOUT STD_LOGIC; PMBUS_SD : INOUT STD_LOGIC;
...@@ -98,15 +96,13 @@ BEGIN ...@@ -98,15 +96,13 @@ BEGIN
ID => ID, ID => ID,
TESTIO => TESTIO, TESTIO => TESTIO,
-- I2C Interface to Sensors
SENS_SC => SENS_SC,
SENS_SD => SENS_SD,
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_clk => ETH_clk, ETH_clk => ETH_clk,
ETH_SGIN => ETH_SGIN, ETH_SGIN => ETH_SGIN,
ETH_SGOUT => ETH_SGOUT, ETH_SGOUT => ETH_SGOUT,
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC => PMBUS_SC, PMBUS_SC => PMBUS_SC,
PMBUS_SD => PMBUS_SD, PMBUS_SD => PMBUS_SD,
......
...@@ -65,10 +65,6 @@ ENTITY unb2c_test IS ...@@ -65,10 +65,6 @@ ENTITY unb2c_test IS
ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
-- I2C Interface to Sensors
SENS_SC : INOUT STD_LOGIC;
SENS_SD : INOUT STD_LOGIC;
-- 1GbE Control Interface -- 1GbE Control Interface
ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
...@@ -98,6 +94,7 @@ ENTITY unb2c_test IS ...@@ -98,6 +94,7 @@ ENTITY unb2c_test IS
RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0) := (OTHERS=>'0'); RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0) := (OTHERS=>'0');
RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0); RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0);
-- I2C Interface to Sensors
-- pmbus -- pmbus
PMBUS_SC : INOUT STD_LOGIC; PMBUS_SC : INOUT STD_LOGIC;
PMBUS_SD : INOUT STD_LOGIC; PMBUS_SD : INOUT STD_LOGIC;
...@@ -584,8 +581,6 @@ BEGIN ...@@ -584,8 +581,6 @@ BEGIN
ID => ID, ID => ID,
TESTIO => TESTIO, TESTIO => TESTIO,
-- . I2C Interface to Sensors -- . I2C Interface to Sensors
SENS_SC => SENS_SC,
SENS_SD => SENS_SD,
-- PM bus -- PM bus
PMBUS_SC => PMBUS_SC, PMBUS_SC => PMBUS_SC,
PMBUS_SD => PMBUS_SD, PMBUS_SD => PMBUS_SD,
......
...@@ -2055,31 +2055,5 @@ set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_ ...@@ -2055,31 +2055,5 @@ set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_
set_location_assignment PIN_AT31 -to QSFP_RST set_location_assignment PIN_AT31 -to QSFP_RST
set_location_assignment PIN_AY33 -to QSFP_SCL[0]
set_location_assignment PIN_AY32 -to QSFP_SCL[1]
set_location_assignment PIN_AY30 -to QSFP_SCL[2]
set_location_assignment PIN_AN33 -to QSFP_SCL[3]
set_location_assignment PIN_AN31 -to QSFP_SCL[4]
set_location_assignment PIN_AJ33 -to QSFP_SCL[5]
set_location_assignment PIN_BA32 -to QSFP_SDA[0]
set_location_assignment PIN_BA31 -to QSFP_SDA[1]
set_location_assignment PIN_AP33 -to QSFP_SDA[2]
set_location_assignment PIN_AM33 -to QSFP_SDA[3]
set_location_assignment PIN_AK33 -to QSFP_SDA[4]
set_location_assignment PIN_AH32 -to QSFP_SDA[5]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[5]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[5]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[1]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[1]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[2]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[3]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[4]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[2]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[3]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[4]
set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_RST set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_RST
...@@ -196,12 +196,8 @@ set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_IO.dq[61] ...@@ -196,12 +196,8 @@ set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_IO.dq[61]
set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_IO.dq[62] set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_IO.dq[62]
set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_IO.dq[63] set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_IO.dq[63]
# locations changed 30 sept
set_location_assignment PIN_Y12 -to MB_SCL
set_location_assignment PIN_AA12 -to MB_SDA
set_location_assignment PIN_M16 -to MB_I_IN.evt set_location_assignment PIN_M16 -to MB_I_IN.evt
set_location_assignment PIN_AU29 -to MB_I_IO.dq[0] set_location_assignment PIN_AU29 -to MB_I_IO.dq[0]
set_location_assignment PIN_BC28 -to MB_I_IO.dq[1] set_location_assignment PIN_BC28 -to MB_I_IO.dq[1]
set_location_assignment PIN_AY29 -to MB_I_IO.dq[2] set_location_assignment PIN_AY29 -to MB_I_IO.dq[2]
......
...@@ -71,8 +71,6 @@ set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[6] ...@@ -71,8 +71,6 @@ set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[6]
set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[7] set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[7]
set_instance_assignment -name IO_STANDARD "1.8 V" -to INTA set_instance_assignment -name IO_STANDARD "1.8 V" -to INTA
set_instance_assignment -name IO_STANDARD "1.8 V" -to INTB set_instance_assignment -name IO_STANDARD "1.8 V" -to INTB
set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SC
set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SD
set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[0] set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[0]
set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[1] set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[1]
set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[2] set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[2]
...@@ -95,9 +93,6 @@ set_location_assignment PIN_T13 -to ID[7] ...@@ -95,9 +93,6 @@ set_location_assignment PIN_T13 -to ID[7]
set_location_assignment PIN_AU31 -to INTA set_location_assignment PIN_AU31 -to INTA
set_location_assignment PIN_AR30 -to INTB set_location_assignment PIN_AR30 -to INTB
set_location_assignment PIN_BC31 -to SENS_SC
set_location_assignment PIN_BB31 -to SENS_SD
set_location_assignment PIN_BA25 -to PMBUS_SC set_location_assignment PIN_BA25 -to PMBUS_SC
set_location_assignment PIN_BD25 -to PMBUS_SD set_location_assignment PIN_BD25 -to PMBUS_SD
set_location_assignment PIN_BD26 -to PMBUS_ALERT set_location_assignment PIN_BD26 -to PMBUS_ALERT
......
...@@ -233,9 +233,6 @@ ENTITY ctrl_unb2c_board IS ...@@ -233,9 +233,6 @@ ENTITY ctrl_unb2c_board IS
TESTIO : INOUT STD_LOGIC_VECTOR(g_aux.testio_w-1 DOWNTO 0); TESTIO : INOUT STD_LOGIC_VECTOR(g_aux.testio_w-1 DOWNTO 0);
-- I2C Interface to Sensors -- I2C Interface to Sensors
SENS_SC : INOUT STD_LOGIC := 'Z';
SENS_SD : INOUT STD_LOGIC := 'Z';
-- pmbus -- pmbus
PMBUS_SC : INOUT STD_LOGIC := 'Z'; PMBUS_SC : INOUT STD_LOGIC := 'Z';
PMBUS_SD : INOUT STD_LOGIC := 'Z'; PMBUS_SD : INOUT STD_LOGIC := 'Z';
...@@ -666,29 +663,6 @@ BEGIN ...@@ -666,29 +663,6 @@ BEGIN
mm_board_sens_start <= mm_pulse_s WHEN g_sim=FALSE ELSE mm_pulse_s; --mm_pulse_ms; ms pulse comes before the end of the I2C frame, this results in an overflow in simulation -- speed up in simulation mm_board_sens_start <= mm_pulse_s WHEN g_sim=FALSE ELSE mm_pulse_s; --mm_pulse_ms; ms pulse comes before the end of the I2C frame, this results in an overflow in simulation -- speed up in simulation
u_mms_unb2c_board_sens : ENTITY work.mms_unb2c_board_sens
GENERIC MAP (
g_sim => g_sim,
g_i2c_peripheral => c_i2c_peripheral_sens,
g_sens_nof_result => 40,
g_clk_freq => g_mm_clk_freq,
g_comma_w => 13
)
PORT MAP (
-- Clocks and reset
mm_rst => i_mm_rst,
mm_clk => i_mm_clk,
mm_start => mm_board_sens_start,
-- Memory-mapped clock domain
reg_mosi => reg_unb_sens_mosi,
reg_miso => reg_unb_sens_miso,
-- i2c bus
scl => SENS_SC,
sda => SENS_SD
);
u_mms_unb2c_board_pmbus : ENTITY work.mms_unb2c_board_sens u_mms_unb2c_board_pmbus : ENTITY work.mms_unb2c_board_sens
GENERIC MAP ( GENERIC MAP (
g_sim => g_sim, g_sim => g_sim,
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment