diff --git a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd
index 6aa79630d17a6b464eb06c5c6624acb06b5b7231..7726a874eff4e9a867c41aed3ce46ba7743a9076 100644
--- a/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd
+++ b/boards/uniboard2c/designs/unb2c_minimal/src/vhdl/unb2c_minimal.vhd
@@ -56,9 +56,6 @@ ENTITY unb2c_minimal IS
     TESTIO       : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
     
     -- I2C Interface to Sensors
-    SENS_SC      : INOUT STD_LOGIC;
-    SENS_SD      : INOUT STD_LOGIC;
-
     PMBUS_SC     : INOUT STD_LOGIC;
     PMBUS_SD     : INOUT STD_LOGIC;
     PMBUS_ALERT  : IN    STD_LOGIC := '0';
@@ -274,8 +271,6 @@ BEGIN
     ID                       => ID,
     TESTIO                   => TESTIO,
     -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,
     -- PM bus
     PMBUS_SC                 => PMBUS_SC,
     PMBUS_SD                 => PMBUS_SD,
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/unb2c_test_10GbE.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/unb2c_test_10GbE.vhd
index 73337f819888fdad17ec18938cbccc230c7127a1..0ddb0eaa8a09dc1fba839dac30074e05e718e5c3 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/unb2c_test_10GbE.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/unb2c_test_10GbE.vhd
@@ -52,9 +52,6 @@ ENTITY unb2c_test_10GbE IS
     ID           : IN    STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
     TESTIO       : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
     
-    -- I2C Interface to Sensors
-    SENS_SC      : INOUT STD_LOGIC;
-    SENS_SD      : INOUT STD_LOGIC;
   
     -- 1GbE Control Interface
     ETH_CLK      : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
@@ -76,6 +73,7 @@ ENTITY unb2c_test_10GbE IS
     RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0);
     RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0);
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     : INOUT STD_LOGIC;
     PMBUS_SD     : INOUT STD_LOGIC;
@@ -127,9 +125,6 @@ BEGIN
     ID           => ID,
     TESTIO       => TESTIO,
 
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
 
     -- 1GbE Control Interface
     ETH_clk      => ETH_clk,
@@ -151,6 +146,7 @@ BEGIN
     RING_1_RX    => RING_1_RX,
     RING_1_TX    => RING_1_TX,
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     => PMBUS_SC,
     PMBUS_SD     => PMBUS_SD,
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/unb2c_test_ddr.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/unb2c_test_ddr.vhd
index 2d1abcaf36443370be21c184c07750a2bca199fb..47ec517a10f406829bfe476ed81da36ac15fd3c6 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/unb2c_test_ddr.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/unb2c_test_ddr.vhd
@@ -53,15 +53,13 @@ ENTITY unb2c_test_ddr IS
     ID           : IN    STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
     TESTIO       : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
     
-    -- I2C Interface to Sensors
-    SENS_SC      : INOUT STD_LOGIC;
-    SENS_SD      : INOUT STD_LOGIC;
   
     -- 1GbE Control Interface
     ETH_CLK      : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
     ETH_SGIN     : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
     ETH_SGOUT    : OUT   STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     : INOUT STD_LOGIC;
     PMBUS_SD     : INOUT STD_LOGIC;
@@ -113,15 +111,13 @@ BEGIN
     ID           => ID,
     TESTIO       => TESTIO,
 
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
 
     -- 1GbE Control Interface
     ETH_clk      => ETH_clk,
     ETH_SGIN     => ETH_SGIN,
     ETH_SGOUT    => ETH_SGOUT,
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     => PMBUS_SC,
     PMBUS_SD     => PMBUS_SD,
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/unb2c_test_heater.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/unb2c_test_heater.vhd
index e5757d6e5e02c30caee6d28195c1379492ba83aa..7af7a694cd25a0607e54f3d56e93cdb5f2fc83ae 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/unb2c_test_heater.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/unb2c_test_heater.vhd
@@ -52,15 +52,13 @@ ENTITY unb2c_test_heater IS
     ID           : IN    STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
     TESTIO       : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
     
-    -- I2C Interface to Sensors
-    SENS_SC      : INOUT STD_LOGIC;
-    SENS_SD      : INOUT STD_LOGIC;
   
     -- 1GbE Control Interface
     ETH_CLK      : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
     ETH_SGIN     : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
     ETH_SGOUT    : OUT   STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     : INOUT STD_LOGIC;
     PMBUS_SD     : INOUT STD_LOGIC;
@@ -98,15 +96,13 @@ BEGIN
     ID           => ID,
     TESTIO       => TESTIO,
 
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
 
     -- 1GbE Control Interface
     ETH_clk      => ETH_clk,
     ETH_SGIN     => ETH_SGIN,
     ETH_SGOUT    => ETH_SGOUT,
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     => PMBUS_SC,
     PMBUS_SD     => PMBUS_SD,
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/unb2c_test_jesd204b.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/unb2c_test_jesd204b.vhd
index ec84b9751271f470377da093d304921fce2ad6da..0c2a240f95555d90e38f2efe5166d65d9592b006 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/unb2c_test_jesd204b.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/unb2c_test_jesd204b.vhd
@@ -52,15 +52,13 @@ ENTITY unb2c_test_jesd204b IS
     ID           : IN    STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
     TESTIO       : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
     
-    -- I2C Interface to Sensors
-    SENS_SC      : INOUT STD_LOGIC;
-    SENS_SD      : INOUT STD_LOGIC;
   
     -- 1GbE Control Interface
     ETH_CLK      : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
     ETH_SGIN     : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
     ETH_SGOUT    : OUT   STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     : INOUT STD_LOGIC;
     PMBUS_SD     : INOUT STD_LOGIC;
@@ -98,15 +96,13 @@ BEGIN
     ID           => ID,
     TESTIO       => TESTIO,
 
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
 
     -- 1GbE Control Interface
     ETH_clk      => ETH_clk,
     ETH_SGIN     => ETH_SGIN,
     ETH_SGOUT    => ETH_SGOUT,
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     => PMBUS_SC,
     PMBUS_SD     => PMBUS_SD,
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/unb2c_test_minimal.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/unb2c_test_minimal.vhd
index 99eb67992822b315367d5c2e64641c19440b0ec9..b2518a0026d81bdcc90ddb67a738adc34bda3edf 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/unb2c_test_minimal.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/unb2c_test_minimal.vhd
@@ -52,15 +52,13 @@ ENTITY unb2c_test_minimal IS
     ID           : IN    STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
     TESTIO       : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
     
-    -- I2C Interface to Sensors
-    SENS_SC      : INOUT STD_LOGIC;
-    SENS_SD      : INOUT STD_LOGIC;
   
     -- 1GbE Control Interface
     ETH_CLK      : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
     ETH_SGIN     : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
     ETH_SGOUT    : OUT   STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     : INOUT STD_LOGIC;
     PMBUS_SD     : INOUT STD_LOGIC;
@@ -98,15 +96,13 @@ BEGIN
     ID           => ID,
     TESTIO       => TESTIO,
 
-    -- I2C Interface to Sensors
-    SENS_SC      => SENS_SC,
-    SENS_SD      => SENS_SD,
 
     -- 1GbE Control Interface
     ETH_clk      => ETH_clk,
     ETH_SGIN     => ETH_SGIN,
     ETH_SGOUT    => ETH_SGOUT,
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     => PMBUS_SC,
     PMBUS_SD     => PMBUS_SD,
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd
index c010c0ee057990c917c592c2b696aa0ba8e54a52..b322623f70144cb0f775b2438af13fe0294a8c37 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd
@@ -64,10 +64,6 @@ ENTITY unb2c_test IS
     VERSION      : IN    STD_LOGIC_VECTOR(c_unb2c_board_aux.version_w-1 DOWNTO 0);
     ID           : IN    STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0);
     TESTIO       : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0);
-    
-    -- I2C Interface to Sensors
-    SENS_SC      : INOUT STD_LOGIC;
-    SENS_SD      : INOUT STD_LOGIC;
   
     -- 1GbE Control Interface
     ETH_CLK      : IN    STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0);
@@ -98,6 +94,7 @@ ENTITY unb2c_test IS
     RING_1_RX    : IN    STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0) := (OTHERS=>'0');
     RING_1_TX    : OUT   STD_LOGIC_VECTOR(c_unb2c_board_tr_ring.bus_w-1 downto 0);
 
+    -- I2C Interface to Sensors
     -- pmbus
     PMBUS_SC     : INOUT STD_LOGIC;
     PMBUS_SD     : INOUT STD_LOGIC;
@@ -584,8 +581,6 @@ BEGIN
     ID                       => ID,
     TESTIO                   => TESTIO,
     -- . I2C Interface to Sensors
-    SENS_SC                  => SENS_SC,
-    SENS_SD                  => SENS_SD,        
     -- PM bus
     PMBUS_SC                 => PMBUS_SC,
     PMBUS_SD                 => PMBUS_SD,
diff --git a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_10GbE_pins.tcl b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_10GbE_pins.tcl
index d0f3141cede08d2fb7a0b31de7ee6b1094162f53..c4f6533fd4ba8e8c9ca01764bb053a6c32dfce97 100644
--- a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_10GbE_pins.tcl
+++ b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_10GbE_pins.tcl
@@ -2055,31 +2055,5 @@ set_instance_assignment -name XCVR_A10_TX_PRE_EMP_SIGN_1ST_POST_TAP FIR_POST_1T_
 
 
 set_location_assignment PIN_AT31 -to QSFP_RST
-
-set_location_assignment PIN_AY33 -to QSFP_SCL[0]
-set_location_assignment PIN_AY32 -to QSFP_SCL[1]
-set_location_assignment PIN_AY30 -to QSFP_SCL[2]
-set_location_assignment PIN_AN33 -to QSFP_SCL[3]
-set_location_assignment PIN_AN31 -to QSFP_SCL[4]
-set_location_assignment PIN_AJ33 -to QSFP_SCL[5]
-set_location_assignment PIN_BA32 -to QSFP_SDA[0]
-set_location_assignment PIN_BA31 -to QSFP_SDA[1]
-set_location_assignment PIN_AP33 -to QSFP_SDA[2]
-set_location_assignment PIN_AM33 -to QSFP_SDA[3]
-set_location_assignment PIN_AK33 -to QSFP_SDA[4]
-set_location_assignment PIN_AH32 -to QSFP_SDA[5]
-
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[5]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[5]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[0]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[1]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[0]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[1]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[2]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[3]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SDA[4]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[2]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[3]
-set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_SCL[4]
 set_instance_assignment -name IO_STANDARD "1.8 V" -to QSFP_RST
 
diff --git a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_ddr_pins.tcl b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_ddr_pins.tcl
index cef7b7858770b1a9604b77770737eb1b4bfa2e08..2ca3ab1ed3bb564e221bc7380b221caa85f497d0 100644
--- a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_ddr_pins.tcl
+++ b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_ddr_pins.tcl
@@ -196,12 +196,8 @@ set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_IO.dq[61]
 set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_IO.dq[62]
 set_instance_assignment -name IO_STANDARD "1.2-V POD" -to MB_I_IO.dq[63]
 
-# locations changed 30 sept
-set_location_assignment PIN_Y12 -to MB_SCL
-set_location_assignment PIN_AA12 -to MB_SDA
 set_location_assignment PIN_M16 -to MB_I_IN.evt
 
-
 set_location_assignment PIN_AU29 -to MB_I_IO.dq[0]
 set_location_assignment PIN_BC28 -to MB_I_IO.dq[1]
 set_location_assignment PIN_AY29 -to MB_I_IO.dq[2]
diff --git a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl
index 9acaeb5391738574f6fed075bfc69dcdf329b6dd..7e201f3a98e82c55a952a78b115cf4ee55f16d96 100644
--- a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl
+++ b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl
@@ -71,8 +71,6 @@ set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[6]
 set_instance_assignment -name IO_STANDARD "1.8 V" -to ID[7]
 set_instance_assignment -name IO_STANDARD "1.8 V" -to INTA
 set_instance_assignment -name IO_STANDARD "1.8 V" -to INTB
-set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SC
-set_instance_assignment -name IO_STANDARD "1.8 V" -to SENS_SD
 set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[0]
 set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[1]
 set_instance_assignment -name IO_STANDARD "1.8 V" -to TESTIO[2]
@@ -95,9 +93,6 @@ set_location_assignment PIN_T13 -to ID[7]
 set_location_assignment PIN_AU31 -to INTA
 set_location_assignment PIN_AR30 -to INTB
 
-set_location_assignment PIN_BC31 -to SENS_SC
-set_location_assignment PIN_BB31 -to SENS_SD
-
 set_location_assignment PIN_BA25 -to PMBUS_SC
 set_location_assignment PIN_BD25 -to PMBUS_SD
 set_location_assignment PIN_BD26 -to PMBUS_ALERT
diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd
index 20ebbd4b30c5f27958f2180e66ca886255905718..27a1becfab77f607b1d28c1e6c13ac5a635135c0 100644
--- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd
+++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd
@@ -233,9 +233,6 @@ ENTITY ctrl_unb2c_board IS
     TESTIO                 : INOUT STD_LOGIC_VECTOR(g_aux.testio_w-1 DOWNTO 0);
     
     -- I2C Interface to Sensors
-    SENS_SC                : INOUT STD_LOGIC := 'Z';
-    SENS_SD                : INOUT STD_LOGIC := 'Z';
-
     -- pmbus
     PMBUS_SC               : INOUT STD_LOGIC := 'Z';
     PMBUS_SD               : INOUT STD_LOGIC := 'Z';
@@ -666,29 +663,6 @@ BEGIN
   
   mm_board_sens_start <= mm_pulse_s WHEN g_sim=FALSE ELSE mm_pulse_s; --mm_pulse_ms; ms pulse comes before the end of the I2C frame, this results in an overflow in simulation  -- speed up in simulation
   
-  u_mms_unb2c_board_sens : ENTITY work.mms_unb2c_board_sens
-  GENERIC MAP (
-    g_sim             => g_sim,
-    g_i2c_peripheral  => c_i2c_peripheral_sens,
-    g_sens_nof_result => 40,
-    g_clk_freq        => g_mm_clk_freq,
-    g_comma_w         => 13
-  )
-  PORT MAP (
-    -- Clocks and reset
-    mm_rst    => i_mm_rst,
-    mm_clk    => i_mm_clk,
-    mm_start  => mm_board_sens_start,
-    
-    -- Memory-mapped clock domain
-    reg_mosi  => reg_unb_sens_mosi,
-    reg_miso  => reg_unb_sens_miso,
-    
-    -- i2c bus
-    scl       => SENS_SC,
-    sda       => SENS_SD
-  );
-
   u_mms_unb2c_board_pmbus : ENTITY work.mms_unb2c_board_sens
   GENERIC MAP (
     g_sim             => g_sim,