Skip to content
Snippets Groups Projects
Commit 41c01256 authored by Jonathan Hargreaves's avatar Jonathan Hargreaves
Browse files

Initial qsys files for arria 10 versions of flash ip. Also added the component...

Initial qsys files for arria 10 versions of flash ip. Also added the component instantiations to tech_flash_component_pkg.vhd
parent 0fff47c6
No related branches found
No related tags found
No related merge requests found
......@@ -63,5 +63,50 @@ PACKAGE tech_flash_component_pkg IS
data_out : OUT STD_LOGIC_VECTOR (23 DOWNTO 0)
);
END COMPONENT;
-----------------------------------------------------------------------------
-- ip_arria10
-----------------------------------------------------------------------------
component ip_arria10_asmi_parallel is
port (
clkin : in std_logic := 'X'; -- clk
read : in std_logic := 'X'; -- read
rden : in std_logic := 'X'; -- rden
addr : in std_logic_vector(31 downto 0) := (others => 'X'); -- addr
reset : in std_logic := 'X'; -- reset
sce : in std_logic_vector(2 downto 0) := (others => 'X'); -- sce
dataout : out std_logic_vector(7 downto 0); -- dataout
busy : out std_logic; -- busy
data_valid : out std_logic; -- data_valid
wren : in std_logic := 'X'; -- wren
en4b_addr : in std_logic := 'X'; -- en4b_addr
write : in std_logic := 'X'; -- write
datain : in std_logic_vector(7 downto 0) := (others => 'X'); -- datain
illegal_write : out std_logic; -- illegal_write
sector_erase : in std_logic := 'X'; -- sector_erase
illegal_erase : out std_logic; -- illegal_erase
shift_bytes : in std_logic := 'X' -- shift_bytes
);
end component ip_arria10_asmi_parallel;
-- note the EPCQ-L1024 device appears not to be supported yet.
-- the EPCA-512 was chosen instead
component ip_arria10_remote_update is
port (
read_param : in std_logic := 'X'; -- read_param
param : in std_logic_vector(2 downto 0) := (others => 'X'); -- param
reconfig : in std_logic := 'X'; -- reconfig
reset_timer : in std_logic := 'X'; -- reset_timer
clock : in std_logic := 'X'; -- clk
reset : in std_logic := 'X'; -- reset
busy : out std_logic; -- busy
data_out : out std_logic_vector(31 downto 0); -- data_out
write_param : in std_logic := 'X'; -- write_param
data_in : in std_logic_vector(31 downto 0) := (others => 'X') -- data_in
);
end component ip_arria10_remote_update;
END tech_flash_component_pkg;
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment