diff --git a/libraries/technology/flash/tech_flash_component_pkg.vhd b/libraries/technology/flash/tech_flash_component_pkg.vhd index 0a54488882746c5d94633a87db4ec7f549024c9c..c5886b97ceb5a5a56112efaf7cdc58743dbb561b 100644 --- a/libraries/technology/flash/tech_flash_component_pkg.vhd +++ b/libraries/technology/flash/tech_flash_component_pkg.vhd @@ -63,5 +63,50 @@ PACKAGE tech_flash_component_pkg IS data_out : OUT STD_LOGIC_VECTOR (23 DOWNTO 0) ); END COMPONENT; - + + ----------------------------------------------------------------------------- + -- ip_arria10 + ----------------------------------------------------------------------------- + + component ip_arria10_asmi_parallel is + port ( + clkin : in std_logic := 'X'; -- clk + read : in std_logic := 'X'; -- read + rden : in std_logic := 'X'; -- rden + addr : in std_logic_vector(31 downto 0) := (others => 'X'); -- addr + reset : in std_logic := 'X'; -- reset + sce : in std_logic_vector(2 downto 0) := (others => 'X'); -- sce + dataout : out std_logic_vector(7 downto 0); -- dataout + busy : out std_logic; -- busy + data_valid : out std_logic; -- data_valid + wren : in std_logic := 'X'; -- wren + en4b_addr : in std_logic := 'X'; -- en4b_addr + write : in std_logic := 'X'; -- write + datain : in std_logic_vector(7 downto 0) := (others => 'X'); -- datain + illegal_write : out std_logic; -- illegal_write + sector_erase : in std_logic := 'X'; -- sector_erase + illegal_erase : out std_logic; -- illegal_erase + shift_bytes : in std_logic := 'X' -- shift_bytes + ); + end component ip_arria10_asmi_parallel; + + -- note the EPCQ-L1024 device appears not to be supported yet. + -- the EPCA-512 was chosen instead + + component ip_arria10_remote_update is + port ( + read_param : in std_logic := 'X'; -- read_param + param : in std_logic_vector(2 downto 0) := (others => 'X'); -- param + reconfig : in std_logic := 'X'; -- reconfig + reset_timer : in std_logic := 'X'; -- reset_timer + clock : in std_logic := 'X'; -- clk + reset : in std_logic := 'X'; -- reset + busy : out std_logic; -- busy + data_out : out std_logic_vector(31 downto 0); -- data_out + write_param : in std_logic := 'X'; -- write_param + data_in : in std_logic_vector(31 downto 0) := (others => 'X') -- data_in + ); + end component ip_arria10_remote_update; + + END tech_flash_component_pkg;