Skip to content
Snippets Groups Projects
Commit 2b71c2dc authored by Eric Kooistra's avatar Eric Kooistra
Browse files

Init value to '0' to avoid NUMERIC_STD warning.

parent b89b1961
No related branches found
No related tags found
1 merge request!339Resolve L2SDP-959
......@@ -125,8 +125,8 @@ architecture tb of tb_reorder_col_wide_row_select is
signal out_sosi_arr : t_dp_sosi_arr(g_nof_outputs - 1 downto 0);
signal dly_out_sosi_arr : t_dp_sosi_arr(g_nof_outputs - 1 downto 0);
signal reorder_row_in_select : std_logic_vector(c_in_select_w - 1 downto 0);
signal in_select : std_logic_vector(c_in_select_w - 1 downto 0);
signal reorder_row_in_select : std_logic_vector(c_in_select_w - 1 downto 0) := (others => '0');
signal in_select : std_logic_vector(c_in_select_w - 1 downto 0);
begin
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment