Skip to content
Snippets Groups Projects
Commit 0aa164de authored by Eric Kooistra's avatar Eric Kooistra
Browse files

No functional change, reg_hdr_dat_eth_0 and reg_hdr_dat_eth_1 ports got moved.

parent cfa38e33
No related branches found
No related tags found
1 merge request!294Resolve L2SDP-837
......@@ -312,6 +312,13 @@ PACKAGE qsys_unb2c_test_pkg IS
reg_bsn_monitor_v2_tx_eth_1_writedata_export : out std_logic_vector(31 downto 0); -- export
reg_bsn_monitor_v2_tx_eth_1_read_export : out std_logic; -- export
reg_bsn_monitor_v2_tx_eth_1_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
reg_hdr_dat_eth_1_reset_export : out std_logic; -- export
reg_hdr_dat_eth_1_clk_export : out std_logic; -- export
reg_hdr_dat_eth_1_address_export : out std_logic_vector(4 downto 0); -- export
reg_hdr_dat_eth_1_write_export : out std_logic; -- export
reg_hdr_dat_eth_1_writedata_export : out std_logic_vector(31 downto 0); -- export
reg_hdr_dat_eth_1_read_export : out std_logic; -- export
reg_hdr_dat_eth_1_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
reg_strobe_total_count_rx_eth_1_reset_export : out std_logic; -- export
reg_strobe_total_count_rx_eth_1_clk_export : out std_logic; -- export
reg_strobe_total_count_rx_eth_1_address_export : out std_logic_vector(4 downto 0); -- export
......@@ -340,6 +347,13 @@ PACKAGE qsys_unb2c_test_pkg IS
reg_bsn_monitor_v2_tx_eth_0_writedata_export : out std_logic_vector(31 downto 0); -- export
reg_bsn_monitor_v2_tx_eth_0_read_export : out std_logic; -- export
reg_bsn_monitor_v2_tx_eth_0_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
reg_hdr_dat_eth_0_reset_export : out std_logic; -- export
reg_hdr_dat_eth_0_clk_export : out std_logic; -- export
reg_hdr_dat_eth_0_address_export : out std_logic_vector(6 downto 0); -- export
reg_hdr_dat_eth_0_write_export : out std_logic; -- export
reg_hdr_dat_eth_0_writedata_export : out std_logic_vector(31 downto 0); -- export
reg_hdr_dat_eth_0_read_export : out std_logic; -- export
reg_hdr_dat_eth_0_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
reg_strobe_total_count_rx_eth_0_reset_export : out std_logic; -- export
reg_strobe_total_count_rx_eth_0_clk_export : out std_logic; -- export
reg_strobe_total_count_rx_eth_0_address_export : out std_logic_vector(6 downto 0); -- export
......@@ -368,20 +382,6 @@ PACKAGE qsys_unb2c_test_pkg IS
reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0); -- export
reg_fpga_voltage_sens_read_export : out std_logic; -- export
reg_fpga_voltage_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
reg_hdr_dat_eth_0_reset_export : out std_logic; -- export
reg_hdr_dat_eth_0_clk_export : out std_logic; -- export
reg_hdr_dat_eth_0_address_export : out std_logic_vector(6 downto 0); -- export
reg_hdr_dat_eth_0_write_export : out std_logic; -- export
reg_hdr_dat_eth_0_writedata_export : out std_logic_vector(31 downto 0); -- export
reg_hdr_dat_eth_0_read_export : out std_logic; -- export
reg_hdr_dat_eth_0_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
reg_hdr_dat_eth_1_reset_export : out std_logic; -- export
reg_hdr_dat_eth_1_clk_export : out std_logic; -- export
reg_hdr_dat_eth_1_address_export : out std_logic_vector(4 downto 0); -- export
reg_hdr_dat_eth_1_write_export : out std_logic; -- export
reg_hdr_dat_eth_1_writedata_export : out std_logic_vector(31 downto 0); -- export
reg_hdr_dat_eth_1_read_export : out std_logic; -- export
reg_hdr_dat_eth_1_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export
reg_heater_reset_export : out std_logic; -- export
reg_heater_clk_export : out std_logic; -- export
reg_heater_address_export : out std_logic_vector(4 downto 0); -- export
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment