Skip to content
Snippets Groups Projects
Commit 09a9152c authored by Gijs Schoonderbeek's avatar Gijs Schoonderbeek
Browse files

Delete jtag_top - Copy.vhd

parent 688908ca
No related branches found
No related tags found
1 merge request!310Resolve L2SDP-901
Pipeline #43958 passed
---------------------------------------------------------------------------------
--
-- Vhdl file created by I/O Designer
-- Fri Feb 28 17:51:25 2014
--
---------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity jtag_top is
port (
CTRL : in std_logic_vector (1 downto 0);
ENABLE_MSP : in std_logic;
IDN : in std_logic_vector (3 downto 0);
LPSEL : in std_logic_vector (4 downto 0);
MSPTCK : out std_logic_vector (4 downto 0);
MSPTDI : in std_logic_vector (4 downto 0);
MSPTDO : out std_logic_vector (4 downto 0);
MSPTMS : out std_logic_vector (4 downto 0);
MSPTRST : out std_logic_vector (4 downto 0);
TCK : in std_logic;
TDI : in std_logic;
TDO : out std_logic;
TMS : in std_logic;
TRST : in std_logic
);
end jtag_top;
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment