From 09a9152c3e8eafe5f6c539fdc837a832db060e75 Mon Sep 17 00:00:00 2001
From: Gijs Schoonderbeek <schoonderbeek@astron.nl>
Date: Thu, 9 Feb 2023 15:14:48 +0000
Subject: [PATCH] Delete jtag_top - Copy.vhd

---
 .../source/jtag_top - Copy.vhd                | 28 -------------------
 1 file changed, 28 deletions(-)
 delete mode 100644 boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top - Copy.vhd

diff --git a/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top - Copy.vhd b/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top - Copy.vhd
deleted file mode 100644
index 55f1991e7d..0000000000
--- a/boards/uniboard2c/lattice_jtag/UNB2_JTAG_SCANBRIDGE/source/jtag_top - Copy.vhd	
+++ /dev/null
@@ -1,28 +0,0 @@
----------------------------------------------------------------------------------
---
---   Vhdl file created by I/O Designer
---   Fri Feb 28 17:51:25 2014
---
----------------------------------------------------------------------------------
-
-library IEEE;
-use IEEE.STD_LOGIC_1164.all;
-
-entity jtag_top is
-    port (
-        CTRL : in std_logic_vector (1 downto 0);
-        ENABLE_MSP : in std_logic;
-        IDN : in std_logic_vector (3 downto 0);
-        LPSEL : in std_logic_vector (4 downto 0);
-        MSPTCK : out std_logic_vector (4 downto 0);
-        MSPTDI : in std_logic_vector (4 downto 0);
-        MSPTDO : out std_logic_vector (4 downto 0);
-        MSPTMS : out std_logic_vector (4 downto 0);
-        MSPTRST : out std_logic_vector (4 downto 0);
-        TCK : in std_logic;
-        TDI : in std_logic;
-        TDO : out std_logic;
-        TMS : in std_logic;
-        TRST : in std_logic
-    );
-end jtag_top;
-- 
GitLab