Skip to content
Snippets Groups Projects

L2SDP-1029, apply space around delimerts rule

Closed Pieter Donker requested to merge L2SDP-1029 into master
193 files
+ 966
966
Compare changes
  • Side-by-side
  • Inline

Files

@@ -19,12 +19,12 @@ begin
begin
if rising_edge(clk) then
result <= add_c(add_c'high downto add_c'length - result'length);
add_a <= std_logic_vector(resize(signed(res_0),add_a'length) + signed(res_1));
add_b <= std_logic_vector(resize(signed(res_2),add_b'length) + signed(res_3));
add_a <= std_logic_vector(resize(signed(res_0), add_a'length) + signed(res_1));
add_b <= std_logic_vector(resize(signed(res_2), add_b'length) + signed(res_3));
end if;
end process;
add_c <= std_logic_vector(SHIFT_LEFT((resize(signed(add_a),add_c'length) + signed(add_b)),4));
add_c <= std_logic_vector(SHIFT_LEFT((resize(signed(add_a), add_c'length) + signed(add_b)), 4));
-- nxt_result <= STD_LOGIC_VECTOR(RESIZE(SIGNED(add_c),
gen : for i in 0 to 7 generate
@@ -51,10 +51,10 @@ begin
pipe : process (clk)
begin
if rising_edge(clk) then
res_0 <= std_logic_vector(resize(signed(res(0)),res_0'length) + resize(signed(res(1)),res_0'length));
res_1 <= std_logic_vector(resize(signed(res(2)),res_0'length) + resize(signed(res(3)),res_0'length));
res_2 <= std_logic_vector(resize(signed(res(4)),res_0'length) + resize(signed(res(5)),res_0'length));
res_3 <= std_logic_vector(resize(signed(res(6)),res_0'length) + resize(signed(res(7)),res_0'length));
res_0 <= std_logic_vector(resize(signed(res(0)), res_0'length) + resize(signed(res(1)), res_0'length));
res_1 <= std_logic_vector(resize(signed(res(2)), res_0'length) + resize(signed(res(3)), res_0'length));
res_2 <= std_logic_vector(resize(signed(res(4)), res_0'length) + resize(signed(res(5)), res_0'length));
res_3 <= std_logic_vector(resize(signed(res(6)), res_0'length) + resize(signed(res(7)), res_0'length));
end if;
end process;
end rtl;
Loading