Skip to content
Snippets Groups Projects

Resolve L2SDP-1013

Merged Eric Kooistra requested to merge L2SDP-1013 into master
3 files
+ 13
12
Compare changes
  • Side-by-side
  • Inline
Files
3
@@ -70,11 +70,11 @@ architecture str of sdp_beamformer_remote is
constant c_block_size : natural := c_sdp_S_sub_bf * c_sdp_N_pol_bf;
constant c_fifo_size : natural := 2**ceil_log2((c_block_size * 9) / 16); -- 9/16 = 36/64, 1 block of 64 bit words rounded to the next power of 2 = 1024.
signal dispatch_sosi_arr : t_dp_sosi_arr(c_dual - 1 downto 0) := (others => c_dp_sosi_rst); -- 1 for local, 1 for remote.
signal dispatch_sosi_arr : t_dp_sosi_arr(c_sdp_P_sum - 1 downto 0) := (others => c_dp_sosi_rst); -- 1 for local, 1 for remote.
signal dp_fifo_sosi : t_dp_sosi := c_dp_sosi_rst;
signal dp_fifo_siso : t_dp_siso := c_dp_siso_rdy;
signal beamlets_data_sosi_arr : t_dp_sosi_arr(c_dual - 1 downto 0) := (others => c_dp_sosi_rst);
signal beamlets_sosi_arr : t_dp_sosi_arr(c_dual - 1 downto 0) := (others => c_dp_sosi_rst);
signal beamlets_data_sosi_arr : t_dp_sosi_arr(c_sdp_P_sum - 1 downto 0) := (others => c_dp_sosi_rst);
signal beamlets_sosi_arr : t_dp_sosi_arr(c_sdp_P_sum - 1 downto 0) := (others => c_dp_sosi_rst);
signal i_bf_sum_sosi : t_dp_sosi := c_dp_sosi_rst;
signal bf_sum_data_sosi : t_dp_sosi := c_dp_sosi_rst;
begin
@@ -132,7 +132,7 @@ begin
u_mmp_dp_bsn_align_v2 : entity dp_lib.mmp_dp_bsn_align_v2
generic map(
-- for dp_bsn_align_v2
g_nof_streams => c_dual,
g_nof_streams => c_sdp_P_sum,
g_bsn_latency_max => 2, -- max 2 blocks latency
g_nof_aligners_max => g_nof_aligners_max,
g_block_size => c_block_size,
@@ -141,7 +141,7 @@ begin
g_rd_latency => 1,
-- for mms_dp_bsn_monitor_v2
g_nof_clk_per_sync => c_sdp_N_clk_sync_timeout, -- Using c_sdp_N_clk_sync_timeout as g_nof_clk_per_sync is used for BSN monitor timeout.
g_nof_input_bsn_monitors => c_dual,
g_nof_input_bsn_monitors => c_sdp_P_sum,
g_use_bsn_output_monitor => true
)
port map (
@@ -185,7 +185,7 @@ begin
---------------------------------------------------------------
u_dp_complex_add : entity dp_lib.dp_complex_add
generic map(
g_nof_inputs => c_dual,
g_nof_inputs => c_sdp_P_sum,
g_data_w => c_sdp_W_beamlet_sum
)
port map(
Loading