Skip to content
Snippets Groups Projects

added icrc checksum implementation from SKA and added testbench for rdma

Merged Reinier van der Walle requested to merge HPR-146 into master
7 unresolved threads
32 files
+ 5474
63
Compare changes
  • Side-by-side
  • Inline
Files
32
@@ -21,7 +21,7 @@
-- Purpose: Provide AXI-4-stream interfaces + standard avalon MM interfaces for
-- eth_tester.vhd such that it can be used to create a Vivado IP block.
-- Description:
-- . The rdma_demo_eth_tester_wrapper uses axi4_stream_dp_bridge to convert the dp
-- . The rdma_generator_eth_tester_wrapper uses axi4_stream_dp_bridge to convert the dp
-- sosi/siso interfaces of the eth_tester into AXI4-Stream interfaces.
-- . In order for this component to be suitable as a Vivado IP, the ports are
-- exclusively STD_LOGIC(_VECTOR) where the widths are hard-coded as demanded
@@ -40,9 +40,9 @@ use dp_lib.dp_components_pkg.all;
use axi4_lib.axi4_stream_pkg.all;
use eth_lib.eth_pkg.all;
use eth_lib.eth_tester_pkg.all;
use work.rdma_demo_pkg.all;
use work.rdma_generator_pkg.all;
entity rdma_demo_eth_tester_wrapper is
entity rdma_generator_eth_tester_wrapper is
port (
-- Clocks and reset
mm_clk : in std_logic;
@@ -148,10 +148,10 @@ entity rdma_demo_eth_tester_wrapper is
reg_strobe_total_count_rx_avs_writedata : in std_logic_vector(32 - 1 downto 0)
);
end rdma_demo_eth_tester_wrapper;
end rdma_generator_eth_tester_wrapper;
architecture str of rdma_demo_eth_tester_wrapper is
constant c_nof_byte : natural := c_rdma_demo_nof_octet_output_100gbe;
architecture str of rdma_generator_eth_tester_wrapper is
constant c_nof_byte : natural := c_rdma_generator_nof_octet_output_100gbe;
signal rx_udp_sosi_arr : t_dp_sosi_arr(0 downto 0) := (others => c_dp_sosi_rst);
signal rx_udp_siso_arr : t_dp_siso_arr(0 downto 0) := (others => c_dp_siso_rdy);
@@ -184,13 +184,13 @@ architecture str of rdma_demo_eth_tester_wrapper is
begin
u_eth_tester : entity eth_lib.eth_tester
generic map (
g_nof_octet_generate => c_rdma_demo_nof_octet_generate_100gbe,
g_nof_octet_output => c_rdma_demo_nof_octet_output_100gbe,
g_nof_octet_generate => c_rdma_generator_nof_octet_generate_100gbe,
g_nof_octet_output => c_rdma_generator_nof_octet_output_100gbe,
g_use_eth_header => false,
g_use_ip_udp_header => false,
g_use_dp_header => true,
g_hdr_field_arr => c_rdma_demo_dp_hdr_field_arr,
g_hdr_field_sel => c_rdma_demo_dp_hdr_field_sel,
g_hdr_field_arr => c_rdma_generator_dp_hdr_field_arr,
g_hdr_field_sel => c_rdma_generator_dp_hdr_field_sel,
g_remove_crc => false
)
port map (
Loading