added ip checksum inserter + added generics to eth_tester for setting
4 unresolved threads
4 unresolved threads
the header length
Closes HPR-127
Merge request reports
Activity
requested review from @kooistra
assigned to @walle
@kooistra Deze file werd niet gebruikt / onderhouden. We gebruiken altijd tr_10GbE_ip_checksum
53 53 -- to 0 by declaring hdr_fields_in_arr with all 0. Hence e.g. udp_checksum 54 54 -- = 0 can be achieve via data path and default hdr_fields_in_arr = 0 or 55 55 -- via MM controlled and field_default(0). 56 constant c_rdma_demo_nof_hdr_fields : natural := 4; 57 constant c_rdma_demo_hdr_field_sel : std_logic_vector(c_rdma_demo_nof_hdr_fields - 1 downto 0) := "0100"; 56 constant c_rdma_demo_dp_nof_hdr_fields : natural := 4; 57 constant c_rdma_demo_dp_hdr_field_sel : std_logic_vector(c_rdma_demo_dp_nof_hdr_fields - 1 downto 0) := "0100"; 58 58 59 constant c_rdma_demo_hdr_field_arr : t_common_field_arr(c_rdma_demo_nof_hdr_fields - 1 downto 0) := ( 59 constant c_rdma_demo_dp_hdr_field_arr : t_common_field_arr(c_rdma_demo_dp_nof_hdr_fields - 1 downto 0) := ( 60 60 ( field_name_pad("dp_length" ), "RW", 16, field_default(0) ), changed this line in version 2 of the diff
14 -- distributed under the License is distributed on an "AS IS" BASIS, 15 -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. 16 -- See the License for the specific language governing permissions and 17 -- limitations under the License. 18 -- 19 ------------------------------------------------------------------------------- 20 -- Author: R. van der Walle 21 -- Purpose: Provide AXI-4-stream interfaces + standard avalon MM interfaces for 22 -- eth_tester.vhd such that it can be used to create a Vivado IP block. 23 -- Description: 24 -- . The rdma_demo_eth_tester_wrapper uses axi4_stream_dp_bridge to convert the dp 25 -- sosi/siso interfaces of the eth_tester into AXI4-Stream interfaces. 26 -- . In order for this component to be suitable as a Vivado IP, the ports are 27 -- exclusively STD_LOGIC(_VECTOR) where the widths are hard-coded as demanded 28 -- by the Vivado IP creator (only supports VHDL-93). 29 -- Remark 183 signal mm_rst : std_logic := '0'; 184 signal st_rst : std_logic := '0'; 185 186 begin 187 188 u_eth_tester : entity eth_lib.eth_tester 189 generic map ( 190 g_nof_octet_generate => c_rdma_demo_nof_octet_generate_100gbe, 191 g_nof_octet_output => c_rdma_demo_nof_octet_output_100gbe, 192 g_use_eth_header => false, 193 g_use_ip_udp_header => true, 194 g_use_dp_header => false, 195 g_hdr_calc_ip_crc => true, 196 g_hdr_field_arr => c_rdma_demo_roce_hdr_field_arr, 197 g_hdr_field_sel => c_rdma_demo_roce_hdr_field_sel, 198 g_hdr_app_len => c_rdma_demo_roce_hdr_len + c_rdma_demo_roce_icrc_len, -- Add icrc length here as this generic is used to calculate the total packet length. changed this line in version 2 of the diff
added 23 commits
-
bb7588be...268dff24 - 21 commits from branch
master
- fe674396 - Merge branch 'HPR-127' of git.astron.nl:desp/hdl into HPR-127
- 8a1d728d - processed review comments
-
bb7588be...268dff24 - 21 commits from branch
enabled an automatic merge when the pipeline for 8a1d728d succeeds
mentioned in commit fca14d14
unassigned @walle
Please register or sign in to reply