Skip to content
Snippets Groups Projects

Resolve L2SDP-837 "B"

Merged Eric Kooistra requested to merge L2SDP-837b into master
4 files
+ 6
31
Compare changes
  • Side-by-side
  • Inline
Files
4
@@ -96,8 +96,7 @@ entity diag_block_gen is
ctrl_hold : out t_diag_block_gen; -- hold current active ctrl
en_sync : in std_logic := '1';
out_siso : in t_dp_siso := c_dp_siso_rdy;
out_sosi : out t_dp_sosi;
out_bsn : out std_logic_vector(c_diag_bg_bsn_init_w-1 downto 0)
out_sosi : out t_dp_sosi
);
end diag_block_gen;
@@ -302,8 +301,6 @@ begin
buf_addr <= TO_UVEC(r.mem_cnt, g_buf_addr_w);
buf_rden <= r.rd_ena;
out_bsn <= rin.bsn_cnt when rising_edge(clk) and rin.sop = '1';
ctrl_hold <= r.ctrl_hold;
end rtl;
Loading