Skip to content
Snippets Groups Projects
Commit f4ff7e94 authored by Reinier van der Walle's avatar Reinier van der Walle
Browse files

corrected the ref_sync input for the strobe counter

parent 1ef40bb9
No related branches found
No related tags found
1 merge request!354Regression eth tester rx fix
Pipeline #57816 passed
...@@ -96,6 +96,7 @@ architecture str of eth_tester_rx is ...@@ -96,6 +96,7 @@ architecture str of eth_tester_rx is
signal unpacked_data : std_logic_vector(c_octet_w - 1 downto 0); signal unpacked_data : std_logic_vector(c_octet_w - 1 downto 0);
signal crc_corrupt : std_logic := '0'; signal crc_corrupt : std_logic := '0';
signal strobe_cnt_ref_sync : std_logic;
signal in_strobe_arr : std_logic_vector(c_nof_total_counts - 1 downto 0); signal in_strobe_arr : std_logic_vector(c_nof_total_counts - 1 downto 0);
signal hdr_fields_out_slv : std_logic_vector(1023 downto 0); signal hdr_fields_out_slv : std_logic_vector(1023 downto 0);
...@@ -248,6 +249,8 @@ begin ...@@ -248,6 +249,8 @@ begin
in_strobe_arr(1) <= unpacked_sosi.valid; -- count total nof Rx valid samples in_strobe_arr(1) <= unpacked_sosi.valid; -- count total nof Rx valid samples
in_strobe_arr(2) <= crc_corrupt; -- count total nof corrupted Rx packets in_strobe_arr(2) <= crc_corrupt; -- count total nof corrupted Rx packets
strobe_cnt_ref_sync <= unpacked_sosi.sync when g_use_dp_header else ref_sync;
u_dp_strobe_total_count : entity dp_lib.dp_strobe_total_count u_dp_strobe_total_count : entity dp_lib.dp_strobe_total_count
generic map ( generic map (
g_nof_counts => c_nof_total_counts, g_nof_counts => c_nof_total_counts,
...@@ -258,7 +261,7 @@ begin ...@@ -258,7 +261,7 @@ begin
dp_rst => st_rst, dp_rst => st_rst,
dp_clk => st_clk, dp_clk => st_clk,
ref_sync => ref_sync, ref_sync => strobe_cnt_ref_sync,
in_strobe_arr => in_strobe_arr, in_strobe_arr => in_strobe_arr,
mm_rst => mm_rst, mm_rst => mm_rst,
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment