Skip to content
Snippets Groups Projects
Commit e1153b5f authored by Reinier van der Walle's avatar Reinier van der Walle
Browse files

applied vhdl_style fix

parent 5f5878fc
No related branches found
No related tags found
1 merge request!358initial commit of rdma_packetiser library
Pipeline #59824 passed
......@@ -89,7 +89,6 @@ architecture str of rdma_packetiser_assemble_header is
constant c_reg_rst : t_reg := (s_idle, (others => '1'), (others => '0'), (others => '0'), (others => '0'), (others => '0'), 0, 0, 0, 0, 0);
signal d, q : t_reg;
begin
q <= d when rising_edge(st_clk);
p_comb : process(st_rst, q, snk_in, nof_packets_in_msg, start_address, nof_msg, immediate_data, dma_len, block_len)
......@@ -157,7 +156,6 @@ begin
v.msg_cnt := q.msg_cnt + 1;
v.virtual_address := q.virtual_address + q.dma_len;
end if;
end case;
if st_rst = '1' then
......@@ -178,9 +176,9 @@ begin
gen_use_msg_cnt : if g_use_msg_cnt_as_immediate generate
hdr_fields_slv(field_hi(c_hdr_field_arr, "immediate_data") downto field_lo(c_hdr_field_arr, "immediate_data")) <= TO_UVEC(q.msg_cnt, 32);
end generate;
gen_use_no_msg_cnt : if not g_use_msg_cnt_as_immediate generate
hdr_fields_slv(field_hi(c_hdr_field_arr, "immediate_data") downto field_lo(c_hdr_field_arr, "immediate_data")) <= q.immediate_data;
end generate;
end generate;
end str;
......@@ -30,7 +30,6 @@ use common_lib.common_field_pkg.all;
use common_lib.common_network_layers_pkg.all;
package rdma_packetiser_pkg is
type t_rdma_packetiser_bth_header is record
opcode : std_logic_vector( 7 downto 0);
se : std_logic_vector( 0 downto 0);
......@@ -89,7 +88,8 @@ package rdma_packetiser_pkg is
constant c_rdma_packetiser_roce_nof_hdr_fields : natural := 3 + 12 + 4 + 13 + 3 + 1;
constant c_rdma_packetiser_roce_hdr_field_sel : std_logic_vector(c_rdma_packetiser_roce_nof_hdr_fields - 1 downto 0) := "111" & "111011111001" & "0100" & "1111111111111" & "111" & "1";
constant c_rdma_packetiser_roce_hdr_field_arr : t_common_field_arr(c_rdma_packetiser_roce_nof_hdr_fields - 1 downto 0) := (
constant c_rdma_packetiser_roce_hdr_field_arr : t_common_field_arr(
c_rdma_packetiser_roce_nof_hdr_fields - 1 downto 0) := (
( field_name_pad("eth_dst_mac" ), "RW", 48, field_default(0) ),
( field_name_pad("eth_src_mac" ), "RW", 48, field_default(0) ),
( field_name_pad("eth_type" ), "RW", 16, field_default(x"0800") ),
......@@ -140,7 +140,8 @@ package rdma_packetiser_pkg is
constant c_rdma_packetiser_roce_no_imm_nof_hdr_fields : natural := 3 + 12 + 4 + 13 + 3;
constant c_rdma_packetiser_roce_no_imm_hdr_field_sel : std_logic_vector(c_rdma_packetiser_roce_no_imm_nof_hdr_fields - 1 downto 0) := "111" & "111011111001" & "0100" & "1111111111111" & "111";
constant c_rdma_packetiser_roce_no_imm_hdr_field_arr : t_common_field_arr(c_rdma_packetiser_roce_no_imm_nof_hdr_fields - 1 downto 0) := (
constant c_rdma_packetiser_roce_no_imm_hdr_field_arr : t_common_field_arr(
c_rdma_packetiser_roce_no_imm_nof_hdr_fields - 1 downto 0) := (
( field_name_pad("eth_dst_mac" ), "RW", 48, field_default(0) ),
( field_name_pad("eth_src_mac" ), "RW", 48, field_default(0) ),
( field_name_pad("eth_type" ), "RW", 16, field_default(x"0800") ),
......@@ -207,7 +208,6 @@ package rdma_packetiser_pkg is
end rdma_packetiser_pkg;
package body rdma_packetiser_pkg is
function func_rdma_packetiser_map_header(hdr_fields_raw : std_logic_vector; use_immediate : boolean) return t_rdma_packetiser_roce_header is
variable v : t_rdma_packetiser_roce_header;
constant c_hdr_field_arr : t_common_field_arr := sel_a_b(use_immediate, c_rdma_packetiser_roce_hdr_field_arr, c_rdma_packetiser_roce_no_imm_hdr_field_arr);
......@@ -266,6 +266,4 @@ package body rdma_packetiser_pkg is
return v;
end func_rdma_packetiser_map_header;
end rdma_packetiser_pkg;
......@@ -61,7 +61,8 @@ architecture tb of tb_rdma_packetiser_assemble_header is
constant c_data_w : natural := c_word_w;
constant c_data_init : natural := 13;
constant c_hdr_fields_slv_rst : std_logic_vector(1023 downto 0) := (others => '0');
constant c_rdma_hdr_len : natural := c_rdma_packetiser_roce_icrc_len + sel_a_b(g_use_immediate,
constant c_rdma_hdr_len : natural := c_rdma_packetiser_roce_icrc_len + sel_a_b(
g_use_immediate,
c_rdma_packetiser_roce_hdr_len, c_rdma_packetiser_roce_no_imm_hdr_len);
constant c_block_len : natural := g_frame_len * (c_data_w / c_octet_w);
constant c_dma_len : natural := c_block_len * g_nof_packets_in_msg;
......@@ -85,8 +86,6 @@ architecture tb of tb_rdma_packetiser_assemble_header is
signal snk_in : t_dp_sosi := c_dp_sosi_rst;
signal snk_out : t_dp_siso := c_dp_siso_rdy;
begin
dp_rst <= '1', '0' after c_dp_clk_period * 7;
dp_clk <= (not dp_clk) or tb_end after c_dp_clk_period / 2;
......@@ -95,7 +94,6 @@ begin
p_dp_stimuli : process
begin
-- dp stimuli
proc_common_wait_until_low(dp_clk, dp_rst);
proc_common_wait_some_cycles(dp_clk, 100);
......@@ -169,7 +167,6 @@ begin
assert unsigned(rdma_header.reth.virtual_address) = v_exp_reth_virtual_address report "Wrong rdma_header.reth.virtual_address value" severity error;
assert TO_UINT(rdma_header.reth.dma_length) = v_exp_reth_dma_length report "Wrong rdma_header.reth.dma_length value" severity error;
assert rdma_header.immediate_data = v_exp_immediate_data report "Wrong rdma_header.immediate_data value" severity error;
end loop;
proc_common_wait_some_cycles(dp_clk, 100);
......
......@@ -39,7 +39,6 @@ architecture tb of tb_tb_rdma_packetiser_assemble_header is
constant c_high_start_addr : unsigned(63 downto 0) := X"CBA9876543210000"; -- arbitrary high start address
signal tb_end : std_logic := '0'; -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
begin
-- All generics of TB
-- g_use_immediate : boolean := true;
-- g_use_msg_cnt_as_immediate : boolean := true;
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment