Skip to content
Snippets Groups Projects
Commit 877a7999 authored by David Brouwer's avatar David Brouwer
Browse files

Merge branch 'master' into RTSD-181

parents 14044edd faa95903
No related branches found
No related tags found
1 merge request!376RTSD-181: Continuation of porting RAM for Intel Agilex 7
......@@ -89,7 +89,7 @@ architecture str of st_sst is
constant c_nof_stat_w : natural := ceil_log2(g_nof_stat);
constant c_nof_word : natural := g_stat_data_sz * g_nof_stat;
constant c_nof_word_w : natural := ceil_log2(c_nof_word);
constant g_stat_word_w : natural := g_stat_data_sz * c_word_w;
constant c_stat_word_w : natural := g_stat_data_sz * c_word_w;
constant zeros : std_logic_vector(c_nof_stat_w - 1 downto 0) := (others => '0');
-- Statistics register
......@@ -100,7 +100,7 @@ architecture str of st_sst is
init_sl => '0'); -- MM side : sla_in, sla_out
constant c_stat_ram : t_c_mem := (latency => 1,
adr_w => c_nof_stat_w,
dat_w => g_stat_word_w,
dat_w => c_stat_word_w,
nof_dat => g_nof_stat,
init_sl => '0'); -- ST side : stat_mosi
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment