Skip to content
Snippets Groups Projects
Commit 47109d71 authored by Eric Kooistra's avatar Eric Kooistra
Browse files

Read sst_offload_weighted_subbands.

parent d754f6f3
No related branches found
No related tags found
No related merge requests found
...@@ -30,6 +30,7 @@ ...@@ -30,6 +30,7 @@
-- --
-- 2) Verify ethernet statistics using eth_statistics, it checks the number of -- 2) Verify ethernet statistics using eth_statistics, it checks the number of
-- received packets and the total number of valid data. The content of the packets is not verified. -- received packets and the total number of valid data. The content of the packets is not verified.
-- Manually check rx_sdp_stat_header in Wave window.
-- --
-- Usage: -- Usage:
-- > as 7 # default -- > as 7 # default
...@@ -78,6 +79,7 @@ architecture tb of tb_lofar2_unb2c_sdp_station_fsub_sst_offload is ...@@ -78,6 +79,7 @@ architecture tb of tb_lofar2_unb2c_sdp_station_fsub_sst_offload is
-- MM -- MM
constant c_mm_file_reg_bsn_source_v2 : string := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_BSN_SOURCE_V2"; constant c_mm_file_reg_bsn_source_v2 : string := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_BSN_SOURCE_V2";
constant c_mm_file_reg_dp_selector : string := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_DP_SELECTOR";
constant c_mm_file_reg_stat_enable_sst : string := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_STAT_ENABLE_SST"; constant c_mm_file_reg_stat_enable_sst : string := mmf_unb_file_prefix(c_unb_nr, c_node_nr) & "REG_STAT_ENABLE_SST";
-- Tb -- Tb
...@@ -111,6 +113,9 @@ architecture tb of tb_lofar2_unb2c_sdp_station_fsub_sst_offload is ...@@ -111,6 +113,9 @@ architecture tb of tb_lofar2_unb2c_sdp_station_fsub_sst_offload is
signal eth_txp : std_logic_vector(c_unb2c_board_nof_eth - 1 downto 0) := (others => '0'); signal eth_txp : std_logic_vector(c_unb2c_board_nof_eth - 1 downto 0) := (others => '0');
signal eth_rxp : std_logic_vector(c_unb2c_board_nof_eth - 1 downto 0) := (others => '0'); signal eth_rxp : std_logic_vector(c_unb2c_board_nof_eth - 1 downto 0) := (others => '0');
-- SST offload weigted subbands selector
signal sst_offload_weighted_subbands : std_logic;
-- Rx packets -- Rx packets
signal eth_rx_sosi : t_dp_sosi; signal eth_rx_sosi : t_dp_sosi;
signal eth_rx_data : std_logic_vector(c_32 - 1 downto 0); signal eth_rx_data : std_logic_vector(c_32 - 1 downto 0);
...@@ -209,6 +214,13 @@ begin ...@@ -209,6 +214,13 @@ begin
mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 1, c_nof_clk_per_sync, tb_clk); -- nof_block_per_sync mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 1, c_nof_clk_per_sync, tb_clk); -- nof_block_per_sync
mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 0, 16#00000001#, tb_clk); -- Enable BSN immediately mmf_mm_bus_wr(c_mm_file_reg_bsn_source_v2, 0, 16#00000001#, tb_clk); -- Enable BSN immediately
----------------------------------------------------------------------------
-- Read weighted subband selector
----------------------------------------------------------------------------
mmf_mm_bus_rd(c_mm_file_reg_dp_selector, 0, rd_data, tb_clk);
proc_common_wait_some_cycles(tb_clk, 1);
sst_offload_weighted_subbands <= not rd_data(0);
---------------------------------------------------------------------------- ----------------------------------------------------------------------------
-- Offload enable -- Offload enable
---------------------------------------------------------------------------- ----------------------------------------------------------------------------
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment