Skip to content
Snippets Groups Projects
Commit 1dc76b73 authored by Pieter Donker's avatar Pieter Donker
Browse files

Revert "Merge branch 'RTSD-82' into 'master'"

This reverts commit ef19a04f, reversing
changes made to 4a55fb28.
parent ef19a04f
No related branches found
No related tags found
No related merge requests found
Pipeline #55850 passed
Showing
with 3329 additions and 3331 deletions
...@@ -153,13 +153,11 @@ architecture str of lofar2_unb2b_ring is ...@@ -153,13 +153,11 @@ architecture str of lofar2_unb2b_ring is
constant c_addr_w_reg_dp_block_validate_bsn_at_sync : natural := ceil_log2(3); constant c_addr_w_reg_dp_block_validate_bsn_at_sync : natural := ceil_log2(3);
constant c_reg_ring_input_select : t_c_mem := ( constant c_reg_ring_input_select : t_c_mem := (latency => 1,
latency => 1,
adr_w => ceil_log2(c_nof_lanes), adr_w => ceil_log2(c_nof_lanes),
dat_w => 1, dat_w => 1,
nof_dat => c_nof_lanes, nof_dat => c_nof_lanes,
init_sl => '0' init_sl => '0'); -- default use lane input = 0, 1 = local input.
); -- default use lane input = 0, 1 = local input.
signal gn_index : natural; signal gn_index : natural;
signal this_rn : std_logic_vector(c_byte_w - 1 downto 0); signal this_rn : std_logic_vector(c_byte_w - 1 downto 0);
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment