Skip to content

RTSD-181: Continuation of porting RAM for Intel Agilex 7

David Brouwer requested to merge RTSD-181 into master

Added severity error to tech_memory_ram_crw_crw.vhd and clearified 'issues' in different common_(paged_)ram* files.

Closes RTSD-181

Merge request reports