Skip to content

RTSD-156: vhdl_style_fix space before semicolon and vsg indent

Pieter Donker requested to merge RTSD-156 into master

Closes RTSD-156

Merge request reports