Skip to content

Some clean up of sdp_station.vhd and fifo fill eop usage

Eric Kooistra requested to merge L2SDP-776 into master

Use c_nof_beamlets_per_data = c_sdp_cep_nof_beamlets_per_longword = 2 dual pol beamlets per 64b data word.

Closes L2SDP-776

Merge request reports