diff --git a/applications/compaan/designs/compaan_io_test_bn/src/vhdl/mmm_compaan_io_test_bn.vhd b/applications/compaan/designs/compaan_io_test_bn/src/vhdl/mmm_compaan_io_test_bn.vhd index 1e98b2b558e743a7fa6363052d3efc98be3f895f..72e75e2a7ad43f7c9c9846fe3cacd8de01d3c50b 100644 --- a/applications/compaan/designs/compaan_io_test_bn/src/vhdl/mmm_compaan_io_test_bn.vhd +++ b/applications/compaan/designs/compaan_io_test_bn/src/vhdl/mmm_compaan_io_test_bn.vhd @@ -26,65 +26,77 @@ -- g_sim_node_nr => g_sim_node_nr -- ) -- PORT MAP( --- mm_clk => mm_clk, --- mm_rst => mm_rst, --- pout_wdi => pout_wdi, --- reg_wdi_mosi => reg_wdi_mosi, --- reg_wdi_miso => reg_wdi_miso, --- reg_unb_system_info_mosi => reg_unb_system_info_mosi, --- reg_unb_system_info_miso => reg_unb_system_info_miso, --- rom_unb_system_info_mosi => rom_unb_system_info_mosi, --- rom_unb_system_info_miso => rom_unb_system_info_miso, --- reg_unb_sens_mosi => reg_unb_sens_mosi, --- reg_unb_sens_miso => reg_unb_sens_miso, --- reg_ppsh_mosi => reg_ppsh_mosi, --- reg_ppsh_miso => reg_ppsh_miso, --- eth1g_mm_rst => eth1g_mm_rst, --- eth1g_reg_interrupt => eth1g_reg_interrupt, --- eth1g_ram_mosi => eth1g_ram_mosi, --- eth1g_ram_miso => eth1g_ram_miso, --- eth1g_reg_mosi => eth1g_reg_mosi, --- eth1g_reg_miso => eth1g_reg_miso, --- eth1g_tse_mosi => eth1g_tse_mosi, --- eth1g_tse_miso => eth1g_tse_miso, --- reg_epcs_mosi => reg_epcs_mosi, --- reg_epcs_miso => reg_epcs_miso, --- reg_remu_mosi => reg_remu_mosi, --- reg_remu_miso => reg_remu_miso, --- reg_diagnostics_mosi => reg_diagnostics_mosi, --- reg_diagnostics_miso => reg_diagnostics_miso, --- reg_tr_nonbonded_mosi => reg_tr_nonbonded_mosi, --- reg_tr_nonbonded_miso => reg_tr_nonbonded_miso, --- reg_compaan_mosi => reg_compaan_mosi, --- reg_compaan_miso => reg_compaan_miso +-- mm_clk => mm_clk, +-- mm_rst => mm_rst, +-- pout_wdi => pout_wdi, +-- reg_wdi_mosi => reg_wdi_mosi, +-- reg_wdi_miso => reg_wdi_miso, +-- reg_unb_system_info_mosi => reg_unb_system_info_mosi, +-- reg_unb_system_info_miso => reg_unb_system_info_miso, +-- rom_unb_system_info_mosi => rom_unb_system_info_mosi, +-- rom_unb_system_info_miso => rom_unb_system_info_miso, +-- reg_unb_sens_mosi => reg_unb_sens_mosi, +-- reg_unb_sens_miso => reg_unb_sens_miso, +-- reg_ppsh_mosi => reg_ppsh_mosi, +-- reg_ppsh_miso => reg_ppsh_miso, +-- eth1g_mm_rst => eth1g_mm_rst, +-- eth1g_reg_interrupt => eth1g_reg_interrupt, +-- eth1g_ram_mosi => eth1g_ram_mosi, +-- eth1g_ram_miso => eth1g_ram_miso, +-- eth1g_reg_mosi => eth1g_reg_mosi, +-- eth1g_reg_miso => eth1g_reg_miso, +-- eth1g_tse_mosi => eth1g_tse_mosi, +-- eth1g_tse_miso => eth1g_tse_miso, +-- reg_epcs_mosi => reg_epcs_mosi, +-- reg_epcs_miso => reg_epcs_miso, +-- reg_remu_mosi => reg_remu_mosi, +-- reg_remu_miso => reg_remu_miso, +-- reg_diag_data_buffer_mosi => reg_diag_data_buffer_mosi, +-- reg_diag_data_buffer_miso => reg_diag_data_buffer_miso, +-- ram_diag_data_buffer_mosi => ram_diag_data_buffer_mosi, +-- ram_diag_data_buffer_miso => ram_diag_data_buffer_miso, +-- reg_bsn_monitor_mosi => reg_bsn_monitor_mosi, +-- reg_bsn_monitor_miso => reg_bsn_monitor_miso, +-- reg_diagnostics_mosi => reg_diagnostics_mosi, +-- reg_diagnostics_miso => reg_diagnostics_miso, +-- reg_tr_nonbonded_mosi => reg_tr_nonbonded_mosi, +-- reg_tr_nonbonded_miso => reg_tr_nonbonded_miso, +-- reg_compaan_mosi => reg_compaan_mosi, +-- reg_compaan_miso => reg_compaan_miso -- ); -- --- SIGNAL reg_wdi_mosi : t_mem_mosi; --- SIGNAL reg_wdi_miso : t_mem_miso; --- SIGNAL reg_unb_system_info_mosi : t_mem_mosi; --- SIGNAL reg_unb_system_info_miso : t_mem_miso; --- SIGNAL rom_unb_system_info_mosi : t_mem_mosi; --- SIGNAL rom_unb_system_info_miso : t_mem_miso; --- SIGNAL reg_unb_sens_mosi : t_mem_mosi; --- SIGNAL reg_unb_sens_miso : t_mem_miso; --- SIGNAL reg_ppsh_mosi : t_mem_mosi; --- SIGNAL reg_ppsh_miso : t_mem_miso; --- SIGNAL eth1g_ram_mosi : t_mem_mosi; --- SIGNAL eth1g_ram_miso : t_mem_miso; --- SIGNAL eth1g_reg_mosi : t_mem_mosi; --- SIGNAL eth1g_reg_miso : t_mem_miso; --- SIGNAL eth1g_tse_mosi : t_mem_mosi; --- SIGNAL eth1g_tse_miso : t_mem_miso; --- SIGNAL reg_epcs_mosi : t_mem_mosi; --- SIGNAL reg_epcs_miso : t_mem_miso; --- SIGNAL reg_remu_mosi : t_mem_mosi; --- SIGNAL reg_remu_miso : t_mem_miso; --- SIGNAL reg_diagnostics_mosi : t_mem_mosi; --- SIGNAL reg_diagnostics_miso : t_mem_miso; --- SIGNAL reg_tr_nonbonded_mosi : t_mem_mosi; --- SIGNAL reg_tr_nonbonded_miso : t_mem_miso; --- SIGNAL reg_compaan_mosi : t_mem_mosi; --- SIGNAL reg_compaan_miso : t_mem_miso; +-- SIGNAL reg_wdi_mosi : t_mem_mosi; +-- SIGNAL reg_wdi_miso : t_mem_miso; +-- SIGNAL reg_unb_system_info_mosi : t_mem_mosi; +-- SIGNAL reg_unb_system_info_miso : t_mem_miso; +-- SIGNAL rom_unb_system_info_mosi : t_mem_mosi; +-- SIGNAL rom_unb_system_info_miso : t_mem_miso; +-- SIGNAL reg_unb_sens_mosi : t_mem_mosi; +-- SIGNAL reg_unb_sens_miso : t_mem_miso; +-- SIGNAL reg_ppsh_mosi : t_mem_mosi; +-- SIGNAL reg_ppsh_miso : t_mem_miso; +-- SIGNAL eth1g_ram_mosi : t_mem_mosi; +-- SIGNAL eth1g_ram_miso : t_mem_miso; +-- SIGNAL eth1g_reg_mosi : t_mem_mosi; +-- SIGNAL eth1g_reg_miso : t_mem_miso; +-- SIGNAL eth1g_tse_mosi : t_mem_mosi; +-- SIGNAL eth1g_tse_miso : t_mem_miso; +-- SIGNAL reg_epcs_mosi : t_mem_mosi; +-- SIGNAL reg_epcs_miso : t_mem_miso; +-- SIGNAL reg_remu_mosi : t_mem_mosi; +-- SIGNAL reg_remu_miso : t_mem_miso; +-- SIGNAL reg_diag_data_buffer_mosi : t_mem_mosi; +-- SIGNAL reg_diag_data_buffer_miso : t_mem_miso; +-- SIGNAL ram_diag_data_buffer_mosi : t_mem_mosi; +-- SIGNAL ram_diag_data_buffer_miso : t_mem_miso; +-- SIGNAL reg_bsn_monitor_mosi : t_mem_mosi; +-- SIGNAL reg_bsn_monitor_miso : t_mem_miso; +-- SIGNAL reg_diagnostics_mosi : t_mem_mosi; +-- SIGNAL reg_diagnostics_miso : t_mem_miso; +-- SIGNAL reg_tr_nonbonded_mosi : t_mem_mosi; +-- SIGNAL reg_tr_nonbonded_miso : t_mem_miso; +-- SIGNAL reg_compaan_mosi : t_mem_mosi; +-- SIGNAL reg_compaan_miso : t_mem_miso; -- LIBRARY IEEE, common_lib, unb1_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib; USE IEEE.STD_LOGIC_1164.ALL; @@ -112,37 +124,43 @@ ENTITY mmm_compaan_io_test_bn IS g_sim_node_nr : NATURAL := 0 ); PORT ( - mm_clk : IN STD_LOGIC := '1'; - mm_rst : IN STD_LOGIC := '1'; - pout_wdi : OUT STD_LOGIC := '1'; - reg_wdi_mosi : OUT t_mem_mosi; - reg_wdi_miso : IN t_mem_miso := c_mem_miso_rst; - reg_unb_system_info_mosi : OUT t_mem_mosi; - reg_unb_system_info_miso : IN t_mem_miso := c_mem_miso_rst; - rom_unb_system_info_mosi : OUT t_mem_mosi; - rom_unb_system_info_miso : IN t_mem_miso := c_mem_miso_rst; - reg_unb_sens_mosi : OUT t_mem_mosi; - reg_unb_sens_miso : IN t_mem_miso := c_mem_miso_rst; - reg_ppsh_mosi : OUT t_mem_mosi; - reg_ppsh_miso : IN t_mem_miso := c_mem_miso_rst; - eth1g_mm_rst : OUT STD_LOGIC; - eth1g_reg_interrupt : IN STD_LOGIC; - eth1g_ram_mosi : OUT t_mem_mosi; - eth1g_ram_miso : IN t_mem_miso := c_mem_miso_rst; - eth1g_reg_mosi : OUT t_mem_mosi; - eth1g_reg_miso : IN t_mem_miso := c_mem_miso_rst; - eth1g_tse_mosi : OUT t_mem_mosi; - eth1g_tse_miso : IN t_mem_miso := c_mem_miso_rst; - reg_epcs_mosi : OUT t_mem_mosi; - reg_epcs_miso : IN t_mem_miso := c_mem_miso_rst; - reg_remu_mosi : OUT t_mem_mosi; - reg_remu_miso : IN t_mem_miso := c_mem_miso_rst; - reg_diagnostics_mosi : OUT t_mem_mosi; - reg_diagnostics_miso : IN t_mem_miso := c_mem_miso_rst; - reg_tr_nonbonded_mosi : OUT t_mem_mosi; - reg_tr_nonbonded_miso : IN t_mem_miso := c_mem_miso_rst; - reg_compaan_mosi : OUT t_mem_mosi; - reg_compaan_miso : IN t_mem_miso := c_mem_miso_rst + mm_clk : IN STD_LOGIC := '1'; + mm_rst : IN STD_LOGIC := '1'; + pout_wdi : OUT STD_LOGIC := '1'; + reg_wdi_mosi : OUT t_mem_mosi; + reg_wdi_miso : IN t_mem_miso := c_mem_miso_rst; + reg_unb_system_info_mosi : OUT t_mem_mosi; + reg_unb_system_info_miso : IN t_mem_miso := c_mem_miso_rst; + rom_unb_system_info_mosi : OUT t_mem_mosi; + rom_unb_system_info_miso : IN t_mem_miso := c_mem_miso_rst; + reg_unb_sens_mosi : OUT t_mem_mosi; + reg_unb_sens_miso : IN t_mem_miso := c_mem_miso_rst; + reg_ppsh_mosi : OUT t_mem_mosi; + reg_ppsh_miso : IN t_mem_miso := c_mem_miso_rst; + eth1g_mm_rst : OUT STD_LOGIC; + eth1g_reg_interrupt : IN STD_LOGIC; + eth1g_ram_mosi : OUT t_mem_mosi; + eth1g_ram_miso : IN t_mem_miso := c_mem_miso_rst; + eth1g_reg_mosi : OUT t_mem_mosi; + eth1g_reg_miso : IN t_mem_miso := c_mem_miso_rst; + eth1g_tse_mosi : OUT t_mem_mosi; + eth1g_tse_miso : IN t_mem_miso := c_mem_miso_rst; + reg_epcs_mosi : OUT t_mem_mosi; + reg_epcs_miso : IN t_mem_miso := c_mem_miso_rst; + reg_remu_mosi : OUT t_mem_mosi; + reg_remu_miso : IN t_mem_miso := c_mem_miso_rst; + reg_diag_data_buffer_mosi : OUT t_mem_mosi; + reg_diag_data_buffer_miso : IN t_mem_miso := c_mem_miso_rst; + ram_diag_data_buffer_mosi : OUT t_mem_mosi; + ram_diag_data_buffer_miso : IN t_mem_miso := c_mem_miso_rst; + reg_bsn_monitor_mosi : OUT t_mem_mosi; + reg_bsn_monitor_miso : IN t_mem_miso := c_mem_miso_rst; + reg_diagnostics_mosi : OUT t_mem_mosi; + reg_diagnostics_miso : IN t_mem_miso := c_mem_miso_rst; + reg_tr_nonbonded_mosi : OUT t_mem_mosi; + reg_tr_nonbonded_miso : IN t_mem_miso := c_mem_miso_rst; + reg_compaan_mosi : OUT t_mem_mosi; + reg_compaan_miso : IN t_mem_miso := c_mem_miso_rst ); END ENTITY mmm_compaan_io_test_bn; @@ -177,85 +195,106 @@ ARCHITECTURE str OF mmm_compaan_io_test_bn IS COMPONENT qsys_compaan_io_test_bn IS PORT ( - reg_tr_nonbonded_writedata_export : out std_logic_vector(31 downto 0); - reg_tr_nonbonded_write_export : out std_logic; - pio_pps_write_export : out std_logic; - rom_system_info_write_export : out std_logic; - eth1g_reg_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - rom_system_info_read_export : out std_logic; - reg_diagnostics_address_export : out std_logic_vector(5 downto 0); - reg_diagnostics_write_export : out std_logic; - reset_in_reset_n : in std_logic := '0'; - reg_tr_nonbonded_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - pio_system_info_address_export : out std_logic_vector(4 downto 0); - pio_pps_address_export : out std_logic; - pio_pps_reset_export : out std_logic; - eth1g_tse_writedata_export : out std_logic_vector(31 downto 0); - eth1g_tse_read_export : out std_logic; - reg_unb_sens_writedata_export : out std_logic_vector(31 downto 0); - eth1g_ram_writedata_export : out std_logic_vector(31 downto 0); - reg_compaan_address_export : out std_logic_vector(18 downto 0); - reg_tr_nonbonded_read_export : out std_logic; - eth1g_ram_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - out_port_from_the_pio_debug_wave : out std_logic_vector(31 downto 0); - pio_pps_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - eth1g_ram_address_export : out std_logic_vector(9 downto 0); - reg_wdi_writedata_export : out std_logic_vector(31 downto 0); - pio_system_info_reset_export : out std_logic; - pio_system_info_read_export : out std_logic; - eth1g_reg_writedata_export : out std_logic_vector(31 downto 0); - pio_system_info_writedata_export : out std_logic_vector(31 downto 0); - reg_wdi_clk_export : out std_logic; - reg_unb_sens_reset_export : out std_logic; - eth1g_tse_address_export : out std_logic_vector(9 downto 0); - clk_in_clk : in std_logic := '0'; - reg_wdi_reset_export : out std_logic; - reg_diagnostics_read_export : out std_logic; - eth1g_mm_rst_export : out std_logic; - rom_system_info_clk_export : out std_logic; - reg_unb_sens_read_export : out std_logic; - reg_compaan_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - reg_unb_sens_write_export : out std_logic; - reg_compaan_read_export : out std_logic; - reg_diagnostics_reset_export : out std_logic; - eth1g_tse_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - eth1g_ram_write_export : out std_logic; - reg_diagnostics_writedata_export : out std_logic_vector(31 downto 0); - out_port_from_the_pio_wdi : out std_logic; - reg_tr_nonbonded_clk_export : out std_logic; - reg_unb_sens_clk_export : out std_logic; - reg_compaan_writedata_export : out std_logic_vector(31 downto 0); - eth1g_reg_write_export : out std_logic; - reg_compaan_reset_export : out std_logic; - reg_wdi_read_export : out std_logic; - eth1g_ram_read_export : out std_logic; - rom_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - eth1g_reg_read_export : out std_logic; - reg_wdi_write_export : out std_logic; - reg_wdi_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - reg_compaan_write_export : out std_logic; - pio_pps_read_export : out std_logic; - pio_system_info_clk_export : out std_logic; - pio_pps_writedata_export : out std_logic_vector(31 downto 0); - eth1g_tse_waitrequest_export : in std_logic := '0'; - eth1g_tse_write_export : out std_logic; - reg_unb_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - pio_pps_clk_export : out std_logic; - eth1g_mm_clk_export : out std_logic; - eth1g_reg_address_export : out std_logic_vector(3 downto 0); - rom_system_info_reset_export : out std_logic; - pio_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - reg_unb_sens_address_export : out std_logic_vector(2 downto 0); - rom_system_info_address_export : out std_logic_vector(9 downto 0); - rom_system_info_writedata_export : out std_logic_vector(31 downto 0); - reg_compaan_clk_export : out std_logic; - eth1g_irq_export : in std_logic := '0'; - reg_tr_nonbonded_reset_export : out std_logic; - reg_diagnostics_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - reg_tr_nonbonded_address_export : out std_logic_vector(3 downto 0); - reg_wdi_address_export : out std_logic; - reg_diagnostics_clk_export : out std_logic; - pio_system_info_write_export : out std_logic + eth1g_reg_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_diagnostics_write_export : out std_logic; + reset_in_reset_n : in std_logic := '0'; + pio_system_info_address_export : out std_logic_vector(4 downto 0); + pio_pps_address_export : out std_logic; + pio_pps_reset_export : out std_logic; + eth1g_tse_writedata_export : out std_logic_vector(31 downto 0); + eth1g_ram_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + ram_diag_data_buffer_reset_export : out std_logic; + eth1g_ram_address_export : out std_logic_vector(9 downto 0); + pio_pps_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_diag_data_buffer_read_export : out std_logic; + pio_system_info_writedata_export : out std_logic_vector(31 downto 0); + eth1g_reg_writedata_export : out std_logic_vector(31 downto 0); + reg_unb_sens_reset_export : out std_logic; + eth1g_tse_address_export : out std_logic_vector(9 downto 0); + reg_wdi_reset_export : out std_logic; + clk_in_clk : in std_logic := '0'; + ram_diag_data_buffer_writedata_export : out std_logic_vector(31 downto 0); + rom_system_info_clk_export : out std_logic; + reg_unb_sens_read_export : out std_logic; + reg_compaan_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_unb_sens_write_export : out std_logic; + eth1g_tse_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + eth1g_ram_write_export : out std_logic; + reg_diagnostics_writedata_export : out std_logic_vector(31 downto 0); + ram_diag_data_buffer_clk_export : out std_logic; + reg_tr_nonbonded_clk_export : out std_logic; + reg_unb_sens_clk_export : out std_logic; + reg_compaan_writedata_export : out std_logic_vector(31 downto 0); + reg_diag_data_buffer_reset_export : out std_logic; + eth1g_ram_read_export : out std_logic; + reg_wdi_read_export : out std_logic; + eth1g_reg_read_export : out std_logic; + reg_bsn_monitor_reset_export : out std_logic; + eth1g_tse_write_export : out std_logic; + reg_unb_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_bsn_monitor_write_export : out std_logic; + pio_pps_clk_export : out std_logic; + eth1g_reg_address_export : out std_logic_vector(3 downto 0); + pio_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_diag_data_buffer_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + rom_system_info_writedata_export : out std_logic_vector(31 downto 0); + reg_compaan_clk_export : out std_logic; + reg_diag_data_buffer_address_export : out std_logic_vector(4 downto 0); + reg_tr_nonbonded_reset_export : out std_logic; + reg_bsn_monitor_read_export : out std_logic; + reg_tr_nonbonded_address_export : out std_logic_vector(3 downto 0); + reg_wdi_address_export : out std_logic; + pio_system_info_write_export : out std_logic; + pio_pps_write_export : out std_logic; + reg_tr_nonbonded_write_export : out std_logic; + reg_tr_nonbonded_writedata_export : out std_logic_vector(31 downto 0); + rom_system_info_write_export : out std_logic; + rom_system_info_read_export : out std_logic; + reg_diagnostics_address_export : out std_logic_vector(5 downto 0); + reg_diag_data_buffer_writedata_export : out std_logic_vector(31 downto 0); + ram_diag_data_buffer_read_export : out std_logic; + reg_tr_nonbonded_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + eth1g_tse_read_export : out std_logic; + reg_unb_sens_writedata_export : out std_logic_vector(31 downto 0); + eth1g_ram_writedata_export : out std_logic_vector(31 downto 0); + reg_compaan_address_export : out std_logic_vector(18 downto 0); + reg_tr_nonbonded_read_export : out std_logic; + out_port_from_the_pio_debug_wave : out std_logic_vector(31 downto 0); + reg_wdi_writedata_export : out std_logic_vector(31 downto 0); + pio_system_info_reset_export : out std_logic; + reg_bsn_monitor_writedata_export : out std_logic_vector(31 downto 0); + pio_system_info_read_export : out std_logic; + reg_bsn_monitor_address_export : out std_logic_vector(6 downto 0); + reg_wdi_clk_export : out std_logic; + eth1g_mm_rst_export : out std_logic; + reg_diagnostics_read_export : out std_logic; + reg_compaan_read_export : out std_logic; + reg_diagnostics_reset_export : out std_logic; + out_port_from_the_pio_wdi : out std_logic; + reg_bsn_monitor_clk_export : out std_logic; + eth1g_reg_write_export : out std_logic; + reg_compaan_reset_export : out std_logic; + ram_diag_data_buffer_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + rom_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_wdi_write_export : out std_logic; + reg_wdi_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_compaan_write_export : out std_logic; + pio_pps_read_export : out std_logic; + pio_system_info_clk_export : out std_logic; + pio_pps_writedata_export : out std_logic_vector(31 downto 0); + eth1g_tse_waitrequest_export : in std_logic := '0'; + reg_bsn_monitor_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + eth1g_mm_clk_export : out std_logic; + reg_diag_data_buffer_write_export : out std_logic; + rom_system_info_reset_export : out std_logic; + ram_diag_data_buffer_write_export : out std_logic; + reg_unb_sens_address_export : out std_logic_vector(2 downto 0); + rom_system_info_address_export : out std_logic_vector(9 downto 0); + eth1g_irq_export : in std_logic := '0'; + reg_diagnostics_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_diag_data_buffer_clk_export : out std_logic; + reg_diagnostics_clk_export : out std_logic; + ram_diag_data_buffer_address_export : out std_logic_vector(13 downto 0) ); END COMPONENT qsys_compaan_io_test_bn; @@ -286,6 +325,12 @@ BEGIN PORT MAP(mm_rst, mm_clk, reg_epcs_mosi, reg_epcs_miso ); u_mm_file_reg_remu : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_REMU") PORT MAP(mm_rst, mm_clk, reg_remu_mosi, reg_remu_miso ); + u_mm_file_reg_diag_data_buffer : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER") + PORT MAP(mm_rst, mm_clk, reg_diag_data_buffer_mosi, reg_diag_data_buffer_miso ); + u_mm_file_ram_diag_data_buffer : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER") + PORT MAP(mm_rst, mm_clk, ram_diag_data_buffer_mosi, ram_diag_data_buffer_miso ); + u_mm_file_reg_bsn_monitor : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR") + PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_mosi, reg_bsn_monitor_miso ); u_mm_file_reg_diagnostics : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAGNOSTICS") PORT MAP(mm_rst, mm_clk, reg_diagnostics_mosi, reg_diagnostics_miso ); u_mm_file_reg_tr_nonbonded : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_NONBONDED") @@ -334,85 +379,106 @@ BEGIN u_qsys_compaan_io_test_bn : qsys_compaan_io_test_bn PORT MAP( - clk_in_clk => mm_clk, - eth1g_irq_export => eth1g_reg_interrupt, - eth1g_mm_clk_export => OPEN, - eth1g_mm_rst_export => eth1g_mm_rst, - eth1g_ram_address_export => eth1g_ram_mosi.address(9 DOWNTO 0), - eth1g_ram_read_export => eth1g_ram_mosi.rd, - eth1g_ram_readdata_export => eth1g_ram_miso.rddata(c_word_w-1 DOWNTO 0), - eth1g_ram_write_export => eth1g_ram_mosi.wr, - eth1g_ram_writedata_export => eth1g_ram_mosi.wrdata(c_word_w-1 DOWNTO 0), - eth1g_reg_address_export => eth1g_reg_mosi.address(3 DOWNTO 0), - eth1g_reg_read_export => eth1g_reg_mosi.rd, - eth1g_reg_readdata_export => eth1g_reg_miso.rddata(c_word_w-1 DOWNTO 0), - eth1g_reg_write_export => eth1g_reg_mosi.wr, - eth1g_reg_writedata_export => eth1g_reg_mosi.wrdata(c_word_w-1 DOWNTO 0), - eth1g_tse_address_export => eth1g_tse_mosi.address(9 DOWNTO 0), - eth1g_tse_read_export => eth1g_tse_mosi.rd, - eth1g_tse_readdata_export => eth1g_tse_miso.rddata(c_word_w-1 DOWNTO 0), - eth1g_tse_waitrequest_export => eth1g_tse_miso.waitrequest, - eth1g_tse_write_export => eth1g_tse_mosi.wr, - eth1g_tse_writedata_export => eth1g_tse_mosi.wrdata(c_word_w-1 DOWNTO 0), - out_port_from_the_pio_debug_wave => OPEN, - out_port_from_the_pio_wdi => pout_wdi, - pio_pps_address_export => reg_ppsh_mosi.address(0), - pio_pps_clk_export => OPEN, - pio_pps_read_export => reg_ppsh_mosi.rd, - pio_pps_readdata_export => reg_ppsh_miso.rddata(c_word_w-1 DOWNTO 0), - pio_pps_reset_export => OPEN, - pio_pps_write_export => reg_ppsh_mosi.wr, - pio_pps_writedata_export => reg_ppsh_mosi.wrdata(c_word_w-1 DOWNTO 0), - pio_system_info_address_export => reg_unb_system_info_mosi.address(4 DOWNTO 0), - pio_system_info_clk_export => OPEN, - pio_system_info_read_export => reg_unb_system_info_mosi.rd, - pio_system_info_readdata_export => reg_unb_system_info_miso.rddata(c_word_w-1 DOWNTO 0), - pio_system_info_reset_export => OPEN, - pio_system_info_write_export => reg_unb_system_info_mosi.wr, - pio_system_info_writedata_export => reg_unb_system_info_mosi.wrdata(c_word_w-1 DOWNTO 0), - reg_compaan_address_export => reg_compaan_mosi.address(18 DOWNTO 0), - reg_compaan_clk_export => OPEN, - reg_compaan_read_export => reg_compaan_mosi.rd, - reg_compaan_readdata_export => reg_compaan_miso.rddata(c_word_w-1 DOWNTO 0), - reg_compaan_reset_export => OPEN, - reg_compaan_write_export => reg_compaan_mosi.wr, - reg_compaan_writedata_export => reg_compaan_mosi.wrdata(c_word_w-1 DOWNTO 0), - reg_diagnostics_address_export => reg_diagnostics_mosi.address(5 DOWNTO 0), - reg_diagnostics_clk_export => OPEN, - reg_diagnostics_read_export => reg_diagnostics_mosi.rd, - reg_diagnostics_readdata_export => reg_diagnostics_miso.rddata(c_word_w-1 DOWNTO 0), - reg_diagnostics_reset_export => OPEN, - reg_diagnostics_write_export => reg_diagnostics_mosi.wr, - reg_diagnostics_writedata_export => reg_diagnostics_mosi.wrdata(c_word_w-1 DOWNTO 0), - reg_tr_nonbonded_address_export => reg_tr_nonbonded_mosi.address(3 DOWNTO 0), - reg_tr_nonbonded_clk_export => OPEN, - reg_tr_nonbonded_read_export => reg_tr_nonbonded_mosi.rd, - reg_tr_nonbonded_readdata_export => reg_tr_nonbonded_miso.rddata(c_word_w-1 DOWNTO 0), - reg_tr_nonbonded_reset_export => OPEN, - reg_tr_nonbonded_write_export => reg_tr_nonbonded_mosi.wr, - reg_tr_nonbonded_writedata_export => reg_tr_nonbonded_mosi.wrdata(c_word_w-1 DOWNTO 0), - reg_unb_sens_address_export => reg_unb_sens_mosi.address(2 DOWNTO 0), - reg_unb_sens_clk_export => OPEN, - reg_unb_sens_read_export => reg_unb_sens_mosi.rd, - reg_unb_sens_readdata_export => reg_unb_sens_miso.rddata(c_word_w-1 DOWNTO 0), - reg_unb_sens_reset_export => OPEN, - reg_unb_sens_write_export => reg_unb_sens_mosi.wr, - reg_unb_sens_writedata_export => reg_unb_sens_mosi.wrdata(c_word_w-1 DOWNTO 0), - reg_wdi_address_export => reg_wdi_mosi.address(0), - reg_wdi_clk_export => OPEN, - reg_wdi_read_export => reg_wdi_mosi.rd, - reg_wdi_readdata_export => reg_wdi_miso.rddata(c_word_w-1 DOWNTO 0), - reg_wdi_reset_export => OPEN, - reg_wdi_write_export => reg_wdi_mosi.wr, - reg_wdi_writedata_export => reg_wdi_mosi.wrdata(c_word_w-1 DOWNTO 0), - reset_in_reset_n => mm_rst_n, - rom_system_info_address_export => rom_unb_system_info_mosi.address(9 DOWNTO 0), - rom_system_info_clk_export => OPEN, - rom_system_info_read_export => rom_unb_system_info_mosi.rd, - rom_system_info_readdata_export => rom_unb_system_info_miso.rddata(c_word_w-1 DOWNTO 0), - rom_system_info_reset_export => OPEN, - rom_system_info_write_export => rom_unb_system_info_mosi.wr, - rom_system_info_writedata_export => rom_unb_system_info_mosi.wrdata(c_word_w-1 DOWNTO 0) + clk_in_clk => mm_clk, + eth1g_irq_export => eth1g_reg_interrupt, + eth1g_mm_clk_export => OPEN, + eth1g_mm_rst_export => eth1g_mm_rst, + eth1g_ram_address_export => eth1g_ram_mosi.address(9 DOWNTO 0), + eth1g_ram_read_export => eth1g_ram_mosi.rd, + eth1g_ram_readdata_export => eth1g_ram_miso.rddata(c_word_w-1 DOWNTO 0), + eth1g_ram_write_export => eth1g_ram_mosi.wr, + eth1g_ram_writedata_export => eth1g_ram_mosi.wrdata(c_word_w-1 DOWNTO 0), + eth1g_reg_address_export => eth1g_reg_mosi.address(3 DOWNTO 0), + eth1g_reg_read_export => eth1g_reg_mosi.rd, + eth1g_reg_readdata_export => eth1g_reg_miso.rddata(c_word_w-1 DOWNTO 0), + eth1g_reg_write_export => eth1g_reg_mosi.wr, + eth1g_reg_writedata_export => eth1g_reg_mosi.wrdata(c_word_w-1 DOWNTO 0), + eth1g_tse_address_export => eth1g_tse_mosi.address(9 DOWNTO 0), + eth1g_tse_read_export => eth1g_tse_mosi.rd, + eth1g_tse_readdata_export => eth1g_tse_miso.rddata(c_word_w-1 DOWNTO 0), + eth1g_tse_waitrequest_export => eth1g_tse_miso.waitrequest, + eth1g_tse_write_export => eth1g_tse_mosi.wr, + eth1g_tse_writedata_export => eth1g_tse_mosi.wrdata(c_word_w-1 DOWNTO 0), + out_port_from_the_pio_debug_wave => OPEN, + out_port_from_the_pio_wdi => pout_wdi, + pio_pps_address_export => reg_ppsh_mosi.address(0), + pio_pps_clk_export => OPEN, + pio_pps_read_export => reg_ppsh_mosi.rd, + pio_pps_readdata_export => reg_ppsh_miso.rddata(c_word_w-1 DOWNTO 0), + pio_pps_reset_export => OPEN, + pio_pps_write_export => reg_ppsh_mosi.wr, + pio_pps_writedata_export => reg_ppsh_mosi.wrdata(c_word_w-1 DOWNTO 0), + pio_system_info_address_export => reg_unb_system_info_mosi.address(4 DOWNTO 0), + pio_system_info_clk_export => OPEN, + pio_system_info_read_export => reg_unb_system_info_mosi.rd, + pio_system_info_readdata_export => reg_unb_system_info_miso.rddata(c_word_w-1 DOWNTO 0), + pio_system_info_reset_export => OPEN, + pio_system_info_write_export => reg_unb_system_info_mosi.wr, + pio_system_info_writedata_export => reg_unb_system_info_mosi.wrdata(c_word_w-1 DOWNTO 0), + ram_diag_data_buffer_address_export => ram_diag_data_buffer_mosi.address(13 DOWNTO 0), + ram_diag_data_buffer_clk_export => OPEN, + ram_diag_data_buffer_read_export => ram_diag_data_buffer_mosi.rd, + ram_diag_data_buffer_readdata_export => ram_diag_data_buffer_miso.rddata(c_word_w-1 DOWNTO 0), + ram_diag_data_buffer_reset_export => OPEN, + ram_diag_data_buffer_write_export => ram_diag_data_buffer_mosi.wr, + ram_diag_data_buffer_writedata_export => ram_diag_data_buffer_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_bsn_monitor_address_export => reg_bsn_monitor_mosi.address(6 DOWNTO 0), + reg_bsn_monitor_clk_export => OPEN, + reg_bsn_monitor_read_export => reg_bsn_monitor_mosi.rd, + reg_bsn_monitor_readdata_export => reg_bsn_monitor_miso.rddata(c_word_w-1 DOWNTO 0), + reg_bsn_monitor_reset_export => OPEN, + reg_bsn_monitor_write_export => reg_bsn_monitor_mosi.wr, + reg_bsn_monitor_writedata_export => reg_bsn_monitor_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_compaan_address_export => reg_compaan_mosi.address(18 DOWNTO 0), + reg_compaan_clk_export => OPEN, + reg_compaan_read_export => reg_compaan_mosi.rd, + reg_compaan_readdata_export => reg_compaan_miso.rddata(c_word_w-1 DOWNTO 0), + reg_compaan_reset_export => OPEN, + reg_compaan_write_export => reg_compaan_mosi.wr, + reg_compaan_writedata_export => reg_compaan_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diag_data_buffer_address_export => reg_diag_data_buffer_mosi.address(4 DOWNTO 0), + reg_diag_data_buffer_clk_export => OPEN, + reg_diag_data_buffer_read_export => reg_diag_data_buffer_mosi.rd, + reg_diag_data_buffer_readdata_export => reg_diag_data_buffer_miso.rddata(c_word_w-1 DOWNTO 0), + reg_diag_data_buffer_reset_export => OPEN, + reg_diag_data_buffer_write_export => reg_diag_data_buffer_mosi.wr, + reg_diag_data_buffer_writedata_export => reg_diag_data_buffer_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diagnostics_address_export => reg_diagnostics_mosi.address(5 DOWNTO 0), + reg_diagnostics_clk_export => OPEN, + reg_diagnostics_read_export => reg_diagnostics_mosi.rd, + reg_diagnostics_readdata_export => reg_diagnostics_miso.rddata(c_word_w-1 DOWNTO 0), + reg_diagnostics_reset_export => OPEN, + reg_diagnostics_write_export => reg_diagnostics_mosi.wr, + reg_diagnostics_writedata_export => reg_diagnostics_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_tr_nonbonded_address_export => reg_tr_nonbonded_mosi.address(3 DOWNTO 0), + reg_tr_nonbonded_clk_export => OPEN, + reg_tr_nonbonded_read_export => reg_tr_nonbonded_mosi.rd, + reg_tr_nonbonded_readdata_export => reg_tr_nonbonded_miso.rddata(c_word_w-1 DOWNTO 0), + reg_tr_nonbonded_reset_export => OPEN, + reg_tr_nonbonded_write_export => reg_tr_nonbonded_mosi.wr, + reg_tr_nonbonded_writedata_export => reg_tr_nonbonded_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_unb_sens_address_export => reg_unb_sens_mosi.address(2 DOWNTO 0), + reg_unb_sens_clk_export => OPEN, + reg_unb_sens_read_export => reg_unb_sens_mosi.rd, + reg_unb_sens_readdata_export => reg_unb_sens_miso.rddata(c_word_w-1 DOWNTO 0), + reg_unb_sens_reset_export => OPEN, + reg_unb_sens_write_export => reg_unb_sens_mosi.wr, + reg_unb_sens_writedata_export => reg_unb_sens_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_wdi_address_export => reg_wdi_mosi.address(0), + reg_wdi_clk_export => OPEN, + reg_wdi_read_export => reg_wdi_mosi.rd, + reg_wdi_readdata_export => reg_wdi_miso.rddata(c_word_w-1 DOWNTO 0), + reg_wdi_reset_export => OPEN, + reg_wdi_write_export => reg_wdi_mosi.wr, + reg_wdi_writedata_export => reg_wdi_mosi.wrdata(c_word_w-1 DOWNTO 0), + reset_in_reset_n => mm_rst_n, + rom_system_info_address_export => rom_unb_system_info_mosi.address(9 DOWNTO 0), + rom_system_info_clk_export => OPEN, + rom_system_info_read_export => rom_unb_system_info_mosi.rd, + rom_system_info_readdata_export => rom_unb_system_info_miso.rddata(c_word_w-1 DOWNTO 0), + rom_system_info_reset_export => OPEN, + rom_system_info_write_export => rom_unb_system_info_mosi.wr, + rom_system_info_writedata_export => rom_unb_system_info_mosi.wrdata(c_word_w-1 DOWNTO 0) ); END GENERATE;