diff --git a/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.fpga.yaml b/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.fpga.yaml index 4c3d100037965c843061c117c765da482d11f2f6..3b87d372e2899767bff5cf243cbd0e18521dfada 100644 --- a/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.fpga.yaml +++ b/applications/disturb2/designs/disturb2_unb2b_station/disturb2_unb2b_station.fpga.yaml @@ -21,6 +21,7 @@ parameters: - { name: c_N_taps, value: 16 } - { name: c_W_adc_jesd, value: 16 } - { name: c_W_adc, value: 14 } + - { name: c_V_oversample, value: 2 } - { name: c_V_sample_delay, value: 4096 } - { name: c_V_si_db_large, value: 131072 } - { name: c_V_si_db, value: 1024 } @@ -204,7 +205,8 @@ peripherals: - REG_SI - peripheral_name: filter/fil_ppf_w - number_of_peripherals: 2 # Disturb uses 2x oversample + number_of_peripherals: c_V_oversample # Disturb uses 2x oversample + peripheral_span: ceil_pow2(c_N_taps) * ceil_pow2(c_N_fft) * MM_BUS_SIZE # number_of_ports = ceil_pow2(c_N_taps), mm_port_span = ceil_pow2(c_N_fft) words parameter_overrides: - { name: g_fil_ppf.wb_factor, value: 1 } # process at sample rate (so no parallel wideband factor) - { name: g_fil_ppf.nof_chan, value: 0 } # process at sample rate (so no serial time multiplexing) @@ -217,7 +219,7 @@ peripherals: - peripheral_name: sdp/sdp_subband_equalizer parameter_overrides: - - { name: P_pfb, value: 12} # DISTURB uses 2x oversample so 2 X P_pfb + - { name: P_pfb, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_EQUALIZER_GAINS @@ -227,7 +229,7 @@ peripherals: - peripheral_name: st/st_sst_for_sdp parameter_overrides: - - { name: g_nof_instances, value: 12} # DISTURB uses 2x oversample so 2 X P_pfb + - { name: g_nof_instances, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_ST_SST diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml b/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml index 26b558380e9ab0e708215833ce0cd40961af3a32..1124ffd4312c183c8e2b2d48dfb916ed410d027a 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/lofar2_unb2b_sdp_station.fpga.yaml @@ -21,6 +21,7 @@ parameters: - { name: c_N_taps, value: 16 } - { name: c_W_adc_jesd, value: 16 } - { name: c_W_adc, value: 14 } + - { name: c_V_oversample, value: 2 } - { name: c_V_sample_delay, value: 4096 } - { name: c_V_si_db_large, value: 131072 } - { name: c_V_si_db, value: 1024 } @@ -202,6 +203,8 @@ peripherals: - REG_SI - peripheral_name: filter/fil_ppf_w + number_of_peripherals: c_V_oversample # Disturb uses 2x oversample + peripheral_span: ceil_pow2(c_N_taps) * ceil_pow2(c_N_fft) * MM_BUS_SIZE # number_of_ports = ceil_pow2(c_N_taps), mm_port_span = ceil_pow2(c_N_fft) words parameter_overrides: - { name: g_fil_ppf.wb_factor, value: 1 } # process at sample rate (so no parallel wideband factor) - { name: g_fil_ppf.nof_chan, value: 0 } # process at sample rate (so no serial time multiplexing) @@ -213,6 +216,8 @@ peripherals: - RAM_FIL_COEFS - peripheral_name: sdp/sdp_subband_equalizer + parameter_overrides: + - { name: P_pfb, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_EQUALIZER_GAINS @@ -221,6 +226,8 @@ peripherals: - REG_DP_SELECTOR # input_select = 0 for weighted subbands, input_select = 1 for raw subbands - peripheral_name: st/st_sst_for_sdp + parameter_overrides: + - { name: g_nof_instances, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_ST_SST @@ -402,7 +409,6 @@ peripherals: peripheral_group: ring_rx_bf number_of_peripherals: c_N_beamsets peripheral_span: 8 * MM_BUS_SIZE # number_of_ports = 1, mm_port_span = 8 words - parameter_overrides: - { name: g_nof_streams, value: 1 } mm_port_names: diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip index fbf1e8fd680cc4ff94fc06d857891e7fac4bb5bc..345943be8f39b4e11a090ec7faf4c248d54e1a9f 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip @@ -2218,7 +2218,7 @@ <spirit:parameter> <spirit:name>dataSlaveMapParam</spirit:name> <spirit:displayName>dataSlaveMapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x10C400' end='0x10C500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x10C500' end='0x10C600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C600' end='0x10C680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C680' end='0x10C700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C700' end='0x10C780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C800' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C840' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C880' end='0x10C8C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C8C0' end='0x10C900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C900' end='0x10C940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C940' end='0x10C980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C980' end='0x10C9C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C9C0' end='0x10CA00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10CA00' end='0x10CA20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10CA20' end='0x10CA40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10CA40' end='0x10CA60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10CA60' end='0x10CA80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10CA80' end='0x10CAA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10CAA0' end='0x10CAC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10CAC0' end='0x10CAE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10CAE0' end='0x10CB00' datawidth='32' /><slave name='reg_epcs.mem' start='0x10CB00' end='0x10CB20' datawidth='32' /><slave name='reg_remu.mem' start='0x10CB20' end='0x10CB40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10CB40' end='0x10CB50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10CB50' end='0x10CB60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10CB60' end='0x10CB70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10CB70' end='0x10CB80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10CB80' end='0x10CB90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10CB90' end='0x10CBA0' datawidth='32' /><slave name='pio_pps.mem' start='0x10CBA0' end='0x10CBB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10CBB0' end='0x10CBB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10CBB8' end='0x10CBC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10CBC0' end='0x10CBC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10CBC8' end='0x10CBD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10CBD0' end='0x10CBD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10CBD8' end='0x10CBE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10CBE0' end='0x10CBE8' datawidth='32' /><slave name='reg_si.mem' start='0x10CBE8' end='0x10CBF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10CBF0' end='0x10CBF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10CBF8' end='0x10CC00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CC00' end='0x10CC08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CC08' end='0x10CC10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CC10' end='0x10CC18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map>]]></spirit:value> + <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x134400' end='0x134500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x134500' end='0x134600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134600' end='0x134680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134680' end='0x134700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134700' end='0x134780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134800' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134840' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134880' end='0x1348C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1348C0' end='0x134900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134900' end='0x134940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134940' end='0x134980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134980' end='0x1349C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1349C0' end='0x134A00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134A00' end='0x134A20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134A20' end='0x134A40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134A40' end='0x134A60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134A60' end='0x134A80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134A80' end='0x134AA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x134AA0' end='0x134AC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x134AC0' end='0x134AE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x134AE0' end='0x134B00' datawidth='32' /><slave name='reg_epcs.mem' start='0x134B00' end='0x134B20' datawidth='32' /><slave name='reg_remu.mem' start='0x134B20' end='0x134B40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134B40' end='0x134B50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134B50' end='0x134B60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134B60' end='0x134B70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134B70' end='0x134B80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134B80' end='0x134B90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134B90' end='0x134BA0' datawidth='32' /><slave name='pio_pps.mem' start='0x134BA0' end='0x134BB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x134BB0' end='0x134BB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x134BB8' end='0x134BC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x134BC0' end='0x134BC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x134BC8' end='0x134BD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x134BD0' end='0x134BD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x134BD8' end='0x134BE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x134BE0' end='0x134BE8' datawidth='32' /><slave name='reg_si.mem' start='0x134BE8' end='0x134BF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x134BF0' end='0x134BF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x134BF8' end='0x134C00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134C00' end='0x134C08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134C08' end='0x134C10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134C10' end='0x134C18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map>]]></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>tightlyCoupledDataMaster0MapParam</spirit:name> @@ -3489,7 +3489,7 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x10C400' end='0x10C500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x10C500' end='0x10C600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C600' end='0x10C680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C680' end='0x10C700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C700' end='0x10C780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C800' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C840' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C880' end='0x10C8C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C8C0' end='0x10C900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C900' end='0x10C940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C940' end='0x10C980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C980' end='0x10C9C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C9C0' end='0x10CA00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10CA00' end='0x10CA20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10CA20' end='0x10CA40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10CA40' end='0x10CA60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10CA60' end='0x10CA80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10CA80' end='0x10CAA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10CAA0' end='0x10CAC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10CAC0' end='0x10CAE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10CAE0' end='0x10CB00' datawidth='32' /><slave name='reg_epcs.mem' start='0x10CB00' end='0x10CB20' datawidth='32' /><slave name='reg_remu.mem' start='0x10CB20' end='0x10CB40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10CB40' end='0x10CB50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10CB50' end='0x10CB60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10CB60' end='0x10CB70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10CB70' end='0x10CB80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10CB80' end='0x10CB90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10CB90' end='0x10CBA0' datawidth='32' /><slave name='pio_pps.mem' start='0x10CBA0' end='0x10CBB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10CBB0' end='0x10CBB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10CBB8' end='0x10CBC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10CBC0' end='0x10CBC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10CBC8' end='0x10CBD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10CBD0' end='0x10CBD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10CBD8' end='0x10CBE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10CBE0' end='0x10CBE8' datawidth='32' /><slave name='reg_si.mem' start='0x10CBE8' end='0x10CBF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10CBF0' end='0x10CBF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10CBF8' end='0x10CC00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CC00' end='0x10CC08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CC08' end='0x10CC10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CC10' end='0x10CC18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> + <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x134400' end='0x134500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x134500' end='0x134600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134600' end='0x134680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134680' end='0x134700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134700' end='0x134780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134800' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134840' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134880' end='0x1348C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1348C0' end='0x134900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134900' end='0x134940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134940' end='0x134980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134980' end='0x1349C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1349C0' end='0x134A00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134A00' end='0x134A20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134A20' end='0x134A40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134A40' end='0x134A60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134A60' end='0x134A80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134A80' end='0x134AA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x134AA0' end='0x134AC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x134AC0' end='0x134AE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x134AE0' end='0x134B00' datawidth='32' /><slave name='reg_epcs.mem' start='0x134B00' end='0x134B20' datawidth='32' /><slave name='reg_remu.mem' start='0x134B20' end='0x134B40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134B40' end='0x134B50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134B50' end='0x134B60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134B60' end='0x134B70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134B70' end='0x134B80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134B80' end='0x134B90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134B90' end='0x134BA0' datawidth='32' /><slave name='pio_pps.mem' start='0x134BA0' end='0x134BB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x134BB0' end='0x134BB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x134BB8' end='0x134BC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x134BC0' end='0x134BC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x134BC8' end='0x134BD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x134BD0' end='0x134BD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x134BD8' end='0x134BE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x134BE0' end='0x134BE8' datawidth='32' /><slave name='reg_si.mem' start='0x134BE8' end='0x134BF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x134BF0' end='0x134BF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x134BF8' end='0x134C00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134C00' end='0x134C08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134C08' end='0x134C10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134C10' end='0x134C18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip index db6fc39074d58381ab89c6b31436537be13f1b2f..a41b1862532cae74669bc5796dd21eee27635333 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip @@ -129,7 +129,7 @@ <spirit:parameter> <spirit:name>addressSpan</spirit:name> <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">32768</spirit:value> + <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>addressUnits</spirit:name> @@ -607,7 +607,7 @@ <spirit:direction>in</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> + <spirit:right>13</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -703,7 +703,7 @@ <spirit:direction>out</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>12</spirit:right> + <spirit:right>13</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -783,7 +783,7 @@ <spirit:parameter> <spirit:name>g_adr_w</spirit:name> <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">13</spirit:value> + <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>g_dat_w</spirit:name> @@ -846,7 +846,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -910,7 +910,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -979,7 +979,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -1374,11 +1374,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>15</value> + <value>16</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip index 84f4ab16fc3ab53e83d375f158d4588247ad4ecb..b738e91a46e6a43447119e6184a3fce6af59b067 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip @@ -129,7 +129,7 @@ <spirit:parameter> <spirit:name>addressSpan</spirit:name> <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value> + <spirit:value spirit:format="string" spirit:id="addressSpan">131072</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>addressUnits</spirit:name> @@ -607,7 +607,7 @@ <spirit:direction>in</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> + <spirit:right>14</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -703,7 +703,7 @@ <spirit:direction>out</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> + <spirit:right>14</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -783,7 +783,7 @@ <spirit:parameter> <spirit:name>g_adr_w</spirit:name> <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value> + <spirit:value spirit:format="long" spirit:id="g_adr_w">15</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>g_dat_w</spirit:name> @@ -846,7 +846,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -910,7 +910,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -979,7 +979,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -1374,11 +1374,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip index 26fa1a2d5968f125e4f8934794feb2e3cb144686..f1909a6dbac234a137fff0ef33501209b7d2f8eb 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip @@ -129,7 +129,7 @@ <spirit:parameter> <spirit:name>addressSpan</spirit:name> <spirit:displayName>Address span</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="addressSpan">65536</spirit:value> + <spirit:value spirit:format="string" spirit:id="addressSpan">131072</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>addressUnits</spirit:name> @@ -607,7 +607,7 @@ <spirit:direction>in</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> + <spirit:right>14</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -703,7 +703,7 @@ <spirit:direction>out</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>13</spirit:right> + <spirit:right>14</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -783,7 +783,7 @@ <spirit:parameter> <spirit:name>g_adr_w</spirit:name> <spirit:displayName>g_adr_w</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="g_adr_w">14</spirit:value> + <spirit:value spirit:format="long" spirit:id="g_adr_w">15</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>g_dat_w</spirit:name> @@ -846,7 +846,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -910,7 +910,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -979,7 +979,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -1374,11 +1374,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/qsys_lofar2_unb2b_sdp_station.qsys b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/qsys_lofar2_unb2b_sdp_station.qsys index 72ec443b74114af5a260e92fc2a8646a785144a0..2f785947ec6d087af7126a047e523df70e21d1f8 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/qsys_lofar2_unb2b_sdp_station.qsys +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/qsys_lofar2_unb2b_sdp_station.qsys @@ -83,7 +83,7 @@ { datum baseAddress { - value = "1081344"; + value = "1245184"; type = "String"; } } @@ -99,7 +99,7 @@ { datum baseAddress { - value = "1100816"; + value = "1264656"; type = "String"; } } @@ -144,7 +144,7 @@ { datum baseAddress { - value = "1100744"; + value = "1264584"; type = "String"; } } @@ -165,7 +165,7 @@ { datum baseAddress { - value = "1100704"; + value = "1264544"; type = "String"; } } @@ -250,7 +250,7 @@ { datum baseAddress { - value = "32768"; + value = "1048576"; type = "String"; } } @@ -266,7 +266,7 @@ { datum baseAddress { - value = "917504"; + value = "786432"; type = "String"; } } @@ -298,7 +298,7 @@ { datum baseAddress { - value = "786432"; + value = "1114112"; type = "String"; } } @@ -330,7 +330,7 @@ { datum baseAddress { - value = "98304"; + value = "32768"; type = "String"; } } @@ -346,7 +346,7 @@ { datum baseAddress { - value = "983040"; + value = "917504"; type = "String"; } } @@ -378,7 +378,7 @@ { datum baseAddress { - value = "851968"; + value = "1179648"; type = "String"; } } @@ -394,7 +394,7 @@ { datum baseAddress { - value = "1098496"; + value = "1262336"; type = "String"; } } @@ -410,7 +410,7 @@ { datum baseAddress { - value = "1100688"; + value = "1264528"; type = "String"; } } @@ -426,7 +426,7 @@ { datum baseAddress { - value = "1100320"; + value = "1264160"; type = "String"; } } @@ -442,7 +442,7 @@ { datum baseAddress { - value = "1099264"; + value = "1263104"; type = "String"; } } @@ -474,7 +474,7 @@ { datum baseAddress { - value = "1099776"; + value = "1263616"; type = "String"; } } @@ -490,7 +490,7 @@ { datum baseAddress { - value = "1100448"; + value = "1264288"; type = "String"; } } @@ -506,7 +506,7 @@ { datum baseAddress { - value = "1099840"; + value = "1263680"; type = "String"; } } @@ -522,7 +522,7 @@ { datum baseAddress { - value = "1099904"; + value = "1263744"; type = "String"; } } @@ -538,7 +538,7 @@ { datum baseAddress { - value = "1099712"; + value = "1263552"; type = "String"; } } @@ -570,7 +570,7 @@ { datum baseAddress { - value = "1099648"; + value = "1263488"; type = "String"; } } @@ -634,7 +634,7 @@ { datum baseAddress { - value = "1100352"; + value = "1264192"; type = "String"; } } @@ -650,7 +650,7 @@ { datum baseAddress { - value = "1100416"; + value = "1264256"; type = "String"; } } @@ -666,7 +666,7 @@ { datum baseAddress { - value = "1100768"; + value = "1264608"; type = "String"; } } @@ -682,7 +682,7 @@ { datum baseAddress { - value = "1100480"; + value = "1264320"; type = "String"; } } @@ -698,7 +698,7 @@ { datum baseAddress { - value = "1100032"; + value = "1263872"; type = "String"; } } @@ -714,7 +714,7 @@ { datum baseAddress { - value = "1100096"; + value = "1263936"; type = "String"; } } @@ -730,7 +730,7 @@ { datum baseAddress { - value = "1099392"; + value = "1263232"; type = "String"; } } @@ -746,7 +746,7 @@ { datum baseAddress { - value = "1100288"; + value = "1264128"; type = "String"; } } @@ -770,7 +770,7 @@ { datum baseAddress { - value = "1100640"; + value = "1264480"; type = "String"; } } @@ -802,7 +802,7 @@ { datum baseAddress { - value = "1099968"; + value = "1263808"; type = "String"; } } @@ -818,7 +818,7 @@ { datum baseAddress { - value = "1100760"; + value = "1264600"; type = "String"; } } @@ -834,7 +834,7 @@ { datum baseAddress { - value = "1099520"; + value = "1263360"; type = "String"; } } @@ -850,7 +850,7 @@ { datum baseAddress { - value = "1100672"; + value = "1264512"; type = "String"; } } @@ -871,7 +871,7 @@ { datum baseAddress { - value = "1100808"; + value = "1264648"; type = "String"; } } @@ -892,7 +892,7 @@ { datum baseAddress { - value = "1100800"; + value = "1264640"; type = "String"; } } @@ -913,7 +913,7 @@ { datum baseAddress { - value = "1100544"; + value = "1264384"; type = "String"; } } @@ -929,7 +929,7 @@ { datum baseAddress { - value = "1100512"; + value = "1264352"; type = "String"; } } @@ -950,7 +950,7 @@ { datum baseAddress { - value = "1100224"; + value = "1264064"; type = "String"; } } @@ -966,7 +966,7 @@ { datum baseAddress { - value = "1097728"; + value = "1261568"; type = "String"; } } @@ -987,7 +987,7 @@ { datum baseAddress { - value = "1100792"; + value = "1264632"; type = "String"; } } @@ -1008,7 +1008,7 @@ { datum baseAddress { - value = "1100784"; + value = "1264624"; type = "String"; } } @@ -1024,7 +1024,7 @@ { datum baseAddress { - value = "1100720"; + value = "1264560"; type = "String"; } } @@ -1040,7 +1040,7 @@ { datum baseAddress { - value = "1100752"; + value = "1264592"; type = "String"; } } @@ -1056,7 +1056,7 @@ { datum baseAddress { - value = "1048576"; + value = "98304"; type = "String"; } } @@ -1077,7 +1077,7 @@ { datum baseAddress { - value = "1100576"; + value = "1264416"; type = "String"; } } @@ -1093,7 +1093,7 @@ { datum baseAddress { - value = "1100624"; + value = "1264464"; type = "String"; } } @@ -1109,7 +1109,7 @@ { datum baseAddress { - value = "1100608"; + value = "1264448"; type = "String"; } } @@ -1141,7 +1141,7 @@ { datum baseAddress { - value = "1100160"; + value = "1264000"; type = "String"; } } @@ -1157,7 +1157,7 @@ { datum baseAddress { - value = "1100776"; + value = "1264616"; type = "String"; } } @@ -1173,7 +1173,7 @@ { datum baseAddress { - value = "1100656"; + value = "1264496"; type = "String"; } } @@ -1189,7 +1189,7 @@ { datum baseAddress { - value = "1100736"; + value = "1264576"; type = "String"; } } @@ -1205,7 +1205,7 @@ { datum baseAddress { - value = "1100728"; + value = "1264568"; type = "String"; } } @@ -1269,7 +1269,7 @@ { datum baseAddress { - value = "1100384"; + value = "1264224"; type = "String"; } } @@ -1301,7 +1301,7 @@ { datum baseAddress { - value = "1098752"; + value = "1262592"; type = "String"; } } @@ -1317,7 +1317,7 @@ { datum baseAddress { - value = "1099008"; + value = "1262848"; type = "String"; } } @@ -1359,7 +1359,7 @@ { datum baseAddress { - value = "1098240"; + value = "1262080"; type = "String"; } } @@ -7037,7 +7037,7 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x10C400' end='0x10C500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x10C500' end='0x10C600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C600' end='0x10C680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C680' end='0x10C700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C700' end='0x10C780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C800' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C840' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C880' end='0x10C8C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C8C0' end='0x10C900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C900' end='0x10C940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C940' end='0x10C980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C980' end='0x10C9C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C9C0' end='0x10CA00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10CA00' end='0x10CA20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10CA20' end='0x10CA40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10CA40' end='0x10CA60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10CA60' end='0x10CA80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10CA80' end='0x10CAA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10CAA0' end='0x10CAC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10CAC0' end='0x10CAE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10CAE0' end='0x10CB00' datawidth='32' /><slave name='reg_epcs.mem' start='0x10CB00' end='0x10CB20' datawidth='32' /><slave name='reg_remu.mem' start='0x10CB20' end='0x10CB40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10CB40' end='0x10CB50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10CB50' end='0x10CB60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10CB60' end='0x10CB70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10CB70' end='0x10CB80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10CB80' end='0x10CB90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10CB90' end='0x10CBA0' datawidth='32' /><slave name='pio_pps.mem' start='0x10CBA0' end='0x10CBB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10CBB0' end='0x10CBB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10CBB8' end='0x10CBC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10CBC0' end='0x10CBC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10CBC8' end='0x10CBD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10CBD0' end='0x10CBD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10CBD8' end='0x10CBE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10CBE0' end='0x10CBE8' datawidth='32' /><slave name='reg_si.mem' start='0x10CBE8' end='0x10CBF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10CBF0' end='0x10CBF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10CBF8' end='0x10CC00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CC00' end='0x10CC08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CC08' end='0x10CC10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CC10' end='0x10CC18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> + <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x134400' end='0x134500' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x134500' end='0x134600' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134600' end='0x134680' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134680' end='0x134700' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134700' end='0x134780' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134800' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134840' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134880' end='0x1348C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1348C0' end='0x134900' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134900' end='0x134940' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134940' end='0x134980' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134980' end='0x1349C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1349C0' end='0x134A00' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134A00' end='0x134A20' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134A20' end='0x134A40' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134A40' end='0x134A60' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134A60' end='0x134A80' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134A80' end='0x134AA0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x134AA0' end='0x134AC0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x134AC0' end='0x134AE0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x134AE0' end='0x134B00' datawidth='32' /><slave name='reg_epcs.mem' start='0x134B00' end='0x134B20' datawidth='32' /><slave name='reg_remu.mem' start='0x134B20' end='0x134B40' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134B40' end='0x134B50' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134B50' end='0x134B60' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134B60' end='0x134B70' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134B70' end='0x134B80' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134B80' end='0x134B90' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134B90' end='0x134BA0' datawidth='32' /><slave name='pio_pps.mem' start='0x134BA0' end='0x134BB0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x134BB0' end='0x134BB8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x134BB8' end='0x134BC0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x134BC0' end='0x134BC8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x134BC8' end='0x134BD0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x134BD0' end='0x134BD8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x134BD8' end='0x134BE0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x134BE0' end='0x134BE8' datawidth='32' /><slave name='reg_si.mem' start='0x134BE8' end='0x134BF0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x134BF0' end='0x134BF8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x134BF8' end='0x134C00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134C00' end='0x134C08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134C08' end='0x134C10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134C10' end='0x134C18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> @@ -12772,7 +12772,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -12836,7 +12836,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -12905,7 +12905,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -13311,11 +13311,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>15</value> + <value>16</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -13388,7 +13388,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -13452,7 +13452,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -13521,7 +13521,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -13927,11 +13927,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -16468,7 +16468,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -16532,7 +16532,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -16601,7 +16601,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -17007,11 +17007,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -55372,7 +55372,7 @@ version="18.0" start="cpu_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> - <parameter name="baseAddress" value="0x0010cc10" /> + <parameter name="baseAddress" value="0x00134c10" /> </connection> <connection kind="avalon" @@ -55386,7 +55386,7 @@ version="18.0" start="cpu_0.data_master" end="reg_unb_sens.mem"> - <parameter name="baseAddress" value="0x0010c500" /> + <parameter name="baseAddress" value="0x00134500" /> </connection> <connection kind="avalon" @@ -55407,7 +55407,7 @@ version="18.0" start="cpu_0.data_master" end="pio_pps.mem"> - <parameter name="baseAddress" value="0x0010cba0" /> + <parameter name="baseAddress" value="0x00134ba0" /> </connection> <connection kind="avalon" @@ -55421,84 +55421,84 @@ version="18.0" start="cpu_0.data_master" end="reg_remu.mem"> - <parameter name="baseAddress" value="0x0010cb20" /> + <parameter name="baseAddress" value="0x00134b20" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_epcs.mem"> - <parameter name="baseAddress" value="0x0010cb00" /> + <parameter name="baseAddress" value="0x00134b00" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dpmm_ctrl.mem"> - <parameter name="baseAddress" value="0x0010cc08" /> + <parameter name="baseAddress" value="0x00134c08" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dpmm_data.mem"> - <parameter name="baseAddress" value="0x0010cc00" /> + <parameter name="baseAddress" value="0x00134c00" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_mmdp_ctrl.mem"> - <parameter name="baseAddress" value="0x0010cbf8" /> + <parameter name="baseAddress" value="0x00134bf8" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_mmdp_data.mem"> - <parameter name="baseAddress" value="0x0010cbf0" /> + <parameter name="baseAddress" value="0x00134bf0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_fpga_temp_sens.mem"> - <parameter name="baseAddress" value="0x0010cae0" /> + <parameter name="baseAddress" value="0x00134ae0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_unb_pmbus.mem"> - <parameter name="baseAddress" value="0x0010c400" /> + <parameter name="baseAddress" value="0x00134400" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_fpga_voltage_sens.mem"> - <parameter name="baseAddress" value="0x0010c9c0" /> + <parameter name="baseAddress" value="0x001349c0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_st_sst.mem"> - <parameter name="baseAddress" value="0x000f0000" /> + <parameter name="baseAddress" value="0x000e0000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_si.mem"> - <parameter name="baseAddress" value="0x0010cbe8" /> + <parameter name="baseAddress" value="0x00134be8" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_fil_coefs.mem"> - <parameter name="baseAddress" value="0x000e0000" /> + <parameter name="baseAddress" value="0x000c0000" /> </connection> <connection kind="avalon" @@ -55512,42 +55512,42 @@ version="18.0" start="cpu_0.data_master" end="reg_aduh_monitor.mem"> - <parameter name="baseAddress" value="0x0010c300" /> + <parameter name="baseAddress" value="0x00134300" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_wg.mem"> - <parameter name="baseAddress" value="0x000d0000" /> + <parameter name="baseAddress" value="0x00120000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dp_shiftram.mem"> - <parameter name="baseAddress" value="0x0010c700" /> + <parameter name="baseAddress" value="0x00134700" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_scheduler.mem"> - <parameter name="baseAddress" value="0x0010cbe0" /> + <parameter name="baseAddress" value="0x00134be0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_source_v2.mem"> - <parameter name="baseAddress" value="0x0010cac0" /> + <parameter name="baseAddress" value="0x00134ac0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_wg.mem"> - <parameter name="baseAddress" value="0x0010c200" /> + <parameter name="baseAddress" value="0x00134200" /> </connection> <connection kind="avalon" @@ -55561,42 +55561,42 @@ version="18.0" start="cpu_0.data_master" end="jesd204b.mem"> - <parameter name="baseAddress" value="0x00108000" /> + <parameter name="baseAddress" value="0x00130000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dp_selector.mem"> - <parameter name="baseAddress" value="0x0010cbd8" /> + <parameter name="baseAddress" value="0x00134bd8" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_ss_ss_wide.mem"> - <parameter name="baseAddress" value="0x000c0000" /> + <parameter name="baseAddress" value="0x00110000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bf_scale.mem"> - <parameter name="baseAddress" value="0x0010cb90" /> + <parameter name="baseAddress" value="0x00134b90" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_hdr_dat.mem"> - <parameter name="baseAddress" value="0x0010c000" /> + <parameter name="baseAddress" value="0x00134000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dp_xonoff.mem"> - <parameter name="baseAddress" value="0x0010cb80" /> + <parameter name="baseAddress" value="0x00134b80" /> </connection> <connection kind="avalon" @@ -55610,28 +55610,28 @@ version="18.0" start="cpu_0.data_master" end="reg_sdp_info.mem"> - <parameter name="baseAddress" value="0x0010c980" /> + <parameter name="baseAddress" value="0x00134980" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_nw_10gbe_eth10g.mem"> - <parameter name="baseAddress" value="0x0010cbd0" /> + <parameter name="baseAddress" value="0x00134bd0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_nw_10gbe_mac.mem"> - <parameter name="baseAddress" value="0x00100000" /> + <parameter name="baseAddress" value="0x00018000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_diag_data_buffer_bsn.mem"> - <parameter name="baseAddress" value="0x0010c680" /> + <parameter name="baseAddress" value="0x00134680" /> </connection> <connection kind="avalon" @@ -55645,14 +55645,14 @@ version="18.0" start="cpu_0.data_master" end="pio_jesd_ctrl.mem"> - <parameter name="baseAddress" value="0x0010cbc8" /> + <parameter name="baseAddress" value="0x00134bc8" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_stat_enable_sst.mem"> - <parameter name="baseAddress" value="0x0010cbc0" /> + <parameter name="baseAddress" value="0x00134bc0" /> </connection> <connection kind="avalon" @@ -55666,7 +55666,7 @@ version="18.0" start="cpu_0.data_master" end="reg_stat_enable_bst.mem"> - <parameter name="baseAddress" value="0x0010cb70" /> + <parameter name="baseAddress" value="0x00134b70" /> </connection> <connection kind="avalon" @@ -55680,7 +55680,7 @@ version="18.0" start="cpu_0.data_master" end="reg_crosslets_info.mem"> - <parameter name="baseAddress" value="0x0010c940" /> + <parameter name="baseAddress" value="0x00134940" /> </connection> <connection kind="avalon" @@ -55694,7 +55694,7 @@ version="18.0" start="cpu_0.data_master" end="reg_stat_enable_xst.mem"> - <parameter name="baseAddress" value="0x0010cbb8" /> + <parameter name="baseAddress" value="0x00134bb8" /> </connection> <connection kind="avalon" @@ -55708,28 +55708,28 @@ version="18.0" start="cpu_0.data_master" end="reg_bsn_sync_scheduler_xsub.mem"> - <parameter name="baseAddress" value="0x0010c900" /> + <parameter name="baseAddress" value="0x00134900" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="ram_st_histogram.mem"> - <parameter name="baseAddress" value="0x00018000" /> + <parameter name="baseAddress" value="0x8000" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_nof_crosslets.mem"> - <parameter name="baseAddress" value="0x0010cbb0" /> + <parameter name="baseAddress" value="0x00134bb0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_align_v2_xsub.mem"> - <parameter name="baseAddress" value="0x0010c600" /> + <parameter name="baseAddress" value="0x00134600" /> </connection> <connection kind="avalon" @@ -55743,14 +55743,14 @@ version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_aligned_xsub.mem"> - <parameter name="baseAddress" value="0x0010caa0" /> + <parameter name="baseAddress" value="0x00134aa0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_xst_offload.mem"> - <parameter name="baseAddress" value="0x0010ca80" /> + <parameter name="baseAddress" value="0x00134a80" /> </connection> <connection kind="avalon" @@ -55778,28 +55778,28 @@ version="18.0" start="cpu_0.data_master" end="reg_dp_block_validate_err_xst.mem"> - <parameter name="baseAddress" value="0x0010c8c0" /> + <parameter name="baseAddress" value="0x001348c0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_dp_block_validate_bsn_at_sync_xst.mem"> - <parameter name="baseAddress" value="0x0010cb60" /> + <parameter name="baseAddress" value="0x00134b60" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_ring_info.mem"> - <parameter name="baseAddress" value="0x0010cb50" /> + <parameter name="baseAddress" value="0x00134b50" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_tr_10gbe_eth10g.mem"> - <parameter name="baseAddress" value="0x0010ca60" /> + <parameter name="baseAddress" value="0x00134a60" /> </connection> <connection kind="avalon" @@ -55813,28 +55813,28 @@ version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_sst_offload.mem"> - <parameter name="baseAddress" value="0x0010ca40" /> + <parameter name="baseAddress" value="0x00134a40" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_bst_offload.mem"> - <parameter name="baseAddress" value="0x0010c880" /> + <parameter name="baseAddress" value="0x00134880" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_beamlet_output.mem"> - <parameter name="baseAddress" value="0x0010c840" /> + <parameter name="baseAddress" value="0x00134840" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_align_v2_bf.mem"> - <parameter name="baseAddress" value="0x0010ca20" /> + <parameter name="baseAddress" value="0x00134a20" /> </connection> <connection kind="avalon" @@ -55848,28 +55848,28 @@ version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_aligned_bf.mem"> - <parameter name="baseAddress" value="0x0010c800" /> + <parameter name="baseAddress" value="0x00134800" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_ring_lane_info_bf.mem"> - <parameter name="baseAddress" value="0x0010cb40" /> + <parameter name="baseAddress" value="0x00134b40" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_ring_rx_bf.mem"> - <parameter name="baseAddress" value="0x0010c7c0" /> + <parameter name="baseAddress" value="0x001347c0" /> </connection> <connection kind="avalon" version="18.0" start="cpu_0.data_master" end="reg_bsn_monitor_v2_ring_tx_bf.mem"> - <parameter name="baseAddress" value="0x0010c780" /> + <parameter name="baseAddress" value="0x00134780" /> </connection> <connection kind="avalon" @@ -55883,7 +55883,7 @@ version="18.0" start="cpu_0.data_master" end="reg_dp_block_validate_bsn_at_sync_bf.mem"> - <parameter name="baseAddress" value="0x0010ca00" /> + <parameter name="baseAddress" value="0x00134a00" /> </connection> <connection kind="avalon" @@ -55897,7 +55897,7 @@ version="18.0" start="cpu_0.data_master" end="ram_equalizer_gains.mem"> - <parameter name="baseAddress" value="0x8000" /> + <parameter name="baseAddress" value="0x00100000" /> </connection> <connection kind="avalon" diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd new file mode 100644 index 0000000000000000000000000000000000000000..2cfff131262a66a8e1c7c65bd345791bd2835a43 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full.vhd @@ -0,0 +1,194 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2022 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at +-- +-- http://www.apache.org/licenses/LICENSE-2.0 +-- +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. +-- +------------------------------------------------------------------------------- + +-- Author : R. van der Walle +-- Purpose: +-- Wrapper for Disturb2 SDP Station full design, a revision of lofar2_sdp_station_full +-- Description: +-- Unb2b version for lab testing +-- Contains complete SDP station design with AIT input stage with 12 ADC streams, oversampeld FSUB, XSUB, BF and RING + + +LIBRARY IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE unb2b_board_lib.unb2b_board_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; + +ENTITY disturb2_unb2b_sdp_station_full IS + GENERIC ( + g_design_name : STRING := "disturb2_unb2b_sdp_station_full"; + g_design_note : STRING := "Disturb2 SDP station full design"; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); + + -- I2C Interface to Sensors + SENS_SC : INOUT STD_LOGIC; + SENS_SD : INOUT STD_LOGIC; + + PMBUS_SC : INOUT STD_LOGIC; + PMBUS_SD : INOUT STD_LOGIC; + PMBUS_ALERT : IN STD_LOGIC := '0'; + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC; + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + -- LEDs + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0); + + -- ring transceivers + RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces + RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); + RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); + RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); + + -- back transceivers (note only 6 are used in unb2b) + BCK_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_tr_jesd204b + c_unb2b_board_start_tr_jesd204b-1 downto c_unb2b_board_nof_tr_jesd204b); -- c_unb2b_board_nof_tr_jesd204b = 6, c_sdp_S_pn = 12 + BCK_REF_CLK : IN STD_LOGIC; -- Use as JESD204B_REFCLK + + -- jesd204b syncronization signals (2 syncs) + JESD204B_SYSREF : IN STD_LOGIC; + JESD204B_SYNC_N : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0) -- c_unb2b_board_nof_sync_jesd204b = c_sdp_N_sync_jesd = 4 + ); +END disturb2_unb2b_sdp_station_full; + +ARCHITECTURE str OF disturb2_unb2b_sdp_station_full IS + + SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.bus_w*c_unb2b_board_tr_jesd204b.nof_bus)-1 downto 0); + SIGNAL jesd204b_sync_n_arr : STD_LOGIC_VECTOR(c_unb2b_board_nof_sync_jesd204b-1 downto 0); + SIGNAL JESD204B_REFCLK : STD_LOGIC; + + +BEGIN + + -- Mapping between JESD signal names and UNB2B pin/schematic names + JESD204B_REFCLK <= BCK_REF_CLK; + JESD204B_SERIAL_DATA(0) <= BCK_RX(42); + JESD204B_SERIAL_DATA(1) <= BCK_RX(43); + JESD204B_SERIAL_DATA(2) <= BCK_RX(44); + JESD204B_SERIAL_DATA(3) <= BCK_RX(45); + JESD204B_SERIAL_DATA(4) <= BCK_RX(46); + JESD204B_SERIAL_DATA(5) <= BCK_RX(47); + JESD204B_SERIAL_DATA(6) <= '0'; + JESD204B_SERIAL_DATA(7) <= '0'; + JESD204B_SERIAL_DATA(8) <= '0'; + JESD204B_SERIAL_DATA(9) <= '0'; + JESD204B_SERIAL_DATA(10) <= '0'; + JESD204B_SERIAL_DATA(11) <= '0'; + JESD204B_SYNC_N(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0) <= jesd204b_sync_n_arr(c_unb2b_board_nof_sync_jesd204b-1 DOWNTO 0); + + + u_revision : ENTITY lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station + GENERIC MAP ( + g_design_name => g_design_name, + g_design_note => g_design_note, + g_sim => g_sim, + g_sim_unb_nr => g_sim_unb_nr, + g_sim_node_nr => g_sim_node_nr, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_revision_id => g_revision_id + ) + PORT MAP ( + -- GENERAL + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + + -- Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + + -- I2C Interface to Sensors + SENS_SC => SENS_SC, + SENS_SD => SENS_SD, + + PMBUS_SC => PMBUS_SC, + PMBUS_SD => PMBUS_SD, + PMBUS_ALERT => PMBUS_ALERT, + + -- 1GbE Control Interface + ETH_clk => ETH_clk, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT, + + -- Transceiver clocks + SA_CLK => SA_CLK, + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX => QSFP_0_RX, + QSFP_0_TX => QSFP_0_TX, + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX => QSFP_1_RX, + QSFP_1_TX => QSFP_1_TX, + -- LEDs + QSFP_LED => QSFP_LED, + + -- ring transceivers + RING_0_RX => RING_0_RX, + RING_0_TX => RING_0_TX, + RING_1_RX => RING_1_RX, + RING_1_TX => RING_1_TX, + + -- back transceivers + JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, + JESD204B_REFCLK => JESD204B_REFCLK, + + -- jesd204b syncronization signals + JESD204B_SYSREF => JESD204B_SYSREF, + JESD204B_SYNC_N => jesd204b_sync_n_arr + ); +END str; diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full_pins.tcl b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..b85bc78b08035457af0eed4a6fae25007a1f4bef --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/disturb2_unb2b_sdp_station_full_pins.tcl @@ -0,0 +1,26 @@ +############################################################################### +# +# Copyright (C) 2022 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_jesd_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_ring_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_beamlets_pins.tcl + + diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..fc9f9b0be2067dec7d4a402040b2b2fc1bc90645 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full/hdllib.cfg @@ -0,0 +1,131 @@ +hdl_lib_name = disturb2_unb2b_sdp_station_full +hdl_library_clause_name = disturb2_unb2b_sdp_station_full_lib +hdl_lib_uses_synth = common mm technology unb2b_board lofar2_unb2b_sdp_station +hdl_lib_uses_sim = eth +hdl_lib_technology = ip_arria10_e1sg + + synth_files = + disturb2_unb2b_sdp_station_full.vhd + +test_bench_files = + +regression_test_vhdl = + +[modelsim_project_file] +modelsim_copy_files = + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + # Overwrite bf weights with sim data + ../../tb/data data + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ + ../../quartus . + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + +quartus_qsf_files = + $RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.qsf + +# use lofar2_unb2b_sdp_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. +quartus_sdc_files = + ../../quartus/lofar2_unb2b_sdp_station.sdc + #$RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.sdc + +quartus_tcl_files = + disturb2_unb2b_sdp_station_full_pins.tcl + +quartus_vhdl_files = + +quartus_qip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_sdp_station_full/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station.qip + +quartus_ip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_common_mm_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_common_mm_1.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_eth_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_clk_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_jesd204b.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_jtag_uart_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_onchip_memory2_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_jesd_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_pps.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_bf_weights.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_scrap.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_ss_ss_wide.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_histogram.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_xsq.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_wg.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_aduh_monitor.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bf_scale.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_align_v2_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_align_v2_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_input.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_aligned_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_aligned_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_beamlet_output.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_bst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_rx_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_rx_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_tx_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_tx_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_rx_align_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_rx_align_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_sst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_xst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_scheduler.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_source_v2.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_sync_scheduler_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_crosslets_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_bsn_at_sync_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_bsn_at_sync_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_err_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_err_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dpmm_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dpmm_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_selector.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_shiftram.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_xonoff.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_epcs.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_fpga_temp_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_fpga_voltage_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_hdr_dat.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_mmdp_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_mmdp_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nof_crosslets.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nw_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nw_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_remu.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_lane_info_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_lane_info_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_sdp_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_si.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_tr_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_tr_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_unb_pmbus.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_unb_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_wg.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_rom_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_timer_0.ip + +nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd new file mode 100644 index 0000000000000000000000000000000000000000..4878d4fa2420751e1152ee92afdf35d650962a93 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg.vhd @@ -0,0 +1,157 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2022 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at +-- +-- http://www.apache.org/licenses/LICENSE-2.0 +-- +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. +-- +------------------------------------------------------------------------------- + +-- Author : R. van der Walle +-- Purpose: +-- Wrapper for Disturb2 SDP Station full design. +-- Description: +-- Unb2b version for lab testing of SDP using the WG. +-- Contains AIT input stage with WG, oversampled FSUB, XSUB, BF and RING, so without ADC JESD. + + +LIBRARY IEEE, common_lib, unb2b_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2b_sdp_station_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE unb2b_board_lib.unb2b_board_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; + +ENTITY disturb2_unb2b_sdp_station_full_wg IS + GENERIC ( + g_design_name : STRING := "Disturb2_unb2b_sdp_station_full_wg"; + g_design_note : STRING := "Disturb2 SDP station full design WG"; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); + + -- I2C Interface to Sensors + SENS_SC : INOUT STD_LOGIC; + SENS_SD : INOUT STD_LOGIC; + + PMBUS_SC : INOUT STD_LOGIC; + PMBUS_SD : INOUT STD_LOGIC; + PMBUS_ALERT : IN STD_LOGIC := '0'; + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC; + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + + -- front transceivers + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + + -- LEDs + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0); + + -- ring transceivers + RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces + RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0); + RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); + RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 DOWNTO 0) + ); +END disturb2_unb2b_sdp_station_full_wg; + +ARCHITECTURE str OF disturb2_unb2b_sdp_station_full_wg IS + +BEGIN + + u_revision : ENTITY lofar2_unb2b_sdp_station_lib.lofar2_unb2b_sdp_station + GENERIC MAP ( + g_design_name => g_design_name, + g_design_note => g_design_note, + g_sim => g_sim, + g_sim_unb_nr => g_sim_unb_nr, + g_sim_node_nr => g_sim_node_nr, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_revision_id => g_revision_id + ) + PORT MAP ( + -- GENERAL + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + + -- Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + + -- I2C Interface to Sensors + SENS_SC => SENS_SC, + SENS_SD => SENS_SD, + + PMBUS_SC => PMBUS_SC, + PMBUS_SD => PMBUS_SD, + PMBUS_ALERT => PMBUS_ALERT, + + -- 1GbE Control Interface + ETH_clk => ETH_clk, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT, + + -- Transceiver clocks + SA_CLK => SA_CLK, + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX => QSFP_0_RX, + QSFP_0_TX => QSFP_0_TX, + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX => QSFP_1_RX, + QSFP_1_TX => QSFP_1_TX, + -- LEDs + QSFP_LED => QSFP_LED, + + -- ring transceivers + RING_0_RX => RING_0_RX, + RING_0_TX => RING_0_TX, + RING_1_RX => RING_1_RX, + RING_1_TX => RING_1_TX + ); +END str; diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg_pins.tcl b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..689b010fb83a0f4df276dd070b6e8cc383755595 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/disturb2_unb2b_sdp_station_full_wg_pins.tcl @@ -0,0 +1,25 @@ +############################################################################### +# +# Copyright (C) 2022 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_ring_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2b_sdp_station/quartus/lofar2_unb2b_sdp_station_beamlets_pins.tcl + + diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..c94035c6cff04e94efdb8bb8de049c37dd9465fd --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/revisions/disturb2_unb2b_sdp_station_full_wg/hdllib.cfg @@ -0,0 +1,131 @@ +hdl_lib_name = disturb2_unb2b_sdp_station_full_wg +hdl_library_clause_name = disturb2_unb2b_sdp_station_full_wg_lib +hdl_lib_uses_synth = common mm technology unb2b_board lofar2_unb2b_sdp_station +hdl_lib_uses_sim = eth +hdl_lib_technology = ip_arria10_e1sg + + synth_files = + disturb2_unb2b_sdp_station_full_wg.vhd + +test_bench_files = + +regression_test_vhdl = + +[modelsim_project_file] +modelsim_copy_files = + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + # Overwrite bf weights with sim data + ../../tb/data data + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ + ../../quartus . + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + +quartus_qsf_files = + $RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.qsf + +# use lofar2_unb2b_sdp_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. +quartus_sdc_files = + ../../quartus/lofar2_unb2b_sdp_station.sdc + #$RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.sdc + +quartus_tcl_files = + disturb2_unb2b_sdp_station_full_wg_pins.tcl + +quartus_vhdl_files = + +quartus_qip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/disturb2_unb2b_sdp_station_full_wg/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station.qip + +quartus_ip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_common_mm_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_common_mm_1.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_avs_eth_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_clk_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_cpu_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_jesd204b.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_jtag_uart_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_onchip_memory2_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_jesd_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_pps.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_pio_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_bf_weights.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_equalizer_gains.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_fil_coefs.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_scrap.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_ss_ss_wide.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_histogram.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_st_xsq.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_ram_wg.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_aduh_monitor.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bf_scale.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_align_v2_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_align_v2_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_input.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_aligned_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_aligned_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_beamlet_output.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_bst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_rx_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_rx_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_tx_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_ring_tx_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_rx_align_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_rx_align_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_sst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_monitor_v2_xst_offload.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_scheduler.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_source_v2.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_bsn_sync_scheduler_xsub.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_crosslets_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_bsn_at_sync_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_bsn_at_sync_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_err_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_block_validate_err_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dpmm_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dpmm_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_selector.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_shiftram.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_dp_xonoff.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_epcs.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_fpga_temp_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_fpga_voltage_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_hdr_dat.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_mmdp_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_mmdp_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nof_crosslets.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nw_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_nw_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_remu.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_lane_info_bf.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_ring_lane_info_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_sdp_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_si.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_enable_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_bst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_sst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_stat_hdr_dat_xst.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_tr_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_tr_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_unb_pmbus.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_unb_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_reg_wg.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_rom_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_sdp_station/ip/qsys_lofar2_unb2b_sdp_station/qsys_lofar2_unb2b_sdp_station_timer_0.ip + +nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd index a5f605b3520af98c62aa61a552bad970cc807c3a..aebdb44fbc63e59491da5ef90addfce1354ed21d 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station.vhd @@ -811,6 +811,7 @@ BEGIN g_scope_selected_subband => g_scope_selected_subband, g_no_jesd => c_revision_select.no_jesd, g_use_fsub => c_revision_select.use_fsub, + g_use_oversample => c_revision_select.use_oversample, g_use_xsub => c_revision_select.use_xsub, g_use_bf => c_revision_select.use_bf, g_use_ring => c_revision_select.use_ring, diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd index f30f8846296984174033903e141b5d2d5c3f7877..ee9647cb426ff1aa1cac7bd3de7c502723b51201 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/lofar2_unb2b_sdp_station_pkg.vhd @@ -34,20 +34,23 @@ PACKAGE lofar2_unb2b_sdp_station_pkg IS TYPE t_lofar2_unb2b_sdp_station_config IS RECORD no_jesd : BOOLEAN; use_fsub : BOOLEAN; + use_oversample : BOOLEAN; use_bf : BOOLEAN; use_xsub : BOOLEAN; use_ring : BOOLEAN; P_sq : NATURAL; END RECORD; - CONSTANT c_ait : t_lofar2_unb2b_sdp_station_config := (FALSE, FALSE, FALSE, FALSE, FALSE, 0); - CONSTANT c_fsub : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, FALSE, 0); - CONSTANT c_bf : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, TRUE, FALSE, FALSE, 0); - CONSTANT c_bf_ring : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, TRUE, FALSE, TRUE, 0); - CONSTANT c_xsub_one : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, 1); - CONSTANT c_xsub_ring : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, TRUE, 9); - CONSTANT c_full_wg : t_lofar2_unb2b_sdp_station_config := (TRUE, TRUE, TRUE, TRUE, TRUE, 9); - CONSTANT c_full : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_ait : t_lofar2_unb2b_sdp_station_config := (FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, 0); + CONSTANT c_fsub : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, FALSE, FALSE, 0); + CONSTANT c_bf : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, FALSE, 0); + CONSTANT c_bf_ring : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, TRUE, 0); + CONSTANT c_xsub_one : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, TRUE, FALSE, 1); + CONSTANT c_xsub_ring : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, TRUE, TRUE, 9); + CONSTANT c_full_wg : t_lofar2_unb2b_sdp_station_config := (TRUE, TRUE, FALSE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full_os : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full_wg_os : t_lofar2_unb2b_sdp_station_config := (TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full : t_lofar2_unb2b_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, TRUE, TRUE, 9); -- Function to select the revision configuration. FUNCTION func_sel_revision_rec(g_design_name : STRING) RETURN t_lofar2_unb2b_sdp_station_config; @@ -67,6 +70,8 @@ PACKAGE BODY lofar2_unb2b_sdp_station_pkg IS ELSIF g_design_name = "lofar2_unb2b_sdp_station_xsub_one" THEN RETURN c_xsub_one; ELSIF g_design_name = "lofar2_unb2b_sdp_station_xsub_ring" THEN RETURN c_xsub_ring; ELSIF g_design_name = "lofar2_unb2b_sdp_station_full_wg" THEN RETURN c_full_wg; + ELSIF g_design_name = "disturb2_unb2b_sdp_station_full" THEN RETURN c_full_os; + ELSIF g_design_name = "disturb2_unb2b_sdp_station_full_wg" THEN RETURN c_full_wg_os; ELSE RETURN c_full; END IF; END; diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd index 9537b5c59308a8b7f8dc686e7be8a8fb6945c730..b156b4c6a05e253dd1b19676589277f604fa6b53 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd @@ -91,14 +91,14 @@ PACKAGE qsys_lofar2_unb2b_sdp_station_pkg IS ram_diag_data_buffer_bsn_reset_export : out std_logic; -- export ram_diag_data_buffer_bsn_write_export : out std_logic; -- export ram_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_equalizer_gains_address_export : out std_logic_vector(12 downto 0); -- export + ram_equalizer_gains_address_export : out std_logic_vector(13 downto 0); -- export ram_equalizer_gains_clk_export : out std_logic; -- export ram_equalizer_gains_read_export : out std_logic; -- export ram_equalizer_gains_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export ram_equalizer_gains_reset_export : out std_logic; -- export ram_equalizer_gains_write_export : out std_logic; -- export ram_equalizer_gains_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_fil_coefs_address_export : out std_logic_vector(13 downto 0); -- export + ram_fil_coefs_address_export : out std_logic_vector(14 downto 0); -- export ram_fil_coefs_clk_export : out std_logic; -- export ram_fil_coefs_read_export : out std_logic; -- export ram_fil_coefs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export @@ -133,7 +133,7 @@ PACKAGE qsys_lofar2_unb2b_sdp_station_pkg IS ram_st_histogram_reset_export : out std_logic; -- export ram_st_histogram_write_export : out std_logic; -- export ram_st_histogram_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_st_sst_address_export : out std_logic_vector(13 downto 0); -- export + ram_st_sst_address_export : out std_logic_vector(14 downto 0); -- export ram_st_sst_clk_export : out std_logic; -- export ram_st_sst_read_export : out std_logic; -- export ram_st_sst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml b/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml index 3155c2a507d4e80854ca7c45be46bb6215f91fe9..226536ab9f0f53b777950a8c08c5213ca04346dc 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/lofar2_unb2c_sdp_station.fpga.yaml @@ -21,6 +21,7 @@ parameters: - { name: c_N_taps, value: 16 } - { name: c_W_adc_jesd, value: 16 } - { name: c_W_adc, value: 14 } + - { name: c_V_oversample, value: 2 } - { name: c_V_sample_delay, value: 4096 } - { name: c_V_si_db_large, value: 131072 } - { name: c_V_si_db, value: 1024 } @@ -202,6 +203,8 @@ peripherals: - REG_SI - peripheral_name: filter/fil_ppf_w + number_of_peripherals: c_V_oversample # Disturb uses 2x oversample + peripheral_span: ceil_pow2(c_N_taps) * ceil_pow2(c_N_fft) * MM_BUS_SIZE # number_of_ports = ceil_pow2(c_N_taps), mm_port_span = ceil_pow2(c_N_fft) words parameter_overrides: - { name: g_fil_ppf.wb_factor, value: 1 } # process at sample rate (so no parallel wideband factor) - { name: g_fil_ppf.nof_chan, value: 0 } # process at sample rate (so no serial time multiplexing) @@ -213,6 +216,8 @@ peripherals: - RAM_FIL_COEFS - peripheral_name: sdp/sdp_subband_equalizer + parameter_overrides: + - { name: P_pfb, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_EQUALIZER_GAINS @@ -221,6 +226,8 @@ peripherals: - REG_DP_SELECTOR # input_select = 0 for weighted subbands, input_select = 1 for raw subbands - peripheral_name: st/st_sst_for_sdp + parameter_overrides: + - { name: g_nof_instances, value: c_V_oversample * c_P_pfb} # DISTURB uses 2x oversample so 2 X P_pfb mm_port_names: - RAM_ST_SST diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip index fc2497605897ab8330046f4e49f409a0e1936c52..7b36d6200aacf1d634d610f1a7be86659bef960a 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip @@ -2302,7 +2302,7 @@ <ipxact:parameter parameterId="dataSlaveMapParam" type="string"> <ipxact:name>dataSlaveMapParam</ipxact:name> <ipxact:displayName>dataSlaveMapParam</ipxact:displayName> - <ipxact:value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C400' end='0x10C480' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C480' end='0x10C500' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C500' end='0x10C580' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C580' end='0x10C5C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C5C0' end='0x10C600' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C600' end='0x10C640' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C640' end='0x10C680' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C680' end='0x10C6C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C6C0' end='0x10C700' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C700' end='0x10C740' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C740' end='0x10C780' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10C800' end='0x10C820' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10C820' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10C840' end='0x10C860' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10C860' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10C880' end='0x10C8A0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10C8A0' end='0x10C8C0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10C8C0' end='0x10C8E0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10C8E0' end='0x10C900' datawidth='32' /><slave name='reg_epcs.mem' start='0x10C900' end='0x10C920' datawidth='32' /><slave name='reg_remu.mem' start='0x10C920' end='0x10C940' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10C940' end='0x10C950' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10C950' end='0x10C960' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10C960' end='0x10C970' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10C970' end='0x10C980' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10C980' end='0x10C990' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10C990' end='0x10C9A0' datawidth='32' /><slave name='pio_pps.mem' start='0x10C9A0' end='0x10C9B0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10C9B0' end='0x10C9B8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10C9B8' end='0x10C9C0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10C9C0' end='0x10C9C8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10C9C8' end='0x10C9D0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10C9D0' end='0x10C9D8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10C9D8' end='0x10C9E0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10C9E0' end='0x10C9E8' datawidth='32' /><slave name='reg_si.mem' start='0x10C9E8' end='0x10C9F0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10C9F0' end='0x10C9F8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10C9F8' end='0x10CA00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CA00' end='0x10CA08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CA08' end='0x10CA10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CA10' end='0x10CA18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></ipxact:value> + <ipxact:value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134400' end='0x134480' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134480' end='0x134500' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134500' end='0x134580' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134580' end='0x1345C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1345C0' end='0x134600' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134600' end='0x134640' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134640' end='0x134680' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134680' end='0x1346C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1346C0' end='0x134700' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134700' end='0x134740' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134740' end='0x134780' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134800' end='0x134820' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134820' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134840' end='0x134860' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134860' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134880' end='0x1348A0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x1348A0' end='0x1348C0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x1348C0' end='0x1348E0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x1348E0' end='0x134900' datawidth='32' /><slave name='reg_epcs.mem' start='0x134900' end='0x134920' datawidth='32' /><slave name='reg_remu.mem' start='0x134920' end='0x134940' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134940' end='0x134950' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134950' end='0x134960' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134960' end='0x134970' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134970' end='0x134980' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134980' end='0x134990' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134990' end='0x1349A0' datawidth='32' /><slave name='pio_pps.mem' start='0x1349A0' end='0x1349B0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x1349B0' end='0x1349B8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x1349B8' end='0x1349C0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x1349C0' end='0x1349C8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x1349C8' end='0x1349D0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x1349D0' end='0x1349D8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x1349D8' end='0x1349E0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x1349E0' end='0x1349E8' datawidth='32' /><slave name='reg_si.mem' start='0x1349E8' end='0x1349F0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x1349F0' end='0x1349F8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x1349F8' end='0x134A00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134A00' end='0x134A08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134A08' end='0x134A10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134A10' end='0x134A18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="tightlyCoupledDataMaster0MapParam" type="string"> <ipxact:name>tightlyCoupledDataMaster0MapParam</ipxact:name> @@ -3589,7 +3589,7 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&gt;&lt;slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /&gt;&lt;slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /&gt;&lt;slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /&gt;&lt;slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /&gt;&lt;slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /&gt;&lt;slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /&gt;&lt;slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /&gt;&lt;slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /&gt;&lt;slave name='reg_bsn_align_v2_xsub.mem' start='0x10C400' end='0x10C480' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x10C480' end='0x10C500' datawidth='32' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x10C500' end='0x10C580' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C580' end='0x10C5C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C5C0' end='0x10C600' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C600' end='0x10C640' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C640' end='0x10C680' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C680' end='0x10C6C0' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_err_xst.mem' start='0x10C6C0' end='0x10C700' datawidth='32' /&gt;&lt;slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C700' end='0x10C740' datawidth='32' /&gt;&lt;slave name='reg_crosslets_info.mem' start='0x10C740' end='0x10C780' datawidth='32' /&gt;&lt;slave name='reg_sdp_info.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10C800' end='0x10C820' datawidth='32' /&gt;&lt;slave name='reg_bsn_align_v2_bf.mem' start='0x10C820' end='0x10C840' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10C840' end='0x10C860' datawidth='32' /&gt;&lt;slave name='reg_tr_10gbe_eth10g.mem' start='0x10C860' end='0x10C880' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10C880' end='0x10C8A0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10C8A0' end='0x10C8C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source_v2.mem' start='0x10C8C0' end='0x10C8E0' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x10C8E0' end='0x10C900' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x10C900' end='0x10C920' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x10C920' end='0x10C940' datawidth='32' /&gt;&lt;slave name='reg_ring_lane_info_bf.mem' start='0x10C940' end='0x10C950' datawidth='32' /&gt;&lt;slave name='reg_ring_info.mem' start='0x10C950' end='0x10C960' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10C960' end='0x10C970' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_bst.mem' start='0x10C970' end='0x10C980' datawidth='32' /&gt;&lt;slave name='reg_dp_xonoff.mem' start='0x10C980' end='0x10C990' datawidth='32' /&gt;&lt;slave name='reg_bf_scale.mem' start='0x10C990' end='0x10C9A0' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x10C9A0' end='0x10C9B0' datawidth='32' /&gt;&lt;slave name='reg_nof_crosslets.mem' start='0x10C9B0' end='0x10C9B8' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_xst.mem' start='0x10C9B8' end='0x10C9C0' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_sst.mem' start='0x10C9C0' end='0x10C9C8' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x10C9C8' end='0x10C9D0' datawidth='32' /&gt;&lt;slave name='reg_nw_10gbe_eth10g.mem' start='0x10C9D0' end='0x10C9D8' datawidth='32' /&gt;&lt;slave name='reg_dp_selector.mem' start='0x10C9D8' end='0x10C9E0' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x10C9E0' end='0x10C9E8' datawidth='32' /&gt;&lt;slave name='reg_si.mem' start='0x10C9E8' end='0x10C9F0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x10C9F0' end='0x10C9F8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x10C9F8' end='0x10CA00' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x10CA00' end='0x10CA08' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x10CA08' end='0x10CA10' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CA10' end='0x10CA18' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /&gt;&lt;slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&gt;&lt;slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /&gt;&lt;slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /&gt;&lt;slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /&gt;&lt;slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /&gt;&lt;slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /&gt;&lt;slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /&gt;&lt;slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /&gt;&lt;slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /&gt;&lt;slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /&gt;&lt;slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /&gt;&lt;slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /&gt;&lt;slave name='reg_bsn_align_v2_xsub.mem' start='0x134400' end='0x134480' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x134480' end='0x134500' datawidth='32' /&gt;&lt;slave name='reg_dp_shiftram.mem' start='0x134500' end='0x134580' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134580' end='0x1345C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1345C0' end='0x134600' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134600' end='0x134640' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134640' end='0x134680' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134680' end='0x1346C0' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_err_xst.mem' start='0x1346C0' end='0x134700' datawidth='32' /&gt;&lt;slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134700' end='0x134740' datawidth='32' /&gt;&lt;slave name='reg_crosslets_info.mem' start='0x134740' end='0x134780' datawidth='32' /&gt;&lt;slave name='reg_sdp_info.mem' start='0x134780' end='0x1347C0' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0x1347C0' end='0x134800' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134800' end='0x134820' datawidth='32' /&gt;&lt;slave name='reg_bsn_align_v2_bf.mem' start='0x134820' end='0x134840' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134840' end='0x134860' datawidth='32' /&gt;&lt;slave name='reg_tr_10gbe_eth10g.mem' start='0x134860' end='0x134880' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134880' end='0x1348A0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x1348A0' end='0x1348C0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source_v2.mem' start='0x1348C0' end='0x1348E0' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x1348E0' end='0x134900' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x134900' end='0x134920' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x134920' end='0x134940' datawidth='32' /&gt;&lt;slave name='reg_ring_lane_info_bf.mem' start='0x134940' end='0x134950' datawidth='32' /&gt;&lt;slave name='reg_ring_info.mem' start='0x134950' end='0x134960' datawidth='32' /&gt;&lt;slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134960' end='0x134970' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_bst.mem' start='0x134970' end='0x134980' datawidth='32' /&gt;&lt;slave name='reg_dp_xonoff.mem' start='0x134980' end='0x134990' datawidth='32' /&gt;&lt;slave name='reg_bf_scale.mem' start='0x134990' end='0x1349A0' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x1349A0' end='0x1349B0' datawidth='32' /&gt;&lt;slave name='reg_nof_crosslets.mem' start='0x1349B0' end='0x1349B8' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_xst.mem' start='0x1349B8' end='0x1349C0' datawidth='32' /&gt;&lt;slave name='reg_stat_enable_sst.mem' start='0x1349C0' end='0x1349C8' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x1349C8' end='0x1349D0' datawidth='32' /&gt;&lt;slave name='reg_nw_10gbe_eth10g.mem' start='0x1349D0' end='0x1349D8' datawidth='32' /&gt;&lt;slave name='reg_dp_selector.mem' start='0x1349D8' end='0x1349E0' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x1349E0' end='0x1349E8' datawidth='32' /&gt;&lt;slave name='reg_si.mem' start='0x1349E8' end='0x1349F0' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x1349F0' end='0x1349F8' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x1349F8' end='0x134A00' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x134A00' end='0x134A08' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x134A08' end='0x134A10' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x134A10' end='0x134A18' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</value> </entry> <entry> <key>ADDRESS_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip index 2d023f2008c88bf2c88f2ae07b9be0c815763243..25c86334f48878443a250dc2e74b39f4524727db 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip @@ -139,7 +139,7 @@ <ipxact:parameter parameterId="addressSpan" type="string"> <ipxact:name>addressSpan</ipxact:name> <ipxact:displayName>Address span</ipxact:displayName> - <ipxact:value>32768</ipxact:value> + <ipxact:value>65536</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="addressUnits" type="string"> <ipxact:name>addressUnits</ipxact:name> @@ -667,7 +667,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>12</ipxact:right> + <ipxact:right>13</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -773,7 +773,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>12</ipxact:right> + <ipxact:right>13</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="g_adr_w" type="int"> <ipxact:name>g_adr_w</ipxact:name> <ipxact:displayName>g_adr_w</ipxact:displayName> - <ipxact:value>13</ipxact:value> + <ipxact:value>14</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="g_dat_w" type="int"> <ipxact:name>g_dat_w</ipxact:name> @@ -997,7 +997,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1066,7 +1066,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -1295,7 +1295,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1462,11 +1462,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10000' datawidth='32' /&gt;&lt;/address-map&gt;</value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>15</value> + <value>16</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip index ea72955b322c7baf3566e7078987f6bddedd25d1..e40819858e4e1b18383b63f49cf2ba4ee88587ab 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip @@ -139,7 +139,7 @@ <ipxact:parameter parameterId="addressSpan" type="string"> <ipxact:name>addressSpan</ipxact:name> <ipxact:displayName>Address span</ipxact:displayName> - <ipxact:value>65536</ipxact:value> + <ipxact:value>131072</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="addressUnits" type="string"> <ipxact:name>addressUnits</ipxact:name> @@ -667,7 +667,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>13</ipxact:right> + <ipxact:right>14</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -773,7 +773,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>13</ipxact:right> + <ipxact:right>14</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="g_adr_w" type="int"> <ipxact:name>g_adr_w</ipxact:name> <ipxact:displayName>g_adr_w</ipxact:displayName> - <ipxact:value>14</ipxact:value> + <ipxact:value>15</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="g_dat_w" type="int"> <ipxact:name>g_dat_w</ipxact:name> @@ -997,7 +997,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1066,7 +1066,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -1295,7 +1295,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1462,11 +1462,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20000' datawidth='32' /&gt;&lt;/address-map&gt;</value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip index 6dd5191ee9830ecc9d1ac89dfb333a8dd724480f..533be46b78230b19d615dad5e0db35f81b4219b4 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip @@ -139,7 +139,7 @@ <ipxact:parameter parameterId="addressSpan" type="string"> <ipxact:name>addressSpan</ipxact:name> <ipxact:displayName>Address span</ipxact:displayName> - <ipxact:value>65536</ipxact:value> + <ipxact:value>131072</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="addressUnits" type="string"> <ipxact:name>addressUnits</ipxact:name> @@ -667,7 +667,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>13</ipxact:right> + <ipxact:right>14</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -773,7 +773,7 @@ <ipxact:vectors> <ipxact:vector> <ipxact:left>0</ipxact:left> - <ipxact:right>13</ipxact:right> + <ipxact:right>14</ipxact:right> </ipxact:vector> </ipxact:vectors> <ipxact:wireTypeDefs> @@ -860,7 +860,7 @@ <ipxact:parameter parameterId="g_adr_w" type="int"> <ipxact:name>g_adr_w</ipxact:name> <ipxact:displayName>g_adr_w</ipxact:displayName> - <ipxact:value>14</ipxact:value> + <ipxact:value>15</ipxact:value> </ipxact:parameter> <ipxact:parameter parameterId="g_dat_w" type="int"> <ipxact:name>g_dat_w</ipxact:name> @@ -997,7 +997,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1066,7 +1066,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -1295,7 +1295,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -1462,11 +1462,11 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10000' datawidth='32' /&gt;&lt;/address-map&gt;</value> + <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20000' datawidth='32' /&gt;&lt;/address-map&gt;</value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/qsys_lofar2_unb2c_sdp_station.qsys b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/qsys_lofar2_unb2c_sdp_station.qsys index 2f380edef643f6f6d7f1fd27c48aead80a0a25e2..67b6afa9b53eba540562ccdec1c5d32642e1845d 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/qsys_lofar2_unb2c_sdp_station.qsys +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/qsys_lofar2_unb2c_sdp_station.qsys @@ -83,7 +83,7 @@ { datum baseAddress { - value = "1081344"; + value = "1245184"; type = "String"; } } @@ -99,7 +99,7 @@ { datum baseAddress { - value = "1100304"; + value = "1264144"; type = "String"; } } @@ -144,7 +144,7 @@ { datum baseAddress { - value = "1100232"; + value = "1264072"; type = "String"; } } @@ -165,7 +165,7 @@ { datum baseAddress { - value = "1100192"; + value = "1264032"; type = "String"; } } @@ -250,7 +250,7 @@ { datum baseAddress { - value = "32768"; + value = "1048576"; type = "String"; } } @@ -266,7 +266,7 @@ { datum baseAddress { - value = "917504"; + value = "786432"; type = "String"; } } @@ -298,7 +298,7 @@ { datum baseAddress { - value = "786432"; + value = "1114112"; type = "String"; } } @@ -330,7 +330,7 @@ { datum baseAddress { - value = "98304"; + value = "32768"; type = "String"; } } @@ -346,7 +346,7 @@ { datum baseAddress { - value = "983040"; + value = "917504"; type = "String"; } } @@ -378,7 +378,7 @@ { datum baseAddress { - value = "851968"; + value = "1179648"; type = "String"; } } @@ -394,7 +394,7 @@ { datum baseAddress { - value = "1098496"; + value = "1262336"; type = "String"; } } @@ -410,7 +410,7 @@ { datum baseAddress { - value = "1100176"; + value = "1264016"; type = "String"; } } @@ -426,7 +426,7 @@ { datum baseAddress { - value = "1099808"; + value = "1263648"; type = "String"; } } @@ -442,7 +442,7 @@ { datum baseAddress { - value = "1098752"; + value = "1262592"; type = "String"; } } @@ -474,7 +474,7 @@ { datum baseAddress { - value = "1099264"; + value = "1263104"; type = "String"; } } @@ -490,7 +490,7 @@ { datum baseAddress { - value = "1099936"; + value = "1263776"; type = "String"; } } @@ -506,7 +506,7 @@ { datum baseAddress { - value = "1099328"; + value = "1263168"; type = "String"; } } @@ -522,7 +522,7 @@ { datum baseAddress { - value = "1099392"; + value = "1263232"; type = "String"; } } @@ -538,7 +538,7 @@ { datum baseAddress { - value = "1099200"; + value = "1263040"; type = "String"; } } @@ -570,7 +570,7 @@ { datum baseAddress { - value = "1099136"; + value = "1262976"; type = "String"; } } @@ -634,7 +634,7 @@ { datum baseAddress { - value = "1099840"; + value = "1263680"; type = "String"; } } @@ -650,7 +650,7 @@ { datum baseAddress { - value = "1099904"; + value = "1263744"; type = "String"; } } @@ -666,7 +666,7 @@ { datum baseAddress { - value = "1100256"; + value = "1264096"; type = "String"; } } @@ -682,7 +682,7 @@ { datum baseAddress { - value = "1099968"; + value = "1263808"; type = "String"; } } @@ -698,7 +698,7 @@ { datum baseAddress { - value = "1099520"; + value = "1263360"; type = "String"; } } @@ -714,7 +714,7 @@ { datum baseAddress { - value = "1099584"; + value = "1263424"; type = "String"; } } @@ -730,7 +730,7 @@ { datum baseAddress { - value = "1098880"; + value = "1262720"; type = "String"; } } @@ -746,7 +746,7 @@ { datum baseAddress { - value = "1099776"; + value = "1263616"; type = "String"; } } @@ -762,7 +762,7 @@ { datum baseAddress { - value = "1100128"; + value = "1263968"; type = "String"; } } @@ -794,7 +794,7 @@ { datum baseAddress { - value = "1099456"; + value = "1263296"; type = "String"; } } @@ -810,7 +810,7 @@ { datum baseAddress { - value = "1100248"; + value = "1264088"; type = "String"; } } @@ -826,7 +826,7 @@ { datum baseAddress { - value = "1099008"; + value = "1262848"; type = "String"; } } @@ -842,7 +842,7 @@ { datum baseAddress { - value = "1100160"; + value = "1264000"; type = "String"; } } @@ -863,7 +863,7 @@ { datum baseAddress { - value = "1100296"; + value = "1264136"; type = "String"; } } @@ -884,7 +884,7 @@ { datum baseAddress { - value = "1100288"; + value = "1264128"; type = "String"; } } @@ -905,7 +905,7 @@ { datum baseAddress { - value = "1100032"; + value = "1263872"; type = "String"; } } @@ -921,7 +921,7 @@ { datum baseAddress { - value = "1100000"; + value = "1263840"; type = "String"; } } @@ -942,7 +942,7 @@ { datum baseAddress { - value = "1099712"; + value = "1263552"; type = "String"; } } @@ -958,7 +958,7 @@ { datum baseAddress { - value = "1097728"; + value = "1261568"; type = "String"; } } @@ -979,7 +979,7 @@ { datum baseAddress { - value = "1100280"; + value = "1264120"; type = "String"; } } @@ -1000,7 +1000,7 @@ { datum baseAddress { - value = "1100272"; + value = "1264112"; type = "String"; } } @@ -1016,7 +1016,7 @@ { datum baseAddress { - value = "1100208"; + value = "1264048"; type = "String"; } } @@ -1032,7 +1032,7 @@ { datum baseAddress { - value = "1100240"; + value = "1264080"; type = "String"; } } @@ -1048,7 +1048,7 @@ { datum baseAddress { - value = "1048576"; + value = "98304"; type = "String"; } } @@ -1069,7 +1069,7 @@ { datum baseAddress { - value = "1100064"; + value = "1263904"; type = "String"; } } @@ -1085,7 +1085,7 @@ { datum baseAddress { - value = "1100112"; + value = "1263952"; type = "String"; } } @@ -1101,7 +1101,7 @@ { datum baseAddress { - value = "1100096"; + value = "1263936"; type = "String"; } } @@ -1133,7 +1133,7 @@ { datum baseAddress { - value = "1099648"; + value = "1263488"; type = "String"; } } @@ -1149,7 +1149,7 @@ { datum baseAddress { - value = "1100264"; + value = "1264104"; type = "String"; } } @@ -1165,7 +1165,7 @@ { datum baseAddress { - value = "1100144"; + value = "1263984"; type = "String"; } } @@ -1181,7 +1181,7 @@ { datum baseAddress { - value = "1100224"; + value = "1264064"; type = "String"; } } @@ -1197,7 +1197,7 @@ { datum baseAddress { - value = "1100216"; + value = "1264056"; type = "String"; } } @@ -1261,7 +1261,7 @@ { datum baseAddress { - value = "1099872"; + value = "1263712"; type = "String"; } } @@ -1319,7 +1319,7 @@ { datum baseAddress { - value = "1098240"; + value = "1262080"; type = "String"; } } @@ -8497,7 +8497,7 @@ <consumedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0xC0000' end='0xD0000' datawidth='32' /><slave name='ram_wg.mem' start='0xD0000' end='0xE0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xE0000' end='0xF0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xF0000' end='0x100000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x100000' end='0x108000' datawidth='32' /><slave name='jesd204b.mem' start='0x108000' end='0x10C000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x10C000' end='0x10C200' datawidth='32' /><slave name='reg_wg.mem' start='0x10C200' end='0x10C300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x10C300' end='0x10C400' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x10C400' end='0x10C480' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x10C480' end='0x10C500' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x10C500' end='0x10C580' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x10C580' end='0x10C5C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x10C5C0' end='0x10C600' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x10C600' end='0x10C640' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x10C640' end='0x10C680' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x10C680' end='0x10C6C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x10C6C0' end='0x10C700' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x10C700' end='0x10C740' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x10C740' end='0x10C780' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x10C780' end='0x10C7C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x10C7C0' end='0x10C800' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x10C800' end='0x10C820' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x10C820' end='0x10C840' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x10C840' end='0x10C860' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x10C860' end='0x10C880' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x10C880' end='0x10C8A0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x10C8A0' end='0x10C8C0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x10C8C0' end='0x10C8E0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x10C8E0' end='0x10C900' datawidth='32' /><slave name='reg_epcs.mem' start='0x10C900' end='0x10C920' datawidth='32' /><slave name='reg_remu.mem' start='0x10C920' end='0x10C940' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x10C940' end='0x10C950' datawidth='32' /><slave name='reg_ring_info.mem' start='0x10C950' end='0x10C960' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x10C960' end='0x10C970' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x10C970' end='0x10C980' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x10C980' end='0x10C990' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x10C990' end='0x10C9A0' datawidth='32' /><slave name='pio_pps.mem' start='0x10C9A0' end='0x10C9B0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x10C9B0' end='0x10C9B8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x10C9B8' end='0x10C9C0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x10C9C0' end='0x10C9C8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x10C9C8' end='0x10C9D0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x10C9D0' end='0x10C9D8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x10C9D8' end='0x10C9E0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x10C9E0' end='0x10C9E8' datawidth='32' /><slave name='reg_si.mem' start='0x10C9E8' end='0x10C9F0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x10C9F0' end='0x10C9F8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x10C9F8' end='0x10CA00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x10CA00' end='0x10CA08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x10CA08' end='0x10CA10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x10CA10' end='0x10CA18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> + <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='reg_dp_block_validate_err_bf.mem' start='0x80' end='0x100' datawidth='32' /><slave name='reg_stat_hdr_dat_xst.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_xsub.mem' start='0x200' end='0x400' datawidth='32' /><slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /><slave name='ram_scrap.mem' start='0x800' end='0x1000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='reg_ring_lane_info_xst.mem' start='0x3008' end='0x3010' datawidth='32' /><slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /><slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /><slave name='avs_eth_0.mms_reg' start='0x3040' end='0x3080' datawidth='32' /><slave name='reg_bsn_monitor_v2_rx_align_bf.mem' start='0x3080' end='0x3100' datawidth='32' /><slave name='reg_stat_hdr_dat_sst.mem' start='0x3100' end='0x3200' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_xst.mem' start='0x3200' end='0x3400' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_xst.mem' start='0x3400' end='0x3600' datawidth='32' /><slave name='reg_stat_hdr_dat_bst.mem' start='0x3600' end='0x3800' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='ram_st_bst.mem' start='0x4000' end='0x8000' datawidth='32' /><slave name='ram_st_histogram.mem' start='0x8000' end='0x10000' datawidth='32' /><slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /><slave name='reg_nw_10gbe_mac.mem' start='0x18000' end='0x20000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /><slave name='ram_st_xsq.mem' start='0x40000' end='0x80000' datawidth='32' /><slave name='ram_bf_weights.mem' start='0x80000' end='0xA0000' datawidth='32' /><slave name='reg_tr_10gbe_mac.mem' start='0xA0000' end='0xC0000' datawidth='32' /><slave name='ram_fil_coefs.mem' start='0xC0000' end='0xE0000' datawidth='32' /><slave name='ram_st_sst.mem' start='0xE0000' end='0x100000' datawidth='32' /><slave name='ram_equalizer_gains.mem' start='0x100000' end='0x110000' datawidth='32' /><slave name='ram_ss_ss_wide.mem' start='0x110000' end='0x120000' datawidth='32' /><slave name='ram_wg.mem' start='0x120000' end='0x130000' datawidth='32' /><slave name='jesd204b.mem' start='0x130000' end='0x134000' datawidth='32' /><slave name='reg_hdr_dat.mem' start='0x134000' end='0x134200' datawidth='32' /><slave name='reg_wg.mem' start='0x134200' end='0x134300' datawidth='32' /><slave name='reg_aduh_monitor.mem' start='0x134300' end='0x134400' datawidth='32' /><slave name='reg_bsn_align_v2_xsub.mem' start='0x134400' end='0x134480' datawidth='32' /><slave name='reg_diag_data_buffer_bsn.mem' start='0x134480' end='0x134500' datawidth='32' /><slave name='reg_dp_shiftram.mem' start='0x134500' end='0x134580' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_tx_bf.mem' start='0x134580' end='0x1345C0' datawidth='32' /><slave name='reg_bsn_monitor_v2_ring_rx_bf.mem' start='0x1345C0' end='0x134600' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_bf.mem' start='0x134600' end='0x134640' datawidth='32' /><slave name='reg_bsn_monitor_v2_beamlet_output.mem' start='0x134640' end='0x134680' datawidth='32' /><slave name='reg_bsn_monitor_v2_bst_offload.mem' start='0x134680' end='0x1346C0' datawidth='32' /><slave name='reg_dp_block_validate_err_xst.mem' start='0x1346C0' end='0x134700' datawidth='32' /><slave name='reg_bsn_sync_scheduler_xsub.mem' start='0x134700' end='0x134740' datawidth='32' /><slave name='reg_crosslets_info.mem' start='0x134740' end='0x134780' datawidth='32' /><slave name='reg_sdp_info.mem' start='0x134780' end='0x1347C0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0x1347C0' end='0x134800' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_bf.mem' start='0x134800' end='0x134820' datawidth='32' /><slave name='reg_bsn_align_v2_bf.mem' start='0x134820' end='0x134840' datawidth='32' /><slave name='reg_bsn_monitor_v2_sst_offload.mem' start='0x134840' end='0x134860' datawidth='32' /><slave name='reg_tr_10gbe_eth10g.mem' start='0x134860' end='0x134880' datawidth='32' /><slave name='reg_bsn_monitor_v2_xst_offload.mem' start='0x134880' end='0x1348A0' datawidth='32' /><slave name='reg_bsn_monitor_v2_aligned_xsub.mem' start='0x1348A0' end='0x1348C0' datawidth='32' /><slave name='reg_bsn_source_v2.mem' start='0x1348C0' end='0x1348E0' datawidth='32' /><slave name='reg_fpga_temp_sens.mem' start='0x1348E0' end='0x134900' datawidth='32' /><slave name='reg_epcs.mem' start='0x134900' end='0x134920' datawidth='32' /><slave name='reg_remu.mem' start='0x134920' end='0x134940' datawidth='32' /><slave name='reg_ring_lane_info_bf.mem' start='0x134940' end='0x134950' datawidth='32' /><slave name='reg_ring_info.mem' start='0x134950' end='0x134960' datawidth='32' /><slave name='reg_dp_block_validate_bsn_at_sync_xst.mem' start='0x134960' end='0x134970' datawidth='32' /><slave name='reg_stat_enable_bst.mem' start='0x134970' end='0x134980' datawidth='32' /><slave name='reg_dp_xonoff.mem' start='0x134980' end='0x134990' datawidth='32' /><slave name='reg_bf_scale.mem' start='0x134990' end='0x1349A0' datawidth='32' /><slave name='pio_pps.mem' start='0x1349A0' end='0x1349B0' datawidth='32' /><slave name='reg_nof_crosslets.mem' start='0x1349B0' end='0x1349B8' datawidth='32' /><slave name='reg_stat_enable_xst.mem' start='0x1349B8' end='0x1349C0' datawidth='32' /><slave name='reg_stat_enable_sst.mem' start='0x1349C0' end='0x1349C8' datawidth='32' /><slave name='pio_jesd_ctrl.mem' start='0x1349C8' end='0x1349D0' datawidth='32' /><slave name='reg_nw_10gbe_eth10g.mem' start='0x1349D0' end='0x1349D8' datawidth='32' /><slave name='reg_dp_selector.mem' start='0x1349D8' end='0x1349E0' datawidth='32' /><slave name='reg_bsn_scheduler.mem' start='0x1349E0' end='0x1349E8' datawidth='32' /><slave name='reg_si.mem' start='0x1349E8' end='0x1349F0' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x1349F0' end='0x1349F8' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x1349F8' end='0x134A00' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x134A00' end='0x134A08' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x134A08' end='0x134A10' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x134A10' end='0x134A18' datawidth='32' /><slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> @@ -19557,7 +19557,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -19626,7 +19626,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -19855,7 +19855,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20033,11 +20033,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>15</value> + <value>16</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -20137,7 +20137,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20206,7 +20206,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32768</value> + <value>65536</value> </entry> <entry> <key>addressUnits</key> @@ -20435,7 +20435,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>13</width> + <width>14</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20635,7 +20635,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20699,7 +20699,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -20768,7 +20768,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -21174,11 +21174,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -21215,7 +21215,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -21279,7 +21279,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -21348,7 +21348,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -26340,7 +26340,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -26404,7 +26404,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -26473,7 +26473,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -26879,11 +26879,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x10000' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>16</value> + <value>17</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -26920,7 +26920,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -26984,7 +26984,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>14</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -27053,7 +27053,7 @@ </entry> <entry> <key>addressSpan</key> - <value>65536</value> + <value>131072</value> </entry> <entry> <key>addressUnits</key> @@ -96093,7 +96093,7 @@ start="cpu_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010ca10" /> + <parameter name="baseAddress" value="0x00134a10" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96173,7 +96173,7 @@ start="cpu_0.data_master" end="pio_pps.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9a0" /> + <parameter name="baseAddress" value="0x001349a0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96213,7 +96213,7 @@ start="cpu_0.data_master" end="reg_remu.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c920" /> + <parameter name="baseAddress" value="0x00134920" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96233,7 +96233,7 @@ start="cpu_0.data_master" end="reg_epcs.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c900" /> + <parameter name="baseAddress" value="0x00134900" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96253,7 +96253,7 @@ start="cpu_0.data_master" end="reg_dpmm_ctrl.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010ca08" /> + <parameter name="baseAddress" value="0x00134a08" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96273,7 +96273,7 @@ start="cpu_0.data_master" end="reg_dpmm_data.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010ca00" /> + <parameter name="baseAddress" value="0x00134a00" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96293,7 +96293,7 @@ start="cpu_0.data_master" end="reg_mmdp_ctrl.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9f8" /> + <parameter name="baseAddress" value="0x001349f8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96313,7 +96313,7 @@ start="cpu_0.data_master" end="reg_mmdp_data.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9f0" /> + <parameter name="baseAddress" value="0x001349f0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96333,7 +96333,7 @@ start="cpu_0.data_master" end="reg_fpga_temp_sens.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c8e0" /> + <parameter name="baseAddress" value="0x001348e0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96353,7 +96353,7 @@ start="cpu_0.data_master" end="reg_fpga_voltage_sens.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c7c0" /> + <parameter name="baseAddress" value="0x001347c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96373,7 +96373,7 @@ start="cpu_0.data_master" end="ram_st_sst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x000f0000" /> + <parameter name="baseAddress" value="0x000e0000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96393,7 +96393,7 @@ start="cpu_0.data_master" end="reg_si.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9e8" /> + <parameter name="baseAddress" value="0x001349e8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96413,7 +96413,7 @@ start="cpu_0.data_master" end="ram_fil_coefs.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x000e0000" /> + <parameter name="baseAddress" value="0x000c0000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96453,7 +96453,7 @@ start="cpu_0.data_master" end="reg_aduh_monitor.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c300" /> + <parameter name="baseAddress" value="0x00134300" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96473,7 +96473,7 @@ start="cpu_0.data_master" end="ram_wg.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x000d0000" /> + <parameter name="baseAddress" value="0x00120000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96493,7 +96493,7 @@ start="cpu_0.data_master" end="reg_dp_shiftram.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c500" /> + <parameter name="baseAddress" value="0x00134500" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96513,7 +96513,7 @@ start="cpu_0.data_master" end="reg_bsn_scheduler.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9e0" /> + <parameter name="baseAddress" value="0x001349e0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96533,7 +96533,7 @@ start="cpu_0.data_master" end="reg_bsn_source_v2.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c8c0" /> + <parameter name="baseAddress" value="0x001348c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96553,7 +96553,7 @@ start="cpu_0.data_master" end="reg_wg.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c200" /> + <parameter name="baseAddress" value="0x00134200" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96593,7 +96593,7 @@ start="cpu_0.data_master" end="jesd204b.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x00108000" /> + <parameter name="baseAddress" value="0x00130000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96613,7 +96613,7 @@ start="cpu_0.data_master" end="reg_dp_selector.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9d8" /> + <parameter name="baseAddress" value="0x001349d8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96633,7 +96633,7 @@ start="cpu_0.data_master" end="ram_ss_ss_wide.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x000c0000" /> + <parameter name="baseAddress" value="0x00110000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96653,7 +96653,7 @@ start="cpu_0.data_master" end="reg_bf_scale.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c990" /> + <parameter name="baseAddress" value="0x00134990" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96673,7 +96673,7 @@ start="cpu_0.data_master" end="reg_hdr_dat.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c000" /> + <parameter name="baseAddress" value="0x00134000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96693,7 +96693,7 @@ start="cpu_0.data_master" end="reg_dp_xonoff.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c980" /> + <parameter name="baseAddress" value="0x00134980" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96733,7 +96733,7 @@ start="cpu_0.data_master" end="reg_sdp_info.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c780" /> + <parameter name="baseAddress" value="0x00134780" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96753,7 +96753,7 @@ start="cpu_0.data_master" end="reg_nw_10gbe_eth10g.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9d0" /> + <parameter name="baseAddress" value="0x001349d0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96773,7 +96773,7 @@ start="cpu_0.data_master" end="reg_nw_10gbe_mac.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x00100000" /> + <parameter name="baseAddress" value="0x00018000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96793,7 +96793,7 @@ start="cpu_0.data_master" end="reg_diag_data_buffer_bsn.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c480" /> + <parameter name="baseAddress" value="0x00134480" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96833,7 +96833,7 @@ start="cpu_0.data_master" end="pio_jesd_ctrl.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9c8" /> + <parameter name="baseAddress" value="0x001349c8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96853,7 +96853,7 @@ start="cpu_0.data_master" end="reg_stat_enable_sst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9c0" /> + <parameter name="baseAddress" value="0x001349c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96893,7 +96893,7 @@ start="cpu_0.data_master" end="reg_stat_enable_bst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c970" /> + <parameter name="baseAddress" value="0x00134970" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96933,7 +96933,7 @@ start="cpu_0.data_master" end="reg_crosslets_info.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c740" /> + <parameter name="baseAddress" value="0x00134740" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -96973,7 +96973,7 @@ start="cpu_0.data_master" end="reg_stat_enable_xst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9b8" /> + <parameter name="baseAddress" value="0x001349b8" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97013,7 +97013,7 @@ start="cpu_0.data_master" end="reg_bsn_sync_scheduler_xsub.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c700" /> + <parameter name="baseAddress" value="0x00134700" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97033,7 +97033,7 @@ start="cpu_0.data_master" end="ram_st_histogram.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x00018000" /> + <parameter name="baseAddress" value="0x8000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97053,7 +97053,7 @@ start="cpu_0.data_master" end="reg_nof_crosslets.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c9b0" /> + <parameter name="baseAddress" value="0x001349b0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97073,7 +97073,7 @@ start="cpu_0.data_master" end="reg_bsn_align_v2_xsub.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c400" /> + <parameter name="baseAddress" value="0x00134400" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97093,7 +97093,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_aligned_xsub.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c8a0" /> + <parameter name="baseAddress" value="0x001348a0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97113,7 +97113,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_xst_offload.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c880" /> + <parameter name="baseAddress" value="0x00134880" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97193,7 +97193,7 @@ start="cpu_0.data_master" end="reg_dp_block_validate_err_xst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c6c0" /> + <parameter name="baseAddress" value="0x001346c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97213,7 +97213,7 @@ start="cpu_0.data_master" end="reg_dp_block_validate_bsn_at_sync_xst.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c960" /> + <parameter name="baseAddress" value="0x00134960" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97233,7 +97233,7 @@ start="cpu_0.data_master" end="reg_ring_info.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c950" /> + <parameter name="baseAddress" value="0x00134950" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97253,7 +97253,7 @@ start="cpu_0.data_master" end="reg_tr_10gbe_eth10g.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c860" /> + <parameter name="baseAddress" value="0x00134860" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97313,7 +97313,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_sst_offload.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c840" /> + <parameter name="baseAddress" value="0x00134840" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97333,7 +97333,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_bst_offload.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c680" /> + <parameter name="baseAddress" value="0x00134680" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97353,7 +97353,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_beamlet_output.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c640" /> + <parameter name="baseAddress" value="0x00134640" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97373,7 +97373,7 @@ start="cpu_0.data_master" end="reg_bsn_align_v2_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c820" /> + <parameter name="baseAddress" value="0x00134820" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97413,7 +97413,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_aligned_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c600" /> + <parameter name="baseAddress" value="0x00134600" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97433,7 +97433,7 @@ start="cpu_0.data_master" end="reg_ring_lane_info_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c940" /> + <parameter name="baseAddress" value="0x00134940" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97453,7 +97453,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_ring_rx_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c5c0" /> + <parameter name="baseAddress" value="0x001345c0" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97473,7 +97473,7 @@ start="cpu_0.data_master" end="reg_bsn_monitor_v2_ring_tx_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c580" /> + <parameter name="baseAddress" value="0x00134580" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97513,7 +97513,7 @@ start="cpu_0.data_master" end="reg_dp_block_validate_bsn_at_sync_bf.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x0010c800" /> + <parameter name="baseAddress" value="0x00134800" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> @@ -97553,7 +97553,7 @@ start="cpu_0.data_master" end="ram_equalizer_gains.mem"> <parameter name="arbitrationPriority" value="1" /> - <parameter name="baseAddress" value="0x8000" /> + <parameter name="baseAddress" value="0x00100000" /> <parameter name="defaultConnection" value="false" /> <parameter name="domainAlias" value="" /> <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd new file mode 100644 index 0000000000000000000000000000000000000000..dae5fadcbac5f0875667aa45de31828b4f53938c --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full.vhd @@ -0,0 +1,165 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2021 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at +-- +-- http://www.apache.org/licenses/LICENSE-2.0 +-- +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. +-- +------------------------------------------------------------------------------- + +-- Author : R. van der Walle +-- Purpose: +-- Wrapper for Lofar2 SDP Station full design +-- Description: +-- Unb2c version for lab testing +-- Contains complete AIT input stage with 12 ADC streams, FSUB, XSUB, BF and RING + + +LIBRARY IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE unb2c_board_lib.unb2c_board_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; + +ENTITY disturb2_unb2c_sdp_station_full IS + GENERIC ( + g_design_name : STRING := "disturb2_unb2c_sdp_station_full"; + g_design_note : STRING := "Disturb2 SDP station full design"; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0); + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0); + -- LEDs + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp_nof_leds-1 DOWNTO 0); + + -- ring transceivers + RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces + RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0); + RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); + RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0); + + -- back transceivers (note only 12 are used in unb2c) + BCK_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_tr_jesd204b-1 DOWNTO 0); -- c_unb2c_board_nof_tr_jesd204b = c_sdp_S_pn = 12 + BCK_REF_CLK : IN STD_LOGIC; -- Use as JESD204B_REFCLK + + -- jesd204b syncronization signals (4 syncs) + JESD204B_SYSREF : IN STD_LOGIC; + JESD204B_SYNC_N : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_sync_jesd204b-1 DOWNTO 0) -- c_unb2c_board_nof_sync_jesd204b = c_sdp_N_sync_jesd = 4 + ); +END disturb2_unb2c_sdp_station_full; + +ARCHITECTURE str OF disturb2_unb2c_sdp_station_full IS + + SIGNAL JESD204B_SERIAL_DATA : STD_LOGIC_VECTOR((c_unb2c_board_tr_jesd204b.bus_w*c_unb2c_board_tr_jesd204b.nof_bus)-1 DOWNTO 0); + SIGNAL jesd204b_sync_n_arr : STD_LOGIC_VECTOR(c_unb2c_board_nof_sync_jesd204b-1 DOWNTO 0); + SIGNAL JESD204B_REFCLK : STD_LOGIC; + +BEGIN + + -- Mapping between JESD signal names and UNB2B pin/schematic names + JESD204B_REFCLK <= BCK_REF_CLK; + JESD204B_SERIAL_DATA <= BCK_RX; + JESD204B_SYNC_N(c_unb2c_board_nof_sync_jesd204b-1 DOWNTO 0) <= jesd204b_sync_n_arr(c_unb2c_board_nof_sync_jesd204b-1 DOWNTO 0); + + u_revision : ENTITY lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station + GENERIC MAP ( + g_design_name => g_design_name, + g_design_note => g_design_note, + g_sim => g_sim, + g_sim_unb_nr => g_sim_unb_nr, + g_sim_node_nr => g_sim_node_nr, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_revision_id => g_revision_id + ) + PORT MAP ( + -- GENERAL + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + + -- Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + + -- 1GbE Control Interface + ETH_clk => ETH_clk, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT, + + -- Transceiver clocks + SA_CLK => SA_CLK, + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX => QSFP_0_RX, + QSFP_0_TX => QSFP_0_TX, + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX => QSFP_1_RX, + QSFP_1_TX => QSFP_1_TX, + -- LEDs + QSFP_LED => QSFP_LED, + + -- ring transceivers + RING_0_RX => RING_0_RX, + RING_0_TX => RING_0_TX, + RING_1_RX => RING_1_RX, + RING_1_TX => RING_1_TX, + + -- back transceivers + JESD204B_SERIAL_DATA => JESD204B_SERIAL_DATA, + JESD204B_REFCLK => JESD204B_REFCLK, + + -- jesd204b syncronization signals + JESD204B_SYSREF => JESD204B_SYSREF, + JESD204B_SYNC_N => jesd204b_sync_n_arr + ); +END str; diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full_pins.tcl b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..273a627af9469a3f619164eee8ded453c302cb7f --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/disturb2_unb2c_sdp_station_full_pins.tcl @@ -0,0 +1,26 @@ +############################################################################### +# +# Copyright (C) 2022 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_jesd_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_ring_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_beamlets_pins.tcl + + diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..1b6f161754fd025476117c836247694ef5ff6491 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full/hdllib.cfg @@ -0,0 +1,129 @@ +hdl_lib_name = disturb2_unb2c_sdp_station_full +hdl_library_clause_name = disturb2_unb2c_sdp_station_full_lib +hdl_lib_uses_synth = common mm technology unb2c_board lofar2_unb2c_sdp_station +hdl_lib_uses_sim = eth +hdl_lib_technology = ip_arria10_e2sg + + synth_files = + disturb2_unb2c_sdp_station_full.vhd + +test_bench_files = + +regression_test_vhdl = + +[modelsim_project_file] +modelsim_copy_files = + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + # Overwrite bf weights with sim data + ../../tb/data data + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ + ../../quartus . + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + +quartus_qsf_files = + $RADIOHDL_WORK/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.qsf + +# use lofar2_unb2c_sdp_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. +quartus_sdc_files = + ../../quartus/lofar2_unb2c_sdp_station.sdc + #$RADIOHDL_WORK/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.sdc + +quartus_tcl_files = + disturb2_unb2c_sdp_station_full_pins.tcl + +quartus_vhdl_files = + +quartus_qip_files = + $RADIOHDL_BUILD_DIR/unb2c/quartus/disturb2_unb2c_sdp_station_full/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station.qip + +quartus_ip_files = + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_common_mm_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_common_mm_1.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_eth_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_clk_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_jesd204b.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_jtag_uart_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_onchip_memory2_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_jesd_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_pps.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_system_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_wdi.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_bf_weights.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_scrap.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_ss_ss_wide.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_histogram.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_xsq.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_wg.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_aduh_monitor.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bf_scale.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_align_v2_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_align_v2_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_input.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_aligned_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_aligned_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_beamlet_output.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_bst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_rx_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_rx_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_tx_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_tx_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_rx_align_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_rx_align_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_sst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_xst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_scheduler.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_source_v2.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_sync_scheduler_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_crosslets_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_bsn_at_sync_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_bsn_at_sync_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_err_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_err_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dpmm_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dpmm_data.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_selector.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_shiftram.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_xonoff.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_epcs.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_fpga_temp_sens.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_fpga_voltage_sens.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_hdr_dat.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_mmdp_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_mmdp_data.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nof_crosslets.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nw_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nw_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_remu.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_lane_info_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_lane_info_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_sdp_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_si.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_tr_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_tr_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_wdi.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_wg.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_rom_system_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_timer_0.ip + +nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd new file mode 100644 index 0000000000000000000000000000000000000000..5080e013c8db75e269d780ae0f8b2b41130ee47f --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg.vhd @@ -0,0 +1,140 @@ +------------------------------------------------------------------------------- +-- +-- Copyright 2022 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at +-- +-- http://www.apache.org/licenses/LICENSE-2.0 +-- +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. +-- +------------------------------------------------------------------------------- + +-- Author : R. van der Walle +-- Purpose: +-- Wrapper for Lofar2 SDP Station full wg design. +-- Description: +-- Unb2c version for lab testing of SDP using the WG. +-- Contains AIT input stage with WG, FSUB, XSUB, BF and RING, so without ADC JESD. + + +LIBRARY IEEE, common_lib, unb2c_board_lib, diag_lib, dp_lib, tech_jesd204b_lib, lofar2_unb2c_sdp_station_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE unb2c_board_lib.unb2c_board_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; + +ENTITY disturb2_unb2c_sdp_station_full_wg IS + GENERIC ( + g_design_name : STRING := "disturb2_unb2c_sdp_station_full_wg"; + g_design_note : STRING := "Disturb2 SDP station full design WG"; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2c_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2c_board_aux.testio_w-1 DOWNTO 0); + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0); + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 downto 0); + -- LEDs + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp_nof_leds-1 DOWNTO 0); + + -- ring transceivers + RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS => '0'); -- Using qsfp bus width also for ring interfaces + RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0); + RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) := (OTHERS=>'0'); + RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.bus_w-1 DOWNTO 0) + ); +END disturb2_unb2c_sdp_station_full_wg; + +ARCHITECTURE str OF disturb2_unb2c_sdp_station_full_wg IS + +BEGIN + + u_revision : ENTITY lofar2_unb2c_sdp_station_lib.lofar2_unb2c_sdp_station + GENERIC MAP ( + g_design_name => g_design_name, + g_design_note => g_design_note, + g_sim => g_sim, + g_sim_unb_nr => g_sim_unb_nr, + g_sim_node_nr => g_sim_node_nr, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_revision_id => g_revision_id + ) + PORT MAP ( + -- GENERAL + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + + -- Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + + -- 1GbE Control Interface + ETH_clk => ETH_clk, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT, + + -- Transceiver clocks + SA_CLK => SA_CLK, + + -- front transceivers QSFP0 for Ring. + QSFP_0_RX => QSFP_0_RX, + QSFP_0_TX => QSFP_0_TX, + + -- front transceivers QSFP1 for 10GbE output to CEP. + QSFP_1_RX => QSFP_1_RX, + QSFP_1_TX => QSFP_1_TX, + -- LEDs + QSFP_LED => QSFP_LED, + + -- ring transceivers + RING_0_RX => RING_0_RX, + RING_0_TX => RING_0_TX, + RING_1_RX => RING_1_RX, + RING_1_TX => RING_1_TX + ); +END str; diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg_pins.tcl b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..4ceebdedad386e07a109627cae9cd0a15507fa48 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/disturb2_unb2c_sdp_station_full_wg_pins.tcl @@ -0,0 +1,25 @@ +############################################################################### +# +# Copyright (C) 2022 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_ring_pins.tcl +source $::env(RADIOHDL_WORK)/applications/lofar2/designs/lofar2_unb2c_sdp_station/quartus/lofar2_unb2c_sdp_station_beamlets_pins.tcl + + diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..bc7d3a1b7e4959f22f31bbbd80ca7a282ee65b4e --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/revisions/disturb2_unb2c_sdp_station_full_wg/hdllib.cfg @@ -0,0 +1,129 @@ +hdl_lib_name = disturb2_unb2c_sdp_station_full_wg +hdl_library_clause_name = disturb2_unb2c_sdp_station_full_wg_lib +hdl_lib_uses_synth = common mm technology unb2c_board lofar2_unb2c_sdp_station +hdl_lib_uses_sim = eth +hdl_lib_technology = ip_arria10_e2sg + + synth_files = + disturb2_unb2c_sdp_station_full_wg.vhd + +test_bench_files = + +regression_test_vhdl = + +[modelsim_project_file] +modelsim_copy_files = + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + # Overwrite bf weights with sim data + ../../tb/data data + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + # Note: path $RADIOHDL_WORK is equivalent to relative path ../../../../../../ + ../../quartus . + ../../src/data data + $RADIOHDL_WORK/libraries/dsp/filter/src/hex data # FIR filter coefficients + +quartus_qsf_files = + $RADIOHDL_WORK/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.qsf + +# use lofar2_unb2c_sdp_station.sdc instead because BCK_REF_CLK is 200MHz, not 644.33MHz. +quartus_sdc_files = + ../../quartus/lofar2_unb2c_sdp_station.sdc + #$RADIOHDL_WORK/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.sdc + +quartus_tcl_files = + disturb2_unb2c_sdp_station_full_wg_pins.tcl + +quartus_vhdl_files = + +quartus_qip_files = + $RADIOHDL_BUILD_DIR/unb2c/quartus/disturb2_unb2c_sdp_station_full_wg/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station.qip + +quartus_ip_files = + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_common_mm_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_common_mm_1.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_avs_eth_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_clk_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_cpu_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_jesd204b.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_jtag_uart_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_onchip_memory2_0.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_jesd_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_pps.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_system_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_pio_wdi.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_bf_weights.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_equalizer_gains.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_fil_coefs.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_scrap.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_ss_ss_wide.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_histogram.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_st_xsq.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_ram_wg.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_aduh_monitor.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bf_scale.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_align_v2_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_align_v2_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_input.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_aligned_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_aligned_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_beamlet_output.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_bst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_rx_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_rx_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_tx_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_ring_tx_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_rx_align_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_rx_align_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_sst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_monitor_v2_xst_offload.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_scheduler.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_source_v2.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_bsn_sync_scheduler_xsub.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_crosslets_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_diag_data_buffer_bsn.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_bsn_at_sync_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_bsn_at_sync_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_err_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_block_validate_err_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dpmm_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dpmm_data.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_selector.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_shiftram.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_dp_xonoff.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_epcs.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_fpga_temp_sens.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_fpga_voltage_sens.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_hdr_dat.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_mmdp_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_mmdp_data.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nof_crosslets.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nw_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_nw_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_remu.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_lane_info_bf.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_ring_lane_info_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_sdp_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_si.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_enable_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_bst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_sst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_stat_hdr_dat_xst.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_tr_10gbe_eth10g.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_tr_10gbe_mac.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_wdi.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_reg_wg.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_rom_system_info.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/lofar2_unb2c_sdp_station/ip/qsys_lofar2_unb2c_sdp_station/qsys_lofar2_unb2c_sdp_station_timer_0.ip + +nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/freq_shift_half_subband_2048x16_im_re.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/freq_shift_half_subband_2048x16_im_re.hex new file mode 100644 index 0000000000000000000000000000000000000000..19547d8205c37b81f704cafae5ac8ba4fd17beaf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/freq_shift_half_subband_2048x16_im_re.hex @@ -0,0 +1,2049 @@ +:0400000000007FFF7E +:0400010000657FFF18 +:0400020000C97FFEB4 +:04000300012E7FFE4D +:0400040001927FFDE9 +:0400050001F77FFB85 +:04000600025B7FF921 +:0400070002C07FF7BD +:0400080003247FF559 +:0400090003897FF3F5 +:04000A0003ED7FF093 +:04000B0004527FEC30 +:04000C0004B67FE9CE +:04000D00051B7FE56B +:04000E00057F7FE10A +:04000F0005E37FDCAA +:0400100006487FD847 +:0400110006AC7FD2E8 +:0400120007117FCD86 +:0400130007757FC727 +:0400140007D97FC1C8 +:04001500083E7FBB67 +:0400160008A27FB409 +:0400170009067FADAA +:04001800096A7FA64C +:0400190009CF7F9FED +:04001A000A337F978F +:04001B000A977F8F32 +:04001C000AFB7F86D6 +:04001D000B5F7F7D79 +:04001E000BC47F741C +:04001F000C287F6BBF +:040020000C8C7F6164 +:040021000CF07F5709 +:040022000D547F4DAD +:040023000DB87F4253 +:040024000E1C7F37F8 +:040025000E807F2C9E +:040026000EE37F2145 +:040027000F477F15EB +:040028000FAB7F0992 +:04002900100F7EFC3A +:04002A0010727EEFE3 +:04002B0010D67EE28B +:04002C00113A7ED532 +:04002D00119D7EC7DC +:04002E0012017EB984 +:04002F0012647EAB2E +:0400300012C87E9CD8 +:04003100132B7E8D82 +:04003200138F7E7E2C +:0400330013F27E6FD7 +:0400340014557E5F82 +:0400350014B97E4F2D +:04003600151C7E3ED9 +:04003700157F7E2E85 +:0400380015E27E1D32 +:0400390016457E0BDF +:04003A0016A87DFA8D +:04003B00170B7DE83A +:04003C00176E7DD5E9 +:04003D0017D07DC398 +:04003E0018337DB046 +:04003F0018967D9DF5 +:0400400018F97D89A5 +:04004100195B7D7654 +:0400420019BE7D6204 +:040043001A207D4DB5 +:040044001A827D3966 +:040045001AE57D2417 +:040046001B477D0EC9 +:040047001BA97CF97C +:040048001C0B7CE32E +:040049001C6D7CCDE1 +:04004A001CCF7CB695 +:04004B001D317C9F48 +:04004C001D937C88FC +:04004D001DF57C71B0 +:04004E001E577C5964 +:04004F001EB87C411A +:040050001F1A7C29CE +:040051001F7B7C1085 +:040052001FDD7BF83B +:04005300203E7BDEF2 +:04005400209F7BC5A9 +:0400550021007BAB60 +:0400560021617B9118 +:0400570021C27B77D0 +:0400580022237B5C88 +:0400590022847B4141 +:04005A0022E57B26FA +:04005B0023467B0AB3 +:04005C0023A67AEE6F +:04005D0024077AD228 +:04005E0024677AB6E3 +:04005F0024C87A999E +:0400600025287A7C59 +:0400610025887A5F15 +:0400620025E87A41D2 +:0400630026487A238E +:0400640026A87A054B +:04006500270879E609 +:04006600276779C8C7 +:0400670027C779A985 +:040068002826798944 +:040069002886796A02 +:04006A0028E5794AC2 +:04006B002944792982 +:04006C0029A3790942 +:04006D002A0278E803 +:04006E002A6178C7C4 +:04006F002AC078A586 +:040070002B1F788446 +:040071002B7D786209 +:040072002BDC783FCC +:040073002C3A781D8E +:040074002C9977FA52 +:040075002CF777D716 +:040076002D5577B3DA +:040077002DB3778F9F +:040078002E11776B63 +:040079002E6E774729 +:04007A002ECC7722EF +:04007B002F2A76FEB4 +:04007C002F8776D87C +:04007D002FE476B343 +:04007E003041768D0A +:04007F00309E7667D2 +:0400800030FB76419A +:040081003158761A62 +:0400820031B575F32C +:04008300321175CCF5 +:04008400326E75A5BE +:0400850032CA757D89 +:040086003326755553 +:040087003383752D1D +:0400880033DF7504E9 +:04008900343A74DBB6 +:04008A00349674B282 +:04008B0034F274884F +:04008C00354D745F1B +:04008D0035A87435E9 +:04008E003604740AB6 +:04008F00365F73E085 +:0400900036BA73B554 +:040091003715738A22 +:04009200376F735EF3 +:0400930037CA7333C2 +:040094003824730792 +:04009500387E72DB64 +:0400960038D972AE35 +:040097003933728106 +:04009800398C7254D9 +:0400990039E67227AB +:04009A003A4071F97E +:04009B003A9971CB52 +:04009C003AF2719D26 +:04009D003B4C716FF8 +:04009E003BA57140CD +:04009F003BFE7111A2 +:0400A0003C5670E278 +:0400A1003CAF70B24E +:0400A2003D07708323 +:0400A3003D607053F9 +:0400A4003DB87022D1 +:0400A5003E106FF2A8 +:0400A6003E686FC180 +:0400A7003EBF6F9059 +:0400A8003F176F5E31 +:0400A9003F6E6F2C0B +:0400AA003FC56EFBE5 +:0400AB00401D6EC8BE +:0400AC0040736E9699 +:0400AD0040CA6E6374 +:0400AE0041216E304E +:0400AF0041776DFD2B +:0400B00041CE6DC907 +:0400B10042246D95E3 +:0400B200427A6D61C0 +:0400B30042D06D2D9D +:0400B40043256CF87C +:0400B500437B6CC35A +:0400B60043D06C8E39 +:0400B70044256C5917 +:0400B800447A6C23F7 +:0400B90044CF6BEDD8 +:0400BA0045246BB7B7 +:0400BB0045786B8198 +:0400BC0045CD6B4A79 +:0400BD0046216B135A +:0400BE0046756ADC3D +:0400BF0046C96AA420 +:0400C000471C6A6D02 +:0400C10047706A35E5 +:0400C20047C369FDCA +:0400C300481669C4AE +:0400C4004869698B93 +:0400C50048BC695278 +:0400C600490F69195C +:0400C700496168E043 +:0400C80049B468A629 +:0400C9004A06686C0F +:0400CA004A586832F6 +:0400CB004AA967F7E0 +:0400CC004AFB67BCC8 +:0400CD004B4C6781B0 +:0400CE004B9D674699 +:0400CF004BEE670A83 +:0400D0004C3F66CF6C +:0400D1004C90669356 +:0400D2004CE0665642 +:0400D3004D31661A2B +:0400D4004D8165DD18 +:0400D5004DD165A004 +:0400D6004E206563F0 +:0400D7004E706525DD +:0400D8004EBF64E8CB +:0400D9004F0E64AAB8 +:0400DA004F5D646CA6 +:0400DB004FAC642D95 +:0400DC004FFB63EE85 +:0400DD00504963AF74 +:0400DE005097637064 +:0400DF0050E5633154 +:0400E000513362F145 +:0400E100518162B136 +:0400E20051CE627128 +:0400E300521B623119 +:0400E400526861F00D +:0400E50052B561AF00 +:0400E6005302616EF2 +:0400E700534E612DE6 +:0400E800539B60EBDB +:0400E90053E760AACF +:0400EA0054326068C4 +:0400EB00547E6025BA +:0400EC0054C95FE3B1 +:0400ED0055155FA0A6 +:0400EE0055605F5D9D +:0400EF0055AA5F1A95 +:0400F00055F55ED78D +:0400F100563F5E9385 +:0400F200568A5E4F7D +:0400F30056D35E0B77 +:0400F400571D5DC770 +:0400F50057675D826A +:0400F60057B05D3E64 +:0400F70057F95CF960 +:0400F80058425CB35B +:0400F900588B5C6E56 +:0400FA0058D35C2853 +:0400FB00591C5BE24F +:0400FC0059645B9C4C +:0400FD0059AC5B5649 +:0400FE0059F35B0F48 +:0400FF005A3B5AC945 +:040100005A825A8243 +:040101005AC95A3B42 +:040102005B0F59F343 +:040103005B5659AC42 +:040104005B9C596443 +:040105005BE2591C44 +:040106005C2858D346 +:040107005C6E588B47 +:040108005CB358424A +:040109005CF957F94D +:04010A005D3E57B04F +:04010B005D82576753 +:04010C005DC7571D57 +:04010D005E0B56D35C +:04010E005E4F568A60 +:04010F005E93563F66 +:040110005ED755F56C +:040111005F1A55AA72 +:040112005F5D556078 +:040113005FA055157F +:040114005FE354C988 +:040115006025547E8F +:040116006068543297 +:0401170060AA53E7A0 +:0401180060EB539BAA +:04011900612D534EB3 +:04011A00616E5302BD +:04011B0061AF52B5C9 +:04011C0061F05268D4 +:04011D006231521BDE +:04011E00627151CEEB +:04011F0062B15181F7 +:0401200062F1513304 +:04012100633150E511 +:04012200637050971F +:0401230063AF50492D +:0401240063EE4FFB3C +:04012500642D4FAC4A +:04012600646C4F5D59 +:0401270064AA4F0E69 +:0401280064E84EBF7A +:0401290065254E708A +:04012A0065634E209B +:04012B0065A04DD1AD +:04012C0065DD4D81BF +:04012D00661A4D31D0 +:04012E0066564CE0E5 +:04012F0066934C90F7 +:0401300066CF4C3F0B +:04013100670A4BEE20 +:0401320067464B9D34 +:0401330067814B4C49 +:0401340067BC4AFB5F +:0401350067F74AA975 +:0401360068324A5889 +:04013700686C4A06A0 +:0401380068A649B4B8 +:0401390068E04961D0 +:04013A006919490FE7 +:04013B00695248BC01 +:04013C00698B48691A +:04013D0069C4481633 +:04013E0069FD47C34D +:04013F006A35477066 +:040140006A6D471C81 +:040141006AA446C99D +:040142006ADC4675B8 +:040143006B134621D3 +:040144006B4A45CDF0 +:040145006B8145780D +:040146006BB745242A +:040147006BED44CF49 +:040148006C23447A66 +:040149006C59442584 +:04014A006C8E43D0A4 +:04014B006CC3437BC3 +:04014C006CF84325E3 +:04014D006D2D42D002 +:04014E006D61427A23 +:04014F006D95422444 +:040150006DC941CE66 +:040151006DFD417788 +:040152006E304121A9 +:040153006E6340CACD +:040154006E964073F0 +:040155006EC8401D13 +:040156006EFB3FC538 +:040157006F2C3F6E5C +:040158006F5E3F1780 +:040159006F903EBFA6 +:04015A006FC13E68CB +:04015B006FF23E10F1 +:04015C0070223DB818 +:04015D0070533D603E +:04015E0070833D0766 +:04015F0070B23CAF8F +:0401600070E23C56B7 +:0401610071113BFEDF +:0401620071403BA508 +:04016300716F3B4C31 +:04016400719D3AF25D +:0401650071CB3A9987 +:0401660071F93A40B1 +:04016700722739E6DC +:040168007254398C08 +:040169007281393333 +:04016A0072AE38D960 +:04016B0072DB387E8D +:04016C0073073824B9 +:04016D00733337CAE7 +:04016E00735E376F16 +:04016F00738A371543 +:0401700073B536BA73 +:0401710073E0365FA2 +:04017200740A3604D1 +:04017300743535A802 +:04017400745F354D32 +:04017500748834F264 +:0401760074B2349695 +:0401770074DB343AC7 +:04017800750433DFF8 +:04017900752D33832A +:04017A00755533265E +:04017B00757D32CA92 +:04017C0075A5326EC5 +:04017D0075CC3211FA +:04017E0075F331B52F +:04017F00761A315863 +:04018000764130FB99 +:040181007667309ECF +:04018200768D304105 +:0401830076B32FE43C +:0401840076D82F8773 +:0401850076FE2F2AA9 +:0401860077222ECCE2 +:0401870077472E6E1A +:04018800776B2E1152 +:04018900778F2DB38C +:04018A0077B32D55C5 +:04018B0077D72CF7FF +:04018C0077FA2C9939 +:04018D00781D2C3A73 +:04018E00783F2BDCAF +:04018F0078622B7DEA +:0401900078842B1F25 +:0401910078A52AC063 +:0401920078C72A619F +:0401930078E82A02DC +:04019400790929A319 +:040195007929294457 +:04019600794A28E595 +:04019700796A2886D3 +:040198007989282613 +:0401990079A927C752 +:04019A0079C8276792 +:04019B0079E62708D2 +:04019C007A0526A812 +:04019D007A23264853 +:04019E007A4125E895 +:04019F007A5F2588D6 +:0401A0007A7C252818 +:0401A1007A9924C85B +:0401A2007AB624679E +:0401A3007AD22407E1 +:0401A4007AEE23A626 +:0401A5007B0A234668 +:0401A6007B2622E5AD +:0401A7007B412284F2 +:0401A8007B5C222337 +:0401A9007B7721C27D +:0401AA007B912161C3 +:0401AB007BAB210009 +:0401AC007BC5209F50 +:0401AD007BDE203E97 +:0401AE007BF81FDDDE +:0401AF007C101F7B26 +:0401B0007C291F1A6D +:0401B1007C411EB8B7 +:0401B2007C591E57FF +:0401B3007C711DF549 +:0401B4007C881D9393 +:0401B5007C9F1D31DD +:0401B6007CB61CCF28 +:0401B7007CCD1C6D72 +:0401B8007CE31C0BBD +:0401B9007CF91BA909 +:0401BA007D0E1B4754 +:0401BB007D241AE5A0 +:0401BC007D391A82ED +:0401BD007D4D1A203A +:0401BE007D6219BE87 +:0401BF007D76195BD5 +:0401C0007D8918F924 +:0401C1007D9D189672 +:0401C2007DB01833C1 +:0401C3007DC317D011 +:0401C4007DD5176E60 +:0401C5007DE8170BAF +:0401C6007DFA16A800 +:0401C7007E0B164550 +:0401C8007E1D15E2A1 +:0401C9007E2E157FF2 +:0401CA007E3E151C44 +:0401CB007E4F14B996 +:0401CC007E5F1455E9 +:0401CD007E6F13F23C +:0401CE007E7E138F8F +:0401CF007E8D132BE3 +:0401D0007E9C12C837 +:0401D1007EAB12648B +:0401D2007EB91201DF +:0401D3007EC7119D35 +:0401D4007ED5113A89 +:0401D5007EE210D6E0 +:0401D6007EEF107236 +:0401D7007EFC100F8B +:0401D8007F090FABE1 +:0401D9007F150F4738 +:0401DA007F210EE390 +:0401DB007F2C0E80E7 +:0401DC007F370E1C3F +:0401DD007F420DB898 +:0401DE007F4D0D54F0 +:0401DF007F570CF04A +:0401E0007F610C8CA3 +:0401E1007F6B0C28FC +:0401E2007F740BC457 +:0401E3007F7D0B5FB2 +:0401E4007F860AFB0D +:0401E5007F8F0A9767 +:0401E6007F970A33C2 +:0401E7007F9F09CF1E +:0401E8007FA6096A7B +:0401E9007FAD0906D7 +:0401EA007FB408A234 +:0401EB007FBB083E90 +:0401EC007FC107D9EF +:0401ED007FC707754C +:0401EE007FCD0711A9 +:0401EF007FD206AC09 +:0401F0007FD8064866 +:0401F1007FDC05E3C7 +:0401F2007FE1057F25 +:0401F3007FE5051B84 +:0401F4007FE904B6E5 +:0401F5007FEC045245 +:0401F6007FF003EDA6 +:0401F7007FF3038906 +:0401F8007FF5032468 +:0401F9007FF702C0CA +:0401FA007FF9025B2C +:0401FB007FFB01F78E +:0401FC007FFD0192F0 +:0401FD007FFE012E52 +:0401FE007FFE00C9B7 +:0401FF007FFF006519 +:040200007FFF00007C +:040201007FFFFF9BE1 +:040202007FFEFF3745 +:040203007FFEFED2AA +:040204007FFDFE6E0E +:040205007FFBFE0974 +:040206007FF9FDA5DA +:040207007FF7FD4040 +:040208007FF5FCDCA6 +:040209007FF3FC770C +:04020A007FF0FC1372 +:04020B007FECFBAEDB +:04020C007FE9FB4A41 +:04020D007FE5FAE5AA +:04020E007FE1FA8111 +:04020F007FDCFA1D79 +:040210007FD8F9B8E2 +:040211007FD2F9544B +:040212007FCDF8EFB5 +:040213007FC7F88B1E +:040214007FC1F82787 +:040215007FBBF7C2F2 +:040216007FB4F75E5C +:040217007FADF6FAC7 +:040218007FA6F69631 +:040219007F9FF6319C +:04021A007F97F5CD08 +:04021B007F8FF56973 +:04021C007F86F505DF +:04021D007F7DF4A14C +:04021E007F74F43CB9 +:04021F007F6BF3D826 +:040220007F61F37493 +:040221007F57F31000 +:040222007F4DF2AC6E +:040223007F42F248DC +:040224007F37F1E44B +:040225007F2CF180B9 +:040226007F21F11D26 +:040227007F15F0B996 +:040228007F09F05505 +:040229007EFCEFF177 +:04022A007EEFEF8EE6 +:04022B007EE2EF2A56 +:04022C007ED5EEC6C7 +:04022D007EC7EE6337 +:04022E007EB9EDFFA9 +:04022F007EABED9C19 +:040230007E9CED388B +:040231007E8DECD5FD +:040232007E7EEC716F +:040233007E6FEC0EE0 +:040234007E5FEBAB53 +:040235007E4FEB47C6 +:040236007E3EEAE43A +:040237007E2EEA81AC +:040238007E1DEA1E1F +:040239007E0BE9BB94 +:04023A007DFAE95808 +:04023B007DE8E8F57D +:04023C007DD5E892F2 +:04023D007DC3E83065 +:04023E007DB0E7CDDB +:04023F007D9DE76A50 +:040240007D89E707C6 +:040241007D76E6A53B +:040242007D62E642B1 +:040243007D4DE5E028 +:040244007D39E57E9D +:040245007D24E51B14 +:040246007D0EE4B98C +:040247007CF9E45703 +:040248007CE3E3F57B +:040249007CCDE393F2 +:04024A007CB6E3316A +:04024B007C9FE2CFE3 +:04024C007C88E26D5B +:04024D007C71E20BD3 +:04024E007C59E1A94D +:04024F007C41E148C5 +:040250007C29E0E63F +:040251007C10E085B8 +:040252007BF8E02332 +:040253007BDEDFC2AD +:040254007BC5DF6126 +:040255007BABDF00A0 +:040256007B91DE9F1B +:040257007B77DE3E95 +:040258007B5CDDDD11 +:040259007B41DD7C8C +:04025A007B26DD1B07 +:04025B007B0ADCBA84 +:04025C007AEEDC5A00 +:04025D007AD2DBF97D +:04025E007AB6DB99F8 +:04025F007A99DB3875 +:040260007A7CDAD8F2 +:040261007A5FDA786E +:040262007A41DA18EB +:040263007A23D9B869 +:040264007A05D958E6 +:0402650079E6D8F866 +:0402660079C8D899E2 +:0402670079A9D83960 +:040268007989D7DADF +:04026900796AD77A5D +:04026A00794AD71BDB +:04026B007929D6BC5B +:04026C007909D65DD9 +:04026D0078E8D5FE5A +:04026E0078C7D59FD9 +:04026F0078A5D54059 +:040270007884D4E1D9 +:040271007862D48358 +:04027200783FD424D9 +:04027300781DD3C659 +:0402740077FAD367DB +:0402750077D7D3095B +:0402760077B3D2ABDD +:04027700778FD24D5E +:04027800776BD1EFE0 +:040279007747D19260 +:04027A007722D134E2 +:04027B0076FED0D665 +:04027C0076D8D079E7 +:04027D0076B3D01C68 +:04027E00768DCFBFEB +:04027F007667CF626D +:040280007641CF05EF +:04028100761ACEA873 +:0402820075F3CE4BF7 +:0402830075CCCDEF7A +:0402840075A5CD92FD +:04028500757DCD3680 +:040286007555CCDA04 +:04028700752DCC7D88 +:040288007504CC210C +:0402890074DBCBC691 +:04028A0074B2CB6A15 +:04028B007488CB0E9A +:04028C00745FCAB31E +:04028D007435CA58A2 +:04028E00740AC9FC29 +:04028F0073E0C9A1AE +:0402900073B5C94633 +:04029100738AC8EBB9 +:04029200735EC8913E +:040293007333C836C3 +:040294007307C7DC49 +:0402950072DBC782CF +:0402960072AEC72756 +:040297007281C6CDDD +:040298007254C67462 +:040299007227C61AE8 +:04029A0071F9C5C071 +:04029B0071CBC567F7 +:04029C00719DC50E7D +:04029D00716FC4B405 +:04029E007140C45B8C +:04029F007111C40213 +:0402A00070E2C3AA9B +:0402A10070B2C35123 +:0402A2007083C2F9AA +:0402A3007053C2A032 +:0402A4007022C248BA +:0402A5006FF2C1F043 +:0402A6006FC1C198CB +:0402A7006F90C14152 +:0402A8006F5EC0E9DC +:0402A9006F2CC09264 +:0402AA006EFBC03BEC +:0402AB006EC8BFE377 +:0402AC006E96BF8DFE +:0402AD006E63BF3687 +:0402AE006E30BEDF11 +:0402AF006DFDBE899A +:0402B0006DC9BE3224 +:0402B1006D95BDDCAE +:0402B2006D61BD8637 +:0402B3006D2DBD30C0 +:0402B4006CF8BCDB4B +:0402B5006CC3BC85D5 +:0402B6006C8EBC305E +:0402B7006C59BBDBE8 +:0402B8006C23BB8672 +:0402B9006BEDBB31FD +:0402BA006BB7BADC88 +:0402BB006B81BA8811 +:0402BC006B4ABA339C +:0402BD006B13B9DF27 +:0402BE006ADCB98BB2 +:0402BF006AA4B9373D +:0402C0006A6DB8E4C7 +:0402C1006A35B89052 +:0402C20069FDB83DDD +:0402C30069C4B7EA69 +:0402C400698BB797F4 +:0402C5006952B7447F +:0402C6006919B6F10B +:0402C70068E0B69F96 +:0402C80068A6B64C22 +:0402C900686CB5FAAE +:0402CA006832B5A839 +:0402CB0067F7B557C5 +:0402CC0067BCB50551 +:0402CD006781B4B4DD +:0402CE006746B46368 +:0402CF00670AB412F4 +:0402D00066CFB3C181 +:0402D1006693B3700D +:0402D2006656B32099 +:0402D300661AB2CF26 +:0402D40065DDB27FB3 +:0402D50065A0B22F3F +:0402D6006563B1E0CB +:0402D7006525B19058 +:0402D80064E8B141E4 +:0402D90064AAB0F271 +:0402DA00646CB0A3FD +:0402DB00642DB0548A +:0402DC0063EEB00518 +:0402DD0063AFAFB7A5 +:0402DE006370AF6931 +:0402DF006331AF1BBD +:0402E00062F1AECD4C +:0402E10062B1AE7FD9 +:0402E2006271AE3265 +:0402E3006231ADE5F2 +:0402E40061F0AD9880 +:0402E50061AFAD4B0D +:0402E600616EACFE9B +:0402E700612DACB227 +:0402E80060EBAC65B6 +:0402E90060AAAC1942 +:0402EA006068ABCECF +:0402EB006025AB825D +:0402EC005FE3AB37EA +:0402ED005FA0AAEB79 +:0402EE005F5DAAA006 +:0402EF005F1AAA5692 +:0402F0005ED7AA0B20 +:0402F1005E93A9C1AE +:0402F2005E4FA9763C +:0402F3005E0BA92DC8 +:0402F4005DC7A8E357 +:0402F5005D82A899E5 +:0402F6005D3EA85071 +:0402F7005CF9A807FF +:0402F8005CB3A7BE8E +:0402F9005C6EA7751B +:0402FA005C28A72DA8 +:0402FB005BE2A6E438 +:0402FC005B9CA69CC5 +:0402FD005B56A65452 +:0402FE005B0FA60DDF +:0402FF005AC9A5C56E +:040300005A82A57EFA +:040301005A3BA53787 +:0403020059F3A4F116 +:0403030059ACA4AAA3 +:040304005964A46430 +:04030500591CA41EBD +:0403060058D3A3D84D +:04030700588BA392DA +:040308005842A34D67 +:0403090057F9A307F6 +:04030A0057B0A2C284 +:04030B005767A27E10 +:04030C00571DA2399E +:04030D0056D3A1F52D +:04030E00568AA1B1B9 +:04030F00563FA16D47 +:0403100055F5A129D5 +:0403110055AAA0E663 +:040312005560A0A3EF +:040313005515A0607C +:0403140054C9A01D0B +:04031500547E9FDB98 +:0403160054329F9826 +:0403170053E79F56B3 +:04031800539B9F153F +:04031900534E9ED3CE +:04031A0053029E925A +:04031B0052B59E51E8 +:04031C0052689E1075 +:04031D00521B9DCF03 +:04031E0051CE9D8F90 +:04031F0051819D4F1C +:0403200051339D0FA9 +:0403210050E59CCF38 +:0403220050979C90C4 +:0403230050499C5150 +:040324004FFB9C12DD +:040325004FAC9BD36B +:040326004F5D9B94F8 +:040327004F0E9B5684 +:040328004EBF9B1811 +:040329004E709ADB9D +:04032A004E209A9D2A +:04032B004DD19A60B6 +:04032C004D819A2342 +:04032D004D3199E6CF +:04032E004CE099AA5C +:04032F004C90996DE8 +:040330004C3F993174 +:040331004BEE98F601 +:040332004B9D98BA8D +:040333004B4C987F18 +:040334004AFB9844A4 +:040335004AA9980930 +:040336004A5897CEBC +:040337004A06979447 +:0403380049B4975AD3 +:04033900496197205F +:04033A00490F96E7EA +:04033B0048BC96AE76 +:04033C004869967501 +:04033D004816963C8C +:04033E0047C3960318 +:04033F00477095CBA3 +:04034000471C95932E +:0403410046C9955CB8 +:040342004675952443 +:04034300462194EDCE +:0403440045CD94B659 +:040345004578947FE4 +:04034600452494496D +:0403470044CF9413F8 +:04034800447A93DD83 +:04034900442593A70D +:04034A0043D0937297 +:04034B00437B933D20 +:04034C0043259308AA +:04034D0042D092D335 +:04034E00427A929FBE +:04034F004224926B47 +:0403500041CE9237D1 +:04035100417792035B +:04035200412191D0E4 +:0403530040CA919D6E +:040354004073916AF7 +:04035500401D91387E +:040356003FC5910509 +:040357003F6E90D491 +:040358003F1790A219 +:040359003EBF9070A3 +:04035A003E68903F2A +:04035B003E10900EB2 +:04035C003DB88FDE3B +:04035D003D608FADC3 +:04035E003D078F7D4B +:04035F003CAF8F4ED2 +:040360003C568F1E5A +:040361003BFE8EEFE2 +:040362003BA58EC069 +:040363003B4C8E91F0 +:040364003AF28E6378 +:040365003A998E35FE +:040366003A408E0784 +:0403670039E68DD90D +:04036800398C8DAC93 +:0403690039338D7F18 +:04036A0038D98D529F +:04036B00387E8D2526 +:04036C0038248CF9AC +:04036D0037CA8CCD32 +:04036E00376F8CA2B7 +:04036F0037158C763C +:0403700036BA8C4BC2 +:04037100365F8C2047 +:0403720036048BF6CC +:0403730035A88BCB53 +:04037400354D8BA1D7 +:0403750034F28B785B +:0403760034968B4EE0 +:04037700343A8B2564 +:0403780033DF8AFCE9 +:0403790033838AD36D +:04037A0033268AABF1 +:04037B0032CA8A8375 +:04037C00326E8A5BF8 +:04037D0032118A347B +:04037E0031B58A0DFE +:04037F00315889E682 +:0403800030FB89BF06 +:04038100309E899988 +:04038200304189730A +:040383002FE4894D8D +:040384002F8789280E +:040385002F2A890290 +:040386002ECC88DE13 +:040387002E6E88B995 +:040388002E11889515 +:040389002DB3887197 +:04038A002D55884D18 +:04038B002CF788299A +:04038C002C9988061A +:04038D002C3A87E39C +:04038E002BDC87C11C +:04038F002B7D879E9D +:040390002B1F877C1C +:040391002AC0875B9C +:040392002A6187391C +:040393002A0287189B +:0403940029A386F71C +:04039500294486D79A +:0403960028E586B61A +:040397002886869698 +:040398002826867716 +:0403990027C7865795 +:04039A002767863813 +:04039B002708861A8F +:04039C0026A885FB0F +:04039D00264885DD8C +:04039E0025E885BF0A +:04039F00258885A187 +:0403A0002528858403 +:0403A10024C8856780 +:0403A2002467854AFD +:0403A3002407852E78 +:0403A40023A68512F5 +:0403A500234684F671 +:0403A60022E584DAEE +:0403A700228484BF69 +:0403A800222384A4E4 +:0403A90021C2848960 +:0403AA002161846FDA +:0403AB002100845554 +:0403AC00209F843BCF +:0403AD00203E842248 +:0403AE001FDD8408C3 +:0403AF001F7B83F03D +:0403B0001F1A83D7B6 +:0403B1001EB883BF30 +:0403B2001E5783A7A8 +:0403B3001DF5838F22 +:0403B4001D9383789A +:0403B5001D31836112 +:0403B6001CCF834A8B +:0403B7001C6D833303 +:0403B8001C0B831D7A +:0403B9001BA98307F2 +:0403BA001B4782F269 +:0403BB001AE582DCE1 +:0403BC001A8282C758 +:0403BD001A2082B3CD +:0403BE0019BE829E44 +:0403BF00195B828ABA +:0403C00018F982772F +:0403C10018968263A5 +:0403C200183382501A +:0403C30017D0823D90 +:0403C400176E822B03 +:0403C500170B821878 +:0403C60016A88206ED +:0403C700164581F561 +:0403C80015E281E3D6 +:0403C900157F81D249 +:0403CA00151C81C2BB +:0403CB0014B981B12F +:0403CC00145581A1A2 +:0403CD0013F2819115 +:0403CE00138F818286 +:0403CF00132B8173F8 +:0403D00012C881646A +:0403D10012648155DC +:0403D200120181474C +:0403D300119D8139BE +:0403D400113A812B2E +:0403D50010D6811E9F +:0403D600107281110F +:0403D700100F81047E +:0403D8000FAB80F7F0 +:0403D9000F4780EB5F +:0403DA000EE380DFCF +:0403DB000E8080D43C +:0403DC000E1C80C9AA +:0403DD000DB880BE19 +:0403DE000D5480B387 +:0403DF000CF080A9F5 +:0403E0000C8C809F62 +:0403E1000C288095CF +:0403E2000BC4808C3C +:0403E3000B5F8083A9 +:0403E4000AFB807A16 +:0403E5000A97807182 +:0403E6000A338069ED +:0403E70009CF806159 +:0403E800096A805AC4 +:0403E900090680532E +:0403EA0008A2804C99 +:0403EB00083E804503 +:0403EC0007D9803F6E +:0403ED0007758039D7 +:0403EE000711803340 +:0403EF0006AC802EAA +:0403F0000648802813 +:0403F10005E380247C +:0403F200057F801FE4 +:0403F300051B801B4B +:0403F40004B68017B4 +:0403F500045280141A +:0403F60003ED801083 +:0403F7000389800DE9 +:0403F8000324800B4F +:0403F90002C08009B5 +:0403FA00025B80071B +:0403FB0001F7800581 +:0403FC0001928003E7 +:0403FD00012E80024B +:0403FE0000C98002B0 +:0403FF000065800114 +:040400000000800177 +:04040100FF9B8001DC +:04040200FF3780023E +:04040300FED28002A3 +:04040400FE6E800305 +:04040500FE09800567 +:04040600FDA58007C9 +:04040700FD4080092B +:04040800FCDC800B8D +:04040900FC77800DEF +:04040A00FC1380104F +:04040B00FBAE8014B0 +:04040C00FB4A801710 +:04040D00FAE5801B71 +:04040E00FA81801FD0 +:04040F00FA1D80242E +:04041000F9B880288F +:04041100F954802EEC +:04041200F8EF80334C +:04041300F88B8039A9 +:04041400F827803F06 +:04041500F7C2804565 +:04041600F75E804CC1 +:04041700F6FA80531E +:04041800F696805A7A +:04041900F6318061D7 +:04041A00F5CD806933 +:04041B00F56980718E +:04041C00F505807AE8 +:04041D00F4A1808343 +:04041E00F43C808C9E +:04041F00F3D88095F9 +:04042000F374809F52 +:04042100F31080A9AB +:04042200F2AC80B305 +:04042300F24880BE5D +:04042400F1E480C9B6 +:04042500F18080D40E +:04042600F11D80DF65 +:04042700F0B980EBBD +:04042800F05580F714 +:04042900EFF181046A +:04042A00EF8E8111BF +:04042B00EF2A811E15 +:04042C00EEC6812B6C +:04042D00EE638139C0 +:04042E00EDFF814716 +:04042F00ED9C81556A +:04043000ED388164BE +:04043100ECD5817312 +:04043200EC71818266 +:04043300EC0E8191B9 +:04043400EBAB81A10C +:04043500EB4781B15F +:04043600EAE481C2B1 +:04043700EA8181D203 +:04043800EA1E81E354 +:04043900E9BB81F5A5 +:04043A00E9588206F5 +:04043B00E8F5821846 +:04043C00E892822B95 +:04043D00E830823DE4 +:04043E00E7CD825034 +:04043F00E76A826383 +:04044000E7078277D1 +:04044100E6A5828A20 +:04044200E642829E6E +:04044300E5E082B3BB +:04044400E57E82C708 +:04044500E51B82DC55 +:04044600E4B982F2A1 +:04044700E4578307EC +:04044800E3F5831D38 +:04044900E393833383 +:04044A00E331834ACD +:04044B00E2CF836118 +:04044C00E26D837862 +:04044D00E20B838FAC +:04044E00E1A983A7F6 +:04044F00E14883BF3E +:04045000E0E683D788 +:04045100E08583F0CF +:04045200E023840817 +:04045300DFC284225E +:04045400DF61843BA5 +:04045500DF008455EB +:04045600DE9F846F32 +:04045700DE3E848978 +:04045800DDDD84A4BE +:04045900DD7C84BF03 +:04045A00DD1B84DA48 +:04045B00DCBA84F68D +:04045C00DC5A8512CF +:04045D00DBF9852E14 +:04045E00DB99854A57 +:04045F00DB3885679A +:04046000DAD88584DD +:04046100DA7885A11F +:04046200DA1885BF60 +:04046300D9B885DDA2 +:04046400D95885FBE3 +:04046500D8F8861A23 +:04046600D899863863 +:04046700D8398657A3 +:04046800D7DA8677E2 +:04046900D77A869622 +:04046A00D71B86B660 +:04046B00D6BC86D79E +:04046C00D65D86F7DC +:04046D00D5FE871819 +:04046E00D59F873956 +:04046F00D540875B92 +:04047000D4E1877CD0 +:04047100D483879E0B +:04047200D42487C146 +:04047300D3C687E382 +:04047400D3678806BC +:04047500D3098829F6 +:04047600D2AB884D30 +:04047700D24D887169 +:04047800D1EF8895A3 +:04047900D19288B9DB +:04047A00D13488DE13 +:04047B00D0D689024C +:04047C00D079892882 +:04047D00D01C894DB9 +:04047E00CFBF8973F0 +:04047F00CF62899926 +:04048000CF0589BF5C +:04048100CEA889E692 +:04048200CE4B8A0DC6 +:04048300CDEF8A34FB +:04048400CD928A5B30 +:04048500CD368A8363 +:04048600CCDA8AAB97 +:04048700CC7D8AD3CB +:04048800CC218AFCFD +:04048900CBC68B252E +:04048A00CB6A8B4E60 +:04048B00CB0E8B7891 +:04048C00CAB38BA1C3 +:04048D00CA588BCBF3 +:04048E00C9FC8BF624 +:04048F00C9A18C2053 +:04049000C9468C4B82 +:04049100C8EB8C76B2 +:04049200C8918CA2DF +:04049300C8368CCD0E +:04049400C7DC8CF93C +:04049500C7828D2568 +:04049600C7278D5295 +:04049700C6CD8D7FC2 +:04049800C6748DACED +:04049900C61A8DD919 +:04049A00C5C08E0744 +:04049B00C5678E356E +:04049C00C50E8E6398 +:04049D00C4B48E91C4 +:04049E00C45B8EC0ED +:04049F00C4028EEF16 +:0404A000C3AA8F1E3E +:0404A100C3518F4E66 +:0404A200C2F98F7D8F +:0404A300C2A08FADB7 +:0404A400C2488FDEDD +:0404A500C1F0900E04 +:0404A600C198903F2A +:0404A700C14190704F +:0404A800C0E990A275 +:0404A900C09290D499 +:0404AA00C03B9105BD +:0404AB00BFE39138E2 +:0404AC00BF8D916A05 +:0404AD00BF36919D28 +:0404AE00BEDF91D04C +:0404AF00BE8992036D +:0404B000BE3292378F +:0404B100BDDC926BB1 +:0404B200BD86929FD2 +:0404B300BD3092D3F3 +:0404B400BCDB930812 +:0404B500BC85933D32 +:0404B600BC30937251 +:0404B700BBDB93A771 +:0404B800BB8693DD8F +:0404B900BB319413AC +:0404BA00BADC9449CB +:0404BB00BA88947FE8 +:0404BC00BA3394B605 +:0404BD00B9DF94ED22 +:0404BE00B98B95243D +:0404BF00B937955C58 +:0404C000B8E4959374 +:0404C100B89095CB8F +:0404C200B83D9603A8 +:0404C300B7EA963CC2 +:0404C400B7979675DB +:0404C500B74496AEF4 +:0404C600B6F196E70E +:0404C700B69F972025 +:0404C800B64C975A3D +:0404C900B5FA979455 +:0404CA00B5A897CE6C +:0404CB00B557980980 +:0404CC00B505984496 +:0404CD00B4B4987FAC +:0404CE00B46398BAC1 +:0404CF00B41298F6D5 +:0404D000B3C19931EA +:0404D100B370996DFE +:0404D200B32099AA10 +:0404D300B2CF99E625 +:0404D400B27F9A2336 +:0404D500B22F9A6048 +:0404D600B1E09A9D5A +:0404D700B1909ADB6B +:0404D800B1419B187B +:0404D900B0F29B568C +:0404DA00B0A39B949C +:0404DB00B0549BD3AB +:0404DC00B0059C12B9 +:0404DD00AFB79C51C8 +:0404DE00AF699C90D6 +:0404DF00AF1B9CCFE4 +:0404E000AECD9D0FF1 +:0404E100AE7F9D4FFE +:0404E200AE329D8F0A +:0404E300ADE59DCF17 +:0404E400AD989E1021 +:0404E500AD4B9E512C +:0404E600ACFE9E9238 +:0404E700ACB29ED342 +:0404E800AC659F154B +:0404E900AC199F5655 +:0404EA00ABCE9F985E +:0404EB00AB829FDB66 +:0404EC00AB37A01D6D +:0404ED00AAEBA06076 +:0404EE00AAA0A0A37D +:0404EF00AA56A0E683 +:0404F000AA0BA12989 +:0404F100A9C1A16D8F +:0404F200A976A1B195 +:0404F300A92DA1F599 +:0404F400A8E3A2399E +:0404F500A899A27EA2 +:0404F600A850A2C2A6 +:0404F700A807A307A8 +:0404F800A7BEA34DAB +:0404F900A775A392AE +:0404FA00A72DA3D8AF +:0404FB00A6E4A41EB1 +:0404FC00A69CA464B2 +:0404FD00A654A4AAB3 +:0404FE00A60DA4F1B2 +:0404FF00A5C5A537B3 +:04050000A57EA57EB1 +:04050100A537A5C5B0 +:04050200A4F1A60DAD +:04050300A4AAA654AC +:04050400A464A69CA9 +:04050500A41EA6E4A6 +:04050600A3D8A72DA2 +:04050700A392A7759F +:04050800A34DA7BE9A +:04050900A307A80795 +:04050A00A2C2A85091 +:04050B00A27EA8998B +:04050C00A239A8E385 +:04050D00A1F5A92D7E +:04050E00A1B1A97678 +:04050F00A16DA9C170 +:04051000A129AA0B68 +:04051100A0E6AA5660 +:04051200A0A3AAA058 +:04051300A060AAEB4F +:04051400A01DAB3744 +:040515009FDBAB823B +:040516009F98ABCE31 +:040517009F56AC1926 +:040518009F15AC651A +:040519009ED3ACB20F +:04051A009E92ACFE03 +:04051B009E51AD4BF5 +:04051C009E10AD98E8 +:04051D009DCFADE5DC +:04051E009D8FAE32CD +:04051F009D4FAE7FBF +:040520009D0FAECDB0 +:040521009CCFAF1BA1 +:040522009C90AF6991 +:040523009C51AFB781 +:040524009C12B00570 +:040525009BD3B05460 +:040526009B94B0A34F +:040527009B56B0F23D +:040528009B18B1412A +:040529009ADBB19018 +:04052A009A9DB1E005 +:04052B009A60B22FF1 +:04052C009A23B27FDD +:04052D0099E6B2CFCA +:04052E0099AAB320B3 +:04052F00996DB3709F +:040530009931B3C189 +:0405310098F6B41272 +:0405320098BAB4635C +:04053300987FB4B445 +:040534009844B5052D +:040535009809B55715 +:0405360097CEB5A8FF +:040537009794B5FAE6 +:04053800975AB64CCC +:040539009720B69FB2 +:04053A0096E7B6F199 +:04053B0096AEB7447D +:04053C009675B79762 +:04053D00963CB7EA47 +:04053E009603B83D2B +:04053F0095CBB89010 +:040540009593B8E4F3 +:04054100955CB937D5 +:040542009524B98BB8 +:0405430094EDB9DF9B +:0405440094B6BA337C +:04054500947FBA885D +:040546009449BADC3E +:040547009413BB311D +:0405480093DDBB86FE +:0405490093A7BBDBDE +:04054A009372BC30BC +:04054B00933DBC859B +:04054C009308BCDB79 +:04054D0092D3BD3058 +:04054E00929FBD8635 +:04054F00926BBDDC12 +:040550009237BE32EE +:040551009203BE89CA +:0405520091D0BEDFA7 +:04055300919DBF3681 +:04055400916ABF8D5C +:040555009138BFE337 +:040556009105C03B10 +:0405570090D4C092EA +:0405580090A2C0E9C4 +:040559009070C1419C +:04055A00903FC19875 +:04055B00900EC1F04D +:04055C008FDEC24824 +:04055D008FADC2A0FC +:04055E008F7DC2F9D2 +:04055F008F4EC351A7 +:040560008F1EC3AA7D +:040561008EEFC40253 +:040562008EC0C45B28 +:040563008E91C4B4FD +:040564008E63C50ECF +:040565008E35C567A3 +:040566008E07C5C077 +:040567008DD9C61A4A +:040568008DACC6741C +:040569008D7FC6CDEF +:04056A008D52C727C0 +:04056B008D25C78291 +:04056C008CF9C7DC63 +:04056D008CCDC83633 +:04056E008CA2C89102 +:04056F008C76C8EBD3 +:040570008C4BC946A1 +:040571008C20C9A170 +:040572008BF6C9FC3F +:040573008BCBCA580C +:040574008BA1CAB3DA +:040575008B78CB0EA6 +:040576008B4ECB6A73 +:040577008B25CBC63F +:040578008AFCCC210C +:040579008AD3CC7DD8 +:04057A008AABCCDAA2 +:04057B008A83CD366C +:04057C008A5BCD9237 +:04057D008A34CDEF00 +:04057E008A0DCE4BC9 +:04057F0089E6CEA893 +:0405800089BFCF055B +:040581008999CF6223 +:040582008973CFBFEB +:04058300894DD01CB2 +:040584008928D07979 +:040585008902D0D641 +:0405860088DED13406 +:0405870088B9D192CC +:040588008895D1EF92 +:040589008871D24D56 +:04058A00884DD2AB1B +:04058B008829D309DF +:04058C008806D367A3 +:04058D0087E3D3C667 +:04058E0087C1D42429 +:04058F00879ED483EC +:04059000877CD4E1AF +:04059100875BD5406F +:040592008739D59F31 +:040593008718D5FEF2 +:0405940086F7D65DB3 +:0405950086D7D6BC73 +:0405960086B6D71B33 +:040597008696D77AF3 +:040598008677D7DAB1 +:040599008657D83970 +:04059A008638D8992E +:04059B00861AD8F8EC +:04059C0085FBD958AA +:04059D0085DDD9B867 +:04059E0085BFDA1823 +:04059F0085A1DA78E0 +:0405A0008584DAD89C +:0405A1008567DB3857 +:0405A200854ADB9912 +:0405A300852EDBF9CD +:0405A4008512DC5A86 +:0405A50084F6DCBA42 +:0405A60084DADD1BFB +:0405A70084BFDD7CB4 +:0405A80084A4DDDD6D +:0405A9008489DE3E25 +:0405AA00846FDE9FDD +:0405AB008455DF0094 +:0405AC00843BDF614C +:0405AD008422DFC203 +:0405AE008408E023BA +:0405AF0083F0E08570 +:0405B00083D7E0E627 +:0405B10083BFE148DB +:0405B20083A7E1A991 +:0405B300838FE20B45 +:0405B4008378E26DF9 +:0405B5008361E2CFAD +:0405B600834AE33160 +:0405B7008333E39314 +:0405B800831DE3F5C7 +:0405B9008307E45779 +:0405BA0082F2E4B92C +:0405BB0082DCE51BDE +:0405BC0082C7E57E8F +:0405BD0082B3E5E040 +:0405BE00829EE642F1 +:0405BF00828AE6A5A1 +:0405C0008277E70750 +:0405C1008263E76A00 +:0405C2008250E7CDAF +:0405C300823DE8305D +:0405C400822BE8920C +:0405C5008218E8F5BB +:0405C6008206E95868 +:0405C70081F5E9BB16 +:0405C80081E3EA1EC3 +:0405C90081D2EA8170 +:0405CA0081C2EAE41C +:0405CB0081B1EB47C8 +:0405CC0081A1EBAB73 +:0405CD008191EC0E1E +:0405CE008182EC71C9 +:0405CF008173ECD573 +:0405D0008164ED381D +:0405D1008155ED9CC7 +:0405D2008147EDFF71 +:0405D3008139EE6319 +:0405D400812BEEC6C3 +:0405D500811EEF2A6A +:0405D6008111EF8E12 +:0405D7008104EFF1BB +:0405D80080F7F05563 +:0405D90080EBF0B90A +:0405DA0080DFF11DB0 +:0405DB0080D4F18057 +:0405DC0080C9F1E4FD +:0405DD0080BEF248A2 +:0405DE0080B3F2AC48 +:0405DF0080A9F310EC +:0405E000809FF37491 +:0405E1008095F3D836 +:0405E200808CF43CD9 +:0405E3008083F4A17C +:0405E400807AF5051F +:0405E5008071F569C3 +:0405E6008069F5CD66 +:0405E7008061F63108 +:0405E800805AF696A9 +:0405E9008053F6FA4B +:0405EA00804CF75EEC +:0405EB008045F7C28E +:0405EC00803FF8272D +:0405ED008039F88BCE +:0405EE008033F8EF6F +:0405EF00802EF9540D +:0405F0008028F9B8AE +:0405F1008024FA1D4B +:0405F200801FFA81EB +:0405F300801BFAE58A +:0405F4008017FB4A27 +:0405F5008014FBAEC5 +:0405F6008010FC1362 +:0405F700800DFC7700 +:0405F800800BFCDC9C +:0405F9008009FD4038 +:0405FA008007FDA5D4 +:0405FB008005FE0970 +:0405FC008003FE6E0C +:0405FD008002FED2A8 +:0405FE008002FF3741 +:0405FF008001FF9BDD +:040600008001000075 +:04060100800100650F +:04060200800200C9A9 +:040603008002012E42 +:0406040080030192DC +:04060500800501F774 +:040606008007025B0C +:04060700800902C0A4 +:04060800800B03243C +:04060900800D0389D4 +:04060A00801003ED6C +:04060B008014045201 +:04060C00801704B699 +:04060D00801B051B2E +:04060E00801F057FC5 +:04060F00802405E35B +:0406100080280648F0 +:04061100802E06AC85 +:040612008033071119 +:0406130080390775AE +:04061400803F07D943 +:040615008045083ED6 +:04061600804C08A26A +:0406170080530906FD +:04061800805A096A91 +:04061900806109CF24 +:04061A0080690A33B6 +:04061B0080710A9749 +:04061C00807A0AFBDB +:04061D0080830B5F6C +:04061E00808C0BC4FD +:04061F0080950C288E +:04062000809F0C8C1F +:0406210080A90CF0B0 +:0406220080B30D5440 +:0406230080BE0DB8D0 +:0406240080C90E1C5F +:0406250080D40E80EF +:0406260080DF0EE380 +:0406270080EB0F470E +:0406280080F70FAB9D +:040629008104100F29 +:04062A0081111072B8 +:04062B00811E10D646 +:04062C00812B113AD3 +:04062D008139119D61 +:04062E0081471201ED +:04062F00815512647B +:04063000816412C807 +:040631008173132B93 +:040632008182138F1F +:04063300819113F2AC +:0406340081A1145537 +:0406350081B114B9C2 +:0406360081C2151C4C +:0406370081D2157FD8 +:0406380081E315E263 +:0406390081F51645EC +:04063A00820616A876 +:04063B008218170BFF +:04063C00822B176E88 +:04063D00823D17D013 +:04063E00825018339B +:04063F008263189624 +:04064000827718F9AC +:04064100828A195B35 +:04064200829E19BEBD +:0406430082B31A2044 +:0406440082C71A82CD +:0406450082DC1AE554 +:0406460082F21B47DA +:0406470083071BA961 +:04064800831D1C0BE7 +:0406490083331C6D6E +:04064A00834A1CCFF4 +:04064B0083611D3179 +:04064C0083781D93FF +:04064D00838F1DF585 +:04064E0083A71E5709 +:04064F0083BF1EB88F +:0406500083D71F1A13 +:0406510083F01F7B98 +:0406520084081FDD1C +:040653008422203E9F +:04065400843B209F24 +:0406550084552100A7 +:04065600846F21612B +:04065700848921C2AF +:0406580084A4222331 +:0406590084BF2284B4 +:04065A0084DA22E537 +:04065B0084F62346B8 +:04065C00851223A63A +:04065D00852E2407BB +:04065E00854A24673E +:04065F00856724C8BF +:040660008584252840 +:0406610085A12588C2 +:0406620085BF25E843 +:0406630085DD2648C3 +:0406640085FB26A844 +:04066500861A2708C2 +:040666008638276744 +:04066700865727C7C4 +:040668008677282643 +:0406690086962886C3 +:04066A0086B628E543 +:04066B0086D72944C1 +:04066C0086F729A341 +:04066D0087182A02BE +:04066E0087392A613D +:04066F00875B2AC0BB +:04067000877C2B1F39 +:04067100879E2B7DB8 +:0406720087C12BDC35 +:0406730087E32C3AB3 +:0406740088062C992F +:0406750088292CF7AD +:04067600884D2D5529 +:0406770088712DB3A6 +:0406780088952E1122 +:0406790088B92E6EA0 +:04067A0088DE2ECC1C +:04067B0089022F2A97 +:04067C0089282F8713 +:04067D00894D2FE490 +:04067E00897330410B +:04067F008999309E87 +:0406800089BF30FB03 +:0406810089E631587D +:040682008A0D31B5F7 +:040683008A34321172 +:040684008A5B326EED +:040685008A8332CA68 +:040686008AAB3326E2 +:040687008AD333835C +:040688008AFC33DFD6 +:040689008B25343A4F +:04068A008B4E3496C9 +:04068B008B7834F242 +:04068C008BA1354DBC +:04068D008BCB35A836 +:04068E008BF63604AD +:04068F008C20365F26 +:040690008C4B36BA9F +:040691008C76371517 +:040692008CA2376F90 +:040693008CCD37CA09 +:040694008CF9382481 +:040695008D25387EF9 +:040696008D5238D970 +:040697008D7F3933E7 +:040698008DAC398C60 +:040699008DD939E6D8 +:04069A008E073A404D +:04069B008E353A99C5 +:04069C008E633AF23D +:04069D008E913B4CB3 +:04069E008EC03BA52A +:04069F008EEF3BFEA1 +:0406A0008F1E3C5617 +:0406A1008F4E3CAF8D +:0406A2008F7D3D0704 +:0406A3008FAD3D607A +:0406A4008FDE3DB8F0 +:0406A500900E3E1065 +:0406A600903F3E68DB +:0406A70090703EBF52 +:0406A80090A23F17C6 +:0406A90090D43F6E3C +:0406AA0091053FC5B2 +:0406AB009138401D25 +:0406AC00916A40739C +:0406AD00919D40CA11 +:0406AE0091D0412185 +:0406AF0092034177FA +:0406B000923741CE6E +:0406B100926B4224E2 +:0406B200929F427A57 +:0406B30092D342D0CC +:0406B400930843253F +:0406B500933D437BB3 +:0406B600937243D028 +:0406B70093A744259C +:0406B80093DD447A10 +:0406B900941344CF83 +:0406BA0094494524F6 +:0406BB00947F45786B +:0406BC0094B645CDDE +:0406BD0094ED462151 +:0406BE0095244675C4 +:0406BF00955C46C937 +:0406C0009593471CAB +:0406C10095CB47701E +:0406C200960347C391 +:0406C300963C481603 +:0406C4009675486976 +:0406C50096AE48BCE9 +:0406C60096E7490F5B +:0406C70097204961CE +:0406C800975A49B440 +:0406C90097944A06B2 +:0406CA0097CE4A5825 +:0406CB0098094AA997 +:0406CC0098444AFB09 +:0406CD00987F4B4C7B +:0406CE0098BA4B9DEE +:0406CF0098F64BEE60 +:0406D00099314C3FD1 +:0406D100996D4C9043 +:0406D20099AA4CE0B5 +:0406D30099E64D3126 +:0406D4009A234D8197 +:0406D5009A604DD109 +:0406D6009A9D4E207B +:0406D7009ADB4E70EC +:0406D8009B184EBF5E +:0406D9009B564F0ECF +:0406DA009B944F5D41 +:0406DB009BD34FACB2 +:0406DC009C124FFB22 +:0406DD009C51504993 +:0406DE009C90509705 +:0406DF009CCF50E577 +:0406E0009D0F5133E6 +:0406E1009D4F518157 +:0406E2009D8F51CEC9 +:0406E3009DCF521B3A +:0406E4009E105268AA +:0406E5009E5152B51B +:0406E6009E9253028B +:0406E7009ED3534EFD +:0406E8009F15539B6C +:0406E9009F5653E7DE +:0406EA009F9854324F +:0406EB009FDB547EBF +:0406EC00A01D54C930 +:0406ED00A06055159F +:0406EE00A0A3556010 +:0406EF00A0E655AA82 +:0406F000A12955F5F2 +:0406F100A16D563F62 +:0406F200A1B1568AD2 +:0406F300A1F556D344 +:0406F400A239571DB3 +:0406F500A27E576723 +:0406F600A2C257B095 +:0406F700A30757F905 +:0406F800A34D584274 +:0406F900A392588BE5 +:0406FA00A3D858D356 +:0406FB00A41E591CC4 +:0406FC00A464596435 +:0406FD00A4AA59ACA6 +:0406FE00A4F159F317 +:0406FF00A5375A3B86 +:04070000A57E5A82F6 +:04070100A5C55AC967 +:04070200A60D5B0FD6 +:04070300A6545B5647 +:04070400A69C5B9CB8 +:04070500A6E45BE229 +:04070600A72D5C2897 +:04070700A7755C6E08 +:04070800A7BE5CB379 +:04070900A8075CF9E8 +:04070A00A8505D3E58 +:04070B00A8995D82CA +:04070C00A8E35DC73A +:04070D00A92D5E0BA9 +:04070E00A9765E4F1B +:04070F00A9C15E938B +:04071000AA0B5ED7FB +:04071100AA565F1A6B +:04071200AAA05F5DDD +:04071300AAEB5FA04E +:04071400AB375FE3BD +:04071500AB8260252E +:04071600ABCE60689E +:04071700AC1960AA0F +:04071800AC6560EB81 +:04071900ACB2612DF0 +:04071A00ACFE616E62 +:04071B00AD4B61AFD2 +:04071C00AD9861F043 +:04071D00ADE56231B3 +:04071E00AE32627124 +:04071F00AE7F62B196 +:04072000AECD62F107 +:04072100AF1B633176 +:04072200AF696370E8 +:04072300AFB763AF5A +:04072400B00563EECB +:04072500B054642D3B +:04072600B0A3646CAC +:04072700B0F264AA1E +:04072800B14164E88F +:04072900B190652501 +:04072A00B1E0656372 +:04072B00B22F65A0E4 +:04072C00B27F65DD56 +:04072D00B2CF661AC7 +:04072E00B320665638 +:04072F00B3706693AA +:04073000B3C166CF1C +:04073100B412670A8D +:04073200B4636746FF +:04073300B4B4678172 +:04073400B50567BCE4 +:04073500B55767F756 +:04073600B5A86832C8 +:04073700B5FA686C3B +:04073800B64C68A6AD +:04073900B69F68E01F +:04073A00B6F1691992 +:04073B00B744695204 +:04073C00B797698B77 +:04073D00B7EA69C4EA +:04073E00B83D69FD5C +:04073F00B8906A35CF +:04074000B8E46A6D42 +:04074100B9376AA4B6 +:04074200B98B6ADC29 +:04074300B9DF6B139C +:04074400BA336B4A0F +:04074500BA886B8182 +:04074600BADC6BB7F7 +:04074700BB316BED6A +:04074800BB866C23DD +:04074900BBDB6C5951 +:04074A00BC306C8EC5 +:04074B00BC856CC33A +:04074C00BCDB6CF8AE +:04074D00BD306D2D21 +:04074E00BD866D6196 +:04074F00BDDC6D950B +:04075000BE326DC97F +:04075100BE896DFDF3 +:04075200BEDF6E3068 +:04075300BF366E63DC +:04075400BF8D6E9651 +:04075500BFE36EC8C8 +:04075600C03B6EFB3B +:04075700C0926F2CB1 +:04075800C0E96F5E27 +:04075900C1416F909B +:04075A00C1986FC112 +:04075B00C1F06FF288 +:04075C00C2487022FD +:04075D00C2A0705373 +:04075E00C2F97083E9 +:04075F00C35170B260 +:04076000C3AA70E2D6 +:04076100C40271114C +:04076200C45B7140C3 +:04076300C4B4716F3A +:04076400C50E719DB0 +:04076500C56771CB28 +:04076600C5C071F9A0 +:04076700C61A722715 +:04076800C67472548D +:04076900C6CD728106 +:04076A00C72772AE7D +:04076B00C78272DBF4 +:04076C00C7DC73076C +:04076D00C8367333E4 +:04076E00C891735E5D +:04076F00C8EB738AD6 +:04077000C94673B54E +:04077100C9A173E0C7 +:04077200C9FC740A40 +:04077300CA587435B7 +:04077400CAB3745F31 +:04077500CB0E7488AB +:04077600CB6A74B224 +:04077700CBC674DB9E +:04077800CC21750417 +:04077900CC7D752D91 +:04077A00CCDA75550B +:04077B00CD36757D85 +:04077C00CD9275A500 +:04077D00CDEF75CC7B +:04077E00CE4B75F3F6 +:04077F00CEA8761A70 +:04078000CF057641EA +:04078100CF62766766 +:04078200CFBF768DE2 +:04078300D01C76B35D +:04078400D07976D8DA +:04078500D0D676FE56 +:04078600D1347722D1 +:04078700D19277474D +:04078800D1EF776BCB +:04078900D24D778F47 +:04078A00D2AB77B3C4 +:04078B00D30977D740 +:04078C00D36777FABE +:04078D00D3C6781D3A +:04078E00D424783FB8 +:04078F00D483786235 +:04079000D4E17884B4 +:04079100D54078A532 +:04079200D59F78C7B0 +:04079300D5FE78E82F +:04079400D65D7909AC +:04079500D6BC79292C +:04079600D71B794AAA +:04079700D77A796A2A +:04079800D7DA7989AA +:04079900D83979A929 +:04079A00D89979C8A9 +:04079B00D8F879E62B +:04079C00D9587A05A9 +:04079D00D9B87A232A +:04079E00DA187A41AA +:04079F00DA787A5F2B +:0407A000DAD87A7CAD +:0407A100DB387A992E +:0407A200DB997AB6AF +:0407A300DBF97AD232 +:0407A400DC5A7AEEB3 +:0407A500DCBA7B0A35 +:0407A600DD1B7B26B6 +:0407A700DD7C7B4139 +:0407A800DDDD7B5CBC +:0407A900DE3E7B773E +:0407AA00DE9F7B91C2 +:0407AB00DF007BAB45 +:0407AC00DF617BC5C9 +:0407AD00DFC27BDE4E +:0407AE00E0237BF8D1 +:0407AF00E0857C1055 +:0407B000E0E67C29DA +:0407B100E1487C415E +:0407B200E1A97C59E4 +:0407B300E20B7C7168 +:0407B400E26D7C88EE +:0407B500E2CF7C9F74 +:0407B600E3317CB6F9 +:0407B700E3937CCD7F +:0407B800E3F57CE306 +:0407B900E4577CF98C +:0407BA00E4B97D0E13 +:0407BB00E51B7D2499 +:0407BC00E57E7D3920 +:0407BD00E5E07D4DA9 +:0407BE00E6427D6230 +:0407BF00E6A57D76B8 +:0407C000E7077D8941 +:0407C100E76A7D9DC9 +:0407C200E7CD7DB052 +:0407C300E8307DC3DA +:0407C400E8927DD565 +:0407C500E8F57DE8EE +:0407C600E9587DFA77 +:0407C700E9BB7E0B01 +:0407C800EA1E7E1D8A +:0407C900EA817E2E15 +:0407CA00EAE47E3EA1 +:0407CB00EB477E4F2B +:0407CC00EBAB7E5FB6 +:0407CD00EC0E7E6F41 +:0407CE00EC717E7ECE +:0407CF00ECD57E8D5A +:0407D000ED387E9CE6 +:0407D100ED9C7EAB72 +:0407D200EDFF7EB900 +:0407D300EE637EC78C +:0407D400EEC67ED51A +:0407D500EF2A7EE2A7 +:0407D600EF8E7EEF35 +:0407D700EFF17EFCC4 +:0407D800F0557F0950 +:0407D900F0B97F15DF +:0407DA00F11D7F216D +:0407DB00F1807F2CFE +:0407DC00F1E47F378E +:0407DD00F2487F421D +:0407DE00F2AC7F4DAD +:0407DF00F3107F573D +:0407E000F3747F61CE +:0407E100F3D87F6B5F +:0407E200F43C7F74F0 +:0407E300F4A17F7D81 +:0407E400F5057F8612 +:0407E500F5697F8FA4 +:0407E600F5CD7F9737 +:0407E700F6317F9FC9 +:0407E800F6967FA65C +:0407E900F6FA7FADF0 +:0407EA00F75E7FB483 +:0407EB00F7C27FBB17 +:0407EC00F8277FC1AA +:0407ED00F88B7FC73F +:0407EE00F8EF7FCDD4 +:0407EF00F9547FD268 +:0407F000F9B87FD8FD +:0407F100FA1D7FDC92 +:0407F200FA817FE128 +:0407F300FAE57FE5BF +:0407F400FB4A7FE954 +:0407F500FBAE7FECEC +:0407F600FC137FF081 +:0407F700FC777FF319 +:0407F800FCDC7FF5B1 +:0407F900FD407FF749 +:0407FA00FDA57FF9E1 +:0407FB00FE097FFB79 +:0407FC00FE6E7FFD11 +:0407FD00FED27FFEAB +:0407FE00FF377FFE44 +:0407FF00FF9B7FFFDE +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_10.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_10.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_10.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_11.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_11.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_11.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_6.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_6.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_6.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_7.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_7.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_7.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_8.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_8.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_8.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_9.hex b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_9.hex new file mode 100644 index 0000000000000000000000000000000000000000..f50bff279ae778401f565a9605229bc1206086bf --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/data/gains_1024_complex_16b13f_unit_9.hex @@ -0,0 +1,1025 @@ +:0400000000002000DC +:0400010000002000DB +:0400020000002000DA +:0400030000002000D9 +:0400040000002000D8 +:0400050000002000D7 +:0400060000002000D6 +:0400070000002000D5 +:0400080000002000D4 +:0400090000002000D3 +:04000A0000002000D2 +:04000B0000002000D1 +:04000C0000002000D0 +:04000D0000002000CF +:04000E0000002000CE +:04000F0000002000CD +:0400100000002000CC +:0400110000002000CB +:0400120000002000CA +:0400130000002000C9 +:0400140000002000C8 +:0400150000002000C7 +:0400160000002000C6 +:0400170000002000C5 +:0400180000002000C4 +:0400190000002000C3 +:04001A0000002000C2 +:04001B0000002000C1 +:04001C0000002000C0 +:04001D0000002000BF +:04001E0000002000BE +:04001F0000002000BD +:0400200000002000BC +:0400210000002000BB +:0400220000002000BA +:0400230000002000B9 +:0400240000002000B8 +:0400250000002000B7 +:0400260000002000B6 +:0400270000002000B5 +:0400280000002000B4 +:0400290000002000B3 +:04002A0000002000B2 +:04002B0000002000B1 +:04002C0000002000B0 +:04002D0000002000AF +:04002E0000002000AE +:04002F0000002000AD +:0400300000002000AC +:0400310000002000AB +:0400320000002000AA +:0400330000002000A9 +:0400340000002000A8 +:0400350000002000A7 +:0400360000002000A6 +:0400370000002000A5 +:0400380000002000A4 +:0400390000002000A3 +:04003A0000002000A2 +:04003B0000002000A1 +:04003C0000002000A0 +:04003D00000020009F +:04003E00000020009E +:04003F00000020009D +:04004000000020009C +:04004100000020009B +:04004200000020009A +:040043000000200099 +:040044000000200098 +:040045000000200097 +:040046000000200096 +:040047000000200095 +:040048000000200094 +:040049000000200093 +:04004A000000200092 +:04004B000000200091 +:04004C000000200090 +:04004D00000020008F +:04004E00000020008E +:04004F00000020008D +:04005000000020008C +:04005100000020008B +:04005200000020008A +:040053000000200089 +:040054000000200088 +:040055000000200087 +:040056000000200086 +:040057000000200085 +:040058000000200084 +:040059000000200083 +:04005A000000200082 +:04005B000000200081 +:04005C000000200080 +:04005D00000020007F +:04005E00000020007E +:04005F00000020007D +:04006000000020007C +:04006100000020007B +:04006200000020007A +:040063000000200079 +:040064000000200078 +:040065000000200077 +:040066000000200076 +:040067000000200075 +:040068000000200074 +:040069000000200073 +:04006A000000200072 +:04006B000000200071 +:04006C000000200070 +:04006D00000020006F +:04006E00000020006E +:04006F00000020006D +:04007000000020006C +:04007100000020006B +:04007200000020006A +:040073000000200069 +:040074000000200068 +:040075000000200067 +:040076000000200066 +:040077000000200065 +:040078000000200064 +:040079000000200063 +:04007A000000200062 +:04007B000000200061 +:04007C000000200060 +:04007D00000020005F +:04007E00000020005E +:04007F00000020005D +:04008000000020005C +:04008100000020005B +:04008200000020005A +:040083000000200059 +:040084000000200058 +:040085000000200057 +:040086000000200056 +:040087000000200055 +:040088000000200054 +:040089000000200053 +:04008A000000200052 +:04008B000000200051 +:04008C000000200050 +:04008D00000020004F +:04008E00000020004E +:04008F00000020004D +:04009000000020004C +:04009100000020004B +:04009200000020004A +:040093000000200049 +:040094000000200048 +:040095000000200047 +:040096000000200046 +:040097000000200045 +:040098000000200044 +:040099000000200043 +:04009A000000200042 +:04009B000000200041 +:04009C000000200040 +:04009D00000020003F +:04009E00000020003E +:04009F00000020003D +:0400A000000020003C +:0400A100000020003B +:0400A200000020003A +:0400A3000000200039 +:0400A4000000200038 +:0400A5000000200037 +:0400A6000000200036 +:0400A7000000200035 +:0400A8000000200034 +:0400A9000000200033 +:0400AA000000200032 +:0400AB000000200031 +:0400AC000000200030 +:0400AD00000020002F +:0400AE00000020002E +:0400AF00000020002D +:0400B000000020002C +:0400B100000020002B +:0400B200000020002A +:0400B3000000200029 +:0400B4000000200028 +:0400B5000000200027 +:0400B6000000200026 +:0400B7000000200025 +:0400B8000000200024 +:0400B9000000200023 +:0400BA000000200022 +:0400BB000000200021 +:0400BC000000200020 +:0400BD00000020001F +:0400BE00000020001E +:0400BF00000020001D +:0400C000000020001C +:0400C100000020001B +:0400C200000020001A +:0400C3000000200019 +:0400C4000000200018 +:0400C5000000200017 +:0400C6000000200016 +:0400C7000000200015 +:0400C8000000200014 +:0400C9000000200013 +:0400CA000000200012 +:0400CB000000200011 +:0400CC000000200010 +:0400CD00000020000F +:0400CE00000020000E +:0400CF00000020000D +:0400D000000020000C +:0400D100000020000B +:0400D200000020000A +:0400D3000000200009 +:0400D4000000200008 +:0400D5000000200007 +:0400D6000000200006 +:0400D7000000200005 +:0400D8000000200004 +:0400D9000000200003 +:0400DA000000200002 +:0400DB000000200001 +:0400DC000000200000 +:0400DD0000002000FF +:0400DE0000002000FE +:0400DF0000002000FD +:0400E00000002000FC +:0400E10000002000FB +:0400E20000002000FA +:0400E30000002000F9 +:0400E40000002000F8 +:0400E50000002000F7 +:0400E60000002000F6 +:0400E70000002000F5 +:0400E80000002000F4 +:0400E90000002000F3 +:0400EA0000002000F2 +:0400EB0000002000F1 +:0400EC0000002000F0 +:0400ED0000002000EF +:0400EE0000002000EE +:0400EF0000002000ED +:0400F00000002000EC +:0400F10000002000EB +:0400F20000002000EA +:0400F30000002000E9 +:0400F40000002000E8 +:0400F50000002000E7 +:0400F60000002000E6 +:0400F70000002000E5 +:0400F80000002000E4 +:0400F90000002000E3 +:0400FA0000002000E2 +:0400FB0000002000E1 +:0400FC0000002000E0 +:0400FD0000002000DF +:0400FE0000002000DE +:0400FF0000002000DD +:0401000000002000DB +:0401010000002000DA +:0401020000002000D9 +:0401030000002000D8 +:0401040000002000D7 +:0401050000002000D6 +:0401060000002000D5 +:0401070000002000D4 +:0401080000002000D3 +:0401090000002000D2 +:04010A0000002000D1 +:04010B0000002000D0 +:04010C0000002000CF +:04010D0000002000CE +:04010E0000002000CD +:04010F0000002000CC +:0401100000002000CB +:0401110000002000CA +:0401120000002000C9 +:0401130000002000C8 +:0401140000002000C7 +:0401150000002000C6 +:0401160000002000C5 +:0401170000002000C4 +:0401180000002000C3 +:0401190000002000C2 +:04011A0000002000C1 +:04011B0000002000C0 +:04011C0000002000BF +:04011D0000002000BE +:04011E0000002000BD +:04011F0000002000BC +:0401200000002000BB +:0401210000002000BA +:0401220000002000B9 +:0401230000002000B8 +:0401240000002000B7 +:0401250000002000B6 +:0401260000002000B5 +:0401270000002000B4 +:0401280000002000B3 +:0401290000002000B2 +:04012A0000002000B1 +:04012B0000002000B0 +:04012C0000002000AF +:04012D0000002000AE +:04012E0000002000AD +:04012F0000002000AC +:0401300000002000AB +:0401310000002000AA +:0401320000002000A9 +:0401330000002000A8 +:0401340000002000A7 +:0401350000002000A6 +:0401360000002000A5 +:0401370000002000A4 +:0401380000002000A3 +:0401390000002000A2 +:04013A0000002000A1 +:04013B0000002000A0 +:04013C00000020009F +:04013D00000020009E +:04013E00000020009D +:04013F00000020009C +:04014000000020009B +:04014100000020009A +:040142000000200099 +:040143000000200098 +:040144000000200097 +:040145000000200096 +:040146000000200095 +:040147000000200094 +:040148000000200093 +:040149000000200092 +:04014A000000200091 +:04014B000000200090 +:04014C00000020008F +:04014D00000020008E +:04014E00000020008D +:04014F00000020008C +:04015000000020008B +:04015100000020008A +:040152000000200089 +:040153000000200088 +:040154000000200087 +:040155000000200086 +:040156000000200085 +:040157000000200084 +:040158000000200083 +:040159000000200082 +:04015A000000200081 +:04015B000000200080 +:04015C00000020007F +:04015D00000020007E +:04015E00000020007D +:04015F00000020007C +:04016000000020007B +:04016100000020007A +:040162000000200079 +:040163000000200078 +:040164000000200077 +:040165000000200076 +:040166000000200075 +:040167000000200074 +:040168000000200073 +:040169000000200072 +:04016A000000200071 +:04016B000000200070 +:04016C00000020006F +:04016D00000020006E +:04016E00000020006D +:04016F00000020006C +:04017000000020006B +:04017100000020006A +:040172000000200069 +:040173000000200068 +:040174000000200067 +:040175000000200066 +:040176000000200065 +:040177000000200064 +:040178000000200063 +:040179000000200062 +:04017A000000200061 +:04017B000000200060 +:04017C00000020005F +:04017D00000020005E +:04017E00000020005D +:04017F00000020005C +:04018000000020005B +:04018100000020005A +:040182000000200059 +:040183000000200058 +:040184000000200057 +:040185000000200056 +:040186000000200055 +:040187000000200054 +:040188000000200053 +:040189000000200052 +:04018A000000200051 +:04018B000000200050 +:04018C00000020004F +:04018D00000020004E +:04018E00000020004D +:04018F00000020004C +:04019000000020004B +:04019100000020004A +:040192000000200049 +:040193000000200048 +:040194000000200047 +:040195000000200046 +:040196000000200045 +:040197000000200044 +:040198000000200043 +:040199000000200042 +:04019A000000200041 +:04019B000000200040 +:04019C00000020003F +:04019D00000020003E +:04019E00000020003D +:04019F00000020003C +:0401A000000020003B +:0401A100000020003A +:0401A2000000200039 +:0401A3000000200038 +:0401A4000000200037 +:0401A5000000200036 +:0401A6000000200035 +:0401A7000000200034 +:0401A8000000200033 +:0401A9000000200032 +:0401AA000000200031 +:0401AB000000200030 +:0401AC00000020002F +:0401AD00000020002E +:0401AE00000020002D +:0401AF00000020002C +:0401B000000020002B +:0401B100000020002A +:0401B2000000200029 +:0401B3000000200028 +:0401B4000000200027 +:0401B5000000200026 +:0401B6000000200025 +:0401B7000000200024 +:0401B8000000200023 +:0401B9000000200022 +:0401BA000000200021 +:0401BB000000200020 +:0401BC00000020001F +:0401BD00000020001E +:0401BE00000020001D +:0401BF00000020001C +:0401C000000020001B +:0401C100000020001A +:0401C2000000200019 +:0401C3000000200018 +:0401C4000000200017 +:0401C5000000200016 +:0401C6000000200015 +:0401C7000000200014 +:0401C8000000200013 +:0401C9000000200012 +:0401CA000000200011 +:0401CB000000200010 +:0401CC00000020000F +:0401CD00000020000E +:0401CE00000020000D +:0401CF00000020000C +:0401D000000020000B +:0401D100000020000A +:0401D2000000200009 +:0401D3000000200008 +:0401D4000000200007 +:0401D5000000200006 +:0401D6000000200005 +:0401D7000000200004 +:0401D8000000200003 +:0401D9000000200002 +:0401DA000000200001 +:0401DB000000200000 +:0401DC0000002000FF +:0401DD0000002000FE +:0401DE0000002000FD +:0401DF0000002000FC +:0401E00000002000FB +:0401E10000002000FA +:0401E20000002000F9 +:0401E30000002000F8 +:0401E40000002000F7 +:0401E50000002000F6 +:0401E60000002000F5 +:0401E70000002000F4 +:0401E80000002000F3 +:0401E90000002000F2 +:0401EA0000002000F1 +:0401EB0000002000F0 +:0401EC0000002000EF +:0401ED0000002000EE +:0401EE0000002000ED +:0401EF0000002000EC +:0401F00000002000EB +:0401F10000002000EA +:0401F20000002000E9 +:0401F30000002000E8 +:0401F40000002000E7 +:0401F50000002000E6 +:0401F60000002000E5 +:0401F70000002000E4 +:0401F80000002000E3 +:0401F90000002000E2 +:0401FA0000002000E1 +:0401FB0000002000E0 +:0401FC0000002000DF +:0401FD0000002000DE +:0401FE0000002000DD +:0401FF0000002000DC +:0402000000002000DA +:0402010000002000D9 +:0402020000002000D8 +:0402030000002000D7 +:0402040000002000D6 +:0402050000002000D5 +:0402060000002000D4 +:0402070000002000D3 +:0402080000002000D2 +:0402090000002000D1 +:04020A0000002000D0 +:04020B0000002000CF +:04020C0000002000CE +:04020D0000002000CD +:04020E0000002000CC +:04020F0000002000CB +:0402100000002000CA +:0402110000002000C9 +:0402120000002000C8 +:0402130000002000C7 +:0402140000002000C6 +:0402150000002000C5 +:0402160000002000C4 +:0402170000002000C3 +:0402180000002000C2 +:0402190000002000C1 +:04021A0000002000C0 +:04021B0000002000BF +:04021C0000002000BE +:04021D0000002000BD +:04021E0000002000BC +:04021F0000002000BB +:0402200000002000BA +:0402210000002000B9 +:0402220000002000B8 +:0402230000002000B7 +:0402240000002000B6 +:0402250000002000B5 +:0402260000002000B4 +:0402270000002000B3 +:0402280000002000B2 +:0402290000002000B1 +:04022A0000002000B0 +:04022B0000002000AF +:04022C0000002000AE +:04022D0000002000AD +:04022E0000002000AC +:04022F0000002000AB +:0402300000002000AA +:0402310000002000A9 +:0402320000002000A8 +:0402330000002000A7 +:0402340000002000A6 +:0402350000002000A5 +:0402360000002000A4 +:0402370000002000A3 +:0402380000002000A2 +:0402390000002000A1 +:04023A0000002000A0 +:04023B00000020009F +:04023C00000020009E +:04023D00000020009D +:04023E00000020009C +:04023F00000020009B +:04024000000020009A +:040241000000200099 +:040242000000200098 +:040243000000200097 +:040244000000200096 +:040245000000200095 +:040246000000200094 +:040247000000200093 +:040248000000200092 +:040249000000200091 +:04024A000000200090 +:04024B00000020008F +:04024C00000020008E +:04024D00000020008D +:04024E00000020008C +:04024F00000020008B +:04025000000020008A +:040251000000200089 +:040252000000200088 +:040253000000200087 +:040254000000200086 +:040255000000200085 +:040256000000200084 +:040257000000200083 +:040258000000200082 +:040259000000200081 +:04025A000000200080 +:04025B00000020007F +:04025C00000020007E +:04025D00000020007D +:04025E00000020007C +:04025F00000020007B +:04026000000020007A +:040261000000200079 +:040262000000200078 +:040263000000200077 +:040264000000200076 +:040265000000200075 +:040266000000200074 +:040267000000200073 +:040268000000200072 +:040269000000200071 +:04026A000000200070 +:04026B00000020006F +:04026C00000020006E +:04026D00000020006D +:04026E00000020006C +:04026F00000020006B +:04027000000020006A +:040271000000200069 +:040272000000200068 +:040273000000200067 +:040274000000200066 +:040275000000200065 +:040276000000200064 +:040277000000200063 +:040278000000200062 +:040279000000200061 +:04027A000000200060 +:04027B00000020005F +:04027C00000020005E +:04027D00000020005D +:04027E00000020005C +:04027F00000020005B +:04028000000020005A +:040281000000200059 +:040282000000200058 +:040283000000200057 +:040284000000200056 +:040285000000200055 +:040286000000200054 +:040287000000200053 +:040288000000200052 +:040289000000200051 +:04028A000000200050 +:04028B00000020004F +:04028C00000020004E +:04028D00000020004D +:04028E00000020004C +:04028F00000020004B +:04029000000020004A +:040291000000200049 +:040292000000200048 +:040293000000200047 +:040294000000200046 +:040295000000200045 +:040296000000200044 +:040297000000200043 +:040298000000200042 +:040299000000200041 +:04029A000000200040 +:04029B00000020003F +:04029C00000020003E +:04029D00000020003D +:04029E00000020003C +:04029F00000020003B +:0402A000000020003A +:0402A1000000200039 +:0402A2000000200038 +:0402A3000000200037 +:0402A4000000200036 +:0402A5000000200035 +:0402A6000000200034 +:0402A7000000200033 +:0402A8000000200032 +:0402A9000000200031 +:0402AA000000200030 +:0402AB00000020002F +:0402AC00000020002E +:0402AD00000020002D +:0402AE00000020002C +:0402AF00000020002B +:0402B000000020002A +:0402B1000000200029 +:0402B2000000200028 +:0402B3000000200027 +:0402B4000000200026 +:0402B5000000200025 +:0402B6000000200024 +:0402B7000000200023 +:0402B8000000200022 +:0402B9000000200021 +:0402BA000000200020 +:0402BB00000020001F +:0402BC00000020001E +:0402BD00000020001D +:0402BE00000020001C +:0402BF00000020001B +:0402C000000020001A +:0402C1000000200019 +:0402C2000000200018 +:0402C3000000200017 +:0402C4000000200016 +:0402C5000000200015 +:0402C6000000200014 +:0402C7000000200013 +:0402C8000000200012 +:0402C9000000200011 +:0402CA000000200010 +:0402CB00000020000F +:0402CC00000020000E +:0402CD00000020000D +:0402CE00000020000C +:0402CF00000020000B +:0402D000000020000A +:0402D1000000200009 +:0402D2000000200008 +:0402D3000000200007 +:0402D4000000200006 +:0402D5000000200005 +:0402D6000000200004 +:0402D7000000200003 +:0402D8000000200002 +:0402D9000000200001 +:0402DA000000200000 +:0402DB0000002000FF +:0402DC0000002000FE +:0402DD0000002000FD +:0402DE0000002000FC +:0402DF0000002000FB +:0402E00000002000FA +:0402E10000002000F9 +:0402E20000002000F8 +:0402E30000002000F7 +:0402E40000002000F6 +:0402E50000002000F5 +:0402E60000002000F4 +:0402E70000002000F3 +:0402E80000002000F2 +:0402E90000002000F1 +:0402EA0000002000F0 +:0402EB0000002000EF +:0402EC0000002000EE +:0402ED0000002000ED +:0402EE0000002000EC +:0402EF0000002000EB +:0402F00000002000EA +:0402F10000002000E9 +:0402F20000002000E8 +:0402F30000002000E7 +:0402F40000002000E6 +:0402F50000002000E5 +:0402F60000002000E4 +:0402F70000002000E3 +:0402F80000002000E2 +:0402F90000002000E1 +:0402FA0000002000E0 +:0402FB0000002000DF +:0402FC0000002000DE +:0402FD0000002000DD +:0402FE0000002000DC +:0402FF0000002000DB +:0403000000002000D9 +:0403010000002000D8 +:0403020000002000D7 +:0403030000002000D6 +:0403040000002000D5 +:0403050000002000D4 +:0403060000002000D3 +:0403070000002000D2 +:0403080000002000D1 +:0403090000002000D0 +:04030A0000002000CF +:04030B0000002000CE +:04030C0000002000CD +:04030D0000002000CC +:04030E0000002000CB +:04030F0000002000CA +:0403100000002000C9 +:0403110000002000C8 +:0403120000002000C7 +:0403130000002000C6 +:0403140000002000C5 +:0403150000002000C4 +:0403160000002000C3 +:0403170000002000C2 +:0403180000002000C1 +:0403190000002000C0 +:04031A0000002000BF +:04031B0000002000BE +:04031C0000002000BD +:04031D0000002000BC +:04031E0000002000BB +:04031F0000002000BA +:0403200000002000B9 +:0403210000002000B8 +:0403220000002000B7 +:0403230000002000B6 +:0403240000002000B5 +:0403250000002000B4 +:0403260000002000B3 +:0403270000002000B2 +:0403280000002000B1 +:0403290000002000B0 +:04032A0000002000AF +:04032B0000002000AE +:04032C0000002000AD +:04032D0000002000AC +:04032E0000002000AB +:04032F0000002000AA +:0403300000002000A9 +:0403310000002000A8 +:0403320000002000A7 +:0403330000002000A6 +:0403340000002000A5 +:0403350000002000A4 +:0403360000002000A3 +:0403370000002000A2 +:0403380000002000A1 +:0403390000002000A0 +:04033A00000020009F +:04033B00000020009E +:04033C00000020009D +:04033D00000020009C +:04033E00000020009B +:04033F00000020009A +:040340000000200099 +:040341000000200098 +:040342000000200097 +:040343000000200096 +:040344000000200095 +:040345000000200094 +:040346000000200093 +:040347000000200092 +:040348000000200091 +:040349000000200090 +:04034A00000020008F +:04034B00000020008E +:04034C00000020008D +:04034D00000020008C +:04034E00000020008B +:04034F00000020008A +:040350000000200089 +:040351000000200088 +:040352000000200087 +:040353000000200086 +:040354000000200085 +:040355000000200084 +:040356000000200083 +:040357000000200082 +:040358000000200081 +:040359000000200080 +:04035A00000020007F +:04035B00000020007E +:04035C00000020007D +:04035D00000020007C +:04035E00000020007B +:04035F00000020007A +:040360000000000099 +:040361000000000098 +:040362000000000097 +:040363000000000096 +:040364000000000095 +:040365000000000094 +:040366000000000093 +:040367000000000092 +:040368000000200071 +:040369000000200070 +:04036A00000020006F +:04036B00000020006E +:04036C00000020006D +:04036D00000020006C +:04036E00000020006B +:04036F00000020006A +:040370000000200069 +:040371000000200068 +:040372000000200067 +:040373000000200066 +:040374000000200065 +:040375000000200064 +:040376000000200063 +:040377000000200062 +:040378000000200061 +:040379000000200060 +:04037A00000020005F +:04037B00000020005E +:04037C00000020005D +:04037D00000020005C +:04037E00000020005B +:04037F00000020005A +:040380000000200059 +:040381000000200058 +:040382000000200057 +:040383000000200056 +:040384000000200055 +:040385000000200054 +:040386000000200053 +:040387000000200052 +:040388000000200051 +:040389000000200050 +:04038A00000020004F +:04038B00000020004E +:04038C00000020004D +:04038D00000020004C +:04038E00000020004B +:04038F00000020004A +:040390000000200049 +:040391000000200048 +:040392000000200047 +:040393000000200046 +:040394000000200045 +:040395000000200044 +:040396000000200043 +:040397000000200042 +:040398000000200041 +:040399000000200040 +:04039A00000020003F +:04039B00000020003E +:04039C00000020003D +:04039D00000020003C +:04039E00000020003B +:04039F00000020003A +:0403A0000000200039 +:0403A1000000200038 +:0403A2000000200037 +:0403A3000000200036 +:0403A4000000200035 +:0403A5000000200034 +:0403A6000000200033 +:0403A7000000200032 +:0403A8000000200031 +:0403A9000000200030 +:0403AA00000020002F +:0403AB00000020002E +:0403AC00000020002D +:0403AD00000020002C +:0403AE00000020002B +:0403AF00000020002A +:0403B0000000200029 +:0403B1000000200028 +:0403B2000000200027 +:0403B3000000200026 +:0403B4000000200025 +:0403B5000000200024 +:0403B6000000200023 +:0403B7000000200022 +:0403B8000000200021 +:0403B9000000200020 +:0403BA00000020001F +:0403BB00000020001E +:0403BC00000020001D +:0403BD00000020001C +:0403BE00000020001B +:0403BF00000020001A +:0403C0000000200019 +:0403C1000000200018 +:0403C2000000200017 +:0403C3000000200016 +:0403C4000000200015 +:0403C5000000200014 +:0403C6000000200013 +:0403C7000000200012 +:0403C8000000200011 +:0403C9000000200010 +:0403CA00000020000F +:0403CB00000020000E +:0403CC00000020000D +:0403CD00000020000C +:0403CE00000020000B +:0403CF00000020000A +:0403D0000000200009 +:0403D1000000200008 +:0403D2000000200007 +:0403D3000000200006 +:0403D4000000200005 +:0403D5000000200004 +:0403D6000000200003 +:0403D7000000200002 +:0403D8000000200001 +:0403D9000000200000 +:0403DA0000002000FF +:0403DB0000002000FE +:0403DC0000002000FD +:0403DD0000002000FC +:0403DE0000002000FB +:0403DF0000002000FA +:0403E00000002000F9 +:0403E10000002000F8 +:0403E20000002000F7 +:0403E30000002000F6 +:0403E40000002000F5 +:0403E50000002000F4 +:0403E60000002000F3 +:0403E70000002000F2 +:0403E80000002000F1 +:0403E90000002000F0 +:0403EA0000002000EF +:0403EB0000002000EE +:0403EC0000002000ED +:0403ED0000002000EC +:0403EE0000002000EB +:0403EF0000002000EA +:0403F00000002000E9 +:0403F10000002000E8 +:0403F20000002000E7 +:0403F30000002000E6 +:0403F40000002000E5 +:0403F50000002000E4 +:0403F60000002000E3 +:0403F70000002000E2 +:0403F80000002000E1 +:0403F90000002000E0 +:0403FA0000002000DF +:0403FB0000002000DE +:0403FC0000002000DD +:0403FD0000002000DC +:0403FE0000002000DB +:0403FF0000002000DA +:00000001FF diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd index 1ef4c3b4ab9444431c638f49ef5a2d7289010c23..032a29e62fdffac0ad0b4bed69e0a9599d4aee7d 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station.vhd @@ -777,6 +777,7 @@ BEGIN g_scope_selected_subband => g_scope_selected_subband, g_no_jesd => c_revision_select.no_jesd, g_use_fsub => c_revision_select.use_fsub, + g_use_oversample => c_revision_select.use_oversample, g_use_xsub => c_revision_select.use_xsub, g_use_bf => c_revision_select.use_bf, g_use_ring => c_revision_select.use_ring, diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd index 903b88e1ec98003c2d99c329173ef30ccc424c9f..ce8ffd3ab09523951ff6db68a13ce0696c1ea3ec 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/lofar2_unb2c_sdp_station_pkg.vhd @@ -34,20 +34,23 @@ PACKAGE lofar2_unb2c_sdp_station_pkg IS TYPE t_lofar2_unb2c_sdp_station_config IS RECORD no_jesd : BOOLEAN; use_fsub : BOOLEAN; + use_oversample : BOOLEAN; use_bf : BOOLEAN; use_xsub : BOOLEAN; use_ring : BOOLEAN; P_sq : NATURAL; END RECORD; - CONSTANT c_ait : t_lofar2_unb2c_sdp_station_config := (FALSE, FALSE, FALSE, FALSE, FALSE, 0); - CONSTANT c_fsub : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, FALSE, 0); - CONSTANT c_bf : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, TRUE, FALSE, FALSE, 0); - CONSTANT c_bf_ring : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, TRUE, FALSE, TRUE, 0); - CONSTANT c_xsub_one : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, 1); - CONSTANT c_xsub_ring : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, TRUE, 9); - CONSTANT c_full_wg : t_lofar2_unb2c_sdp_station_config := (TRUE, TRUE, TRUE, TRUE, TRUE, 9); - CONSTANT c_full : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_ait : t_lofar2_unb2c_sdp_station_config := (FALSE, FALSE, FALSE, FALSE, FALSE, FALSE, 0); + CONSTANT c_fsub : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, FALSE, FALSE, 0); + CONSTANT c_bf : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, FALSE, 0); + CONSTANT c_bf_ring : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, FALSE, TRUE, 0); + CONSTANT c_xsub_one : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, TRUE, FALSE, 1); + CONSTANT c_xsub_ring : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, FALSE, TRUE, TRUE, 9); + CONSTANT c_full_wg : t_lofar2_unb2c_sdp_station_config := (TRUE, TRUE, FALSE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full_os : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full_wg_os : t_lofar2_unb2c_sdp_station_config := (TRUE, TRUE, TRUE, TRUE, TRUE, TRUE, 9); + CONSTANT c_full : t_lofar2_unb2c_sdp_station_config := (FALSE, TRUE, FALSE, TRUE, TRUE, TRUE, 9); -- Function to select the revision configuration. FUNCTION func_sel_revision_rec(g_design_name : STRING) RETURN t_lofar2_unb2c_sdp_station_config; @@ -67,6 +70,8 @@ PACKAGE BODY lofar2_unb2c_sdp_station_pkg IS ELSIF g_design_name = "lofar2_unb2c_sdp_station_xsub_one" THEN RETURN c_xsub_one; ELSIF g_design_name = "lofar2_unb2c_sdp_station_xsub_ring" THEN RETURN c_xsub_ring; ELSIF g_design_name = "lofar2_unb2c_sdp_station_full_wg" THEN RETURN c_full_wg; + ELSIF g_design_name = "disturb2_unb2c_sdp_station_full" THEN RETURN c_full_os; + ELSIF g_design_name = "disturb2_unb2c_sdp_station_full_wg" THEN RETURN c_full_wg_os; ELSE RETURN c_full; END IF; END; diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd index 12f93299f3749dd70aeada6cd42e001a944071d7..c8f7511c6e9e19f71e91ec67d526c2f11eecb18a 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd @@ -92,14 +92,14 @@ PACKAGE qsys_lofar2_unb2c_sdp_station_pkg IS ram_diag_data_buffer_bsn_reset_export : out std_logic; -- export ram_diag_data_buffer_bsn_write_export : out std_logic; -- export ram_diag_data_buffer_bsn_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_equalizer_gains_address_export : out std_logic_vector(12 downto 0); -- export + ram_equalizer_gains_address_export : out std_logic_vector(13 downto 0); -- export ram_equalizer_gains_clk_export : out std_logic; -- export ram_equalizer_gains_read_export : out std_logic; -- export ram_equalizer_gains_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export ram_equalizer_gains_reset_export : out std_logic; -- export ram_equalizer_gains_write_export : out std_logic; -- export ram_equalizer_gains_writedata_export : out std_logic_vector(31 downto 0); -- export - ram_fil_coefs_address_export : out std_logic_vector(13 downto 0); -- export + ram_fil_coefs_address_export : out std_logic_vector(14 downto 0); -- export ram_fil_coefs_clk_export : out std_logic; -- export ram_fil_coefs_read_export : out std_logic; -- export ram_fil_coefs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export @@ -134,7 +134,7 @@ PACKAGE qsys_lofar2_unb2c_sdp_station_pkg IS ram_st_histogram_writedata_export : out std_logic_vector(31 downto 0); -- export ram_st_histogram_read_export : out std_logic; -- export ram_st_histogram_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - ram_st_sst_address_export : out std_logic_vector(13 downto 0); -- export + ram_st_sst_address_export : out std_logic_vector(14 downto 0); -- export ram_st_sst_clk_export : out std_logic; -- export ram_st_sst_read_export : out std_logic; -- export ram_st_sst_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export diff --git a/applications/lofar2/libraries/sdp/hdllib.cfg b/applications/lofar2/libraries/sdp/hdllib.cfg index 380e327a6bc72885dc4b16121b43a70e32146aca..e351f1ec0688cb36d9f5ecd55cce061a3e9bd5d2 100644 --- a/applications/lofar2/libraries/sdp/hdllib.cfg +++ b/applications/lofar2/libraries/sdp/hdllib.cfg @@ -18,6 +18,7 @@ synth_files = src/vhdl/sdp_crosslets_subband_select.vhd src/vhdl/node_sdp_adc_input_and_timing.vhd src/vhdl/node_sdp_filterbank.vhd + src/vhdl/node_sdp_oversampled_filterbank.vhd src/vhdl/node_sdp_beamformer.vhd src/vhdl/node_sdp_correlator.vhd src/vhdl/sdp_station.vhd diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd index 09f12a015b8f26991a49d1988d549e696a72cf65..3b5d57a7f320031afaab78b9d63b4dc4e0dae874 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd @@ -177,9 +177,9 @@ PACKAGE sdp_pkg is c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline); -- = c_wpfb_lofar2_subbands_dts_18b CONSTANT c_sdp_wpfb_complex_subbands : t_wpfb := - (1, c_disturb_N_fft, 0, c_disturb_S_pn, - c_disturb_N_taps, 1, c_disturb_W_adc, 23, c_disturb_W_fir_coef, - true, false, false, 23, c_disturb_W_subband, 1, 24, 1, true, 54, c_disturb_W_statistic_sz, 195313, + (1, c_sdp_N_fft, 0, c_sdp_S_pn, + c_sdp_N_taps, 1, c_sdp_W_adc, 23, c_sdp_W_fir_coef, + true, false, false, 23, c_sdp_W_subband, 1, 24, 1, true, 54, c_sdp_W_statistic_sz, 195313, c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline); -- = c_wpfb_lofar2_subbands_dts_18b -- DC gain of WPFB FIR filter obtained from applications/lofar2/model/run_pfir_coef.m using application = 'lofar_subband' @@ -735,7 +735,7 @@ PACKAGE BODY sdp_pkg IS RETURN sel_a_b(g_statistics_type="BST", 1, sel_a_b(g_statistics_type="XST", P_sq * N_crosslets, sel_a_b(g_statistics_type="SST", S_pn, - c_sdp_V_oversample * S_pn)); -- SST_OS + c_sdp_V_oversample * S_pn))); -- SST_OS END func_sdp_get_stat_nof_packets; FUNCTION func_sdp_get_stat_nof_packets(g_statistics_type : STRING) RETURN NATURAL IS diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd index c33e0a5e37e01a4e1d0ef02d084b79b119df2e47..cdb5f276b171f38e27c45bab9847e2074fc92556 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_station.vhd @@ -51,6 +51,7 @@ ENTITY sdp_station IS g_scope_selected_subband : NATURAL := 0; g_no_jesd : BOOLEAN := FALSE; g_use_fsub : BOOLEAN := TRUE; + g_use_oversample : BOOLEAN := FALSE; g_use_xsub : BOOLEAN := TRUE; g_use_bf : BOOLEAN := TRUE; g_use_ring : BOOLEAN := TRUE; diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd index 05d222f417f158351779bbee469fc7b5543f3124..f337da198f9eb4334e72899c5bdf348db38ee59b 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_subband_equalizer.vhd @@ -41,14 +41,15 @@ USE work.sdp_pkg.ALL; ENTITY sdp_subband_equalizer IS GENERIC ( - g_gains_file_name : STRING := "UNUSED" + g_gains_file_name : STRING := "UNUSED"; + g_nof_streams : NATURAL := c_sdp_P_pfb ); PORT ( dp_clk : IN STD_LOGIC; dp_rst : IN STD_LOGIC; - in_sosi_arr : IN t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0); - out_sosi_arr : OUT t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0); + in_sosi_arr : IN t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); + out_sosi_arr : OUT t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); mm_rst : IN STD_LOGIC; mm_clk : IN STD_LOGIC; @@ -65,7 +66,7 @@ ARCHITECTURE str OF sdp_subband_equalizer IS SIGNAL cnt : NATURAL RANGE 0 TO c_sdp_Q_fft * c_sdp_N_sub-1; SIGNAL gains_rd_address : STD_LOGIC_VECTOR(c_gain_addr_w-1 DOWNTO 0); - SIGNAL dp_gain_serial_out_sosi_arr : t_dp_sosi_arr(c_sdp_P_pfb-1 DOWNTO 0); + SIGNAL dp_gain_serial_out_sosi_arr : t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); BEGIN --------------------------------------------------------------- @@ -113,7 +114,7 @@ BEGIN --------------------------------------------------------------- u_mms_dp_gain_serial_arr : ENTITY dp_lib.mms_dp_gain_serial_arr GENERIC MAP ( - g_nof_streams => c_sdp_P_pfb, + g_nof_streams => g_nof_streams, g_nof_gains => c_sdp_Q_fft * c_sdp_N_sub, g_complex_data => TRUE, g_complex_gain => TRUE, @@ -143,7 +144,7 @@ BEGIN --------------------------------------------------------------- -- Requantize --------------------------------------------------------------- - gen_dp_requantize : FOR I IN 0 TO c_sdp_P_pfb-1 GENERATE + gen_dp_requantize : FOR I IN 0 TO g_nof_streams-1 GENERATE u_dp_requantize : ENTITY dp_lib.dp_requantize GENERIC MAP ( g_complex => TRUE,