diff --git a/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl b/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl index 03dc9bf28e4ef3fd0f52b32d9361e6b3f695562a..2da2fedde24cb011c55d1e739c71d1001169b752 100644 --- a/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl +++ b/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl @@ -24,13 +24,12 @@ # file msim_setup.tcl. # The purpose of this file is to compile all files required for the XAUI IP - # which is quite a list and tedious to have to add to the MPF (also in the -# correct compile order). Bonus of this is also that there will be no errors -# when making all_mod without having run the XAUI megawizard first. +# correct compile order). +# EK: The model files in phy_xaui_0_sim/ are suitable for all hard xaui IP variants. -set IP_DIR "$env(UNB)/Firmware/modules/tr_xaui/src/ip/megawizard/generated/phy_xaui_0_sim" +set IP_DIR "$env(RADIOHDL)/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim" -vlib ./work/ -#map work ./work/ --DS: requires MPF to be write enabled for some reason... +#vlib ./work/ ;# EK: Assume library work already exists vlog -sv "$IP_DIR/altera_xcvr_xaui/altera_xcvr_functions.sv" -work work vlog -sv "$IP_DIR/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv" -work work @@ -100,11 +99,3 @@ vlog -sv "$IP_DIR/altera_xcvr_xaui/alt_xcvr_arbiter.sv" vlog -sv "$IP_DIR/altera_xcvr_xaui/alt_xcvr_m2s.sv" -work work vlog -sv "$IP_DIR/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv" -work work vlog -sv "$IP_DIR/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv" -work work -vcom "$IP_DIR/phy_xaui_0.vhd" - -set IP_DIR "$env(UNB)/Firmware/modules/tr_xaui/src/ip/megawizard/generated/phy_xaui_1_sim" -vcom "$IP_DIR/phy_xaui_1.vhd" - -set IP_DIR "$env(UNB)/Firmware/modules/tr_xaui/src/ip/megawizard/generated/phy_xaui_2_sim" -vcom "$IP_DIR/phy_xaui_2.vhd" - diff --git a/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl b/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl index 4e3483b064d607e0b42f0b7e12a55173de5bf74c..42bd765382d23d53b49cc37e687053834ea95b73 100644 --- a/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl +++ b/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl @@ -27,10 +27,10 @@ # correct compile order). Bonus of this is also that there will be no errors # when making all_mod without having run the XAUI megawizard first. -set IP_DIR "$env(UNB)/Firmware/modules/tr_xaui/src/ip/megawizard/generated/phy_xaui_soft_sim" +set IP_DIR "$env(RADIOHDL)/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim" + +#vlib ./work/ ;# EK: Assume library work already exists -vlib ./work/ -#map work ./work/ --DS: requires MPF to be write enabled for some reason... vlog -sv "$IP_DIR/altera_xcvr_xaui/altera_xcvr_functions.sv" -work work vlog -sv "$IP_DIR/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv" -work work @@ -127,6 +127,3 @@ vlog -sv "$IP_DIR/altera_xcvr_xaui/alt_xcvr_arbiter.sv" vlog -sv "$IP_DIR/altera_xcvr_xaui/alt_xcvr_m2s.sv" -work work vlog -sv "$IP_DIR/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv" -work work vlog -sv "$IP_DIR/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv" -work work -vcom "$IP_DIR/phy_xaui_soft.vhd" - - diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generate_ip.sh b/libraries/technology/ip_stratixiv/phy_xaui/generate_ip.sh new file mode 100755 index 0000000000000000000000000000000000000000..eba08183b5eb54e615333f135583a0726af01ec8 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generate_ip.sh @@ -0,0 +1,57 @@ +#!/bin/bash +# -------------------------------------------------------------------------- # +# +# Copyright (C) 2014 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +# -------------------------------------------------------------------------- # +# +# Purpose: Generate phy_xaui IP with MegaWizard +# Description: +# Generate the phy_xaui IP in a separate generated/ subdirectory. Therefore first copy the MegaWizard variation file in the 'generated' output dir, because: +# 1) setting the output dir is not an option in the MegaWizard +# 2) The MegaWizard overwrites the variation file sometimes - we don't want that as it could be hand-modified with e.g. added generics +# +# Usage: +# +# ./generate_ip.sh +# +# The generated files for Quartus v11.1 that are needed for simulation and synthesis have been committed in SVN, so it is not necessary to run this +# generate_ip.sh script again. Files that are not needed have not been committed in SVN. +# +# Remarks: +# . This dedicated script is Derived from the generic script $UNB/Firmware/software/build/unb_mgw +# +if ! [ -d "generated" ]; then + mkdir generated +fi +cd generated +cp ../ip_stratixiv_phy_xaui_0.vhd . +cp ../ip_stratixiv_phy_xaui_1.vhd . +cp ../ip_stratixiv_phy_xaui_2.vhd . +cp ../ip_stratixiv_phy_xaui_soft.vhd . + +qmegawiz -silent -f:$UNB/Firmware/software/build/unb_mgw_params.txt ip_stratixiv_phy_xaui_0.vhd +qmegawiz -silent -f:$UNB/Firmware/software/build/unb_mgw_params.txt ip_stratixiv_phy_xaui_1.vhd +qmegawiz -silent -f:$UNB/Firmware/software/build/unb_mgw_params.txt ip_stratixiv_phy_xaui_2.vhd +qmegawiz -silent -f:$UNB/Firmware/software/build/unb_mgw_params.txt ip_stratixiv_phy_xaui_soft.vhd + +rm ip_stratixiv_phy_xaui_0.vhd +rm ip_stratixiv_phy_xaui_1.vhd +rm ip_stratixiv_phy_xaui_2.vhd +rm ip_stratixiv_phy_xaui_soft.vhd diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0.qip b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0.qip new file mode 100644 index 0000000000000000000000000000000000000000..d682dbd902481399f0c4232eff93bdce767d2be3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0.qip @@ -0,0 +1,47 @@ +set_global_assignment -entity "ip_stratixiv_phy_xaui_0" -library "lib_ip_stratixiv_phy_xaui_0" -name IP_TOOL_NAME "altera_xcvr_xaui" +set_global_assignment -entity "ip_stratixiv_phy_xaui_0" -library "lib_ip_stratixiv_phy_xaui_0" -name IP_TOOL_VERSION "11.1sp2" +set_global_assignment -entity "ip_stratixiv_phy_xaui_0" -library "lib_ip_stratixiv_phy_xaui_0" -name IP_TOOL_ENV "mwpim" + +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VHDL_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0.vhd] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/altera_xcvr_functions.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/altera_xcvr_xaui.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/hxaui_csr_h.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/hxaui_csr.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec_phyreconfig.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec_xaui.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_pma_ch_controller_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_pma_controller_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_reset_ctrl_lego.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_reset_ctrl_tgx_cdrauto.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_resync.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_csr_common_h.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_csr_common.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_csr_pcs8g_h.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_csr_pcs8g.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_csr_selector.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/altera_wait_generate.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/hxaui_alt4gxb.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/hxaui.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/siv_xcvr_xaui.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name QIP_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xaui_phy_assignments.qip] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SDC_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xaui_phy_top.sdc] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_h.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_siv.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_analog.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_analog_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_offset_cancellation.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_offset_cancellation_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_eyemon_tgx.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_dfe_tgx.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_basic_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_mutex_acq.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_dprio.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_arbiter.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/alt_xcvr_m2s.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SOURCE_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/plain_files.txt] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_0" -name SOURCE_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_0/qencrypt_files.txt] + +set_global_assignment -entity "altera_xcvr_xaui" -library "lib_ip_stratixiv_phy_xaui_0" -name IP_TOOL_NAME "altera_xcvr_xaui" +set_global_assignment -entity "altera_xcvr_xaui" -library "lib_ip_stratixiv_phy_xaui_0" -name IP_TOOL_VERSION "11.1" +set_global_assignment -entity "altera_xcvr_xaui" -library "lib_ip_stratixiv_phy_xaui_0" -name IP_TOOL_ENV "mwpim" diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_dprio.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_dprio.v new file mode 100644 index 0000000000000000000000000000000000000000..c8cb550d6c519e9fddf949f789fe95544e45690e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_dprio.v @@ -0,0 +1,199 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//synopsys translate_off +`timescale 1 ps / 1 ps +//synopsys translate_on +(* ALTERA_ATTRIBUTE = {"{-to addr_shift_reg[31]} DPRIO_INTERFACE_REG=ON;{-to wr_out_data_shift_reg[31]} DPRIO_INTERFACE_REG=ON;{-to rd_out_data_shift_reg[13]} DPRIO_INTERFACE_REG=ON;{-to in_data_shift_reg[0]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[0]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[1]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[2]} DPRIO_INTERFACE_REG=ON"} *) +module alt_dprio ( + input wire [15:0] address, + output wire busy, + input wire [15:0] datain, + output wire [15:0] dataout, + input wire dpclk, + output wire dpriodisable, + output wire dprioin, + output wire dprioload, + input wire dprioout, + input wire [6:0] quad_address, + input wire rden, + input wire wren, + input wire wren_data + ); + + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [31:0] addr_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [15:0] in_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [15:0] rd_out_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [2:0] startup_cntr; + (* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) + reg [2:0] state_mc_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [31:0] wr_out_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) + reg [5:0] state_mc_counter_q; + + wire pre_amble_cmpr_agb; + wire [7:0] state_mc_decode_eq; + wire dprioin_mux_dataout; + wire busy_state; + wire idle_state; + wire rd_addr_done; + wire rd_addr_state; + wire rd_data_done; + wire rd_data_input_state; + wire rd_data_output_state; + wire rd_data_state; + wire rdinc; + wire read_state; + wire s0_to_0; + wire s0_to_1; + wire s1_to_0; + wire s1_to_1; + wire s2_to_0; + wire s2_to_1; + wire startup_done; + wire startup_idle; + wire wr_addr_done; + wire wr_addr_state; + wire wr_data_done; + wire wr_data_state; + wire write_state; + wire [2:0] startup_cntr_d; + wire [2:0] startup_cntr_ena; + + // synopsys translate_off + initial + begin + addr_shift_reg = 0; + in_data_shift_reg = 0; + rd_out_data_shift_reg = 0; + startup_cntr[2:0] = 3'b0; + state_mc_reg = 0; + wr_out_data_shift_reg = 0; + state_mc_counter_q = 6'b0; + end + // synopsys translate_on + + always @ (posedge dpclk) + begin + if (state_mc_counter_q == 6'b011111) + begin + addr_shift_reg <= {{2{{2{1'b0}}}}, {3{1'b0}}, quad_address, 2'b10, address}; + rd_out_data_shift_reg <= {{2{1'b0}}, {2{1'b1}}, {3{1'b0}}, quad_address, 2'b10}; + wr_out_data_shift_reg <= {{2{1'b0}}, 2'b01, {3{1'b0}}, quad_address, 2'b10, datain}; + end + else begin + addr_shift_reg <= {addr_shift_reg[30:0], 1'b0}; + rd_out_data_shift_reg <= {rd_out_data_shift_reg[14:0], 1'b0}; + wr_out_data_shift_reg <= {wr_out_data_shift_reg[30:0], 1'b0}; + end + + if (rd_data_input_state == 1'b1) + in_data_shift_reg <= {in_data_shift_reg[14:0], dprioout}; + end + + always @ (posedge dpclk) + begin + if (startup_cntr_ena[0] == 1'b1) + startup_cntr[0] <= startup_cntr_d[0]; + if (startup_cntr_ena[1:1] == 1'b1) + startup_cntr[1] <= startup_cntr_d[1]; + if (startup_cntr_ena[2:2] == 1'b1) + startup_cntr[2] <= startup_cntr_d[2]; + end + + assign startup_cntr_d = {(startup_cntr[2] ^ (startup_cntr[1] & startup_cntr[0])), (startup_cntr[0] ^ startup_cntr[1]), (~ startup_cntr[0])}; + assign startup_cntr_ena = {3{((((rden | wren) | rdinc) | (~ startup_idle)) & (~ startup_done))}}; + + always @ ( posedge dpclk) + begin + state_mc_reg <= {(s2_to_1 | (((~ s2_to_0) & (~ s2_to_1)) & state_mc_reg[2])), (s1_to_1 | (((~ s1_to_0) & (~ s1_to_1)) & state_mc_reg[1])), (s0_to_1 | (((~ s0_to_0) & (~ s0_to_1)) & state_mc_reg[0]))}; + end + + always @(posedge dpclk) + begin + if (write_state | read_state) + begin + if (state_mc_counter_q == 6'b111111) + state_mc_counter_q <= 6'b0; + else + state_mc_counter_q <= state_mc_counter_q[5:0] + 6'b1; + end + end + + lpm_decode #( + .lpm_decodes (8), + .lpm_width (3), + .lpm_type ("lpm_decode")) + state_mc_decode( + .data(state_mc_reg), + .eq(state_mc_decode_eq) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .aclr(1'b0), + .clken(1'b1), + .clock(1'b0), + .enable(1'b1) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + + or(dprioin_mux_dataout, ((((((wr_addr_state | rd_addr_state) & addr_shift_reg[31]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & (wr_addr_state | rd_addr_state))) | (((wr_data_state & wr_out_data_shift_reg[31]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & wr_data_state))) | (((rd_data_output_state & rd_out_data_shift_reg[15]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & rd_data_output_state))), ~(((write_state | rd_addr_state) | rd_data_output_state))); + + assign + pre_amble_cmpr_agb = (state_mc_counter_q > 6'b011111), + busy = busy_state, + busy_state = (write_state | read_state), + dataout = in_data_shift_reg, + dpriodisable = (~ (startup_cntr[2] & (startup_cntr[0] | startup_cntr[1]))), + dprioin = dprioin_mux_dataout, + dprioload = (~ ((startup_cntr[0] ^ startup_cntr[1]) & (~ startup_cntr[2]))), + idle_state = state_mc_decode_eq[0], + + rd_addr_done = (rd_addr_state & (state_mc_counter_q == 6'b111111)), + + rd_addr_state = (state_mc_decode_eq[5] & startup_done), + rd_data_done = (rd_data_state & (state_mc_counter_q == 6'b111111)), + + rd_data_input_state = ((state_mc_counter_q >= 6'b110000) & rd_data_state), + + rd_data_output_state = (state_mc_counter_q < 6'b110000 & rd_data_state), + rd_data_state = (state_mc_decode_eq[7] & startup_done), + rdinc = 1'b0, + read_state = (rd_addr_state | rd_data_state), + s0_to_0 = ((wr_data_state & wr_data_done) | (rd_data_state & rd_data_done)), + s0_to_1 = (((idle_state & (wren | ((~ wren) & ((rden | rdinc) | wren_data)))) | (wr_addr_state & wr_addr_done)) | (rd_addr_state & rd_addr_done)), + s1_to_0 = (((wr_data_state & wr_data_done) | (rd_data_state & rd_data_done)) | (idle_state & (wren | (((~ wren) & (~ wren_data)) & rden)))), + s1_to_1 = (((idle_state & ((~ wren) & (rdinc | wren_data))) | (wr_addr_state & wr_addr_done)) | (rd_addr_state & rd_addr_done)), + s2_to_0 = ((((wr_addr_state & wr_addr_done) | (wr_data_state & wr_data_done)) | (rd_data_state & rd_data_done)) | (idle_state & (wren | wren_data))), + s2_to_1 = ((idle_state & (((~ wren) & (~ wren_data)) & (rdinc | rden))) | (rd_addr_state & rd_addr_done)), + startup_done = ((startup_cntr[2] & (~ startup_cntr[0])) & startup_cntr[1]), + startup_idle = ((~ startup_cntr[0]) & (~ (startup_cntr[2] ^ startup_cntr[1]))), + + wr_addr_done = (wr_addr_state & (state_mc_counter_q == 6'b111111)), + + wr_addr_state = (state_mc_decode_eq[1] & startup_done), + + wr_data_done = (wr_data_state & (state_mc_counter_q == 6'b111111)), + + wr_data_state = (state_mc_decode_eq[3] & startup_done), + write_state = (wr_addr_state | wr_data_state); +endmodule //alt_dprio + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_mutex_acq.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_mutex_acq.v new file mode 100644 index 0000000000000000000000000000000000000000..c5d020f703904fbb94916ef75bd1fda144424ba9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_mutex_acq.v @@ -0,0 +1,221 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_mutex_acq #( + parameter mutex_wait_time = 101, + parameter mutex_wait_time_cntr_width = 7, // do we need this parameter? + parameter addr_width = 5, + parameter data_width = 32, + parameter read_mutex_addr_offset = 0 // address of the mutex word +) +( + +input wire clk, // this will be the reconfig clk +input wire reset, + +// Internal interface for the bigger controlling module, like analog reconfig +input wire [addr_width-1:0] address, // MM address +input wire [data_width-1:0] writedata, +input wire write, +input wire read, +output reg waitrequest, // can use to tell internal master to wait when auto-request+release +output wire [data_width-1:0] readdata, + + +// MM master external interface, that connects to mutex-slave, like the reconfig_basic block +output wire [addr_width-1:0] master_address, // MM address +output wire [data_width-1:0] master_writedata, +output wire master_write, +output wire master_read, +input wire master_waitrequest, // needed for a valid master interface +input wire [data_width-1:0] master_readdata, // from mutex-slave + + + +// request mutex access and should be held high as long as mutex is used +input wire mutex_req, +// output indicating whether mutex access was granted or not +output reg mutex_grant + +); + + + +localparam IDLE = 2'b00; +localparam GET_MUTEX = 2'b01; +localparam RELEASE_MUTEX = 2'b10; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [9:0] mutex_timeout_cntr; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) // should we make this variable +reg [mutex_wait_time_cntr_width-1:0] mutex_wait_cntr; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [addr_width-1:0] mutex_int_address; // MM address +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [data_width-1:0] mutex_int_writedata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_int_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_int_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_base_readwrite; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=HIGH"} *) +reg mutex_mux_ctrl; + +// synopsys translate_off +initial +begin + mutex_grant = 0; + mutex_mux_ctrl = 1'b1; + mutex_timeout_cntr = 0; + mutex_wait_cntr = 0; + mutex_int_address = 0; + mutex_int_writedata = 0; + mutex_int_read = 0; + mutex_int_write = 0; + waitrequest = 0; + do_base_readwrite = 0; + state = 0; +end +// synopsys translate_on + +always @(posedge clk or posedge reset) +begin + if (reset === 1'b1) + begin + mutex_grant <= 0; + mutex_mux_ctrl <= 1'b1; + mutex_timeout_cntr <= 0; + mutex_wait_cntr <= 0; + mutex_int_address <= 'b0; + mutex_int_writedata <= 'b0; + mutex_int_read <= 'b0; + mutex_int_write <= 'b0; + waitrequest <= 'b0; + do_base_readwrite <= 'b0; + state <= 'b0; + end + else begin + case (state) + IDLE:begin +// fix SPR:343567 - Avalon slaves are permitted to keep waitrequest asserted during idle cycles. +// The state machine will still work correctly by simply removing this condition. +// The next state is GET_MUTEX, and it will wait there if waitrequest is legitimately asserted. +// if (master_waitrequest == 1'b1) +// state <= IDLE; // wait till the waitrequest goes low +// else if (mutex_grant == 0 && + if (mutex_grant == 0 && + (mutex_req == 1'b1 || write == 1'b1 || read == 1'b1)) + begin + state <= GET_MUTEX; + mutex_int_read <= 1'b1; + mutex_int_address <= read_mutex_addr_offset; + mutex_int_write <= 1'b0; + mutex_wait_cntr <= 0; + mutex_mux_ctrl <= 1'b1; + waitrequest <= 1'b1; // pull the waitrequest high + if (write == 1'b1 || read == 1'b1) + do_base_readwrite <= 1'b1; + else + do_base_readwrite <= 1'b0; + end + else if (mutex_grant == 1'b1) + begin + do_base_readwrite <= 1'b0; + if (mutex_req == 1'b1 || write == 1'b1 || read == 1'b1) + begin + mutex_mux_ctrl <= 1'b0; // relinquish control of the mux + if (write == 1'b1 || read == 1'b1) + waitrequest <= master_waitrequest; + else + waitrequest <= 1'b0; + if (read == 1'b1 || write == 1'b1) + mutex_timeout_cntr <= 0; + else if (mutex_timeout_cntr == 10'd1000) + state <= RELEASE_MUTEX; + else + mutex_timeout_cntr <= mutex_timeout_cntr[9:0] + 10'b1; + end + else + state <= RELEASE_MUTEX; + end + else + begin + mutex_mux_ctrl <= 1'b1; // choke the inputs going to basic as mux is not available + mutex_int_read <= 1'b0; + mutex_int_write <= 1'b0; + waitrequest <= 1'b0; + end + end + RELEASE_MUTEX: begin + // release the mutex + mutex_mux_ctrl <= 1'b1; + mutex_int_read <= 1'b0; + mutex_int_write <= 1'b1; + mutex_int_address <= read_mutex_addr_offset; + mutex_int_writedata <= 16'b0; + mutex_grant <= 1'b0; + state <= IDLE; + mutex_timeout_cntr <= 0; + waitrequest <= 1'b0; + end + GET_MUTEX: begin + if (master_waitrequest == 1'b1) // wait in this state itself + begin + state <= GET_MUTEX; + end + else if (mutex_int_read == 1'b1 && master_readdata[0] == 1'b0) // check mutex bit + begin + mutex_grant <= 1; // mutex is available + state <= IDLE; + mutex_int_read <= 1'b0; // reset the read and mux ctrl bits + mutex_mux_ctrl <= 0; + if (do_base_readwrite == 1'b1) + waitrequest <= master_waitrequest; + else + waitrequest <= 1'b0; + end + else if (mutex_wait_cntr != mutex_wait_time) + begin // continue waiting till the wait time is hit + mutex_wait_cntr <= mutex_wait_cntr[mutex_wait_time_cntr_width-1:0] + 1; + mutex_int_read <= 1'b0; // pull down the read + state <= GET_MUTEX; + end + else // try and read again + begin + mutex_wait_cntr <= 0; // reset the wait cntr and try and read again + mutex_int_read <= 1'b1; + state <= GET_MUTEX; + end + end + default: begin + state <= IDLE; + end + endcase + end + +end + + +assign master_address = mutex_mux_ctrl ? mutex_int_address : address; +assign master_writedata = mutex_mux_ctrl ? mutex_int_writedata : writedata; +assign master_write = mutex_mux_ctrl ? mutex_int_write : write; +assign master_read = mutex_mux_ctrl ? mutex_int_read : read; +assign readdata = master_readdata; // pipe the readdata out + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_pma_ch_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_pma_ch_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..6347c1ae0190510fae1e3cec2d4c58e3c11c0d34 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_pma_ch_controller_tgx.v @@ -0,0 +1,164 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1ns/10ps +module alt_pma_ch_controller_tgx #( + parameter + number_of_channels = 4, + sys_clk_in_mhz = 50, // used to calculate internal delays in terms of system clock cycles + manual_reset = "false", + sync_depth =2 + ) + ( + //controller standard interface to user + input tri0 rst, // controller logic reset +// input wire powerdown_all, // save power + input tri0 rx_rst_digital, // tx_digitalreset + input tri0 tx_rst_digital, // rx_digitalreset + output wire tx_pma_ready, // pma tx pll_locked + output wire rx_pma_ready, // pma rx pll is locked to data + input tri0 tx_cal_busy, // tx calibration in progress + input tri0 rx_cal_busy, // rx calibration in progress + input tri1 pll_locked, // tx pll_locked + + // user data (avalon-MM formatted) + input wire clk, + input tri0 [5:0] ch_mgmt_address, + input tri1 ch_mgmt_read, + output wire [31:0] ch_mgmt_readdata, + input tri0 ch_mgmt_write, + input tri0 [31:0] ch_mgmt_writedata, + output wire ch_mgmt_waitrequest, + + input tri1 [number_of_channels-1:0] rx_is_lockedtodata, + input tri1 [number_of_channels-1:0] rx_is_lockedtoref, + + output wire [number_of_channels-1:0] rx_set_locktodata, + output wire [number_of_channels-1:0] rx_set_locktoref, + output wire [number_of_channels-1:0] rx_seriallpbken, + + output wire [number_of_channels-1:0] rx_analog_rst, + output wire [number_of_channels-1:0] tx_digital_rst, + output wire [number_of_channels-1:0] rx_digital_rst, + output wire pll_powerdown +); + // reset controller outputs + wire reset_controller_tx_ready; + wire reset_controller_rx_ready; + wire reset_controller_pll_powerdown; + wire reset_controller_tx_digitalreset; + wire reset_controller_rx_analogreset; + wire reset_controller_rx_digitalreset; + wire reset_controller_manual_mode; + + // Control & status register map (CSR) outputs + wire csr_reset_tx_digital; // to reset controller + wire csr_reset_rx_digital; // to reset controller + wire csr_reset_all; // to reset controller + wire csr_pll_powerdown; + wire [number_of_channels - 1 : 0] csr_tx_digitalreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_analogreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_digitalreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_phy_loopback_serial; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_set_locktoref; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_set_locktodata; // to xcvr instance + + + /////////////////////////////////////////////////////////////////// + + // Implement waitrequest to match previous implementation + altera_wait_generate wait_gen( + .rst(rst), + .clk(clk), + .launch_signal(ch_mgmt_read), + .wait_req(ch_mgmt_waitrequest) + ); + // Instantiate memory map logic for given number of lanes & PLL's + // Includes all except PCS + alt_xcvr_csr_common #( + .lanes(number_of_channels), + .plls(1) + ) csr ( + .clk(clk), + .reset(rst), + .address(8'h40 | ch_mgmt_address), // map word 0 of this module to word 64 of alt_xcvr_csr_common + .read(ch_mgmt_read), + .write(ch_mgmt_write), + .writedata(ch_mgmt_writedata), + .pll_locked(pll_locked), + .rx_is_lockedtoref(rx_is_lockedtoref), + .rx_is_lockedtodata(rx_is_lockedtodata), + .rx_signaldetect({number_of_channels{1'b0}}), + .reset_controller_tx_ready(reset_controller_tx_ready), + .reset_controller_rx_ready(reset_controller_rx_ready), + .reset_controller_pll_powerdown(reset_controller_pll_powerdown), + .reset_controller_tx_digitalreset(reset_controller_tx_digitalreset), + .reset_controller_rx_analogreset(reset_controller_rx_analogreset), + .reset_controller_rx_digitalreset(reset_controller_rx_digitalreset), + .readdata(ch_mgmt_readdata), + .csr_reset_tx_digital(csr_reset_tx_digital), + .csr_reset_rx_digital(csr_reset_rx_digital), + .csr_reset_all(csr_reset_all), + .csr_pll_powerdown(csr_pll_powerdown), + .csr_tx_digitalreset(csr_tx_digitalreset), + .csr_rx_analogreset(csr_rx_analogreset), + .csr_rx_digitalreset(csr_rx_digitalreset), + .csr_phy_loopback_serial(csr_phy_loopback_serial), + .csr_rx_set_locktoref(csr_rx_set_locktoref), + .csr_rx_set_locktodata(csr_rx_set_locktodata) + ); + + + // Instantiate reset controller for PMA channels + alt_reset_ctrl_tgx_cdrauto #( + .sys_clk_in_mhz(sys_clk_in_mhz) + ) rc ( + .clock(clk), + .reset_all(csr_reset_all), + .reset_tx_digital(csr_reset_tx_digital | tx_rst_digital), + .reset_rx_digital(csr_reset_rx_digital | rx_rst_digital), + .powerdown_all(rst), + .tx_ready(reset_controller_tx_ready), + .rx_ready(reset_controller_rx_ready), + .pll_powerdown (reset_controller_pll_powerdown), + .tx_digitalreset(reset_controller_tx_digitalreset), + .rx_analogreset(reset_controller_rx_analogreset), + .rx_digitalreset(reset_controller_rx_digitalreset), + .gxb_powerdown(), + .pll_is_locked(pll_locked), + .tx_cal_busy(tx_cal_busy), + .rx_cal_busy(rx_cal_busy), + .rx_is_lockedtodata(&rx_is_lockedtodata), + .manual_mode(reset_controller_manual_mode) + ); + + // Assign outputs from reset controller + assign tx_pma_ready = reset_controller_tx_ready; + assign rx_pma_ready = reset_controller_rx_ready; + + // Assign outputs from CSR to channel control bits + assign rx_set_locktodata = csr_rx_set_locktodata; + assign rx_set_locktoref = csr_rx_set_locktoref; + assign rx_seriallpbken = csr_phy_loopback_serial; + + assign rx_analog_rst = csr_rx_analogreset; + assign tx_digital_rst = csr_tx_digitalreset; + assign rx_digital_rst = csr_rx_digitalreset; + + assign reset_controller_manual_mode = (manual_reset == "true") ? 1'b1 + : (csr_rx_set_locktoref || csr_rx_set_locktodata); + + assign pll_powerdown = csr_pll_powerdown; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_pma_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_pma_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..614ad6278ca4a3cbbfa013d414c4e6c8410c156e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_pma_controller_tgx.v @@ -0,0 +1,198 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1ns/10ps +module alt_pma_controller_tgx #( + parameter number_of_plls = 1,// One controller only control the possible merged pll. If more pll is needed, user can use multiplier controller for none share plls. + parameter tx_pll_reset_hold_time = 0,// ignored - now calculated according to system clock + parameter sync_depth = 2, + parameter sys_clk_in_mhz = 50 // needed for 1us and 4us delay timers +) +( + input rst, // controller logic reset + output tx_pll_ready, + + // user data (avalon-MM formatted) + input wire clk, + input wire [1:0] pma_mgmt_address, + input wire pma_mgmt_read, + output reg [31:0] pma_mgmt_readdata, + input wire pma_mgmt_write, + input wire [31:0] pma_mgmt_writedata, + output wire pma_mgmt_waitrequest, + + // user data (avalon-clock formatted) + input wire cal_blk_clk, + + // user data: pll control (avalon-ST formatted) + output wire cal_blk_pdn,//sync with cal_blk_clk + output wire gx_pdn,//sync with clk + output wire [number_of_plls-1:0] pll_pdn, //sync with clk + input wire [number_of_plls-1:0] pll_locked // +); + +localparam clk_in_mhz = +`ifdef QUARTUS__SIMGEN + 2; // simulation-only value +`elsif ALTERA_RESERVED_QIS + sys_clk_in_mhz; // use real counter lengths for normal Quartus synthesis +`else + 2; // simulation-only value +`endif +localparam t_pll_powerdown = clk_in_mhz; // 1 us minimum +localparam t_ltd_auto = clk_in_mhz*4; // 4 us minimum + +wire [number_of_plls-1:0] pll_locked_sync; +reg cal_blk_pdn_reg; +reg read_delay; +reg gx_pdn_reg; +reg pll_pdn_resetall_avmm; +wire pll_pdn_int; +reg pll_pdn_reg; + +wire gx_pdn_int; +wire gx_pdn_done; + +altera_wait_generate wait_gen( + .rst(rst), +.clk(clk), +.launch_signal(pma_mgmt_read), +.wait_req(pma_mgmt_waitrequest) + ); + +alt_reset_ctrl_lego +#( +.reset_hold_cycles (t_pll_powerdown) // reset pulse length in clock cycles +) pll_pdn_rst +( +.clock(clk), +.start(1'b1 ), +.reset(pll_pdn_int), +.rdone(pll_locked), // reset done signal +.aclr(rst), +.sdone(tx_pll_ready) // sequence done for this lego +); + +alt_reset_ctrl_lego +#( +.reset_hold_cycles (2) // reset pulse length in clock cycles +) gx_pdn_rst +( +.clock(clk), +.start(1'b1 ), +.reset(gx_pdn_int), +.rdone(1'b1), // reset done signal +.aclr(rst), +.sdone(gx_pdn_done) // sequence done for this lego +); + +assign pll_pdn= pll_pdn_reg | {number_of_plls{pll_pdn_int}} ; + +initial +begin + pll_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + pll_pdn_reg <= 1'b0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b00) begin + pll_pdn_reg <= pma_mgmt_writedata[number_of_plls -1 :0]; + end +end + +initial +begin + pma_mgmt_readdata <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + pma_mgmt_readdata <= 0; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b00) begin + pma_mgmt_readdata[number_of_plls -1 :0] <= pll_pdn; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b01) begin + pma_mgmt_readdata[0] <= cal_blk_pdn_reg; + pma_mgmt_readdata[1] <= gx_pdn; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b10) begin + pma_mgmt_readdata[number_of_plls -1 :0] <= pll_locked_sync; + end + else + pma_mgmt_readdata <= 0; +end + +generate +genvar i; +for (i=0; i<number_of_plls; i=i+1) +begin: lock_sync + altera_std_synchronizer + #( + .depth (sync_depth) // reset pulse length in clock cycles + )stdsync + ( + .clk(clk), + .din(pll_locked[i]), + .dout(pll_locked_sync[i]), + .reset_n((~ rst)) + ); +end +endgenerate + +initial +begin + cal_blk_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + cal_blk_pdn_reg <= 0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b01) begin + cal_blk_pdn_reg <= pma_mgmt_writedata[0]; + end +end +altera_std_synchronizer +#( + .depth (sync_depth) // reset pulse length in clock cycles +)stdsync +( + .clk(cal_blk_clk), + .din(cal_blk_pdn_reg), + .dout(cal_blk_pdn), + .reset_n((~ rst)) +); + +assign gx_pdn = gx_pdn_reg | gx_pdn_int; +initial +begin + gx_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + gx_pdn_reg <= 0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b01) begin + gx_pdn_reg <= pma_mgmt_writedata[1]; + end + else begin + gx_pdn_reg <= gx_pdn_reg; + end + +end +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_reset_ctrl_lego.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_reset_ctrl_lego.sv new file mode 100644 index 0000000000000000000000000000000000000000..38d99a0658aa5380a62046e68a3b66cb9158881b --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_reset_ctrl_lego.sv @@ -0,0 +1,265 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Reset controller building block. +// +// Handles a single reset stage. Can be daisy-chained with other blocks for purely sequential resets. +// Options include reset pulse length in clock cycles, and a counter for sdone stability checking. +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module alt_reset_ctrl_lego +#( + parameter reset_hold_til_rdone = 0, // 1 means reset stays high until rdone arrives + // 0 means fixed pulse length, defined by reset_hold_cycles + parameter reset_hold_cycles = 1, // reset pulse length in clock cycles + parameter sdone_delay_cycles = 0, // optional delay from rdone received til sdone sent to next block + parameter rdone_is_edge_sensitive = 0 // default is level sensitive rdone +) +( + // clocks and PLLs + input wire clock, + input wire start, + input tri0 aclr, // active-high asynchronous reset + output wire reset, + input tri1 rdone, // reset done signal + output reg sdone // sequence done for this lego +); + localparam max_precision = 32; // VCS requires this declaration outside the function + function integer ceil_log2; + input [max_precision-1:0] input_num; + integer i; + reg [max_precision-1:0] try_result; + begin + i = 0; + try_result = 1; + while ((try_result << i) < input_num && i < max_precision) + i = i + 1; + ceil_log2 = i; + end + endfunction + + // How many bits are needed for 'reset_hold_cycles' counter? + localparam rhc_bits = ceil_log2(reset_hold_cycles); + localparam rhc_load_constant = (1 << rhc_bits) | (reset_hold_cycles-1); + // How many bits are needed for 'sdone_delay_cycles' counter? + localparam sdc_bits = ceil_log2(sdone_delay_cycles); + localparam sdc_load_constant = (1 << sdc_bits) + | ((rdone_is_edge_sensitive == 1 && sdone_delay_cycles > 1) ? sdone_delay_cycles-2 : sdone_delay_cycles-1); + localparam sdone_stable_cycles = (sdone_delay_cycles > 1 ? sdone_delay_cycles+1 : 0); + + wire spulse; // synchronous detection of 'start' 0-to-1 transition + wire rhold; + wire timed_reset_in_progress; + wire rinit_next; // combinatorial input to rinit DFF + wire rdonei; // internal selector between rdone and rdsave (rdone_is_edge_sensitive==1) + wire rdpulse; // synchronous detection of 'rdone' 0-to-1 transition, when rdone_is_edge_sensitive==1 + + reg zstart = 0; // delayed value of 'start' input, used for detection of 0-to-1 transition + reg rinit = 0; // state bit that indicates sequence is in progress + + initial begin + sdone = 0; // 1 indicates sequence is done + end + + + // 'start' input, detect 0-to-1 transition that triggers sequence + assign spulse = start & ~zstart; + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + zstart <= 0; + else + zstart <= start; + + // rinit state bit, triggered by spulse, waits while rhold = 1 + assign rinit_next = spulse | (rinit & (rhold | ~rdonei | rdpulse)) | timed_reset_in_progress; + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + rinit <= 0; + else + rinit <= rinit_next; + + // optional internal 'rdone' generation logic, if rdone_is_edge_sensitive==1 + generate + if (rdone_is_edge_sensitive == 0) begin + assign rdpulse = 0; + assign rdonei = rdone; + end + else begin + // instantiate synchronous edge-detection logic for rdone + reg zrdone = 0; // for edge-sensitive rdone, detect 0-to-1 transition synchronously + reg rdsave = 0; // for edge-sensitive rdone, use this as internal rdone + always @(posedge clock or posedge aclr) begin + if (aclr == 1'b1) begin + zrdone <= 0; + rdsave <= 0; + end + else begin + zrdone <= rdone; // previous value of rdone for synchronous edge detection + rdsave <= ~spulse & (rdpulse | rdsave); + end + end + assign rdpulse = rdone & ~zrdone; + assign rdonei = rdsave; + end + endgenerate + + // rhold depends on sdone_delay_cycles and rdone_is_edge_sensitive + generate + if (sdone_delay_cycles == 0 || (sdone_delay_cycles == 1 && rdone_is_edge_sensitive == 1)) + assign rhold = ~rdonei; // sdone_delay_cycles=0 + else begin + // declare only when needed to avoid Quartus synthesis warnings + reg [sdc_bits:0] rhold_reg = 0; // for sdone_delay_cycles > 0 + if (sdone_delay_cycles == 1) begin + always @(posedge clock or posedge aclr) begin + if (aclr == 1'b1) + rhold_reg <= 0; + else + rhold_reg <= ~(rinit & rdonei); + end + assign rhold = rhold_reg[0]; // sdone_delay_cycles=1 + end + else begin + // need to count cycles to make sure rdone is stable + always @(posedge clock or posedge aclr) + begin + if (aclr == 1'b1) + rhold_reg <= 0; + else if ((rinit & rdonei & ~rdpulse) == 0) + // keep load value until rinit & rdone both high, and no new rdone pulses + rhold_reg <= sdc_load_constant[sdc_bits:0]; + else + rhold_reg <= rhold_reg - 1'b1; + end + assign rhold = rhold_reg[sdc_bits]; // sdone_delay_cycles > 1 + end + end + endgenerate + + // sdone state bit indicates that reset sequence completed. Clear again on 'start' + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + sdone <= 0; + else + sdone <= ~spulse & (sdone | (rinit & ~rinit_next)); + + // reset pulse generation logic depends on 2 parameters + generate + if (reset_hold_til_rdone == 1) begin + assign reset = rinit; + assign timed_reset_in_progress = 0; + end + else if (reset_hold_cycles < 1) begin // 0 is legal, but catch negative (illegal) values too + assign reset = spulse; + assign timed_reset_in_progress = 0; + end + else begin + // declare only when needed to avoid Quartus synthesis warnings + reg [rhc_bits:0] zspulse = 0; // bits for reset pulse if fixed length + assign timed_reset_in_progress = zspulse[rhc_bits]; + assign reset = zspulse[rhc_bits]; + + if (reset_hold_cycles == 1) + // a single-cycle reset pulse needs 1 register + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + zspulse <= 0; + else + zspulse <= spulse; + else begin + // multi-cycle reset pulse needs a counter + always @(posedge clock or posedge aclr) + begin + if (aclr == 1'b1) + zspulse <= 0; + else if (spulse == 1) + zspulse <= rhc_load_constant[rhc_bits:0]; + else if (zspulse[rhc_bits] == 1) + zspulse <= zspulse - 1'b1; + end + end + end + endgenerate + +// generate +// case (reset_hold_til_rdone) +// 0 : m1 U1 (a, b, c); +// 2 : m2 U1 (a, b, c); +// default : m3 U1 (a, b, c); +// endcase +// endgenerate + + // general assertions + //synopsys translate_off + // vlog/vcs/ncverilog: +define+ALTERA_XCVR_ASSERTIONS +`ifdef ALTERA_XCVR_ASSERTIONS + // when rdone is edge sensitive, last rdone +ve edge triggers sdone +ve edge, + // 'sdone_delay_cycles' later. "##1 1" is an always-true cycle to match $rise(sdone) + sequence rdone_last_edge; + @(posedge clock) $rose(rdone) ##1 !$rose(rdone) [*sdone_delay_cycles] ##1 1; + endsequence + + // when rdone is level sensitive, stable rdone for 'sdone_delay_cycles' consecutive cycles + // triggers sdone +ve edge. "##1 1" is an always-true cycle to match $rise(sdone) + sequence rdone_stable_level; + @(posedge clock) rdone [*(sdone_delay_cycles+1)] ##1 1; + endsequence + +// Most assertions aren't valid when 'aclr' is active +//`define assert_awake(arg) assert property (disable iff (aclr) arg ) + always @(aclr) + if (aclr) $assertkill; + else $asserton; + + generate + always @(posedge clock) begin + // A rising edge on start will result in reset high within 1 clock cycle + assert property ($rose(start & ~aclr) |-> ##[0:1] reset); + // A rising edge on reset will result in sdone low within 1 clock cycle + assert property ($rose(reset) |-> ##[0:1] !sdone); + + // assertions for optional behavior: reset pulse length options + if (reset_hold_til_rdone == 0 && reset_hold_cycles > 1) + // Verify fixed-length reset pulse option + assert property ($rose(reset) |-> reset [*reset_hold_cycles] ##1 !reset) + else $error("Reset pulse length should be %d", reset_hold_cycles); + if (reset_hold_til_rdone == 0 && reset_hold_cycles == 1) + // Verify fixed 1-length reset pulse option + assert property ($rose(reset) |=> !reset); + if (reset_hold_til_rdone == 0 && reset_hold_cycles == 0) + // Verify minimal-length reset pulse option, which mirrors 'start' edge detection + assert property ($rose(start & ~aclr) |-> reset ##1 !reset); + if (reset_hold_til_rdone == 1) begin + // with hold-til-rdone, reset should not deassert until after rdone asserts, then deassert immediately + assert property ($rose(reset) && !rdone |=> $stable(reset) [*0:$] ##1 (reset && rdone) ##1 !reset); + assert property ($rose(reset) && rdone ##1 rdone [*sdone_delay_cycles] |=> !reset); // rdone was already high + //assert property ($rose(reset) && !rdone |-> ##[0:$] rdone ##1 !reset); + end + + // assertions for optional behavior: sdone delay options and rdone edge sensitive option + if (rdone_is_edge_sensitive == 1) + // rdone edge-sensitive option only has an effect when sdone_delay_cycles > 0 + assert property ($rose(sdone) |-> rdone_last_edge.ended); + if (rdone_is_edge_sensitive == 0) + // rdone defaults to level-sensitive + assert property ($rose(sdone) |-> (rdone_stable_level.ended or $past($fell(reset),1))); + end + endgenerate +`endif // ALTERA_XCVR_ASSERTIONS + //synopsys translate_on +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_reset_ctrl_tgx_cdrauto.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_reset_ctrl_tgx_cdrauto.sv new file mode 100644 index 0000000000000000000000000000000000000000..d785de6ee8eb397584ea1833c7e8a7ddf5c3b045 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_reset_ctrl_tgx_cdrauto.sv @@ -0,0 +1,201 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Reset controller for Stratix IV transceivers with RX CDR in auto-lock mode. +// +// Uses alt_reset_ctrl_lego to handle each reset stage, with 3 required for the overall sequence. +// Parameter defaults for pll-powerdown and lock-to-data-auto timers assume 50 MHz system clock +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +(* altera_attribute = "-name MERGE_TX_PLL_DRIVEN_BY_REGISTERS_WITH_SAME_CLEAR ON -to \"alt_reset_ctrl_lego:lego_pll_powerdown|zspulse\" " *) +module alt_reset_ctrl_tgx_cdrauto +#( + parameter sys_clk_in_mhz = 50 // needed for 1us and 4us delay timers +) +( + // User inputs and outputs + input wire clock, + input wire reset_all, + input tri0 reset_tx_digital, + input tri0 reset_rx_digital, + input wire powerdown_all, + output wire tx_ready, + output wire rx_ready, + + // I/O to Stratix IV transceiver control & status + output wire pll_powerdown, // reset TX PLL + output wire tx_digitalreset, // reset TX PCS + output wire rx_analogreset, // reset RX PMA + output wire rx_digitalreset, // reset RX PCS + output wire gxb_powerdown, // powerdown whole quad + input wire pll_is_locked, // TX PLL is locked status + input tri0 tx_cal_busy, // TX channel calibration status + input tri0 rx_cal_busy, // RX channel calibration status + input tri1 rx_is_lockedtodata, // RX CDR PLL is locked to data status + input tri0 manual_mode // 0=Automatically reset RX after loss of rx_is_lockedtodata +); + +localparam clk_in_mhz = +`ifdef QUARTUS__SIMGEN + 2; // simulation-only value +`elsif ALTERA_RESERVED_QIS + sys_clk_in_mhz; // use real counter lengths for normal Quartus synthesis +`else + 2; // simulation-only value +`endif +localparam t_pll_powerdown = clk_in_mhz; // 1 us minimum +localparam t_ltd_auto = clk_in_mhz*4; // 4 us minimum + + +wire pll_is_locked_r; // pll_is_locked resynchronized +wire tx_cal_busy_r; // tx_cal_busy resynchronized +wire rx_cal_busy_r; // rx_cal_busy resynchronized +wire rx_is_lockedtodata_r; // rx_is_lockedtodata resynchronized + +wire sdone_lego_pll_powerdown; // 'sequence done' output of pll_powerdown lego +wire sdone_lego_tx_digitalreset;// 'sequence done' output of tx_digitalreset lego +wire sdone_lego_rx_digitalreset;// 'sequence done' output of rx_digitalreset lego +wire sdone_lego_rx_analogreset; // 'sequence done' output of rx_analogreset lego +wire wire_tx_digital_only_reset;// reset output for TX digital-only +wire wire_rx_digital_only_reset;// reset output for RX digital-only +wire wire_tx_digitalreset; // TX digital full-reset source +wire wire_rx_digitalreset; // RX digital full-reset source +wire wire_rx_digital_retrigger; // Trigger new RX digital sequence after main sequence completes, and lose lock-to-data + +// Resynchronize input signals +alt_xcvr_resync #( + .WIDTH(2) + ) alt_xcvr_resync_inst_0 ( + .clk (clock), + .reset (1'b0), + .d ({pll_is_locked ,rx_is_lockedtodata }), + .q ({pll_is_locked_r,rx_is_lockedtodata_r}) +); + +alt_xcvr_resync #( + .WIDTH(2), + .INIT_VALUE(1) + ) alt_xcvr_resync_inst_1 ( + .clk (clock), + .reset (1'b0), + .d ({rx_cal_busy,tx_cal_busy}), + .q ({rx_cal_busy_r,tx_cal_busy_r}) +); + +// First reset ctrl sequencer lego is for pll_powerdown generation +alt_reset_ctrl_lego #( + .reset_hold_cycles(t_pll_powerdown) // hold pll_powerdown for 1us + ) lego_pll_powerdown ( .clock(clock), + .start(reset_all), // Do not use resynched version of reset_all here + .aclr(powerdown_all), + .reset(pll_powerdown), + .rdone(pll_is_locked_r), + .sdone(sdone_lego_pll_powerdown)); + +// next reset ctrl sequencer lego is for tx_digitalreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1) // hold until rdone arrives for this test case + ) lego_tx_digitalreset ( .clock(clock), + .start(reset_all), + .aclr(powerdown_all), + .reset(wire_tx_digitalreset), + .rdone(sdone_lego_pll_powerdown & ~tx_cal_busy_r), + .sdone(sdone_lego_tx_digitalreset)); + +// next reset ctrl sequencer lego is for rx_analogreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1), // hold until rdone arrives for this test case + .sdone_delay_cycles(2) // hold rx_analogreset 2 parallel_clock cycles after offset cancellation done + ) lego_rx_analogreset ( .clock(clock), + .start(reset_all), + .aclr(powerdown_all), + .reset(rx_analogreset), + .rdone(sdone_lego_tx_digitalreset & ~rx_cal_busy_r), + .sdone(sdone_lego_rx_analogreset)); + +// last reset ctrl sequencer lego is for rx_digitalreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1), // hold until rdone arrives for this test case + .sdone_delay_cycles(t_ltd_auto) // hold rx_digitalreset for 4us + ) lego_rx_digitalreset ( .clock(clock), + .start(~manual_mode & reset_all | wire_rx_digital_retrigger), + .aclr(powerdown_all), + .reset(wire_rx_digitalreset), + .rdone(sdone_lego_rx_analogreset & rx_is_lockedtodata_r), + .sdone(sdone_lego_rx_digitalreset)); + +//////////// digital-only reset //////////// +// separate reset ctrl sequencer lego for digital-only reset generation +alt_reset_ctrl_lego #( + .reset_hold_cycles(3) // hold 2 parallel clock cycles (assumes sysclk slower or same freq as parallel clock) + ) lego_tx_digitalonly ( .clock(clock), + .start(reset_tx_digital | reset_all), + .aclr(powerdown_all), + .reset(wire_tx_digital_only_reset), + .rdone(sdone_lego_tx_digitalreset), + .sdone(tx_ready)); // TX status indicator for user + +alt_reset_ctrl_lego #( + .reset_hold_cycles(3) // hold 2 parallel clock cycles (assumes sysclk slower or same freq as parallel clock) + ) lego_rx_digitalonly ( .clock(clock), + .start(reset_rx_digital | (reset_all & ~manual_mode) | wire_rx_digital_retrigger), + .aclr(powerdown_all), + .reset(wire_rx_digital_only_reset), + .rdone(sdone_lego_rx_digitalreset), + .sdone(rx_ready)); // RX status indicator for user + +// digital resets have 2 possible sources: full-reset or digital-only +assign tx_digitalreset = wire_tx_digitalreset | wire_tx_digital_only_reset; +assign rx_digitalreset = wire_rx_digitalreset | wire_rx_digital_only_reset; + +// re-trigger RX digital sequence when main sequence is complete (indicated by sdone_lego_rx_digitalreset) +// not manual mode, and lose lock-to-data +assign wire_rx_digital_retrigger = ~manual_mode & sdone_lego_rx_digitalreset & ~rx_is_lockedtodata_r; + +// Quad power-down +assign gxb_powerdown = powerdown_all; + + +//////////////////////// +// general assertions +//synopsys translate_off +// vlog/vcs/ncverilog: +define+ALTERA_XCVR_ASSERTIONS +`ifdef ALTERA_XCVR_ASSERTIONS +always @(posedge clock) begin + // reset_all starts by triggering CMU PLL powerdown + assert property ($rose(reset_all) |=> $rose(pll_powerdown)); + // While CMU PLL powerdown is asserted, all other resets must be asserted + assert property (pll_powerdown |-> (tx_digitalreset & rx_analogreset & rx_digitalreset)); + // While rx_analogreset is asserted, rx_digitalreset must be asserted + assert property (rx_analogreset |-> rx_digitalreset); + // When pll_is_locked is asserted, tx_digitalreset must be deasserted + assert property ($rose(pll_is_locked_r) |-> ##[0:2] !tx_digitalreset); + // During a reset, rx_digitalreset should remain high for t_ltd_auto after rx_is_lockedtodata rising edge + assert property ($rose(rx_is_lockedtodata_r) & ~manual_mode|-> rx_digitalreset [*(t_ltd_auto+1)] ##1 !rx_digitalreset); + assert property ($rose(rx_is_lockedtodata_r) & manual_mode|->!rx_digitalreset); + // reset_tx_digital results in only a brief pulse on tx_digitalreset + assert property ($rose(reset_tx_digital) |=> tx_digitalreset [*3] ); + assert property ($rose(reset_tx_digital) & tx_ready |=> tx_digitalreset [*3] ##1 ~tx_digitalreset ##1 $rose(tx_ready) ); + // reset_rx_digital results in only a brief pulse on rx_digitalreset + assert property ($rose(reset_rx_digital) |=> rx_digitalreset [*3] ); + assert property ($rose(reset_rx_digital) & rx_ready |=> rx_digitalreset [*3] ##1 ~rx_digitalreset ##1 $rose(rx_ready) ); +end +`endif +//synopsys translate_on + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xaui_phy_assignments.qip b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xaui_phy_assignments.qip new file mode 100644 index 0000000000000000000000000000000000000000..6b854ad5bc76259e81a1220b8e3fb397d6826122 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xaui_phy_assignments.qip @@ -0,0 +1,4 @@ +#comment: example of PLL TYPE assignment for TX PLL. This assignment is needed for every TX PLL that exist in the design + +# For Stratix V Soft XAUI: +#set_instance_assignment -name PLL_TYPE ATX -to "*sv_xcvr_xaui:alt_xaui_phy*alt_pma_0*sv_xcvr_native_insts*pll*.tx_pll" diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xaui_phy_top.sdc b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xaui_phy_top.sdc new file mode 100644 index 0000000000000000000000000000000000000000..3c125358eb82fe3f94dbea0afd1092f76ba3c68f --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xaui_phy_top.sdc @@ -0,0 +1,43 @@ +# (C) 2001-2012 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions and other +# software and tools, and its AMPP partner logic functions, and any output +# files any of the foregoing (including device programming or simulation +# files), and any associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License Subscription +# Agreement, Altera MegaCore Function License Agreement, or other applicable +# license agreement, including, without limitation, that your use is for the +# sole purpose of programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the applicable +# agreement for further details. + + +#----------------------------------------------------------------------------- +# +# Description: SDC file for alt_xaui +# +# Authors: bauyeung +# +# Copyright (c) Altera Corporation 1997 - 2010 +# All rights reserved. +# +# +#----------------------------------------------------------------------------- + +set_time_format -unit ns -decimal_places 3 +#derive_pll_clocks +derive_clock_uncertainty + +# +# input clocks +# +create_clock -name {xgmii_tx_clk} \ + -period 6.400 -waveform {0.000 3.2} \ + [ get_ports {xgmii_tx_clk} ] +create_clock -name {phy_mgmt_clk} \ + -period 20.000 -waveform {0.000 10.0} \ + [ get_ports {phy_mgmt_clk} ] +create_clock -name {refclk} \ + -period 6.400 -waveform {0.000 3.2} \ + [ get_ports {pll_ref_clk} ] + + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_arbiter.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_arbiter.sv new file mode 100644 index 0000000000000000000000000000000000000000..5b0e914d4dea0be5e022a29a6df73240a4f4f29e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_arbiter.sv @@ -0,0 +1,64 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Clocked priority encoder with state +// +// On each clock cycle, updates state to show which request is granted. +// Most recent grant holder is always the highest priority. +// If current grant holder is not making a request, while others are, +// then new grant holder is always the requester with lowest bit number. +// If no requests, current grant holder retains grant state + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_arbiter #( + parameter width = 2 +) ( + input wire clock, + input wire [width-1:0] req, // req[n] requests for this cycle + output reg [width-1:0] grant // grant[n] means requester n is grantee in this cycle +); + + wire idle; // idle when no requests + wire [width-1:0] keep; // keep[n] means requester n is requesting, and already has the grant + // Note: current grantee is always highest priority for next grant + wire [width-1:0] take; // take[n] means requester n is requesting, and there are no higher-priority requests + + assign keep = req & grant; // current grantee is always highest priority for next grant + assign idle = ~| req; // idle when no requests + + initial begin + grant = 0; + end + + // grant next state depends on current grant and take priority + always @(posedge clock) begin + grant <= keep // if current grantee is requesting, gets to keep grant + | ({width{idle}} & grant) // if no requests, grant state remains unchanged + | take; // take applies only if current grantee is not requesting + end + + // 'take' bus encodes priority. Request with lowest bit number wins when current grantee not requesting + assign take[0] = req[0] + & (~| (keep & ({width{1'b1}} << 1))); // no 'keep' from lower-priority inputs + genvar i; + generate + for (i=1; i < width; i = i + 1) begin : arb + assign take[i] = req[i] + & (~| (keep & ({width{1'b1}} << (i+1)))) // no 'keep' from lower-priority inputs + & (~| (req & {i{1'b1}})); // no 'req' from higher-priority inputs + end + endgenerate +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_common.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_common.sv new file mode 100644 index 0000000000000000000000000000000000000000..dbe3e5a5fcf1db4a9ce64efc08efa2005e19cbe8 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_common.sv @@ -0,0 +1,209 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// + +`timescale 1 ps / 1 ps + +module alt_xcvr_csr_common #( + parameter lanes = 1, + parameter plls = 1 +) +( + // user data (avalon-MM formatted) + input wire clk, + input tri0 reset, + input wire [7:0] address, + input tri1 read, + output reg [31:0] readdata = 0, + input tri0 write, + input wire [31:0] writedata, + + // transceiver status inputs to this CSR + input wire [plls - 1 : 0] pll_locked, + input wire [lanes - 1 : 0] rx_is_lockedtoref, + input wire [lanes - 1 : 0] rx_is_lockedtodata, + input wire [lanes - 1 : 0] rx_signaldetect, + + // reset controller outputs + input wire reset_controller_tx_ready, + input wire reset_controller_rx_ready, + input wire reset_controller_pll_powerdown, + input wire reset_controller_tx_digitalreset, + input wire reset_controller_rx_analogreset, + input wire reset_controller_rx_digitalreset, + + // read/write control registers + // to reset controller + output reg csr_reset_tx_digital = 0, + output reg csr_reset_rx_digital = 0, + output reg csr_reset_all = 1, // power-up to 1 to trigger auto-init sequence + // to PMA and PCS reset inputs + output wire csr_pll_powerdown, // reset controller or manual + output wire [lanes - 1 : 0] csr_tx_digitalreset, // reset controller or manual + output wire [lanes - 1 : 0] csr_rx_analogreset, // reset controller or manual + output wire [lanes - 1 : 0] csr_rx_digitalreset, // reset controller or manual + // common PMA controls + output reg [lanes - 1 : 0] csr_phy_loopback_serial = 0, + output reg [lanes - 1 : 0] csr_rx_set_locktoref = 0, + output reg [lanes - 1 : 0] csr_rx_set_locktodata = 0 +); + import alt_xcvr_csr_common_h::*; + + localparam sync_stages = 2; // number of sync stages for transceiver status signals + localparam sync_stages_str = "2"; // number of sync stages specified as string (for timing constraints) + integer stage; + + // Parameter strings for embedded timing constraints + localparam CSR_PLLLOCKED_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_pll_locked*[",sync_stages_str,"]*]\""}; + localparam CSR_RXISLOCKED_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_rx_is_locked*[",sync_stages_str,"]*]\""}; + localparam CSR_RXSIGNALDET_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_rx_signaldetect*[",sync_stages_str,"]*]\""}; + localparam SDC_CONSTRAINTS = {CSR_PLLLOCKED_CONSTRAINT,";",CSR_RXISLOCKED_CONSTRAINT,";",CSR_RXSIGNALDET_CONSTRAINT}; + + // internal control registers + reg [lanes - 1 : 0] csr_interrupt_ch_bitmask = {lanes{1'b1}}; + // fine reset control. 'OR' with reset controller equivalent signals + reg csr_reset_or_pll_powerdown = 0; // fine reset control + reg csr_reset_or_reset_tx_digital = 0; // fine reset control + reg csr_reset_or_reset_rx_analog = 0; // fine reset control + reg csr_reset_or_reset_rx_digital = 0; // fine reset control + reg [lanes - 1 : 0] csr_reset_ch_bitmask = {lanes{1'b1}}; + + // read-only status registers + // These are synchronized forms of transceiver status signals + // async inputs go to reg [sync_stages], and come out synchronized at reg [1] + (* altera_attribute = SDC_CONSTRAINTS *) // Apply timing constraints (does not matter which node) + reg [plls - 1 : 0] csr_pll_locked [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_is_lockedtoref [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_is_lockedtodata [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_signaldetect [sync_stages:1]; + + always @(posedge clk or posedge reset) begin + if (reset == 1) begin + readdata <= 0; + csr_interrupt_ch_bitmask <= {lanes{1'b1}}; + + csr_reset_tx_digital <= 0; + csr_reset_rx_digital <= 0; + csr_reset_all <= 1; // reset to 1 to trigger auto-init sequence + csr_reset_ch_bitmask <= {lanes{1'b1}}; + csr_reset_or_pll_powerdown <= 0; // fine reset control + csr_reset_or_reset_tx_digital <= 0; // fine reset control + csr_reset_or_reset_rx_analog <= 0; // fine reset control + csr_reset_or_reset_rx_digital <= 0; // fine reset control + + csr_phy_loopback_serial <= 0; + csr_rx_set_locktoref <= 0; + csr_rx_set_locktodata <= 0; + end + else begin + // decode read & write for each supported address + case (address) + // interrupt control + ADDR_INTERRUPT_CH_BITMASK: begin + readdata <= (32'd0 | csr_interrupt_ch_bitmask); + if (write) csr_interrupt_ch_bitmask <= writedata[lanes-1:0]; + end + + // reset control + ADDR_RESET_CONTROL: begin + // on read, returns two bits: bit0: TX ready, bit1: RX ready + readdata <= (32'd0 | {reset_controller_rx_ready, reset_controller_tx_ready}); + // on write, bit0 is 'reset_tx_digital', bit1 is 'reset_rx_digital', bit2 is 'reset_all' + //if (write) begin + // reset_all write side-effect: when write a '1', force all reset_ch_bitmask bits to '1' + // csr_reset_ch_bitmask <= csr_reset_ch_bitmask | {(lanes){writedata[2]}}; + //end + end + ADDR_RESET_CH_BITMASK: begin + readdata <= (32'd0 | csr_reset_ch_bitmask); + if (write) csr_reset_ch_bitmask <= writedata[lanes-1:0]; + end + + // loopback control + ADDR_PHY_LOOPBACK_SERIAL, + ADDR_PMA_LOOPBACK_SERIAL: begin + readdata <= (32'd0 | csr_phy_loopback_serial); + if (write) csr_phy_loopback_serial <= writedata[lanes-1:0]; + end + + // PMA control and status + ADDR_PMA_RX_SET_LOCKTOREF: begin + readdata <= (32'd0 | csr_rx_set_locktoref); + if (write) csr_rx_set_locktoref <= writedata[lanes-1:0]; + end + ADDR_PMA_RX_SET_LOCKTODATA: begin + readdata <= (32'd0 | csr_rx_set_locktodata); + if (write) csr_rx_set_locktodata <= writedata[lanes-1:0]; + end + // PMA status (read-only) + ADDR_PMA_PLL_IS_LOCKED: readdata <= (32'd0 | csr_pll_locked[1]); + ADDR_PMA_RX_IS_LOCKEDTOREF: readdata <= (32'd0 | csr_rx_is_lockedtoref[1]); + ADDR_PMA_RX_IS_LOCKEDTODATA: readdata <= (32'd0 | csr_rx_is_lockedtodata[1]); + ADDR_PMA_RX_SIGNALDETECT: readdata <= (32'd0 | csr_rx_signaldetect[1]); + + // Fine reset control - device dependent + ADDR_RESET_FINE_CONTROL: begin + // bit 0: 'pll_powerdown', 1: 'reset_tx_digital', 2: 'reset_rx_analog', 3: 'reset_rx_digital' + + readdata <= (32'd0 | {csr_reset_or_reset_rx_digital, csr_reset_or_reset_rx_analog, + csr_reset_or_reset_tx_digital, csr_reset_or_pll_powerdown}); + if (write) begin + csr_reset_or_pll_powerdown <= writedata[0]; // fine reset control + csr_reset_or_reset_tx_digital <= writedata[1]; // fine reset control + csr_reset_or_reset_rx_analog <= writedata[2]; // fine reset control + csr_reset_or_reset_rx_digital <= writedata[3]; // fine reset control + end + end + + + default: readdata <= ~ 32'd0; // use too many LEs? + endcase + + // special handling for registers that must auto-clear on cycle after a write + // reset control. on write, bit0 is 'reset_tx_digital', bit1 is 'reset_rx_digital', bit2 is 'reset_all' + csr_reset_tx_digital <= (address == ADDR_RESET_CONTROL) & write & writedata[0]; + csr_reset_rx_digital <= (address == ADDR_RESET_CONTROL) & write & writedata[1]; + csr_reset_all <= (address == ADDR_RESET_CONTROL) & write & writedata[2]; + + // synchronization registers for status signals from transceivers + csr_pll_locked[sync_stages] <= pll_locked; // input from transceiver + csr_rx_is_lockedtoref[sync_stages] <= rx_is_lockedtoref; + csr_rx_is_lockedtodata[sync_stages] <= rx_is_lockedtodata; + csr_rx_signaldetect[sync_stages] <= rx_signaldetect; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + csr_pll_locked[stage-1] <= csr_pll_locked[stage]; + csr_rx_is_lockedtoref[stage-1] <= csr_rx_is_lockedtoref[stage]; + csr_rx_is_lockedtodata[stage-1] <= csr_rx_is_lockedtodata[stage]; + csr_rx_signaldetect[stage-1] <= csr_rx_signaldetect[stage]; + end + end + end + + // combine reset controller and CSR manual reset control settings + assign csr_pll_powerdown = reset_controller_pll_powerdown; // cut manual PLL reset path + // | csr_reset_or_pll_powerdown; + assign csr_tx_digitalreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_tx_digitalreset | csr_reset_or_reset_tx_digital}}; + assign csr_rx_analogreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_rx_analogreset | csr_reset_or_reset_rx_analog}}; + assign csr_rx_digitalreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_rx_digitalreset | csr_reset_or_reset_rx_digital}}; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_common_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_common_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..a323c0a2a16722d20880e2fd2d694fe5031fe780 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_common_h.sv @@ -0,0 +1,61 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// +// PACKAGE DECLARATION + +`timescale 1 ps / 1 ps + +package alt_xcvr_csr_common_h; + + localparam alt_xcvr_csr_addr_width = 8; + + // register bitmap --------------------------------------------------------- + // common blocks, interrupt control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_CH_BITMASK = 1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_ENABLE_BITMASK = 2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_SOURCE = 3; + + // common blocks, loopback control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PHY_LOOPBACK_SERIAL = 6; + + // common blocks, reset control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CONTROL_BASE = 64; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CH_BITMASK = ADDR_RESET_CONTROL_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CONTROL = ADDR_RESET_CONTROL_BASE + 8'd2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_FINE_CONTROL = ADDR_RESET_CONTROL_BASE + 8'd4; + + // common blocks, PMA common control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_COMMON_BASE = 32; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_PLL_IS_LOCKED = ADDR_PMA_COMMON_BASE + 8'd2; + // common blocks, PMA channel control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_CHANNEL_BASE = 96; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_LOOPBACK_SERIAL = ADDR_PMA_CHANNEL_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SIGNALDETECT = ADDR_PMA_CHANNEL_BASE + 8'd3; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SET_LOCKTODATA = ADDR_PMA_CHANNEL_BASE + 8'd4; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SET_LOCKTOREF = ADDR_PMA_CHANNEL_BASE + 8'd5; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_IS_LOCKEDTODATA = ADDR_PMA_CHANNEL_BASE + 8'd6; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_IS_LOCKEDTOREF = ADDR_PMA_CHANNEL_BASE + 8'd7; + + // external block for PCS control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS_BASE = 128; // 128-255 + + // First word in every PCS CSR is lane # (or lane group # as appropriate) + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS_LANE_GROUP = ADDR_PCS_BASE + 8'd0; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_pcs8g.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_pcs8g.sv new file mode 100644 index 0000000000000000000000000000000000000000..62ddfa2dce74f10cf83c30cd54f72573bbf216be --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_pcs8g.sv @@ -0,0 +1,350 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module alt_xcvr_csr_pcs8g #( + parameter lanes = 1, + parameter words = 2 // for status bits that are per-word, like 8B10B status +) +( + // user data (avalon-MM formatted) + input wire clk, + input tri0 reset, + input wire [7:0] address, + input tri1 read, + output reg [31:0] readdata = 0, + input tri0 write, + input wire [31:0] writedata, + + input wire rx_clk, // to synchronize rx control outputs + input wire tx_clk, // to synchronize tx control outputs + + // transceiver status inputs to this CSR + input wire [lanes*words - 1 : 0] rx_patterndetect, + input wire [lanes*words - 1 : 0] rx_syncstatus, + input wire [lanes*words - 1 : 0] rx_errdetect, + input wire [lanes*words - 1 : 0] rx_disperr, + input wire [lanes - 1 : 0] rx_phase_comp_fifo_error, + input wire [lanes - 1 : 0] tx_phase_comp_fifo_error, + input wire [lanes*5 - 1: 0] rx_bitslipboundaryselectout, + input wire [lanes - 1 : 0] rlv, + input wire [lanes*words - 1 : 0] rx_a1a2sizeout, + + // read/write control outputs + // PCS controls + output wire [lanes - 1 : 0] csr_tx_invpolarity, + output wire [lanes*5 - 1 : 0] csr_tx_bitslipboundaryselect, + output wire [lanes - 1 : 0] csr_rx_invpolarity, + output wire [lanes - 1 : 0] csr_rx_enapatternalign, + output wire [lanes - 1 : 0] csr_rx_bitreversalenable, + output wire [lanes - 1 : 0] csr_rx_bytereversalenable, + output wire [lanes - 1 : 0] csr_rx_bitslip, + output wire [lanes - 1 : 0] csr_rx_a1a2size +); + import alt_xcvr_csr_common_h::*; + import alt_xcvr_csr_pcs8g_h::*; + + localparam sync_stages = 2; // number of sync stages for transceiver status signals + localparam sync_stages_str = "2"; // number of sync stages specified as string (for timing constraints) + localparam LANE_REGW = 5; + + // Parameter strings for embedded timing constraints + localparam SYNC_RX_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*sync_rx_*[",sync_stages_str,"]*]\""}; + localparam SYNC_TX_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*sync_tx_*[",sync_stages_str,"]*]\""}; + localparam CSR_REG_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*csr_indexed_read_only_reg*sreg[",sync_stages_str,"]*]\""}; + localparam SDC_CONSTRAINTS = {SYNC_RX_CONSTRAINT,";",SYNC_TX_CONSTRAINT,";",CSR_REG_CONSTRAINT}; + + // internal registers + reg [LANE_REGW-1:0] reg_lane_number = 0; // lane or group number for indirection + + //////////////////////////////////////////////////////// + // Read/Write CSR registers with lane indirection + //////////////////////////////////////////////////////// + // Apply false path timing constraints to synchronization registers. (It does not matter as to which node these are applied). + (* altera_attribute = SDC_CONSTRAINTS *) + reg [lanes - 1 : 0] reg_tx_invpolarity = 0; + reg [lanes - 1 : 0] sync_tx_invpolarity [sync_stages:1]; // synchronize to tx_clk + wire [lanes - 1 : 0] write_tx_invpolarity; // indexed write group muxed in + wire [0 : 0] lane_tx_invpolarity; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_tx_invpolarity(.in_narrow(writedata[0]), + .in_wide(reg_tx_invpolarity), .sel(reg_lane_number), + .out_narrow(lane_tx_invpolarity), .out_wide(write_tx_invpolarity)); + + reg [lanes*5 - 1 : 0] reg_tx_bitslipboundaryselect = 0; + reg [lanes*5 - 1 : 0] sync_tx_bitslipboundaryselect [sync_stages:1]; //synchronize to tx_clk + wire [lanes*5 - 1 : 0] write_tx_bitslipboundaryselect; //indexed write group muxed in + wire [4:0] lane_tx_bitslipboundaryselect; //selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(5), .sel_size(LANE_REGW), .init_value(0)) + wmux_tx_bitslipboundaryselect(.in_narrow(writedata[5:1]), + .in_wide(reg_tx_bitslipboundaryselect), .sel(reg_lane_number), + .out_narrow(lane_tx_bitslipboundaryselect), .out_wide(write_tx_bitslipboundaryselect)); + + reg [lanes - 1 : 0] reg_rx_invpolarity = 0; + reg [lanes - 1 : 0] sync_rx_invpolarity [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_invpolarity; // indexed write group muxed in + wire [0 : 0] lane_rx_invpolarity; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_invpolarity(.in_narrow(writedata[0]), + .in_wide(reg_rx_invpolarity), .sel(reg_lane_number), + .out_narrow(lane_rx_invpolarity), .out_wide(write_rx_invpolarity)); + + reg [lanes - 1 : 0] reg_rx_enapatternalign = 0; + reg [lanes - 1 : 0] sync_rx_enapatternalign [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_enapatternalign; // indexed write group muxed in + wire [0 : 0] lane_rx_enapatternalign; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_enapatternalign(.in_narrow(writedata[0]), + .in_wide(reg_rx_enapatternalign), .sel(reg_lane_number), + .out_narrow(lane_rx_enapatternalign), .out_wide(write_rx_enapatternalign)); + + reg [lanes - 1 : 0] reg_rx_bitreversalenable = 0; + reg [lanes - 1 : 0] sync_rx_bitreversalenable [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bitreversalenable; // indexed write group muxed in + wire [0 : 0] lane_rx_bitreversalenable; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bitreversalenable(.in_narrow(writedata[1]), + .in_wide(reg_rx_bitreversalenable), .sel(reg_lane_number), + .out_narrow(lane_rx_bitreversalenable), .out_wide(write_rx_bitreversalenable)); + + reg [lanes - 1 : 0] reg_rx_bytereversalenable = 0; + reg [lanes - 1 : 0] sync_rx_bytereversalenable [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bytereversalenable; // indexed write group muxed in + wire [0 : 0] lane_rx_bytereversalenable; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bytereversalenable(.in_narrow(writedata[2]), + .in_wide(reg_rx_bytereversalenable), .sel(reg_lane_number), + .out_narrow(lane_rx_bytereversalenable), .out_wide(write_rx_bytereversalenable)); + + reg [lanes - 1 : 0] reg_rx_bitslip = 0; + reg [lanes - 1 : 0] sync_rx_bitslip [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bitslip; // indexed write group muxed in + wire [0 : 0] lane_rx_bitslip; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bitslip(.in_narrow(writedata[3]), + .in_wide(reg_rx_bitslip), .sel(reg_lane_number), + .out_narrow(lane_rx_bitslip), .out_wide(write_rx_bitslip)); + + reg [lanes - 1 : 0] reg_rx_a1a2size = 0; + reg [lanes - 1 : 0] sync_rx_a1a2size [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_a1a2size; // indexed write group muxed in + wire [0 : 0] lane_rx_a1a2size; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_a1a2size(.in_narrow(writedata[3]), + .in_wide(reg_rx_a1a2size), .sel(reg_lane_number), + .out_narrow(lane_rx_a1a2size), .out_wide(write_rx_a1a2size)); + + //////////////////////////////////////////////////////// + // Read-only CSR registers with lane indirection + //////////////////////////////////////////////////////// + // read-only status registers are synchronized forms of transceiver status signals + // async inputs go to reg [sync_stages], and come out synchronized at reg [1] + //////////////////////////////////////////////////////// + // read selectors (muxes) that index using the indirect lane (group) number + wire [words-1 : 0] lane_rx_patterndetect; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_patterndetect(.clk(clk), .async_in_wide(rx_patterndetect), + .sel(reg_lane_number), .out_narrow(lane_rx_patterndetect)); + + wire [words-1 : 0] lane_rx_syncstatus; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_syncstatus(.clk(clk), .async_in_wide(rx_syncstatus), + .sel(reg_lane_number), .out_narrow(lane_rx_syncstatus)); + + wire [words-1 : 0] lane_rx_errdetect; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_errdetect(.clk(clk), .async_in_wide(rx_errdetect), + .sel(reg_lane_number), .out_narrow(lane_rx_errdetect)); + + wire [words-1 : 0] lane_rx_disperr; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_disperr(.clk(clk), .async_in_wide(rx_disperr), + .sel(reg_lane_number), .out_narrow(lane_rx_disperr)); + + wire [words-1 : 0] lane_rx_a1a2sizeout; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_a1a2sizeout(.clk(clk), .async_in_wide(rx_a1a2sizeout), + .sel(reg_lane_number), .out_narrow(lane_rx_a1a2sizeout)); + + wire [0 : 0] lane_rx_phase_comp_fifo_error; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_phase_comp_fifo_error(.clk(clk), .async_in_wide(rx_phase_comp_fifo_error), + .sel(reg_lane_number), .out_narrow(lane_rx_phase_comp_fifo_error)); + + wire [4 : 0] lane_rx_bitslipboundaryselectout; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(5), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_bitslipboundaryselectout(.clk(clk), .async_in_wide(rx_bitslipboundaryselectout), + .sel(reg_lane_number), .out_narrow(lane_rx_bitslipboundaryselectout)); + + wire [0 : 0] lane_tx_phase_comp_fifo_error; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_tx_phase_comp_fifo_error(.clk(clk), .async_in_wide(tx_phase_comp_fifo_error), + .sel(reg_lane_number), .out_narrow(lane_tx_phase_comp_fifo_error)); + + wire [0 : 0] lane_rlv; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rlv(.clk(clk), .async_in_wide(rlv), + .sel(reg_lane_number), .out_narrow(lane_rlv)); + + + always @(posedge clk or posedge reset) begin + if (reset == 1) begin + readdata <= 0; + reg_lane_number <= 0; + reg_tx_invpolarity <= 0; + reg_tx_bitslipboundaryselect <= 0; + reg_rx_invpolarity <= 0; + reg_rx_enapatternalign <= 0; + reg_rx_bitreversalenable <= 0; + reg_rx_bytereversalenable <= 0; + reg_rx_bitslip <= 0; + reg_rx_a1a2size <= 0; + + // no need to clear synchronization registers, since they do not store state + end + else begin + // decode read & write for each supported address + case (address) + // lane or group number for indirection + ADDR_PCS_LANE_GROUP: begin + readdata <= (32'd0 | reg_lane_number); + if (write) reg_lane_number <= writedata[LANE_REGW-1:0]; + end + + // offset + 1, read-only RX status bits + // bit 0, rx_phase_comp_fifo_error + // bit 5:1, rx_bitslipboundaryselectout + ADDR_PCS8G_RX_STATUS: begin + readdata <= (32'd0 | + {lane_rx_bitslipboundaryselectout, //bit 5-1 + lane_rx_phase_comp_fifo_error}); // bit 0 + end + + // offset + 2, read-only TX status bits + // bit 0, tx_phase_comp_fifo_error + ADDR_PCS8G_TX_STATUS: begin + readdata <= (32'd0 | lane_tx_phase_comp_fifo_error); // bit 0 + end + + // offset + 3, read/write TX control bits + // bit 0, tx_invpolarity + // bit 5:1, tx_bitslipboundaryselect + ADDR_PCS8G_TX_CONTROL: begin + readdata <= (32'd0 | {lane_tx_bitslipboundaryselect, lane_tx_invpolarity}); + if (write) + begin + reg_tx_invpolarity <= write_tx_invpolarity; + reg_tx_bitslipboundaryselect <= write_tx_bitslipboundaryselect; + end + end + + // offset + 4, read/write RX control bits + // bit 0, rx_invpolarity + ADDR_PCS8G_RX_CONTROL: begin + readdata <= (32'd0 | lane_rx_invpolarity); + if (write) reg_rx_invpolarity <= write_rx_invpolarity; + end + + // offset + 5, read/write RX WA control bits + // bit 0, rx_enapatternalign + // bit 1, rx_bitreversalenable + // bit 2, rx_bytereversalenable + // bit 3, rx_bitslip + // bit 4, rx_a1a2size + ADDR_PCS8G_RX_WA_CONTROL: begin + readdata <= (32'd0 | { lane_rx_a1a2size, // bit 4 + lane_rx_bitslip, // bit 3 + lane_rx_bytereversalenable, // bit 2 + lane_rx_bitreversalenable, // bit 1 + lane_rx_enapatternalign}); // bit 0 + if (write) + begin + reg_rx_enapatternalign <= write_rx_enapatternalign; + reg_rx_bitreversalenable <= write_rx_bitreversalenable; + reg_rx_bytereversalenable <= write_rx_bytereversalenable; + reg_rx_bitslip <= write_rx_bitslip; + reg_rx_a1a2size <= write_rx_a1a2size; + end + end + + // offset + 5, read RX WA status bits + // bit 3:0, rx_errdetect + // bit 7:4, rx_syncstatus + // bit 11:8, rx_disperr + // bit 15:12, rx_patterndetect + // bit 16, rlv + // bit 23:20, rx_a1a2sizeout + ADDR_PCS8G_RX_WA_STATUS: begin + readdata <= (32'd0 | {(4'b0 | lane_rx_a1a2sizeout), // bit 23:20 + (4'b0 | lane_rlv), // bit 16 + (4'b0 | lane_rx_patterndetect), // bit 15:12 + (4'b0 | lane_rx_disperr), // bit 11:8 + (4'b0 | lane_rx_syncstatus), // bit 7:4 + (4'b0 | lane_rx_errdetect)}); // bit 3:0 + end + + default: readdata <= ~ 32'd0; // use too many LEs? + endcase + end + end + + // synchronize TX controls to tx_clk before generating output + // sysclk-sync'ed input enters at [sync_stages], and tx_clk-sync'ed output exist at [1] + integer stage; + always @(posedge tx_clk) begin + sync_tx_invpolarity[sync_stages] <= reg_tx_invpolarity; + sync_tx_bitslipboundaryselect[sync_stages] <= reg_tx_bitslipboundaryselect; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sync_tx_invpolarity[stage-1] <= sync_tx_invpolarity[stage]; + sync_tx_bitslipboundaryselect[stage-1] <= sync_tx_bitslipboundaryselect[stage]; + end + end + assign csr_tx_invpolarity = sync_tx_invpolarity[1]; + assign csr_tx_bitslipboundaryselect = sync_tx_bitslipboundaryselect[1]; + + // synchronize RX controls to rx_clk before generating output + // sysclk-sync'ed input enters at [sync_stages], and rx_clk-sync'ed output exist at [1] + always @(posedge rx_clk) begin + sync_rx_invpolarity[sync_stages] <= reg_rx_invpolarity; + sync_rx_enapatternalign[sync_stages] <= reg_rx_enapatternalign; + sync_rx_bitreversalenable[sync_stages] <= reg_rx_bitreversalenable; + sync_rx_bytereversalenable[sync_stages] <= reg_rx_bytereversalenable; + sync_rx_bitslip[sync_stages] <= reg_rx_bitslip; + sync_rx_a1a2size[sync_stages] <= reg_rx_a1a2size; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sync_rx_invpolarity[stage-1] <= sync_rx_invpolarity[stage]; + sync_rx_enapatternalign[stage-1] <= sync_rx_enapatternalign[stage]; + sync_rx_bitreversalenable[stage-1] <= sync_rx_bitreversalenable[stage]; + sync_rx_bytereversalenable[stage-1] <= sync_rx_bytereversalenable[stage]; + sync_rx_bitslip[stage-1] <= sync_rx_bitslip[stage]; + sync_rx_a1a2size[stage-1] <= sync_rx_a1a2size[stage]; + end + end + assign csr_rx_invpolarity = sync_rx_invpolarity[1]; + assign csr_rx_enapatternalign = sync_rx_enapatternalign[1]; + assign csr_rx_bitreversalenable = sync_rx_bitreversalenable[1]; + assign csr_rx_bytereversalenable = sync_rx_bytereversalenable[1]; + assign csr_rx_bitslip = sync_rx_bitslip[1]; + assign csr_rx_a1a2size = sync_rx_a1a2size[1]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_pcs8g_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_pcs8g_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..09f65ef3aee3227b1f9f9ba7d3359a300eb092ac --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_pcs8g_h.sv @@ -0,0 +1,33 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_xcvr_csr_pcs8g_h; + + import alt_xcvr_csr_common_h::*; + + // 8G PCS, a.k.a. "Standard PCS", control and status bits + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_STATUS = ADDR_PCS_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_TX_STATUS = ADDR_PCS_BASE + 8'd2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_TX_CONTROL = ADDR_PCS_BASE + 8'd3; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_CONTROL = ADDR_PCS_BASE + 8'd4; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_WA_CONTROL = ADDR_PCS_BASE + 8'd5; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_WA_STATUS = ADDR_PCS_BASE + 8'd6; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_selector.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_selector.sv new file mode 100644 index 0000000000000000000000000000000000000000..2e843e4597c8292f41a2d244cf5bb2dae2d70abc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_csr_selector.sv @@ -0,0 +1,116 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Select a sub-group from a wide register +// Useful for indirection indexing +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module csr_mux #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1 +) +( + input wire [groups*grp_size-1:0] in_wide, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow +); +// lpm_mux #(.lpm_size(groups), .lpm_width(grp_size), .lpm_widths(sel_size)) +// mux (.data(in_wide), .sel(sel), .result(out_narrow)); + wire [grp_size-1:0] in_groups [groups-1:0]; + + // a synthesizable mux, with a parameterized number of inputs + genvar i; + assign in_groups[0] = in_wide[grp_size-1:0] & {grp_size{sel == 0}}; + generate for (i=1; i<groups; i = i+1) begin: mux + assign in_groups[i] = in_groups[i-1] | in_wide[i*grp_size +: grp_size] & {grp_size{sel == i}}; + end + endgenerate + assign out_narrow = in_groups[groups-1]; +endmodule + +// +// write to a sub-group of a wide register +// Useful for indirection indexing on write +// +module csr_indexed_write_mux #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1, + parameter init_value = 0 +) +( + input wire [grp_size-1:0] in_narrow, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow, // for read back to mgmt interface + input wire [groups*grp_size-1:0] in_wide, // full-width control reg state + output wire [groups*grp_size-1:0] out_wide // to write to full-width control reg +); + wire [groups*grp_size-1:0] wire_wide [groups-1:0]; + + // in_narrow is output in the group position indicated by .sel() input + genvar i; + assign wire_wide[0] = (in_wide & {grp_size{sel != 0}}) | (in_narrow & {grp_size{sel == 0}}); + generate for (i=1; i<groups; i = i+1) begin: mux + assign wire_wide[i] = wire_wide[i-1] + | (in_wide & {{grp_size{sel != i}}, {(grp_size*i){1'b0}}}) + | ({in_narrow & {grp_size{sel == i}}, {(grp_size*i){1'b0}}}); + end + endgenerate + assign out_wide = wire_wide[groups-1]; + + // generate out_narrow as ordinary mux of in_wide + csr_mux #(.groups(groups), .grp_size(grp_size), .sel_size(sel_size)) + o_narrow(.in_wide(in_wide), .sel(sel), .out_narrow(out_narrow)); + +endmodule + +// +// read from a sub-group of a wide, async status input +// Creates synchronization logic to sample in local clock domain +// Useful for indirection indexing on read-only status bits +// +module csr_indexed_read_only_reg #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1, + parameter sync_stages = 2 +) +( + input wire clk, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow, // for read back to mgmt interface + input wire [groups*grp_size-1:0] async_in_wide // full-width async status inputs +); + // read-only status registers are synchronized forms of async status signals + // async inputs go to sreg [sync_stages], and come out synchronized at sreg [1] + reg [groups*grp_size-1:0] sreg [sync_stages:1]; + integer stage; + always @(posedge clk) begin + sreg[sync_stages] <= async_in_wide; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sreg[stage-1] <= sreg[stage]; + end + end + + // generate out_narrow as ordinary mux of out_wide + csr_mux #(.groups(groups), .grp_size(grp_size), .sel_size(sel_size)) + o_narrow(.in_wide(sreg[1]), .sel(sel), .out_narrow(out_narrow)); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_m2s.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_m2s.sv new file mode 100644 index 0000000000000000000000000000000000000000..143f8260ab27069cf2eb8fd54be2d08f84f018ec --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_m2s.sv @@ -0,0 +1,61 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Master-to-slave access fabric +// +// Combined with external multi-master arbitration, this block gates +// master read, write, address, and writedata outputs, and generates +// a waitrequest when arbiter indicates access is not granted + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_m2s #( + parameter width_addr = 3, + parameter width_data = 32 +) ( + input wire clock, + output wire req, // request to arbiter for slave access + input wire grant, + + // signals from/to master + input wire m_read, + input wire m_write, + input wire [width_addr-1:0] m_address, + input wire [width_data-1:0] m_writedata, + output wire [width_data-1:0] m_readdata, + output wire m_waitrequest, + + // signals from/to slave + output wire s_read, + output wire s_write, + output wire [width_addr-1:0] s_address, + output wire [width_data-1:0] s_writedata, + input wire [width_data-1:0] s_readdata, + input wire s_waitrequest +); + + // If master is requesting access, generate waitreq until granted + assign req = m_read | m_write; // master access requests + assign m_waitrequest = grant ? s_waitrequest : req; + + // gate outputs to slave with grant signal + assign s_read = m_read & grant; + assign s_write = m_write & grant; + assign s_address = m_address & {width_addr{grant}}; + assign s_writedata = m_writedata & {width_data{grant}}; + + // slave data outputs pass through directly + assign m_readdata = s_readdata; +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec.sv new file mode 100644 index 0000000000000000000000000000000000000000..93ce24e6bb50518c47c242026699fb45dcee975a --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec.sv @@ -0,0 +1,90 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Management interface address decoder for Altera Transceiver PHY +// +// Addresses common case of 2 modules to be stitched together: +// - 'top' PHY channel blocks (includes reset control, CSR, ...) +// - dynamic reconfiguration block + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_mgmt2dec ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [8:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to 'top' csr block + output wire [7:0] topcsr_address, + output wire topcsr_read, + input wire [31:0] topcsr_readdata, + input wire topcsr_waitrequest, + output wire topcsr_write, + + // internal interface to 'top' csr block + output wire [7:0] reconf_address, + output wire reconf_read, + input wire [31:0] reconf_readdata, + input wire reconf_waitrequest, + output wire reconf_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for top.CSR and 1 for reconfig) + localparam dec_count = 2; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + localparam dec_topcsr = 0; // uses 2 128-word address blocks + localparam dec_reconf = 1; // uses 1 128-word address block + + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; + assign r_decode = + (mgmt_address[8:width_swa+1] == dec_topcsr) ? (({dec_count-dec_topcsr{1'b0}} | 1'b1) << dec_topcsr) + : (mgmt_address[8:width_swa] == 2'd2) ? (({dec_count-dec_reconf{1'b0}} | 1'b1) << dec_reconf) + : {dec_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + if (r_decode[dec_topcsr] == 1'b1) begin + mgmt_readdata = topcsr_readdata; + mgmt_waitrequest = topcsr_waitrequest; + end else if (r_decode[dec_reconf] == 1'b1) begin + mgmt_readdata = reconf_readdata; + mgmt_waitrequest = reconf_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to 'top' csr block + assign topcsr_address = mgmt_address[width_swa:0]; // top.csr uses 2 128-word blocks + assign topcsr_read = mgmt_read & r_decode[dec_topcsr]; + assign topcsr_write = mgmt_write & r_decode[dec_topcsr]; + + // internal interface to 'top' csr block + assign reconf_address = mgmt_address[width_swa-1:0]; // reconfig uses 1 128-word block + assign reconf_read = mgmt_read & r_decode[dec_reconf]; + assign reconf_write = mgmt_write & r_decode[dec_reconf]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec_phyreconfig.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec_phyreconfig.sv new file mode 100644 index 0000000000000000000000000000000000000000..3993651a7280e45e8ed8823d62ce37b8836861c2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec_phyreconfig.sv @@ -0,0 +1,110 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: Custom management interface address decoder for Altera +// transciever PHY. Addresses common case of 2 modules to be stitched together: +// - 'top' PHY channel blocks (includes reset control, CSR, ...) +// - dynamic reconfiguration block +// +// Authors: dunnikri 19-Aug-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +//`timescale 1 ns / 1 ns + +module alt_xcvr_mgmt2dec_phyreconfig ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [8:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to xaui phy block + output wire [7:0] sc_phy_address, + output wire sc_phy_read, + input wire [31:0] sc_phy_readdata, + input wire sc_phy_waitrequest, + output wire sc_phy_write, + + // internal interface to reconfig block + output wire [6:0] sc_reconf_address, + output wire sc_reconf_read, + input wire [31:0] sc_reconf_readdata, + input wire sc_reconf_waitrequest, + output wire sc_reconf_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for phy and 1 for reconfig) + localparam dec_count = 2; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + localparam dec_sc_phy = 0; // + localparam dec_reconf = 1; // + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; //1-hot encoding + + //-----------------------Memory Map Reference------------------------- + //-------------------------------------------------------------------- + //Block | Word Address | Byte Address | + //------------------------------------------------------------------- + //PHY Common | 0x0 | 0x0 | + //PMA Controller | 0x20 | 0x80 | + //Reset Controller | 0x40 | 0x100 | + //PMA Channel Controller | 0x60 | 0x180 | + //PCS | 0x80 | 0x200 | + //XCVR Reconfig | 0x100 | 0x400 | + //-------------------------------------------------------------------- + + //Decoding is based on memory map word address + assign r_decode = + (mgmt_address[8:width_swa+1] == dec_sc_phy) ? (({dec_count-dec_sc_phy{1'b0}} | 1'b1) << dec_sc_phy) + : (mgmt_address[8:width_swa] == 2'd2) ? (({dec_count-dec_reconf{1'b0}} | 1'b1) << dec_reconf) + : {dec_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + if (r_decode[dec_sc_phy] == 1'b1) begin + mgmt_readdata = sc_phy_readdata; + mgmt_waitrequest = sc_phy_waitrequest; + end else if (r_decode[dec_reconf] == 1'b1) begin + mgmt_readdata = sc_reconf_readdata; + mgmt_waitrequest = sc_reconf_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to 'top' phy block + assign sc_phy_address = mgmt_address[width_swa:0]; + assign sc_phy_read = mgmt_read & r_decode[dec_sc_phy]; + assign sc_phy_write = mgmt_write & r_decode[dec_sc_phy]; + + // internal interface to 'top' reconfig block + assign sc_reconf_address = mgmt_address[width_swa-1:0]; + assign sc_reconf_read = mgmt_read & r_decode[dec_reconf]; + assign sc_reconf_write = mgmt_write & r_decode[dec_reconf]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..5720dddc8ee64986827d0db95c96c90eec94cdf3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_mgmt2dec_xaui.sv @@ -0,0 +1,134 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: Custom management interface address decoder for Altera +// transciever PHY. Addresses common case of 3 modules to be stitched together: +// - CSR, Alt_PMA controller, Alt_PMA_Channel controller +// +// Authors: dunnikri 19-Aug-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module alt_xcvr_mgmt2dec_xaui ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [7:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to xaui pma channel controller + output wire [5:0] sc_pma_ch_controller_address, + output wire sc_pma_ch_controller_read, + input wire [31:0] sc_pma_ch_controller_readdata, + input wire sc_pma_ch_controller_waitrequest, + output wire sc_pma_ch_controller_write, + + // internal interface to pma controller block + output wire [1:0] sc_pma_controller_address, + output wire sc_pma_controller_read, + input wire [31:0] sc_pma_controller_readdata, + input wire sc_pma_controller_waitrequest, + output wire sc_pma_controller_write, + + // internal interface to hxaui csr block + output wire [4:0] sc_csr_address, + output wire sc_csr_read, + input wire [31:0] sc_csr_readdata, + input wire sc_csr_waitrequest, + output wire sc_csr_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for phy and 1 for reconfig) + localparam dec_count = 3; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + + localparam dec_pma_control = 0; + localparam dec_csr = 1; + localparam dec_pma_ch_control = 2; + + //-------------------------------------------------------------------- + //Block | Word Address | Byte Address | + //------------------------------------------------------------------- + //PHY Common | 0x0 | 0x0 | + //PMA Controller | 0x20 | 0x80 | + //Reset Controller | 0x40 | 0x100 | + //PMA Channel Controller | 0x60 | 0x180 | + //PCS | 0x80 | 0x200 | + //XCVR Reconfig | 0x100 | 0x400 | + //------------------------------------------------------------------- + + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; //1-hot encoding + + //PMA Controller - 0x20 (0010 0000) + //Reset Controller - 0x40 (0100 0000) + //Channel Controller - 0x60 (0110 0000) + //PCS - 0x80 (1000 0000) + //Consider first 3 MSBs for decoding + /*assign r_decode = + (mgmt_address[7:5] == 3'd1) ? (({dec_count-dec_pma_control{1'b0}} | 1'b1) << dec_pma_control) + : (mgmt_address[7:5] == 3'd4) ? (({dec_count-dec_csr{1'b0}} | 1'b1) << dec_csr) + : (mgmt_address[7:5] == 3'd3) ? (({dec_count-dec_pma_ch_control{1'b0}} | 1'b1) << dec_pma_ch_control) + : {dec_count{1'b0}};*/ + + assign r_decode[0] = (!mgmt_address[7]) & (!mgmt_address[6]) & (mgmt_address[5]); + assign r_decode[1] = (mgmt_address[7]) & (!mgmt_address[6]) & (!mgmt_address[5]); + assign r_decode[2] = (!mgmt_address[7]) & (mgmt_address[6]); + + + always @(*) begin + if (r_decode[dec_pma_ch_control] == 1'b1) begin + mgmt_readdata = sc_pma_ch_controller_readdata; + mgmt_waitrequest = sc_pma_ch_controller_waitrequest; + end else if (r_decode[dec_pma_control] == 1'b1) begin + mgmt_readdata = sc_pma_controller_readdata; + mgmt_waitrequest = sc_pma_controller_waitrequest; + end else if (r_decode[dec_csr] == 1'b1) begin + mgmt_readdata = sc_csr_readdata; + mgmt_waitrequest = sc_csr_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to alt_pma_ch_control block + assign sc_pma_ch_controller_address = mgmt_address[5:0]; //6 bit address + assign sc_pma_ch_controller_read = mgmt_read & r_decode[dec_pma_ch_control]; + assign sc_pma_ch_controller_write = mgmt_write & r_decode[dec_pma_ch_control]; + + // internal interface to pma_control block + assign sc_pma_controller_address = mgmt_address[1:0]; // 2 bit address + assign sc_pma_controller_read = mgmt_read & r_decode[dec_pma_control]; + assign sc_pma_controller_write = mgmt_write & r_decode[dec_pma_control]; + + // internal interface to csr block + assign sc_csr_address = mgmt_address[4:0]; // 5 bit address + assign sc_csr_read = mgmt_read & r_decode[dec_csr]; + assign sc_csr_write = mgmt_write & r_decode[dec_csr]; +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_analog.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_analog.sv new file mode 100644 index 0000000000000000000000000000000000000000..c11f7aa371601873a1d6fc77d0c67623f66bff56 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_analog.sv @@ -0,0 +1,146 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_analog #( + parameter device_family = "Stratix V" +) +( + +input wire reconfig_clk, // this will be the reconfig clk +input wire reset, + +//avalon MM slave +input wire [2:0] analog_reconfig_address, // MM address +input wire [31:0] analog_reconfig_writedata, +input wire analog_reconfig_write, +input wire analog_reconfig_read, + +//output MM slave +output wire [31:0] analog_reconfig_readdata, // from MM +output wire analog_reconfig_waitrequest, +//output wire analog_reconfig_error, +output wire analog_reconfig_done, + +// input from base_reconfig +input wire analog_reconfig_irq_from_base, +input wire analog_reconfig_waitrequest_from_base, + + +// output to base_reconfig +// Avalon MM Master +output wire [2:0] analog_reconfig_address_base, // 3 bit MM +output wire [31:0] analog_reconfig_writedata_base, +output wire analog_reconfig_write_base, // start write to GXB +output wire analog_reconfig_read_base, // start read from GXB + +// input from base reconfig +input wire [31:0] analog_reconfig_readdata_base, // data from read command + output wire arb_req, + input wire arb_grant +); + +//parameter device_family = "StratixIV"; // or ArriaII + + +generate + //Deepak - added namespace support for CIVGX and AII GX + if((device_family == "Stratix IV") || (device_family == "Arria II") || (device_family == "Cyclone IV GX") || (device_family == "Arria II GX") || (device_family == "Arria II GZ") || (device_family == "HardCopy IV")) + begin + wire [4:0] w_tgx_analog_reconfig_address_base; + alt_xcvr_reconfig_analog_tgx reconfig_analog_tgx( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base) + ); + assign analog_reconfig_address_base = w_tgx_analog_reconfig_address_base[4:2]; + assign arb_req = 1'b0 & arb_grant; // not currently used in S4 architecture + end + else if(device_family == "Stratix V") + begin + alt_xcvr_reconfig_analog_sv reconfig_analog_sv( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base), + .arb_req(arb_req), + .arb_grant(arb_grant) + + ); + end + else if(device_family == "Arria V") + begin + alt_xcvr_reconfig_analog_av reconfig_analog_av( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base), + .arb_req(arb_req), + .arb_grant(arb_grant) + + ); + end + else + begin + assign analog_reconfig_readdata = 32'd0; + assign analog_reconfig_waitrequest = 1'd0; + assign analog_reconfig_done = 1'd1; + assign analog_reconfig_address_base = 3'd0; + assign analog_reconfig_writedata_base = 32'd0; + assign analog_reconfig_write_base = 1'd0; + assign analog_reconfig_read_base = 1'd0; + assign arb_req = 1'd0; + end + +endgenerate + + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_analog_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_analog_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..b124cab8495ba45a26198a9b5ab25318441e6f01 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_analog_tgx.v @@ -0,0 +1,763 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_analog_tgx ( + +input wire reconfig_clk, // this will be the reconfig clk +input wire reset, + +//avalon MM slave +input wire [2:0] analog_reconfig_address, // MM address +input wire [31:0] analog_reconfig_writedata, +input wire analog_reconfig_write, +input wire analog_reconfig_read, + +//output MM slave +output reg [31:0] analog_reconfig_readdata, // from MM +output wire analog_reconfig_waitrequest, +//output wire analog_reconfig_error, +output reg analog_reconfig_done, + +// input from base_reconfig + input wire analog_reconfig_irq_from_base, + input wire analog_reconfig_waitrequest_from_base, + + +// output to base_reconfig +// Avalon MM Master +output wire [4:0] analog_reconfig_address_base, // 3 bit MM +output wire [31:0] analog_reconfig_writedata_base, +output wire analog_reconfig_write_base, // start write to GXB +output wire analog_reconfig_read_base, // start read from GXB + +// input from base reconfig +input wire [31:0] analog_reconfig_readdata_base // data from read command +); + +parameter device_family = "Stratix IV"; // or ArriaII + +// new memory map -- register indirection +//---------------------------------------------- +// address data[15:0] +// 0 wr/rd logical_channel_address +// 1 rd physical_chnl +// 2 wr/rd control (write, read) / status (error, datavalid, busy) +// 3 wr/rd addr_offset +// 4 wr/rd data +// +// addr_offset ( upto 16 bits) +// 0-15 TX parameters : vod, preemph_0t, preemph_1t, preemph_2t +// 16-31 RX parameters : dcgain, eqctrl + +//local parameters +localparam ADDR_WIDTH = 3; + +localparam IDLE = 4'b0000; +localparam READ_FROM_GXB = 4'b0001; +localparam WRITE_TO_GXB = 4'b0010; +localparam SET_INFO_ON_BASIC = 4'b0011; +localparam WRITE_DONE = 4'b0100; +localparam READ_FROM_BASIC = 4'b0101; +localparam READ_FROM_BASIC_DONE = 4'b0110; +localparam READ_IDLE = 4'b0111; +localparam GET_MUTEX = 4'b1000; +localparam WRITE_CHL_ADDR = 4'b1001; +localparam READ_OR_SET_INFO = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 12'b111111111111; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +//reg [3:0] prev_state; +genvar i; +integer j; +wire [(2**ADDR_WIDTH)-1:0] address_decode; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [4:0] master_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_writedata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] chnl_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] phys_chnl_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg logical_chnl_addr_updated; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] status_reg; +reg [1:0] control_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] data_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg needs_second_access; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [4:0] addr_offset; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg needs_second_write_access; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_read_before_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg have_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_read_phys_chnl; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_write_chnl_addr; + +wire mutex_grant; +wire mutex_acq_waitrequest; +wire [31:0] mutex_acq_readdata; +wire accessing_rxeqctrl; +wire accessing_rxdcgain; +wire accessing_vod; +wire accessing_preemph1t; +wire accessing_preemph0t; +wire accessing_preemph2t; + +// Implement waitrequest to match previous implementation +altera_wait_generate wait_gen( + .rst(reset), + .clk(reconfig_clk), + .launch_signal(analog_reconfig_read), + .wait_req(analog_reconfig_waitrequest) +); + +// synopsys translate_off +initial begin + state <= 3'b000; + master_write <= 1'b0; + master_read <= 1'b0; + master_writedata <= 16'b0; + master_addr <= 5'b0; + status_reg <= 2'b0; + control_reg <= 2'b0; + data_reg <= 16'b0; + needs_second_access <= 1'b0; + do_read_before_write <= 1'b0; + addr_offset <= 5'b0; + set_addr <= 1'b0; + needs_second_write_access <= 1'b0; + have_mutex <= 0; + req_and_use_mutex <= 0; + do_read_phys_chnl <= 0; + do_write_chnl_addr <= 0; + logical_chnl_addr_updated <= 0; +end +// synopsys translate_on + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + state <= IDLE; + analog_reconfig_readdata[31:0] <= 32'b0; + analog_reconfig_done <= 1'b0; + status_reg <= 2'b0; + control_reg <= 2'b0; + needs_second_access <= 1'b0; + needs_second_write_access <= 1'b0; + set_addr <= 1'b0; + do_read_before_write <= 1'b0; + data_reg <= 16'b0; + have_mutex <= 0; + req_and_use_mutex <= 0; + do_read_phys_chnl <= 0; + do_write_chnl_addr <= 0; + logical_chnl_addr_updated <= 0; + end + else begin + have_mutex <= mutex_grant; + if (analog_reconfig_read == 1'b1) // allow status read during GX read + begin + if (analog_reconfig_address == 3'b000) // logical channel address + analog_reconfig_readdata <= {{16{1'b0}}, chnl_addr_reg}; + else if (analog_reconfig_address == 3'b001) // physical channel address + analog_reconfig_readdata <= {{20{1'b0}}, phys_chnl_addr_reg}; + else if (analog_reconfig_address == 3'b010) // status + analog_reconfig_readdata <= {{22{1'b0}}, status_reg[1:0], {8{1'b0}}}; + else if (analog_reconfig_address == 3'b011) // TX/RX offset + analog_reconfig_readdata <= {{16{1'b0}}, addr_offset}; + else if (analog_reconfig_address == 3'b100) // previously read data + analog_reconfig_readdata <= {{16{1'b0}}, data_reg}; + else + analog_reconfig_readdata <= {32{1'b0}}; + end + case (state) + IDLE: begin + needs_second_access <= 1'b0; + if (analog_reconfig_address == 3'b0) + begin + if (analog_reconfig_write == 1'b1) + begin + chnl_addr_reg <= {{4{1'b0}},analog_reconfig_writedata[11:0]}; // write logical_ch_addr + logical_chnl_addr_updated <= 1'b1; + end + end + else if (analog_reconfig_write == 1'b1 && analog_reconfig_address == 3'b011) // addr_offset + begin + addr_offset <= analog_reconfig_writedata[4:0]; + end + else if (analog_reconfig_address == 3'b100) // DPRIO write data + begin + if (analog_reconfig_write == 1'b1) + data_reg[15:0] <= analog_reconfig_writedata[15:0]; + end + else if (analog_reconfig_read == 1'b1 && analog_reconfig_address == 3'b001) // physical chnl + begin + if (logical_chnl_addr_updated == 1'b1) // if logical chnl addr was updated from the last time we read the phys addr, go do the read again + begin + status_reg <= {1'b0, 1'b1}; //set busy bit + if (have_mutex) + begin + state <= WRITE_CHL_ADDR; + do_read_phys_chnl <= 1; + end + else begin + state <= READ_IDLE; + req_and_use_mutex <= 1'b1; + do_write_chnl_addr <= 1'b1; + do_read_phys_chnl <= 1; + end + logical_chnl_addr_updated <= 1'b0; + end + end + else if (analog_reconfig_address == 3'b010 && analog_reconfig_write == 1'b1) // control reg bit 1 rd, bit 0 wr + begin + status_reg <= {1'b0, 1'b1}; // set busy bit + control_reg <= analog_reconfig_writedata[1:0]; + if (analog_reconfig_writedata[0] == 1'b1) + do_read_before_write <= 1'b1; + if (have_mutex) + begin + state <= WRITE_CHL_ADDR; + end + else begin + state <= READ_IDLE; + req_and_use_mutex <= 1'b1; + do_write_chnl_addr <= 1'b1; + status_reg <= {1'b0, 1'b1}; // set busy bit + end + end + else if (analog_reconfig_address > 3'b100 && analog_reconfig_write == 1'b1) // + begin + // synopsys translate_off + $display ("Illegal operation to reserved address %h", analog_reconfig_address); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + end + else if (have_mutex == 1'b1) + begin + // holding the mutex in idle state, release it + req_and_use_mutex <= 1'b0; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + end + end + WRITE_CHL_ADDR: begin + master_addr <= 5'b00100; + master_write <= 1'b1; + master_writedata <= chnl_addr_reg; + state <= READ_OR_SET_INFO; + end + READ_OR_SET_INFO: begin + if (analog_reconfig_waitrequest_from_base == 1'b1) + state <= READ_OR_SET_INFO; + else + begin + master_write <= 1'b0; + if (do_read_phys_chnl) + begin + state <= READ_FROM_BASIC; + // do_read_phys_chnl = 0; + end + else begin + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + master_read <= 0; + end + end + end + GET_MUTEX: + begin + if (have_mutex == 1'b1) + begin + if (do_write_chnl_addr == 1'b1) + state <= WRITE_CHL_ADDR; + else + state <= READ_OR_SET_INFO; + end + else + begin + state <= GET_MUTEX; + end + end + READ_FROM_BASIC: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + master_write <= 1'b0; + master_read <= 1'b1; + if (analog_reconfig_irq_from_base == 1'b1) // start read access to data reg. of base_reconfig + begin + master_addr <= 5'b10100; + end + else begin + master_addr <= 5'b01000; + end + state <= READ_IDLE; + end + else + begin + state <= READ_FROM_BASIC; + end + end + READ_IDLE: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + if (have_mutex) + state <= READ_FROM_BASIC_DONE; + else + begin + state <= GET_MUTEX; + end + end + else + begin + state <= READ_IDLE; + end + end + READ_FROM_BASIC_DONE: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + master_read <= 1'b0; + if (do_read_phys_chnl) + begin + do_read_phys_chnl <= 0; + phys_chnl_addr_reg <= mutex_acq_readdata[11:0]; + if (mutex_acq_readdata[11:0] == ILLEGAL_PHYSICAL_CHNL) + begin + //set error bit + status_reg <= {1'b1, 1'b0}; + // error message for sim + //$display(); + //$display(); + end + else begin + // unset busy bit + status_reg <= 2'b0; + end + state <= IDLE; + end + else if (accessing_vod) + begin + + // This is for Stratix IV only + ////////////////////////////////////////////////// + //Port | DPRIO CRAM bit value + //2..0 | 2..0 + ////////////////////////////////////////////////// + //000 | 000 + //001 | 001 + //010 | 010 + //011 | 110 + //100 | 011 + //101 | 111 + //110 | 100 + //111 | 101 + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) // this was a write cycle to begin with + begin + master_writedata[31:16] <= {16{1'b0}}; + master_writedata[15:13] <= {(data_reg[2] & data_reg[1]) | (data_reg[2] & data_reg[0]) | (data_reg[1] & data_reg[0]), data_reg[2] ^ data_reg[1], (~data_reg[1] & data_reg[0]) | (data_reg[2] & data_reg[0]) | (data_reg[2] & ~data_reg[1])}; + master_writedata[12:0] <= mutex_acq_readdata[12:0]; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + data_reg <= {{13{1'b0}}, (mutex_acq_readdata[15] & ~mutex_acq_readdata[14]) | (mutex_acq_readdata[14] & mutex_acq_readdata[13]), (mutex_acq_readdata[15] & ~mutex_acq_readdata[14]) | (mutex_acq_readdata[14] & ~mutex_acq_readdata[13]), (~mutex_acq_readdata[14] & mutex_acq_readdata[13]) | (mutex_acq_readdata[15] & mutex_acq_readdata[14])}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_rxdcgain) + begin + // This is for Stratix IV only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //2..0 | 10..7 + ////////////////////////////////////////////////// + //000 | 0000 + //001 | 0001 + //010 | 0011 + //011 | 0111 + //100 | 1111 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + + // This is for Arria II only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //1..0 | 10..7 + ////////////////////////////////////////////////// + //00 | 0000 + //01 | 0001 + //10 | 0011 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + if ((device_family == "Stratix IV") || (device_family == "HardCopy IV")) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:11], data_reg[2], data_reg[1] & data_reg[0] | data_reg[2], data_reg[2] | data_reg[1], data_reg[2] | data_reg[1] | data_reg[0], mutex_acq_readdata[6:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:11], 1'b0, 1'b0, data_reg[1], data_reg[1] | data_reg[0], mutex_acq_readdata[6:0]}; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if ((device_family == "Stratix IV") || (device_family == "HardCopy IV")) + data_reg <= {{13{1'b0}}, mutex_acq_readdata[10], ~mutex_acq_readdata[10] & mutex_acq_readdata[8], mutex_acq_readdata[10] ^ mutex_acq_readdata[9] ^ mutex_acq_readdata[8] ^ mutex_acq_readdata[7]}; + else + data_reg <= {{14{1'b0}}, mutex_acq_readdata[8], mutex_acq_readdata[7] ^ mutex_acq_readdata[8]}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_rxeqctrl) // encode/decode rx_eqctrl + begin + //////////////////////////////////////// + // Wiz A B C D V + // 3210 EDC BA9 876 543 210 + // 0000 000 000 000 000 000 + // L0 0001 011 000 000 000 111 + // L1 0010 100 000 000 000 000 + // L2 0011 111 000 000 000 000 + // L3 0100 111 000 000 000 111 + // L4 0101 101 101 000 000 000 + // M0 0110 111 111 000 000 000 + // M1 0111 111 111 000 000 100 + // M2 1000 111 111 000 000 111 + // M3 1001 111 111 111 000 001 + // M4 1010 111 111 111 000 100 + // H0 1011 111 111 111 111 000 + // H1 1100 111 111 111 111 001 + // H2 1101 111 111 111 111 100 + // H3 1110 111 111 111 111 110 + // H4 1111 111 111 111 111 111 + //////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + master_writedata[31:16] <= 0; + master_writedata[15] <= mutex_acq_readdata[15]; + if (data_reg[3:0] > 4'b1010) + master_writedata[14:3] <= {12{1'b1}}; + else if (data_reg[3:0] > 4'b0110) + master_writedata[14:3] <= {{3{1'b0}}, {9{1'b1}}}; + else if (data_reg[3:0] > 4'b0011) + master_writedata[14:3] <= {{6{1'b0}}, {6{1'b1}}}; + else if (data_reg[3:0] > 4'b0) + master_writedata[14:3] <= {{9{1'b0}}, {3{1'b1}}}; + else + master_writedata[14:3] <= {12{1'b0}}; + master_writedata[2] <= (data_reg[1] & ~data_reg[0]) | (~data_reg[3] & ~data_reg[2] & data_reg[1]) | (data_reg[2] & ~data_reg[1] & data_reg[0] | (data_reg[3] & data_reg[2] & data_reg[0]) | (data_reg[3] & ~data_reg[2] & ~data_reg[1])); + master_writedata[1] <= ( data_reg[1] & (data_reg[0] ^ (data_reg[2] ^ data_reg[3]))) | (data_reg[3] & data_reg[2] & ~data_reg[1] & ~data_reg[0]); + master_writedata[0] <= (~(data_reg[3] ^ data_reg[2]) & data_reg[1] & data_reg[0]) | (data_reg[2] & data_reg[1] & ~data_reg[0]) | (data_reg[3] & data_reg[1] & ~data_reg[0]) | (data_reg[3] & data_reg[2] & ~data_reg[0]) | (data_reg[3] & ~data_reg[2] & ~data_reg[1] & data_reg[0]); + + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (mutex_acq_readdata[14:12] == 3'b111) // > 10 + data_reg <= {{12{1'b0}}, 1'b1, (mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1]), (mutex_acq_readdata[2] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[0] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[2]), (~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0])}; + else if (mutex_acq_readdata[11:9] == 3'b111) // > 6 only + data_reg <= {{12{1'b0}}, mutex_acq_readdata[2], ~mutex_acq_readdata[2], (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]), (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & mutex_acq_readdata[0])}; + else if (mutex_acq_readdata[8:6] == 3'b111) // > 3 only + data_reg <= {{12{1'b0}}, 1'b0, 1'b1, mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0], mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]}; + else if (mutex_acq_readdata[5:3] == 3'b111) // > 0 only + data_reg <= {{12{1'b0}}, {2{1'b0}}, (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]), (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) }; + else + data_reg <= {16{1'b0}}; + + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_preemph1t) + begin + // This is for Arria II only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //4..0 | 15..11 + ////////////////////////////////////////////////// + //00000 | 00000 + //00001 | 00001 + //00010 | 00101 + //00011 | 01001 + //00100 | 01101 + //00101 | 10001 + //00110 | 10101 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + if (device_family == "Stratix IV") + master_writedata <= {{16{1'b0}}, data_reg[4:0], mutex_acq_readdata[10:0]}; + else + master_writedata <= {{16{1'b0}}, data_reg[2] & data_reg[1] ^ data_reg[0], data_reg[2] & ~data_reg[1] & ~data_reg[0] | (data_reg[1] & data_reg[0]), (data_reg[2] | data_reg[1]) & ~data_reg[0], 1'b0, (data_reg[2] | data_reg[1] | data_reg[0]), mutex_acq_readdata[10:0]}; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (device_family == "Stratix IV") + data_reg <= {{11{1'b0}}, mutex_acq_readdata[15:11]}; + else + data_reg <= {{11{1'b0}}, 1'b0, 1'b0, mutex_acq_readdata[15] | (mutex_acq_readdata[14] & mutex_acq_readdata[13]), mutex_acq_readdata[14] ^ mutex_acq_readdata[13], mutex_acq_readdata[13] ^ mutex_acq_readdata[11]}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_preemph0t || accessing_preemph2t) + begin + if (control_reg[0] == 1'b1) + begin + if (accessing_preemph0t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:5], ~data_reg[4], mutex_acq_readdata[3:0]}; + end + else begin + if (data_reg[4] == 1'b0) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], 4'b0000 - data_reg[3:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], data_reg[3:0]}; + end + end + else if (accessing_preemph2t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], ~data_reg[4], mutex_acq_readdata[2:0]}; + end + else begin + if (data_reg[4] == 1'b0) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:8], 4'b0000 - data_reg[3:0], mutex_acq_readdata[3:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:8], data_reg[3:0], mutex_acq_readdata[3:0]}; + end + end + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (needs_second_access) + begin + data_reg <= mutex_acq_readdata[15:0]; + master_write <= 1'b1; // start access for INV bit + master_addr <= 5'b10000; + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; // DPRIO register address + state <= SET_INFO_ON_BASIC; + needs_second_access <= 1'b0; + end + else begin + if (accessing_preemph0t) + begin + if (mutex_acq_readdata[4] == 1'b1) + data_reg <= {{11{1'b0}}, 1'b0, 4'b0000 - data_reg[3:0]}; + else + data_reg <= {{11{1'b0}}, 1'b1, data_reg[3:0]}; + end + else begin + if (mutex_acq_readdata[3] == 1'b1) + data_reg <= {{11{1'b0}}, 1'b0, 4'b0000 - data_reg[7:4]}; + else + data_reg <= {{11{1'b0}}, 1'b1, data_reg[7:4]}; + end + // unset busy + status_reg <= 2'b0; + state <= IDLE; + end + end + end + else begin // dont know what the operation is + analog_reconfig_readdata <= mutex_acq_readdata; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else + begin + state <= READ_FROM_BASIC_DONE; + end + end + SET_INFO_ON_BASIC: begin + if (set_addr) + begin + master_addr <= 5'b10000; + master_write <= 1'b1; + if (accessing_vod) + begin + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {10{1'b0}}}; + end + else if (accessing_preemph1t) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b01}; + else if (accessing_rxdcgain) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b11, 10'b0}; + else if (accessing_rxeqctrl) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b11, 10'b0000001011}; + else if (accessing_preemph0t || accessing_preemph2t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; + end + else + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b10}; + if (control_reg[1] == 1'b1) // pure read cycle + needs_second_access <= 1'b1; + end + set_addr <= 1'b0; + end + else if (control_reg[1] == 1'b1 || (control_reg[0] == 1'b1 & do_read_before_write)) // read + begin + master_addr <= 5'b01100; + master_write <= 1'b1; + master_writedata <= {{16{1'b0}}, {14{1'b0}}, 2'b10}; // initiate read first + state <= READ_FROM_GXB; + do_read_before_write <= 1'b0; + end + else if (control_reg[0] == 1'b1) // actual write + begin + master_write <= 1'b1; + master_addr <= 5'b01100; + master_writedata <= {{16{1'b0}}, {14{1'b0}}, 2'b01}; // issue write to basic's control_reg to start WRITE + state <= WRITE_TO_GXB; + end + end + READ_FROM_GXB: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + state <= READ_FROM_BASIC; + end + WRITE_TO_GXB: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + begin + //start access to clear interrupt control reg. on slave + master_addr <= 5'b01100; + master_write <= 1'b1; + master_writedata <= {{16{1'b0}}, {13{1'b0}}, 3'b000}; + state <= WRITE_DONE; + end + end + WRITE_DONE: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + begin + if ((accessing_preemph0t || accessing_preemph2t) & (!needs_second_write_access)) + begin + needs_second_write_access <= 1'b1; + do_read_before_write <= 1'b1; + master_write <= 1'b1; + master_addr <= 5'b10000; + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; // DPRIO register address for INV + state <= SET_INFO_ON_BASIC; + end + else begin + needs_second_write_access <= 1'b0; + status_reg <= {1'b0, 1'b0}; // unset busy + analog_reconfig_done <= 1'b1; // send INTERRUPT + state <= IDLE; + end + end + end + default:begin + state <= IDLE; + end + endcase + end +// prev_state <= state; +end + +assign accessing_vod = (addr_offset[4:0] == 5'b0); +assign accessing_preemph0t = (addr_offset[4:0] == 5'b00001); +assign accessing_preemph1t = (addr_offset[4:0] == 5'b00010); +assign accessing_preemph2t = (addr_offset[4:0] == 5'b00011); +assign accessing_rxdcgain = (addr_offset[4] == 1'b1 && addr_offset[3:0] == 4'b0000); +assign accessing_rxeqctrl = (addr_offset[4] == 1'b1 && addr_offset[3:0] == 4'b0001); + +//assign analog_reconfig_address_base = master_addr; +//assign analog_reconfig_write_base = master_write; +//assign analog_reconfig_read_base = master_read; +//assign analog_reconfig_writedata_base = master_writedata; + + + alt_mutex_acq #( + .mutex_wait_time(101), + .addr_width(5), + .data_width(32) + ) + mutex_inst ( + .clk(reconfig_clk), + .reset(reset), + // inputs to the base that should be routed through the mutex + .address(master_addr), + .writedata(master_writedata), + .write(master_write), + .read(master_read), + // output from the mutex which is processed form of output from base + .waitrequest(mutex_acq_waitrequest), + .readdata(mutex_acq_readdata), + + + // outputs from mutex to be routed to the base + .master_address(analog_reconfig_address_base), + .master_writedata(analog_reconfig_writedata_base), + .master_write(analog_reconfig_write_base), + .master_read(analog_reconfig_read_base), + + // these ports are from the base routed to the mutex + .master_waitrequest(analog_reconfig_waitrequest_from_base), + .master_readdata(analog_reconfig_readdata_base), // from MM + + //request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), + // output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) + ); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_basic_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_basic_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..2c4f79b384db04a1ab6911dc33951886c61d0f08 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_basic_tgx.v @@ -0,0 +1,367 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +(* ALTERA_ATTRIBUTE = {"{-to address_pres_reg[11]} DPRIO_CHANNEL_NUM=11;{-to address_pres_reg[10]} DPRIO_CHANNEL_NUM=10;{-to address_pres_reg[9]} DPRIO_CHANNEL_NUM=9;{-to address_pres_reg[8]} DPRIO_CHANNEL_NUM=8;{-to address_pres_reg[7]} DPRIO_CHANNEL_NUM=7;{-to address_pres_reg[6]} DPRIO_CHANNEL_NUM=6;{-to address_pres_reg[5]} DPRIO_CHANNEL_NUM=5;{-to address_pres_reg[4]} DPRIO_CHANNEL_NUM=4;{-to address_pres_reg[3]} DPRIO_CHANNEL_NUM=3;{-to address_pres_reg[2]} DPRIO_CHANNEL_NUM=2;{-to address_pres_reg[1]} DPRIO_CHANNEL_NUM=1;{-to address_pres_reg[0]} DPRIO_CHANNEL_NUM=0; disable_da_rule=\"S102,R102\""} *) +module alt_xcvr_reconfig_basic_tgx +#( parameter number_of_reconfig_interfaces = 1 + ) +( + // avalon clock interface + input wire reconfig_clk, + input wire reset, + + // avalon MM + input wire basic_reconfig_write, + input wire basic_reconfig_read, + input wire [31:0] basic_reconfig_writedata, + input wire [2:0] basic_reconfig_address, // address to MM described below + + output reg [31:0] basic_reconfig_readdata, // reconfig_fromgxb_data + output wire basic_reconfig_waitrequest, + + // avalon MM +// output wire reconfig_write_base, +// output wire reconfig_read_base, +// output wire [31:0] reconfig_writedata_base, +// output wire [4:0] reconfig_address_base, // address to MM described below + +// input wire [31:0] reconfig_readdata_base, // reconfig_fromgxb_data +// input wire reconfig_waitrequest_from_base, + + + // avalon ST interface + input wire [number_of_reconfig_interfaces*17 - 1 : 0] basic_reconfig_fromgxb_data, // dprioout, testbus from altgx : (17+4 bits/quad) + input wire [number_of_reconfig_interfaces*8 - 1 : 0] aeq_fromgxb_data, // for ADCE dprio + output wire [3:0] basic_reconfig_togxb_data, // busy, dprioin, dprioload, dpriodisable and aeq to altgx + output reg [number_of_reconfig_interfaces*24 - 1 : 0] aeq_togxb_data, // for ADCE + output reg [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data, + + output reg basic_reconfig_irq // interrupt to Master +); + +//assign reconfig_write_base = 1'b0; +//assign reconfig_read_base = 1'b0; +//assign reconfig_writedata_base = 32'd0; +//assign reconfig_address_base = 5'd0; + + +// Memeory Map for register indirection +// addr wr/rd description +// ------------------------------------------------------ +// 0 wr/rd mutex : bit[0] +// 1 wr/rd logical_ch_addr (10 bits) +// 2 rd physical_chnl_map +// 3 rd/wr status/control -- bit 0 busy/bit 1 read, bit 0 write, bit 3 = absolute addressing +// 4 wr/rd DPRIO addr_offset +// 5 wr/rd DPRIO data +// 6 rd/wr adce_standby, adce_capture, testbussel : bit 7 -- adcedone, bit 6 -- adcetestbus, bits 5,4 -- adce, 3 to 0 -- bussel +// 7 rd/wr offset cancellation busy output to GX bit 0 + +//local parameters +localparam quad_address_width = 9; +localparam IDLE_STATE = 2'b00; +localparam WRITE_STATE = 2'b01; +localparam READ_STATE = 2'b10; + + +(* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON"} *) +reg [11:0] address_pres_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] logical_channel_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [14:0] dprio_table_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] pma_pcs_id_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg dprio_busy_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] data_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [5:0] testbussel; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] adce_testdata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] control_reg; +// mutex +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_value; +//reg [15:0] mutex_owner; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [10:0] mutex_timeout_cntr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg offset_cancellation_busy; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg basic_reconfig_absolute_addressing; + + +wire [quad_address_width-1:0] quad_address; +wire [quad_address_width-1:0] dprio_quad_address; + +wire busy_state; +wire [15:0] dprio_address; +wire dprio_busy; +wire [15:0] dprio_datain; +wire [15:0] dprio_dataout; +wire dprio_dprioout; +wire dprio_rden; +wire dprio_pulse; +wire rd_pulse; +wire read_done; +wire read_word_done; +wire reset_system; +wire dprio_wren; +wire write_done; +wire wr_pulse; +wire read_data_valid; +wire write_word_done; + +integer i,j; + + +assign basic_reconfig_waitrequest = 1'b0; + +// synopsys translate_off +initial +begin + state = 2'b00; + basic_reconfig_irq = 1'b0; + logical_channel_addr_reg = 12'b0; + testbussel = 0; + control_reg = 0; + mutex_value = 0; + mutex_timeout_cntr = 0; + offset_cancellation_busy = 0; + data_reg = 16'h0000; + dprio_table_addr_reg = 15'h0000; + pma_pcs_id_reg = 2'b00; + +end +// synopsys translate_on + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset === 1'b1) + adce_testdata <= 0; + else begin + for (i=0; i<=1; i=i+1) + begin + adce_testdata[i] <= aeq_fromgxb_data[(logical_channel_addr_reg) + i]; + end + end +end + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset === 1'b1) + begin + state <= IDLE_STATE; + basic_reconfig_readdata <= 16'b0; + basic_reconfig_irq <= 1'b0; + testbussel <= 0; + control_reg <= 0; + // mutex registers + mutex_value <= 0; + mutex_timeout_cntr <= 0; + end + else begin + // mutex + if (basic_reconfig_address == 3'b0) + begin + //read first only update the mutex_value + if (basic_reconfig_read == 1'b1) + begin + basic_reconfig_readdata <= {{31{1'b0}}, mutex_value}; + // if (basic_reconfig_waitrequest == 1'b0) + if (mutex_value == 1'b0) // mutex is free, so grant access + mutex_value <= 1'b1; + end + else if (basic_reconfig_write == 1'b1) + begin + mutex_value <= 0; + end + end + + case (state) + IDLE_STATE: begin + if (basic_reconfig_write == 1'b1) + begin + mutex_timeout_cntr <= 0; // reset the mutex_timeout counter + if (basic_reconfig_address == 3'b001) + begin + logical_channel_addr_reg <= basic_reconfig_writedata[11:0]; + address_pres_reg <= {basic_reconfig_writedata[10:2], 1'b0, basic_reconfig_writedata[1:0]}; //chestan + end + else if (basic_reconfig_address == 3'b100) + begin + dprio_table_addr_reg <= basic_reconfig_writedata[14:0]; + pma_pcs_id_reg <= basic_reconfig_writedata[11:10]; + end + else if (basic_reconfig_address == 3'b011) // control reg + begin + if (basic_reconfig_writedata[0] == 1'b1) // write + begin + state <= WRITE_STATE; + control_reg <= 2'b01; + end + else if (basic_reconfig_writedata[1] == 1'b1) // read + begin + state <= READ_STATE; + control_reg <= 2'b10; + end + if (basic_reconfig_writedata[2] == 1'b0) begin + basic_reconfig_irq <= 1'b0; // clear WRITE interrupt + end + basic_reconfig_absolute_addressing <= basic_reconfig_writedata[3]; // use the full address from dprio_addr, not the derived address + end + else if (basic_reconfig_address == 3'b101) // data + begin + data_reg <= basic_reconfig_writedata[15:0]; + end + else if (basic_reconfig_address == 3'b110) // testbussel + testbussel <= basic_reconfig_writedata[5:0]; + else if (basic_reconfig_address == 3'b111) + offset_cancellation_busy <= basic_reconfig_writedata[0]; + begin + end + end + else if (basic_reconfig_read == 1'b1) + begin + if (basic_reconfig_address == 3'b001) + basic_reconfig_readdata <= {{20{1'b0}}, logical_channel_addr_reg}; + else if (basic_reconfig_address == 3'b010) // physical chnl + basic_reconfig_readdata <= {{21{1'b0}}, address_pres_reg[11:3], address_pres_reg[1:0]}; // check this + else if (basic_reconfig_address == 3'b011) // status + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + else if (basic_reconfig_address == 3'b100) + basic_reconfig_readdata <= {{20{1'b0}}, pma_pcs_id_reg, dprio_table_addr_reg}; + else if (basic_reconfig_address == 3'b101) // DPRIO readdata + begin + basic_reconfig_readdata <= {{16{1'b0}}, data_reg}; + basic_reconfig_irq <= 1'b0; // clear READ interrupt + end + else if (basic_reconfig_address == 3'b110) + basic_reconfig_readdata <= {{24{1'b0}}, adce_testdata, testbussel}; + else if (basic_reconfig_address == 3'b111) // testbusdata + basic_reconfig_readdata <= {{31{1'b0}}, offset_cancellation_busy}; + if (basic_reconfig_address != 3'b0) // if not reading the mutex val + mutex_timeout_cntr <= 0; // reset the mutex counter + end + else if (mutex_value == 1'b1) // if mutex was granted to someone + if (mutex_timeout_cntr == 10'd1000) // and they didnt do anything for 1000 cycles + begin + mutex_value <= 1'b0; // reset the mutex and give it to the next person + mutex_timeout_cntr <= 0; + end + else + mutex_timeout_cntr <= mutex_timeout_cntr[9:0] + 10'b1; // count up the timer from the last access + end + WRITE_STATE: begin + if (write_done) + begin + state <= IDLE_STATE; + basic_reconfig_irq <= 1'b1; // send interrupt to master + end + // allow status_register read here + if (basic_reconfig_read == 1'b1 && basic_reconfig_address == 3'b011) + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + end + READ_STATE: begin + if (read_word_done == 1'b1) + begin + data_reg <= dprio_dataout; + basic_reconfig_irq <= 1'b1; // send interrupt + state <= IDLE_STATE; + end + // allow status_register read here + if (basic_reconfig_read == 1'b1 && basic_reconfig_address == 3'b011) + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + end + default: begin + state <= IDLE_STATE; + end + endcase + end +end + +// synopsys translate_off +initial address_pres_reg = 0; +// synopsys translate_on + +assign quad_address = logical_channel_addr_reg[10:2]; +assign dprio_address[15:0] = basic_reconfig_absolute_addressing ? {1'b0, dprio_table_addr_reg[14:0]} : {1'b0, address_pres_reg[2], address_pres_reg[1:0], pma_pcs_id_reg[1:0], dprio_table_addr_reg[9:0]}; +assign dprio_quad_address = address_pres_reg[11:3]; +assign dprio_dprioout = basic_reconfig_fromgxb_data[quad_address * 17]; +assign dprio_datain = data_reg; +assign dprio_rden = rd_pulse; +assign rd_pulse = (state == READ_STATE) & ~dprio_pulse & ~read_done; +assign read_done = read_word_done | reset_system; +assign read_word_done = (state == READ_STATE) & read_data_valid; +assign read_data_valid = (state == READ_STATE) & dprio_pulse; +assign dprio_wren = wr_pulse; +assign wr_pulse = (state == WRITE_STATE) & ~dprio_pulse & ~write_done; +assign write_done = write_word_done | reset_system; +assign write_word_done = (state == WRITE_STATE) & dprio_pulse; +assign reset_system = reset; +assign busy_state = (state == READ_STATE) | (state == WRITE_STATE); + +// synopsys translate_off +initial dprio_busy_reg = 0; +// synopsys translate_on + +always @(posedge reconfig_clk) dprio_busy_reg <= dprio_busy; +assign dprio_pulse = (dprio_busy_reg ^ dprio_busy) & (~dprio_busy); + +alt_dprio inst_alt_dprio (.dpclk(reconfig_clk), + .datain(dprio_datain), + .address(dprio_address), + .wren(dprio_wren), + .rden(dprio_rden), +// .rdinc(), + .wren_data(1'b0), + .dprioout(dprio_dprioout), // reconfig_fromgxb_data[0] + .quad_address(dprio_quad_address), + // outputs + .dprioin(basic_reconfig_togxb_data[0]), + .dpriodisable(basic_reconfig_togxb_data[1]), + .dprioload(basic_reconfig_togxb_data[2]), + .dataout(dprio_dataout), + .busy(dprio_busy) + ); + +//assign testbus_data = (testbussel == 3'b110) ? basic_reconfig_fromgxb_data : 'b0; + +assign basic_reconfig_togxb_data[3] = offset_cancellation_busy; + +always @(basic_reconfig_fromgxb_data) +begin + for (i=0; i<number_of_reconfig_interfaces; i=i+1) + begin + for (j=0; j<= 15; j=j+1) + begin + testbus_data[(i*16) + j] = basic_reconfig_fromgxb_data[(i*17) + j+1]; + end + end +end + +always @(logical_channel_addr_reg or testbussel) +begin + aeq_togxb_data = {number_of_reconfig_interfaces*24{1'b0}}; + for (i=0; i<=5; i=i+1) + begin + aeq_togxb_data[(logical_channel_addr_reg*6) + i] = testbussel[i]; + end +end +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_dfe_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_dfe_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..107fa27aa9d4e7684eaf3ff65891450a40db21ac --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_dfe_tgx.sv @@ -0,0 +1,502 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_dfe_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] dfe_address, // Check this! fix me! + input wire [31:0] dfe_writedata, + input wire dfe_write, + input wire dfe_read, + + //output MM slave + output wire [31:0] dfe_readdata, // from MM + output wire dfe_waitrequest, // from MM + + output reg dfe_irq, + + // input from base_reconfig + input wire dfe_irq_from_base, + input wire dfe_waitrequest_from_base, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] dfe_address_base, // 3 bit MM + output wire [31:0] dfe_writedata_base, + output wire dfe_write_base, // start write to GXB + output wire dfe_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] dfe_readdata_base // data from read command + +); + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; +wire [4:0] dfe_addr_all; // 5 bit MM + +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_dfe instantiation +wire alt_dfe_busy; +wire [15:0] alt_dfe_dprio_dataout; +wire alt_dfe_dprio_wren; +wire alt_dfe_dprio_rden; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_dfe_error; + +wire [15:0] alt_dfe_dprio_addr; +wire [8:0] alt_dfe_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_dfe_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_dfe_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_dfe_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_dfe_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// synopsys translate_off +initial begin + state = 3'b000; + alt_dfe_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + alt_dfe_dprio_datain = 16'h0000; + alt_dfe_dprio_busy = 1'b0; + alt_dfe_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + dfe_irq = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_dfe_dprio_busy_int<= 1'b0; + dfe_irq <= 1'b0; + end + else begin + if(alt_dfe_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + dfe_irq <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_dfe_remap_addr <= 12'h000; + + //alt_dfe will not assert wren and rden at the same time + if((alt_dfe_dprio_wren == 1'b1) || (alt_dfe_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_dfe_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_dfe_quad_addr, alt_dfe_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_dfe_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_dfe_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{20{1'b0}}, alt_dfe_dprio_addr[11:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_dfe_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio + master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_dfe_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(dfe_irq_from_base == 1'b1) + begin + alt_dfe_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_dfe_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(dfe_irq_from_base == 1'b1) + begin + if(alt_dfe_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_dfe_dprio_busy_int <= master_read_data[8]; + alt_dfe_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_dfe_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_dfe_dprio_busy <= 1'b0; + dfe_irq <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(dfe_irq_from_base == 1'b1) + begin + if(alt_dfe_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_dfe_dprio_busy_int <= master_read_data[8]; + alt_dfe_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_dfe_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + dfe_irq <= 1'b1; // read done + alt_dfe_dprio_datain <= master_read_data[15:0]; + alt_dfe_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + +alt_dfe #( + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)), + .avmm_slave_addr_width (3), + .avmm_slave_rdata_width ( 32), + .avmm_slave_wdata_width (32) +)alt_dfe_inst( + .i_resetn (~reset), + .i_avmm_clk (reconfig_clk), + .i_avmm_saddress (dfe_address), + .i_avmm_sread (dfe_read), + .i_avmm_swrite (dfe_write), + .i_avmm_swritedata (dfe_writedata), +//. i_avmm_sbegintransfer, + .o_avmm_sreaddata (dfe_readdata), + .o_avmm_swaitrequest (dfe_waitrequest), + + .i_remap_address (alt_dfe_remap_addr), + .o_quad_address (alt_dfe_quad_addr), + .o_reconfig_busy (alt_dfe_busy), + + .i_dprio_busy (alt_dfe_dprio_busy), + .i_dprio_in (alt_dfe_dprio_datain), + .o_dprio_wren (alt_dfe_dprio_wren), + .o_dprio_rden (alt_dfe_dprio_rden), + .o_dprio_addr (alt_dfe_dprio_addr), + .o_dprio_data (alt_dfe_dprio_dataout) +); +alt_mutex_acq #( + .mutex_wait_time(103), //analog uses 101, offset cancellation uses 102 + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address(dfe_addr_all), + .master_writedata(dfe_writedata_base), + .master_write(dfe_write_base), + .master_read(dfe_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(dfe_waitrequest_from_base), + .master_readdata(dfe_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); +assign dfe_address_base = dfe_addr_all[ 4:2]; +//wire assignment +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_eyemon_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_eyemon_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..cb0a8d147058a8522ece5c1f9b7c0eb17b8ec6bc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_eyemon_tgx.sv @@ -0,0 +1,504 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_eyemon_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] eyemon_address, // Check this! fix me! + input wire [31:0] eyemon_writedata, + input wire eyemon_write, + input wire eyemon_read, + + //output MM slave + output wire [31:0] eyemon_readdata, // from MM + output wire eyemon_waitrequest, // from MM + + output reg eyemon_irq, + + // input from base_reconfig + input wire eyemon_irq_from_base, + input wire eyemon_waitrequest_from_base, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] eyemon_address_base, // 3 bit MM + output wire [31:0] eyemon_writedata_base, + output wire eyemon_write_base, // start write to GXB + output wire eyemon_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] eyemon_readdata_base // data from read command + +); + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; + +wire [4:0] eyemon_address_all; // 5 bit MM +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_eyemon instantiation +wire alt_eyemon_busy; +wire [15:0] alt_eyemon_dprio_dataout; +wire alt_eyemon_dprio_wren; +wire alt_eyemon_dprio_rden; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_eyemon_error; + +wire [15:0] alt_eyemon_dprio_addr; +wire [8:0] alt_eyemon_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_eyemon_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_eyemon_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_eyemon_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_eyemon_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// synopsys translate_off +initial begin + state = 3'b000; + alt_eyemon_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + alt_eyemon_dprio_datain = 16'h0000; + alt_eyemon_dprio_busy = 1'b0; + alt_eyemon_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + eyemon_irq = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_eyemon_dprio_busy_int<= 1'b0; + eyemon_irq <= 1'b0; + end + else begin + if(alt_eyemon_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + eyemon_irq <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_eyemon_remap_addr <= 12'h000; + + //alt_eyemon will not assert wren and rden at the same time + if((alt_eyemon_dprio_wren == 1'b1) || (alt_eyemon_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_eyemon_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_eyemon_quad_addr, alt_eyemon_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_eyemon_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_eyemon_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{20{1'b0}}, alt_eyemon_dprio_addr[11:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_eyemon_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio + master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_eyemon_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(eyemon_irq_from_base == 1'b1) + begin + alt_eyemon_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_eyemon_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(eyemon_irq_from_base == 1'b1) + begin + if(alt_eyemon_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_eyemon_dprio_busy_int <= master_read_data[8]; + alt_eyemon_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_eyemon_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_eyemon_dprio_busy <= 1'b0; + eyemon_irq <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(eyemon_irq_from_base == 1'b1) + begin + if(alt_eyemon_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_eyemon_dprio_busy_int <= master_read_data[8]; + alt_eyemon_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_eyemon_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + eyemon_irq <= 1'b1; // read done + alt_eyemon_dprio_datain <= master_read_data[15:0]; + alt_eyemon_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + +alt_eyemon #( + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)), + .avmm_slave_addr_width (3), + .avmm_slave_rdata_width ( 32), + .avmm_slave_wdata_width (32) +)alt_eyemon_inst( + .i_resetn (~reset), + .i_avmm_clk (reconfig_clk), + .i_avmm_saddress (eyemon_address), + .i_avmm_sread (eyemon_read), + .i_avmm_swrite (eyemon_write), + .i_avmm_swritedata (eyemon_writedata), +//. i_avmm_sbegintransfer, + .o_avmm_sreaddata (eyemon_readdata), + .o_avmm_swaitrequest (eyemon_waitrequest), + + .i_remap_phase (1'b1), // need to figure out best way to control this - for now, always remap - fix me! + .i_remap_address (alt_eyemon_remap_addr), + .o_quad_address (alt_eyemon_quad_addr), + .o_reconfig_busy (alt_eyemon_busy), + + .i_dprio_busy (alt_eyemon_dprio_busy), + .i_dprio_in (alt_eyemon_dprio_datain), + .o_dprio_wren (alt_eyemon_dprio_wren), + .o_dprio_rden (alt_eyemon_dprio_rden), + .o_dprio_addr (alt_eyemon_dprio_addr), + .o_dprio_data (alt_eyemon_dprio_dataout) +); +alt_mutex_acq #( + .mutex_wait_time(103), //analog uses 101, offset cancellation uses 102 + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address({eyemon_address_all}), + .master_writedata(eyemon_writedata_base), + .master_write(eyemon_write_base), + .master_read(eyemon_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(eyemon_waitrequest_from_base), + .master_readdata(eyemon_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); + +//wire assignment +assign eyemon_address_base = eyemon_address_all[4:2]; +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..102856f4162133620df696f7e6ccb75bc2dca7f4 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_h.sv @@ -0,0 +1,266 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Top-level definitions for transceiver reconfig IP +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_xcvr_reconfig_h; + + //////////////////////////////////////////////////////// + // Top-level register map for transceiver reconfig IP + //////////////////////////////////////////////////////// + localparam W_XR_ADDR = 7; // address width on mgmt interface + localparam W_XR_FEATURE_LADDR = 3; // address width of standard feature block, and basic logical interface + typedef bit [W_XR_ADDR -1:0] t_xreconf_addr; + typedef bit [W_XR_FEATURE_LADDR-1:0] t_xr_feature_addr; + + // Feature block indices (used for address decoding for each block) + localparam INDEX_XR_OFFSET = 0; + localparam INDEX_XR_ANALOG = 1; + localparam INDEX_XR_EYEMON = 2; + localparam INDEX_XR_DFE = 3; + localparam INDEX_XR_DIRECT = 4; + localparam INDEX_XR_ADCE = 5; + localparam INDEX_XR_LC = 6; + localparam INDEX_XR_MIF = 7; + localparam INDEX_XR_PLL = 8; + localparam INDEX_XR_DCD = 9; + localparam INDEX_XR_END = 10; // must always mark end of address space + + // Each feature block is allocated an 8-word address range + localparam [W_XR_ADDR-1:0] ADDR_XR_OFFSET_BASE = t_xreconf_addr'(INDEX_XR_OFFSET << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_BASE = t_xreconf_addr'(INDEX_XR_ANALOG << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_BASE = t_xreconf_addr'(INDEX_XR_EYEMON << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_BASE = t_xreconf_addr'(INDEX_XR_DFE << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_BASE = t_xreconf_addr'(INDEX_XR_DIRECT << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_BASE = t_xreconf_addr'(INDEX_XR_ADCE << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_LC_BASE = t_xreconf_addr'(INDEX_XR_LC << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_BASE = t_xreconf_addr'(INDEX_XR_MIF << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_BASE = t_xreconf_addr'(INDEX_XR_PLL << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_BASE = t_xreconf_addr'(INDEX_XR_DCD << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_END_BASE = t_xreconf_addr'(INDEX_XR_END << W_XR_FEATURE_LADDR); // must always mark end of address space + + localparam [W_XR_FEATURE_LADDR-1:0] XR_STATUS_OFST = t_xr_feature_addr'(2); + localparam XR_STATUS_OFST_COMB_BUSY = 8; + + //////////////////////////////////////////////////////// + // Offset Cancellation block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_OFFSET_STATUS = t_xreconf_addr'(ADDR_XR_OFFSET_BASE + 2); + + + //////////////////////////////////////////////////////// + // Analog block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_LCH = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_PCH = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_STATUS = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_OFFSET = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_DATA = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 4); + + // Analog internal register offsets + // These are to be written to the analog offset address register, ADDR_XR_ANALOG_OFFSET + localparam XR_ANALOG_OFFSET_VOD = 0; + localparam XR_ANALOG_OFFSET_PREEMPH0T = 1; + localparam XR_ANALOG_OFFSET_PREEMPH1T = 2; + localparam XR_ANALOG_OFFSET_PREEMPH2T = 3; + localparam XR_ANALOG_OFFSET_RXDCGAIN = 16; + localparam XR_ANALOG_OFFSET_RXEQCTRL = 17; + localparam XR_ANALOG_OFFSET_PRECDRLPBK = 32; + localparam XR_ANALOG_OFFSET_POSTCDRLPBK = 33; + + //////////////////////////////////////////////////////// + // DFE block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_LCH = t_xreconf_addr'(ADDR_XR_DFE_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_PCH = t_xreconf_addr'(ADDR_XR_DFE_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_STATUS = t_xreconf_addr'(ADDR_XR_DFE_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_OFFSET = t_xreconf_addr'(ADDR_XR_DFE_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_DATA = t_xreconf_addr'(ADDR_XR_DFE_BASE + 4); + + // DFE internal register offsets + // These are to be written to the DFE offset address register, ADDR_XR_DFE_OFFSET + localparam XR_DFE_OFFSET_CTRL = 0; + localparam XR_DFE_OFFSET_TAP1 = 1; + localparam XR_DFE_OFFSET_TAP2 = 2; + localparam XR_DFE_OFFSET_TAP3 = 3; + localparam XR_DFE_OFFSET_TAP4 = 4; + localparam XR_DFE_OFFSET_TAP5 = 5; + localparam XR_DFE_OFFSET_REF = 6; + localparam XR_DFE_OFFSET_STEP = 7; + localparam XR_DFE_OFFSET_DFE12 = 18; + localparam XR_DFE_OFFSET_DFE13 = 19; + localparam XR_DFE_OFFSET_DFE14 = 20; + localparam XR_DFE_OFFSET_DFE15 = 21; + localparam XR_DFE_OFFSET_CAL_PLL = 22; + localparam XR_DFE_OFFSET_CAL_TBUS = 23; + localparam XR_DFE_OFFSET_CAL_SAMPL = 24; + //////////////////////////////////////////////////////// + // Eyemon block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_LCH = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_PCH = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_STATUS = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_OFFSET = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_DATA = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 4); + + // EYEMON internal register offsets + // These are to be written to the EYEMON offset address register, ADDR_XR_EYEMON_OFFSET + localparam XR_EYEMON_OFFSET_CTRL = 0; + localparam XR_EYEMON_OFFSET_HPHASE = 1; + localparam XR_EYEMON_OFFSET_VHEIGHT = 2; + localparam XR_EYEMON_OFFSET_EYEMON16 = 3; + localparam XR_EYEMON_OFFSET_EYEMON17 = 4; + + //////////////////////////////////////////////////////// + // ADCE block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_LCH = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 0); // Logical channel number + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_PCH = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 1); // Physical channel number + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_STATUS = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_OFFSET = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_DATA = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 4); + + // ADCE internal register offsets + // These are to be written to the ADCE offset address register, ADDR_XR_ADCE_OFFSET + localparam XR_ADCE_OFFSET_CTRL = 0; + localparam XR_ADCE_OFFSET_RESULTS = 1; // Manual setting equivalent to ADCE results + localparam XR_ADCE_OFFSET_RADCE_ATT_0 = 9; // radce_att[15: 0] + localparam XR_ADCE_OFFSET_RADCE_ATT_1 = 10; // radce_att[31:15] + localparam XR_ADCE_OFFSET_RADCE_ATT_2 = 11; // radce_att[47:32] + localparam XR_ADCE_OFFSET_RADCE_ATT_3 = 12; // radce_att[63:48] + localparam XR_ADCE_OFFSET_RADCE_ATT_4 = 13; // radce_att[79:64] + localparam XR_ADCE_OFFSET_RADCE_ATT_5 = 14; // radce_att[95:80] + localparam XR_ADCE_OFFSET_RADCE_ATT_6 = 15; // radce_att[111:96] + + //////////////////////////////////////////////////////// + // DCD block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_LCH = t_xreconf_addr'(ADDR_XR_DCD_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_PCH = t_xreconf_addr'(ADDR_XR_DCD_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_STATUS = t_xreconf_addr'(ADDR_XR_DCD_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_OFFSET = t_xreconf_addr'(ADDR_XR_DCD_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_DATA = t_xreconf_addr'(ADDR_XR_DCD_BASE + 4); + + // DCD internal register offsets + // These are to be written to the DFE offset address register, ADDR_XR_DCD_OFFSET + localparam XR_DCD_OFFSET_CTRL = 0; + + //////////////////////////////////////////////////////// + // MIF block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_LCH = t_xreconf_addr'(ADDR_XR_MIF_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_PCH = t_xreconf_addr'(ADDR_XR_MIF_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_STATUS = t_xreconf_addr'(ADDR_XR_MIF_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_OFFSET = t_xreconf_addr'(ADDR_XR_MIF_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_DATA = t_xreconf_addr'(ADDR_XR_MIF_BASE + 4); + + //////////////////////////////////////////////////////// + // PLL block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_LCH = t_xreconf_addr'(ADDR_XR_PLL_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_PCH = t_xreconf_addr'(ADDR_XR_PLL_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_STATUS = t_xreconf_addr'(ADDR_XR_PLL_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_OFFSET = t_xreconf_addr'(ADDR_XR_PLL_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_DATA = t_xreconf_addr'(ADDR_XR_PLL_BASE + 4); + + + + + //////////////////////////////////////////////////////// + // Basic block addresses (internal, private addresses) + //////////////////////////////////////////////////////// + // The 'basic' interface block is the switch that routes requests to + // an appropriate physical reconfiguration interface. A logical channel + // number acts as a channel ID, which allows the basic block to find + // the corresponding physical reconfiguration interface, and a physical + // channel index within a physical interface. + // + // The Basic (B) block features are also available via the direct access block. + // All users of the basic block, including the direct access block, must + // acquire appropriate semaphores before using an interface, and release + // the semaphores when done, to avoid locking out other feature blocks. + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_MUTEX = t_xr_feature_addr'(0); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_LOGICAL_CHANNEL = t_xr_feature_addr'(1); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_PHYSICAL_CHANNEL = t_xr_feature_addr'(2); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_CONTROL = t_xr_feature_addr'(3); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_OFFSET_ADDR = t_xr_feature_addr'(4); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_DATA = t_xr_feature_addr'(5); + + + //////////////////////////////////////////////////////// + // Direct Access & Basic block addresses + //////////////////////////////////////////////////////// + // + // The minimum steps to read & write a reconfiguration word are the following: + // Step 1 - acquire basic arbiter lock (write 1 to ADDR_XR_DIRECT_ARB_ACQ) + // Step 2 - write logical channel number to ADDR_XR_DIRECT_LCH + // Step 3 - acquire channel lock + // 3a - request channel lock (write XR_DIRECT_CONTROL_PHYS_LOCK_SET to ADDR_XR_DIRECT_CONTROL) + // 3b - confirm channel lock (read ADDR_XR_DIRECT_CONTROL, mask with XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_GRANTED) + // -- repeat step 3b until result after applying mask is != 0 + // Step 4 - write channel offset address to ADDR_XR_DIRECT_OFFSET + // Step 5 - read existing value from reconfig space, modify, then write back + // 5a (read cycle part 1) - write XR_DIRECT_CONTROL_RECONF_READ to ADDR_XR_DIRECT_CONTROL + // 5b (read cycle part 2) - read data from ADDR_XR_DIRECT_DATA + // 5c (write cycle part 1) - write modified value to ADDR_XR_DIRECT_DATA + // 5d (write cycle part 2) - write XR_DIRECT_CONTROL_RECONF_WRITE to ADDR_XR_DIRECT_CONTROL + // -- addtional read-modify-write cycles, repeat from step 2 or 4 (can skip step 3a) + // Step 6 - release channel lock (write XR_DIRECT_CONTROL_PHYS_LOCK_CLEAR to ADDR_XR_DIRECT_CONTROL) + // Step 7 - release basic arbiter lock (write 0 to ADDR_XR_DIRECT_ARB_ACQ) + // + // Direct/Basic register bitmap --------------------------------------------------------- + // word addr wr/rd description + // ------------------------------------------------------ + // 0 wr basic arbiter, 1 to request access, 0 to release lock + // 1 wr/rd logical channel number + // 2 rd physical channel number. When lower 3 bits are 3'b111, means ch is not present + // 3 wr/rd status/control -- see XR_DIRECT_CONTROL_* opcodes and XR_DIRECT_STATUS_* bitfield definitions + // 4 wr/rd offset_addr -- for opcode-based reads & writes + // 5 wr/rd data -- for opcode-based reads & writes + // 6 -- reserved + // 7 -- reserved + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_ARB_ACQ = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + 0); // write 1 to request B access, 0 to release + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_LCH = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_LOGICAL_CHANNEL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_PCH = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_PHYSICAL_CHANNEL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_CONTROL = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_CONTROL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_OFFSET = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_OFFSET_ADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_DATA = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_DATA); + + // Opcode values for writes to control word, ADDR_XR_DIRECT_CONTROL + localparam XR_DIRECT_CONTROL_RECONF_WRITE = 32'b0000; // reconfig space: write current DATA to OFFSET addr (as physical addr or ch offset addr) + localparam XR_DIRECT_CONTROL_RECONF_READ = 32'b0001; // reconfig space: read from OFFSET_ADDR, save result in DATA + localparam XR_DIRECT_CONTROL_LADDR_SET = 32'b0010; // interpret OFFSET_ADDR as logical addr, with automatic ch addr offset + localparam XR_DIRECT_CONTROL_PADDR_SET = 32'b0011; // interpret OFFSET_ADDR as physical addr, with no automatic addr offset + localparam XR_DIRECT_CONTROL_PHYS_LOCK_CLEAR = 32'b0100; // clear lock request for current channel + localparam XR_DIRECT_CONTROL_PHYS_LOCK_SET = 32'b0101; // set lock request for current channel + localparam XR_DIRECT_CONTROL_ADDR_AUTO_INCR_CLEAR = 32'b0110; // clear auto-write-and-address-increment mode for data writes + localparam XR_DIRECT_CONTROL_ADDR_AUTO_INCR_SET = 32'b0111; // set auto-write-and-address-increment mode for data writes + localparam XR_DIRECT_CONTROL_INTERNAL_WRITE = 32'b1000; // Internal registers, mainly testbus control + //localparam XR_DIRECT_CONTROL_INTERNAL_READ = 32'b1001; // internal reg space: read from OFFSET_ADDR, save result in DATA + localparam XR_DIRECT_CONTROL_TABLE_READ = 32'b1011; // ROM table lookup, especially for PLL and clock mux remapping + + // Read of control/status reg returns this bitfield data + localparam XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_GRANTED = 32'b0001; // on read, bit 0 is grant status + localparam XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_REQUESTED = 32'b0010; // on read, bit 1 is physical lock request flag + localparam XR_DIRECT_STATUS_BITMASK_USING_PHYS_ADDR = 32'b0100; // on read, bit 2 is physical addr mode indicator (0 mean logical addr) + localparam XR_DIRECT_STATUS_BITMASK_USING_ADDR_AUTO_INCR = 32'b1000; // on read, bit 3 is auto-write-and-addr-incr mode indicator + + // Internal register addresses, for read/write via these opcodes: XR_DIRECT_CONTROL_INTERNAL_* + localparam XR_DIRECT_OFFSET_TESTBUS_SEL = 2'd0; // internal register for testbus sel + + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_offset_cancellation.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_offset_cancellation.sv new file mode 100644 index 0000000000000000000000000000000000000000..0dd5517bb661acbd542861fa584fe89ae3aa336c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_offset_cancellation.sv @@ -0,0 +1,174 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_offset_cancellation +#( + parameter device_family = "StratixV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] offset_cancellation_address, // MM address + input wire [31:0] offset_cancellation_writedata, + input wire offset_cancellation_write, + input wire offset_cancellation_read, + + //output MM slave + output wire [31:0] offset_cancellation_readdata, // from MM + + output wire offset_cancellation_done, + + // input from base_reconfig + input wire offset_cancellation_irq_from_base, + input wire offset_cancellation_waitrequest_from_base, + output wire offset_cancellation_waitrequest, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] offset_cancellation_address_base, // 3 bit MM + output wire [31:0] offset_cancellation_writedata_base, + output wire offset_cancellation_write_base, // start write to GXB + output wire offset_cancellation_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] offset_cancellation_readdata_base, // data from read command + + // Avalon ST + input wire [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data, + + // external connect to switch fabric: request basic access from arbiter + output wire arb_req, + input wire arb_grant + +); + +import altera_xcvr_functions::*; +localparam is_s4 = has_s4_style_hssi(device_family); +localparam is_s5 = has_s5_style_hssi(device_family); +localparam is_a5 = has_a5_style_hssi(device_family); + + +generate + //Deepak - Namespace support for AII GX and CIVGX + if(is_s4) + begin + wire [4:0] w_tgx_offset_cancellation_address_base; + alt_xcvr_reconfig_offset_cancellation_tgx + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_tgx + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(w_tgx_offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data) + ); + assign offset_cancellation_address_base = w_tgx_offset_cancellation_address_base[4:2]; + assign arb_req = 1'b0 & arb_grant; // not currently used in S4 architecture + end + else if (is_s5) + begin + alt_xcvr_reconfig_offset_cancellation_sv + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_sv + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data[7:0]), // testbus data is now provided on a per-channel basis from the 'B' - only need the lower 8 bits + .arb_req(arb_req), + .arb_grant(arb_grant) + ); + end + else if (is_a5) + begin + alt_xcvr_reconfig_offset_cancellation_av + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_av + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data[7:0]), // testbus data is now provided on a per-channel basis from the 'B' - only need the lower 8 bits + .arb_req(arb_req), + .arb_grant(arb_grant) + ); + end + else + begin + assign offset_cancellation_readdata = 32'd0; + assign offset_cancellation_done = 1'd0; + assign offset_cancellation_waitrequest = 1'd0; + assign offset_cancellation_address_base = 3'd0; + assign offset_cancellation_writedata_base = 32'd0; + assign offset_cancellation_write_base = 1'd0; + assign offset_cancellation_read_base = 1'd0; + assign arb_req = 1'd0; + end + + + +endgenerate + +endmodule + + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_offset_cancellation_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_offset_cancellation_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..01ee1edc16393c8b5f525ce12734b754321f57b7 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_offset_cancellation_tgx.v @@ -0,0 +1,581 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_offset_cancellation_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire offset_cancellation_address, // MM address + input wire [31:0] offset_cancellation_writedata, + input wire offset_cancellation_write, + input wire offset_cancellation_read, + + //output MM slave + output reg [31:0] offset_cancellation_readdata, // from MM + + output reg offset_cancellation_done, + + // input from base_reconfig + input wire offset_cancellation_irq_from_base, + input wire offset_cancellation_waitrequest_from_base, + + output wire offset_cancellation_waitrequest, + + // output to base_reconfig + // Avalon MM Master + output wire [4:0] offset_cancellation_address_base, // 3 bit MM + output wire [31:0] offset_cancellation_writedata_base, + output wire offset_cancellation_write_base, // start write to GXB + output wire offset_cancellation_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] offset_cancellation_readdata_base, // data from read command + + // Avalon ST + input wire [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data +); + +/////////////////////////////////////////////////////////////////// +// Memory map | wr/rd | Description +//---------------------------------------------------------------- +// 0 | wr/rd | [31:10] Reserved +// | | [9] Error +// | | [8] Busy +// | | [7:1] Reserved +// | | [0] Start (hidden for QII 9.1) +/////////////////////////////////////////////////////////////////// + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; + +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_error_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_cal instantiation +wire alt_cal_busy; +wire [15:0] alt_cal_dprio_dataout; +wire alt_cal_dprio_wren; +wire alt_cal_dprio_rden; +wire alt_cal_retain_addr; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_cal_error; + +wire [number_of_reconfig_interfaces*16 - 1 : 0] alt_cal_testbuses; +wire [15:0] alt_cal_dprio_addr; +wire [8:0] alt_cal_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_cal_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_cal_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// Implement waitrequest to match previous implementation +altera_wait_generate wait_gen( + .rst(reset), + .clk(reconfig_clk), + .launch_signal(offset_cancellation_read), + .wait_req(offset_cancellation_waitrequest) +); + +// synopsys translate_off +initial begin + state = 3'b000; + alt_cal_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + // alt_cal_testbuses = 0; + alt_cal_dprio_datain = 16'h0000; + alt_cal_dprio_busy = 1'b0; + alt_cal_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + alt_cal_error_reg = 1'b0; + offset_cancellation_done = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + offset_cancellation_readdata[31:0] <= {32{1'b0}}; + alt_cal_error_reg <= 1'b0; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_cal_dprio_busy_int<= 1'b0; + offset_cancellation_done <= 1'b0; + end + else begin + if(offset_cancellation_read == 1'b1) + begin + if(offset_cancellation_address == 1'b0) + begin + offset_cancellation_readdata <= {{22{1'b0}}, alt_cal_error_reg, alt_cal_busy , {8{1'b0}}}; + end + end + + for(count=0; count < (number_of_reconfig_interfaces*4); count=count+1) + begin + alt_cal_error_reg = alt_cal_error[count] | alt_cal_error_reg; + end + + if(alt_cal_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + offset_cancellation_done <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_cal_remap_addr <= 12'h000; + + //al_cal will not assert wren and rden at the same time + if((alt_cal_dprio_wren == 1'b1) || (alt_cal_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_cal_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else if(alt_cal_retain_addr == 1'b1) + begin + if(mutex_grant == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_cal_quad_addr, alt_cal_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_cal_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_cal_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{17{1'b0}}, alt_cal_dprio_addr[14:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_cal_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio +// master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write_data <= {{27{1'b0}}, 1'b1, 1'b0, (~write_read_control), write_read_control}; // use absolute addressing + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_cal_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + alt_cal_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_cal_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + if(alt_cal_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_cal_dprio_busy_int <= master_read_data[8]; + alt_cal_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_cal_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_cal_dprio_busy <= 1'b0; + offset_cancellation_done <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + if(alt_cal_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_cal_dprio_busy_int <= master_read_data[8]; + alt_cal_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_cal_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + offset_cancellation_done <= 1'b1; // read done + alt_cal_dprio_datain <= master_read_data[15:0]; + alt_cal_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + + + +generate + +//Deepak Use alt_cal_mm for SIV and AIIGX +if((device_family=="Stratix IV") || (device_family=="Arria II GX") || (device_family=="Arria II GZ") || (device_family=="HardCopy IV")) +begin + alt_cal_mm #( + .number_of_channels (number_of_reconfig_interfaces*4), + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)) + )alt_cal_inst + ( + .clock(reconfig_clk), + .reset(reset), + .start(), + .busy(alt_cal_busy), + .dprio_addr(alt_cal_dprio_addr), // only extract the logical channel address + .quad_addr(alt_cal_quad_addr), + .dprio_dataout(alt_cal_dprio_dataout), + .dprio_datain(alt_cal_dprio_datain), + .dprio_wren(alt_cal_dprio_wren), + .dprio_rden(alt_cal_dprio_rden), + .dprio_busy(alt_cal_dprio_busy), + .retain_addr(alt_cal_retain_addr), + .remap_addr(alt_cal_remap_addr), + .testbuses(alt_cal_testbuses), + .cal_error(alt_cal_error) + ); +end +//Deepak: Use alt_cal_c3gxb for Cyclone IV GX +if(device_family=="Cyclone IV GX") +begin + alt_cal_c3gxb alt_cal_inst + ( + .clock(reconfig_clk), + .reset(reset), + .start(), + .busy(alt_cal_busy), + .dprio_addr(alt_cal_dprio_addr), // only extract the logical channel address + .quad_addr(alt_cal_quad_addr), + .dprio_dataout(alt_cal_dprio_dataout), + .dprio_datain(alt_cal_dprio_datain), + .dprio_wren(alt_cal_dprio_wren), + .dprio_rden(alt_cal_dprio_rden), + .dprio_busy(alt_cal_dprio_busy), + .retain_addr(alt_cal_retain_addr), + .remap_addr(alt_cal_remap_addr), + .testbuses(alt_cal_testbuses), + .cal_error(alt_cal_error) + ); +defparam + alt_cal_inst.number_of_channels = number_of_reconfig_interfaces*4, + alt_cal_inst.channel_address_width = CLogB2(number_of_reconfig_interfaces*4); +end +endgenerate + +alt_mutex_acq #( + .mutex_wait_time(102), ///Thia: pick a different mutex wait time to avoid collision. Say 102. (since analog is already using 101) + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address(offset_cancellation_address_base), + .master_writedata(offset_cancellation_writedata_base), + .master_write(offset_cancellation_write_base), + .master_read(offset_cancellation_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(offset_cancellation_waitrequest_from_base), + .master_readdata(offset_cancellation_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); + +//wire assignment +assign alt_cal_testbuses = testbus_data; +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_siv.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_siv.sv new file mode 100644 index 0000000000000000000000000000000000000000..727be748a008f29b2f9649fef902f9787b9b1f47 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_reconfig_siv.sv @@ -0,0 +1,441 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Transceiver Reconfiguration Module for Stratix IV architectures +// +// Includes many function-specific sub-modules, such as: +// - analog reconfig (alt_xcvr_reconfig_analog) +// - offset cancellation (alt_xcvr_reconfig_offset_cancellation) +// - ... + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_reconfig_siv #( + parameter number_of_reconfig_interfaces = 1 +) ( + input wire mgmt_clk_clk, // mgmt_clk.clk + input wire mgmt_rst_reset, // mgmt_rst.reset + + // user reconfiguration management interface + input wire [6:0] reconfig_mgmt_address, // reconfig_mgmt.address + output reg reconfig_mgmt_waitrequest = 0, // .waitrequest + input wire reconfig_mgmt_read, // .read + output reg [31:0] reconfig_mgmt_readdata = ~0, // .readdata + input wire reconfig_mgmt_write, // .write + input wire [31:0] reconfig_mgmt_writedata, // .writedata + output wire reconfig_done, // reconfig_done.export + + output wire [3:0] reconfig_togxb, // reconfig_togxb_data.data + input wire [number_of_reconfig_interfaces*17 - 1 : 0] reconfig_fromgxb // dprioout, testbus from altgx : (17+4 bits/quad) +); + + // master interface to basic reconfiguration block that interfaces to the transceiver channel + wire [2:0] basic_address; // basic.address // master interface must include 2 lower addr bits + wire basic_waitrequest; // .waitrequest + wire basic_irq; // .irq + wire basic_read; // .read + wire [31:0] basic_readdata; // .readdata + wire basic_write; // .write + wire [31:0] basic_writedata; // .writedata + + // native testbus input + wire [15:0] testbus_data; + + localparam l_dev_family = "Stratix IV"; + localparam width_awa = 3; // word address width of interface to analog reconfig block + localparam width_bwa = 3; // word address width of interface to basic reconfig block + localparam arb_count = 4; // count of the total number of sub-components that can act + // as slaves to the mgmt interface, and masters to the 'basic' block + localparam arb_offset = 0; + localparam arb_analog = 1; + localparam arb_eyemon = 2; + localparam arb_dfe = 3; + + // Analog controls output ports, mgmt facing + wire [31:0] sc_analog_readdata; + wire sc_analog_waitrequest; + + // Offset cancellation output ports, mgmt facing + wire [31:0] sc_offset_readdata; + wire sc_offset_waitrequest; + + // Eyemon output ports, mgmt facing + wire [31:0] sc_eyemon_readdata; + wire sc_eyemon_waitrequest; + + // DFE output ports, mgmt facing + wire [31:0] sc_dfe_readdata; + wire sc_dfe_waitrequest; + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [arb_count-1:0] r_decode; + assign r_decode = + (reconfig_mgmt_address[6:width_awa] == arb_offset) ? (({arb_count-arb_offset{1'b0}} | 1'b1) << arb_offset) + : (reconfig_mgmt_address[6:width_awa] == arb_analog) ? (({arb_count-arb_analog{1'b0}} | 1'b1) << arb_analog) + : (reconfig_mgmt_address[6:width_awa] == arb_eyemon) ? (({arb_count-arb_eyemon{1'b0}} | 1'b1) << arb_eyemon) + : (reconfig_mgmt_address[6:width_awa] == arb_dfe) ? (({arb_count-arb_dfe{1'b0}} | 1'b1) << arb_dfe) + : {arb_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + case (reconfig_mgmt_address[6:width_awa]) + arb_offset: begin + reconfig_mgmt_readdata = sc_offset_readdata; + reconfig_mgmt_waitrequest = sc_offset_waitrequest; + end + arb_analog: begin + reconfig_mgmt_readdata = sc_analog_readdata; + reconfig_mgmt_waitrequest = sc_analog_waitrequest; + end + arb_eyemon: begin + reconfig_mgmt_readdata = sc_eyemon_readdata; + reconfig_mgmt_waitrequest = sc_eyemon_waitrequest; + end + arb_dfe: begin + reconfig_mgmt_readdata = sc_dfe_readdata; + reconfig_mgmt_waitrequest = sc_dfe_waitrequest; + end + default: begin + reconfig_mgmt_readdata = -1; + reconfig_mgmt_waitrequest = 1'b0; + end + endcase + end + + /////////////////////////////////////////////////////////////////////// + // Arbiter for multiple masters accessing 'basic' reconfig slave port + /////////////////////////////////////////////////////////////////////// + wire [arb_count-1:0] req; // req[0] is highest priority when current grantee is done + wire [arb_count-1:0] grant; + + alt_xcvr_arbiter #( + .width(arb_count) // count total number of sub-components that act as masters to 'basic' + ) arbiter ( + .clock(mgmt_clk_clk), + .req(req), + .grant(grant) + ); + + //////////////////////////////////// + // Sub-component: analog controls + // word address offset: +0 + //////////////////////////////////// + + // Analog controls output ports, mgmt facing + wire analog_reconfig_done; + // Analog controls output ports, basic slave facing + wire [width_bwa+1:0] scs_analog_address; + wire [31:0] scs_analog_writedata; + wire scs_analog_write; + wire scs_analog_read; + + // Analog controls master-to-slave access fabric outputs + tri0 m2s_analog_read; + tri0 m2s_analog_write; + tri0 [width_bwa-1:0] m2s_analog_address; + tri0 [31:0] m2s_analog_writedata; + wire [31:0] m2s_analog_readdata; + wire m2s_analog_waitrequest; + + alt_xcvr_reconfig_analog_tgx sc_analog ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .analog_reconfig_address(reconfig_mgmt_address[width_awa-1:0]), + .analog_reconfig_writedata(reconfig_mgmt_writedata), + .analog_reconfig_write(reconfig_mgmt_write & r_decode[arb_analog]), + .analog_reconfig_read(reconfig_mgmt_read & r_decode[arb_analog]), + .analog_reconfig_readdata(sc_analog_readdata), + .analog_reconfig_waitrequest(sc_analog_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + // master-to-slave fabric facing, to basic reconfig + .analog_reconfig_irq_from_base(basic_irq), + .analog_reconfig_waitrequest_from_base(m2s_analog_waitrequest), + .analog_reconfig_readdata_base(m2s_analog_readdata), + .analog_reconfig_address_base(scs_analog_address), + .analog_reconfig_writedata_base(scs_analog_writedata), + .analog_reconfig_write_base(scs_analog_write), + .analog_reconfig_read_base(scs_analog_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_analog ( + .clock(mgmt_clk_clk), + .req(req[arb_analog]), + .grant(grant[arb_analog]), + // master ports, facing basic reconfig block + .m_read(scs_analog_read), + .m_write(scs_analog_write), + .m_address(scs_analog_address[2 +: width_bwa]), // drop 2 lower addr bits + .m_writedata(scs_analog_writedata), + .m_readdata(m2s_analog_readdata), + .m_waitrequest(m2s_analog_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_analog_read), + .s_write(m2s_analog_write), + .s_address(m2s_analog_address), + .s_writedata(m2s_analog_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: offset cancellation + // word address offset: +8 (0x20 in bytes) + /////////////////////////////////////////// + + // Offset cancellation output ports: + wire offset_cancellation_done; + wire [width_bwa-1:0] scs_offset_address; + wire [31:0] scs_offset_writedata; + wire scs_offset_write; + wire scs_offset_read; + + // Offset cancellation master-to-slave access fabric outputs + tri0 m2s_offset_read; + tri0 m2s_offset_write; + tri0 [width_bwa-1:0] m2s_offset_address; + tri0 [31:0] m2s_offset_writedata; + wire [31:0] m2s_offset_readdata; + wire m2s_offset_waitrequest; + + alt_xcvr_reconfig_offset_cancellation #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_offset ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .offset_cancellation_address(reconfig_mgmt_address[0]), // slave uses a single address bit + .offset_cancellation_writedata(reconfig_mgmt_writedata), + .offset_cancellation_write(reconfig_mgmt_write & r_decode[arb_offset]), + .offset_cancellation_read(reconfig_mgmt_read & r_decode[arb_offset]), + .offset_cancellation_readdata(sc_offset_readdata), + .offset_cancellation_waitrequest(sc_offset_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .offset_cancellation_irq_from_base(basic_irq), + .offset_cancellation_waitrequest_from_base(m2s_offset_waitrequest), + .offset_cancellation_readdata_base(m2s_offset_readdata), + .testbus_data(testbus_data), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_address_base(scs_offset_address), + .offset_cancellation_writedata_base(scs_offset_writedata), + .offset_cancellation_write_base(scs_offset_write), + .offset_cancellation_read_base(scs_offset_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_offset ( + .clock(mgmt_clk_clk), + .req(req[arb_offset]), + .grant(grant[arb_offset]), + // master ports, facing basic reconfig block + .m_read(scs_offset_read), + .m_write(scs_offset_write), + .m_address(scs_offset_address), // drop 2 lower addr bits + .m_writedata(scs_offset_writedata), + .m_readdata(m2s_offset_readdata), + .m_waitrequest(m2s_offset_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_offset_read), + .s_write(m2s_offset_write), + .s_address(m2s_offset_address), + .s_writedata(m2s_offset_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: "EyeQ" eye monitor + // word address offset: +16 (0x40 in bytes) + /////////////////////////////////////////// + + // Eyemon output ports: + wire eyemon_done; + wire [width_bwa+1:0] scs_eyemon_address; + wire [31:0] scs_eyemon_writedata; + wire scs_eyemon_write; + wire scs_eyemon_read; + + // eyemon master-to-slave access fabric outputs + tri0 m2s_eyemon_read; + tri0 m2s_eyemon_write; + tri0 [width_bwa-1:0] m2s_eyemon_address; + tri0 [31:0] m2s_eyemon_writedata; + wire [31:0] m2s_eyemon_readdata; + wire m2s_eyemon_waitrequest; + + alt_xcvr_reconfig_eyemon_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_eyemon ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .eyemon_address(reconfig_mgmt_address[width_awa-1:0]), // slave uses a 3-bit address + .eyemon_writedata(reconfig_mgmt_writedata), + .eyemon_write(reconfig_mgmt_write & r_decode[arb_eyemon]), + .eyemon_read(reconfig_mgmt_read & r_decode[arb_eyemon]), + .eyemon_readdata(sc_eyemon_readdata), + .eyemon_waitrequest(sc_eyemon_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .eyemon_irq_from_base(basic_irq), + .eyemon_waitrequest_from_base(m2s_eyemon_waitrequest), + .eyemon_readdata_base(m2s_eyemon_readdata), + .eyemon_irq(eyemon_done), + .eyemon_address_base(scs_eyemon_address), + .eyemon_writedata_base(scs_eyemon_writedata), + .eyemon_write_base(scs_eyemon_write), + .eyemon_read_base(scs_eyemon_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_eyemon ( + .clock(mgmt_clk_clk), + .req(req[arb_eyemon]), + .grant(grant[arb_eyemon]), + // master ports, facing basic reconfig block + .m_read(scs_eyemon_read), + .m_write(scs_eyemon_write), + .m_address(scs_eyemon_address[2 +: width_bwa]), // drop 2 lower addr bits + .m_writedata(scs_eyemon_writedata), + .m_readdata(m2s_eyemon_readdata), + .m_waitrequest(m2s_eyemon_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_eyemon_read), + .s_write(m2s_eyemon_write), + .s_address(m2s_eyemon_address), + .s_writedata(m2s_eyemon_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: DFE + // word address offset: +24 (0x60 in bytes) + /////////////////////////////////////////// + + // DFE output ports: + wire dfe_done; + wire [width_bwa-1:0] scs_dfe_address; + wire [31:0] scs_dfe_writedata; + wire scs_dfe_write; + wire scs_dfe_read; + + // dfe master-to-slave access fabric outputs + tri0 m2s_dfe_read; + tri0 m2s_dfe_write; + tri0 [width_bwa-1:0] m2s_dfe_address; + tri0 [31:0] m2s_dfe_writedata; + wire [31:0] m2s_dfe_readdata; + wire m2s_dfe_waitrequest; + + alt_xcvr_reconfig_dfe_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_dfe ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .dfe_address(reconfig_mgmt_address[width_awa-1:0]), // slave uses a 3-bit address + .dfe_writedata(reconfig_mgmt_writedata), + .dfe_write(reconfig_mgmt_write & r_decode[arb_dfe]), + .dfe_read(reconfig_mgmt_read & r_decode[arb_dfe]), + .dfe_readdata(sc_dfe_readdata), + .dfe_waitrequest(sc_dfe_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .dfe_irq_from_base(basic_irq), + .dfe_waitrequest_from_base(m2s_dfe_waitrequest), + .dfe_readdata_base(m2s_dfe_readdata), + .dfe_irq(dfe_done), + .dfe_address_base(scs_dfe_address), + .dfe_writedata_base(scs_dfe_writedata), + .dfe_write_base(scs_dfe_write), + .dfe_read_base(scs_dfe_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_dfe ( + .clock(mgmt_clk_clk), + .req(req[arb_dfe]), + .grant(grant[arb_dfe]), + // master ports, facing basic reconfig block + .m_read(scs_dfe_read), + .m_write(scs_dfe_write), + .m_address(scs_dfe_address), + .m_writedata(scs_dfe_writedata), + .m_readdata(m2s_dfe_readdata), + .m_waitrequest(m2s_dfe_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_dfe_read), + .s_write(m2s_dfe_write), + .s_address(m2s_dfe_address), + .s_writedata(m2s_dfe_writedata) + ); + + + /////////////////////////////////////////// + // Outputs to basic block + /////////////////////////////////////////// + assign basic_address = m2s_analog_address | m2s_offset_address | m2s_eyemon_address | m2s_dfe_address; + assign basic_read = m2s_analog_read | m2s_offset_read | m2s_eyemon_read | m2s_dfe_read; + assign basic_write = m2s_analog_write | m2s_offset_write | m2s_eyemon_write | m2s_dfe_write; + assign basic_writedata = m2s_analog_writedata | m2s_offset_writedata | m2s_eyemon_writedata | m2s_dfe_writedata; + + wire [number_of_reconfig_interfaces*8 - 1 : 0] aeq_fromgxb_data=0; + wire [number_of_reconfig_interfaces*24 - 1 : 0] aeq_togxb_data; + + alt_xcvr_reconfig_basic_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) sc_basic ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + .basic_reconfig_write(basic_write), + .basic_reconfig_read(basic_read), + .basic_reconfig_writedata(basic_writedata), + .basic_reconfig_address(basic_address), + .basic_reconfig_fromgxb_data(reconfig_fromgxb), + .aeq_fromgxb_data(aeq_fromgxb_data), + .basic_reconfig_readdata(basic_readdata), + .basic_reconfig_waitrequest(basic_waitrequest), + .basic_reconfig_togxb_data(reconfig_togxb), + .aeq_togxb_data(aeq_togxb_data), + .testbus_data(testbus_data), + .basic_reconfig_irq(basic_irq) + ); + + + /////////////////////////////////////////// + // Status to external mgmt interface + /////////////////////////////////////////// + assign reconfig_done = analog_reconfig_done | offset_cancellation_done | eyemon_done | dfe_done; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_resync.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_resync.sv new file mode 100644 index 0000000000000000000000000000000000000000..5c723372b22da2640f7283962c5acdd598a91dfa --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/alt_xcvr_resync.sv @@ -0,0 +1,98 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Module: alt_xcvr_resync +// +// Description: +// A general purpose resynchronization module. +// +// Parameters: +// SYNC_CHAIN_LENGTH +// - Specifies the length of the synchronizer chain for metastability +// retiming. +// WIDTH +// - Specifies the number of bits you want to synchronize. Controls the width of the +// d and q ports. +// SLOW_CLOCK - USE WITH CAUTION. +// - Leaving this setting at its default will create a standard resynch circuit that +// merely passes the input data through a chain of flip-flops. This setting assumes +// that the input data has a pulse width longer than one clock cycle sufficient to +// satisfy setup and hold requirements on at least one clock edge. +// - By setting this to 1 (USE CAUTION) you are creating an asynchronous +// circuit that will capture the input data regardless of the pulse width and +// its relationship to the clock. However it is more difficult to apply static +// timing constraints as it ties the data input to the clock input of the flop. +// This implementation assumes the data rate is slow enough +// INIT_VALUE +// - Specifies the initial values of the synchronization registers. +// +// Apply embedded false path timing constraint +(* altera_attribute = "-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_resync*sync_r[0]]\"" *) + +`timescale 1ps/1ps + +module alt_xcvr_resync #( + parameter SYNC_CHAIN_LENGTH = 2, // Number of flip-flops for retiming + parameter WIDTH = 1, // Number of bits to resync + parameter SLOW_CLOCK = 0, // See description above + parameter INIT_VALUE = 0 + ) ( + input wire clk, + input wire reset, + input wire [WIDTH-1:0] d, + output wire [WIDTH-1:0] q + ); + +localparam INT_LEN = (SYNC_CHAIN_LENGTH > 0) ? SYNC_CHAIN_LENGTH : 1; +localparam [INT_LEN-1:0] L_INIT_VALUE = (INIT_VALUE == 1) ? {INT_LEN{1'b1}} : {INT_LEN{1'b0}}; + +genvar ig; + +// Generate a synchronizer chain for each bit +generate begin + for(ig=0;ig<WIDTH;ig=ig+1) begin : resync_chains + wire d_in; // Input to sychronization chain. + reg [INT_LEN-1:0] sync_r = L_INIT_VALUE; + wire [INT_LEN :0] next_r; // One larger than real chain + + assign q[ig] = sync_r[INT_LEN-1]; // Output signal + assign next_r = {sync_r,d_in}; + + always @(posedge clk or posedge reset) + if(reset) + sync_r <= L_INIT_VALUE; + else + sync_r <= next_r[INT_LEN-1:0]; + + // Generate asynchronous capture circuit if specified. + if(SLOW_CLOCK == 0) begin + assign d_in = d[ig]; + end else begin + wire d_clk; + reg d_r = L_INIT_VALUE[0]; + wire clr_n; + + assign d_clk = d[ig]; + assign d_in = d_r; + assign clr_n = ~q[ig] | d_clk; // Clear when output is logic 1 and input is logic 0 + + // Asynchronously latch the input signal. + always @(posedge d_clk or negedge clr_n) + if(!clr_n) d_r <= 1'b0; + else if(d_clk) d_r <= 1'b1; + end // SLOW_CLOCK + end // for loop +end // generate +endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/altera_wait_generate.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/altera_wait_generate.v new file mode 100644 index 0000000000000000000000000000000000000000..d11ec7e154387185d9a1d14b39c0410608a6c6ed --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/altera_wait_generate.v @@ -0,0 +1,38 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module altera_wait_generate +//#( +//This code only works when wait_cycle =1, if need other case, you need to modify this code. +//please set wait_cycle default value as 1 to keep old design works. +// parameter wait_cycle =1 +// ) +( + input wire rst, + input wire clk, + input wire launch_signal, + output wire wait_req + ); +reg launch_reg = 0; +reg wait_reg = 0; +always @ (posedge clk, posedge rst) begin + if(rst) launch_reg <= 1'b0; + else launch_reg <= launch_signal; +end +always @ (posedge clk, posedge rst) begin + if(rst) wait_reg <= 1'b0; + else wait_reg <= launch_signal & launch_reg & (! wait_reg & !wait_req); +end +assign wait_req = (launch_signal & ~launch_reg) | (wait_reg & launch_signal ) ; +endmodule \ No newline at end of file diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/altera_xcvr_functions.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/altera_xcvr_functions.sv new file mode 100644 index 0000000000000000000000000000000000000000..5ef175a54cc3ce30e9dded8e494731600eb6ffda --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/altera_xcvr_functions.sv @@ -0,0 +1,746 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common functions for transceiver PHY IP +// +// $Header$ +// +// PACKAGE DECLARATION +package altera_xcvr_functions; + localparam integer MAX_CHARS = 32; + localparam integer MAX_STRS = 16; + localparam integer MAX_XCVR_CHANNELS = 64; + + // Reconfiguration bundle widths per family + localparam integer W_S5_RECONFIG_BUNDLE_TO_XCVR = 70; + localparam integer W_S5_RECONFIG_BUNDLE_FROM_XCVR = 46; + localparam integer W_A5_RECONFIG_BUNDLE_TO_XCVR = 70; + localparam integer W_A5_RECONFIG_BUNDLE_FROM_XCVR = 46; + localparam integer W_S4_RECONFIG_BUNDLE_TO_XCVR = 4; + localparam integer W_S4_RECONFIG_BUNDLE_FROM_XCVR = 17; + localparam integer W_C4_RECONFIG_BUNDLE_TO_XCVR = 4; + localparam integer W_C4_RECONFIG_BUNDLE_FROM_XCVR = 17; + + // Reconfiguration bundle widths per family + localparam integer W_S5_RECONFIG_BUNDLE_TO_GXB = W_S5_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_S5_RECONFIG_BUNDLE_FROM_GXB = W_S5_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_A5_RECONFIG_BUNDLE_TO_GXB = W_A5_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_A5_RECONFIG_BUNDLE_FROM_GXB = W_A5_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_S4_RECONFIG_BUNDLE_TO_GXB = W_S4_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_S4_RECONFIG_BUNDLE_FROM_GXB = W_S4_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_C4_RECONFIG_BUNDLE_TO_GXB = W_C4_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_C4_RECONFIG_BUNDLE_FROM_GXB = W_C4_RECONFIG_BUNDLE_FROM_XCVR; + + // convert frequency string into integer Hz. Fractional Hz are truncated + // Must remain a constant function - can't use string.atoi(). + function time str2hz ( + input [8*MAX_CHARS:1] s + ); + + integer i; + integer c; // temp char storage for frequency conversion + integer unit_tens; // assume already Hz + integer is_numeric; + integer saw_dot; + + reg [8:1] c_dot; // = "."; + reg [8:1] c_space; // = " "; + reg [8:1] c_a; // = 8'h61; //"a"; + reg [8:1] c_z; // = 8'h7a; //"z"; + reg [8*4:1] s_unit; + reg [8*MAX_CHARS:1] s_shift; + + begin + // frequency ratio calculations + str2hz = 0; + unit_tens = 0; // assume already Hz + is_numeric = 1; + saw_dot = 0; + s_unit = ""; + + // Modelsim optimizer bug forces us to initialize these non-statically + c_dot = "."; + c_space = " "; + c_a = "a"; + c_z = "z"; + for (i=(MAX_CHARS-1); i>=0; i=i-1) begin + s_shift = (s >> (i*8)); + c = s_shift[8:1] & 8'hff; + if (c > 0) begin + //$display("[%d] => '%1s',", i, c); + if (c >= 8'h30 && c <= 8'h39 && is_numeric) begin + str2hz = (str2hz * 10) + (c & 8'h0f); + if (saw_dot) unit_tens = unit_tens - 1; // count digits after decimal point + end else if (c == c_dot) saw_dot = 1; + else if (c != c_space) begin + is_numeric = 0; // stop accepting new numeric digits in value + // if it's a-z, convert to upper case A-Z + if (c >= c_a && c <= c_z) c = (c & 8'h5f); // convert a-z (lower) to A-Z (upper) + s_unit = (s_unit << 8) | c; + end + end + end + //$display("numeric = %d x 10**(%2d), unit = '%0s'", str2hz, unit_tens, s_unit); + + // account for frequency unit + if (s_unit == "GHZ" || s_unit == "GBPS") unit_tens = unit_tens + 9; // 10**9 + else if (s_unit == "MHZ" || s_unit == "MBPS") unit_tens = unit_tens + 6; // 10**6 + else if (s_unit == "KHZ" || s_unit == "KBPS") unit_tens = unit_tens + 3; // 10**3 + else if (s_unit != "HZ" && s_unit != "BPS") begin + $display("Invalid frequency unit '%0s', assuming %d x 10**(%2d) 'Hz'", s_unit, str2hz, unit_tens); + end + //$display("numeric in Hz = %d x 10**(%2d)", str2hz, unit_tens); + + // align numeric to Hz + if (unit_tens < 0) begin + //str2hz = str2hz / (10**(-unit_tens)); + for (i=0; i>unit_tens; i=i-1) begin + str2hz = str2hz / 10; + end + end else begin + //str2hz = str2hz * (10**unit_tens); + for (i=0; i<unit_tens; i=i+1) begin + str2hz = str2hz * 10; + end + end + //$display("%d Hz", str2hz); + end + endfunction + + // convert integer Hz to a frequency string + // integer Hz as type time, and the frequency string will use MHz units + // Must remain a constant function - can't use $sformat or string.itoa(). + function [MAX_CHARS*8-1:0] hz2str ( + input time hz + ); + integer pos; + integer f_unit; // 10**f_unit is offset from Hz for larger unit + time hz_mod_10; + begin + hz2str = "0.000000 MHz"; // minimum string value + f_unit = 6; // MHz offsets Hz value by 6 decimal digits + + // convert time back to string with frequency units + // char positions 3 to 0 are used by " MHz", so start with digits at pos 4 + for (pos = 4; pos < MAX_CHARS && hz > 0; pos = pos + 1) begin + if (f_unit == 0) begin + hz2str[pos*8 +: 8] = 8'h2e; // add "." character + pos = pos + 1; + end + f_unit = f_unit - 1; + hz_mod_10 = (hz % 10); + hz2str[pos*8 +: 8] = hz_mod_10[7:0] | 8'h30; + hz = hz / 10; + //$display("hz2str() => so far '%s', pos (%d), f_unit(%d) ", hz2str, pos, f_unit); + end + //$display("hz2str() returns '%s'", hz2str); + end + endfunction + + // Convert a string to an integer + // Uses pre-existing str2hz function + function integer str2int( + input [MAX_CHARS*8-1:0] instring + ); + time temp; + temp = str2hz({instring,"Hz"}); + str2int = temp[31:0]; + endfunction + + + // Convert an integer to a string + function [MAX_CHARS*8-1:0] int2str( + input integer in_int + ); + integer i; + integer this_char; + i = 0; + int2str = ""; + do + begin + this_char = (in_int % 10) + 48; + int2str[i*8+:8] = this_char[7:0]; + i=i+1; + in_int = in_int / 10; + end + while(in_int > 0); + endfunction + + // function to convert at most 40-bit long string to binary + function [39 : 0] m_str_to_bin; + input [40*8 : 1] s; + reg [40*8 : 1] reg_s; + reg [40:1] res; + + integer m; + begin + + reg_s = s; + for (m = 40; m > 0; m = m-1 ) + begin + res[m] = reg_s[313]; + reg_s = reg_s << 8; + end + + m_str_to_bin = res; + end + endfunction + + //////////////////////////////////////////////////////////////////// + // Verify that the string value is contained in the legal set. + // + // The 'set' can consist of a single string with no delimiters, e.g. "individual", + // or multiple values, separated by commas, and surrounded by parens, e.g. "(one,two,three,four,five)" + // + // Returns 1 if the value is in the set, and 0 otherwise + function integer is_in_legal_set( + input [MAX_CHARS*8-1:0] value, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + if (value == "<auto_any>") + is_in_legal_set = 1; + else if (value == "<auto_single>") + is_in_legal_set = (set[7:0] == 8'h29) ? 0 : 1; // 8'h29 is closing parenthesis char + else if (value == set) + is_in_legal_set = 1; // value matches single value in set + else begin + // check value against each in set + integer close_pos; // end of string marker can be comma or closing paren + integer open_pos; // open paren is start of set, if appropriate + reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr; + + is_in_legal_set = 0; + open_pos = MAX_STRS*MAX_CHARS-1; + // Remove closing parenthesis if exists + if(set[7:0] == 8'h29) begin + set = (set >> 8); + set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00; + end + // look for first non-null and non open paren character + while (open_pos > 0 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null + open_pos = open_pos - 1; + + while (is_in_legal_set == 0 && open_pos >= 0) begin + close_pos = open_pos; + while (close_pos > 0 + && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c) + close_pos = close_pos - 1; + if (close_pos >= 0) begin + close_pos = close_pos == 0 ? 0 : close_pos + 1; + legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8); + if (value == legalstr) + is_in_legal_set = 1; + end + open_pos = close_pos-2; // prepare to look for next legal string + end + end + //$display("is_in_legal_set(): returns %d", is_in_legal_set); + endfunction + + + // Accepts a string list of comma seperated numbers and returns a binary + // field where each bit indicates whether the index corresponding to that bit + // was found in the legal set. + // + // @param count - The number of integer indexes to check for in the set + // or the highest integer minus 1. + // @param set - The list containing the integer values to search for + // @return - A bitfield where each bit indicates whether the corresponding + // integer was found in the legal set. + function [MAX_XCVR_CHANNELS-1:0] map_numerical_is_in_legal_set( + input integer count, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + integer index; + reg [MAX_XCVR_CHANNELS-1:0] retval; + + // Validate count parameter + if(count > MAX_XCVR_CHANNELS) + $display("Error: [map_numerical_is_in_legal_set]: Invalid value for count: %0d",count); + + map_numerical_is_in_legal_set = {MAX_XCVR_CHANNELS{1'b0}}; + retval = {MAX_XCVR_CHANNELS{1'b0}}; + for(index = 0; index < count; index = index + 1) begin + if(is_in_legal_set(int2str(index),set)) + retval = retval | (({MAX_XCVR_CHANNELS{1'b0}} | 1'b1) << index); + end + map_numerical_is_in_legal_set = retval; + endfunction + + + // Accepts a string list of comma seperated numbers and returns a binary + // field where each byte contains the corresponding number found in the + // list. + // + // @param count - The number of elements in the list. + // @param set - The list containing the integer values. + // @return - A bitfield where each byte contains the corresponding number found + // at that location in the list. + function [MAX_XCVR_CHANNELS*8-1:0] map_numerical_legal_set( + input integer count, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + integer index; + reg [MAX_XCVR_CHANNELS-1:0] retval; + reg [MAX_CHARS*8-1:0] str_val; + reg [7:0] int_val; + + // Validate count parameter + if(count > MAX_XCVR_CHANNELS || count > 256) + $display("Error: [map_numerical_legal_set]: Invalid value for count: %0d",count); + + map_numerical_legal_set = {MAX_XCVR_CHANNELS{8'd0}}; + retval = {MAX_XCVR_CHANNELS{8'd0}}; + for(index = 0; index < count; index = index + 1) begin + str_val = get_value_at_index(index,set); + if(str_val != "NA") begin + int_val = str2int(str_val); + if(int_val > 255) + $display("Error: [map_numerical_legal_set]: Invalid string contains non-numerical item or value:%0d",int_val); + else begin + retval = retval | ( ( {MAX_XCVR_CHANNELS{8'd0}} | int_val ) << (index * 8)); + end + end + end + map_numerical_legal_set = retval; + endfunction + + + // Accepts a comma separated list of string values and returns the element + // found at the specified index. If the index is invalid, "NA" is returned + // + // @param index - The index of the value to return within "set" + // @param set - A comma separated list of string values. The entire list may + // be surrounded by parenthesis("(item0,item1,item2)") + function [MAX_CHARS*8-1:0] get_value_at_index( + input integer index, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + // check value against each in set + integer close_pos; // end of string marker can be comma or closing paren + integer open_pos; // open paren is start of set, if appropriate + reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr; + integer cur_index = 0; + + get_value_at_index = ""; + legalstr = "NA"; + cur_index = 0; + open_pos = MAX_STRS*MAX_CHARS-1; + // Remove closing parenthesis if exists + if(set[7:0] == 8'h29) begin + set = (set >> 8); + set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00; + end + // Find the start of the string + while (open_pos >= 1 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null + open_pos = open_pos - 1; + + // Iterate through list until the string is found or we've reached the end of the list + while (legalstr == "NA" && open_pos >= 0 && cur_index <= index) begin + close_pos = open_pos; + // Move the close iterator to the end of the current value (or end of string) + while (close_pos > 0 + && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c) + close_pos = close_pos - 1; + if (close_pos >= 0) begin + close_pos = close_pos == 0 ? 0 : close_pos + 1; + if(index == cur_index) begin + legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8); + end + open_pos = close_pos-2; // prepare to look for next legal string + end + cur_index = cur_index + 1; + end + + cur_index = 0; + while(legalstr[cur_index*8+:8] != 0) begin + get_value_at_index[cur_index*8+:8] = legalstr[cur_index*8+:8]; + cur_index = cur_index + 1; + end + + //$display("is_in_legal_set(): returns %d", is_in_legal_set); + endfunction + + + //////////////////////////////////////////////////////////////////////// + // Calculate the minimum number of bits needed to hold an integer value + // + // Returns ceil_log2() value + localparam integer MAX_PRECISION = 32; // VCS requires this declaration outside the function + function integer ceil_log2; + input [MAX_PRECISION-1:0] input_num; + integer i; + reg [MAX_PRECISION-1:0] try_result; + begin + i = 0; + try_result = 1; + while ((try_result << i) < input_num && i < MAX_PRECISION) + i = i + 1; + ceil_log2 = i; + end + endfunction + + //////////////////////////////////////////////////////////////////// + // Return current device family string for display purposes + `ifndef XCVR_DEV_FAM + `ifdef ALTERA_RESERVED_QIS_FAMILY + `define XCVR_DEV_FAM `ALTERA_RESERVED_QIS_FAMILY // synthesis: use QIS-defined value + `else + `define XCVR_DEV_FAM device_family // simulation: use passed-in value + `endif + `endif + function [MAX_CHARS*8-1:0] current_device_family ( + input [MAX_CHARS*8-1:0] device_family + ); + current_device_family = `XCVR_DEV_FAM; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_s4_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_s4_style_hssi = ( (`XCVR_DEV_FAM == "Stratix IV") + || (`XCVR_DEV_FAM == "Arria II") + || (`XCVR_DEV_FAM == "Cyclone IV GX") // not exact, but close enough + || (`XCVR_DEV_FAM == "Arria II GX") + || (`XCVR_DEV_FAM == "Arria II GZ") + || (`XCVR_DEV_FAM == "HardCopy IV") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_s5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_s5_style_hssi = ( (`XCVR_DEV_FAM == "Stratix V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_a5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_a5_style_hssi = ( (`XCVR_DEV_FAM == "Arria V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_c5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_c5_style_hssi = ( (`XCVR_DEV_FAM == "Cyclone V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_c4_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_c4_style_hssi = ( (`XCVR_DEV_FAM == "Cyclone IV GX") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_gxb bundle width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_to_gxb bundle for that family + function integer get_reconfig_to_gxb_width ( + input [MAX_CHARS*8-1:0] device_family + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_S5_RECONFIG_BUNDLE_TO_XCVR; + else if (has_s4_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_S4_RECONFIG_BUNDLE_TO_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_C4_RECONFIG_BUNDLE_TO_XCVR; + else + get_reconfig_to_gxb_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_gxb bundle width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_gxb bundle for that family + function integer get_reconfig_from_gxb_width ( + input [MAX_CHARS*8-1:0] device_family + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_S5_RECONFIG_BUNDLE_FROM_XCVR; + else if (has_s4_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_S4_RECONFIG_BUNDLE_FROM_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_C4_RECONFIG_BUNDLE_FROM_XCVR; + else + get_reconfig_from_gxb_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_to_xcvr port for that family + function integer get_reconfig_to_width ( + input [MAX_CHARS*8-1:0] device_family, + input integer reconfig_interfaces + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + else if (has_s4_style_hssi(device_family)) + get_reconfig_to_width = W_S4_RECONFIG_BUNDLE_TO_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_to_width = W_C4_RECONFIG_BUNDLE_TO_XCVR; + else + get_reconfig_to_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Stratix V device family + // + function integer get_s5_reconfig_to_width ( + input integer reconfig_interfaces + ); + get_s5_reconfig_to_width = reconfig_interfaces * get_reconfig_to_gxb_width("Stratix V"); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_reconfig_from_width ( + input [MAX_CHARS*8-1:0] device_family, + input integer reconfig_interfaces + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else if (has_s4_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else if (has_c4_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else + get_reconfig_from_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Stratix V device family + // + function integer get_s5_reconfig_from_width ( + input integer reconfig_interfaces + ); + get_s5_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width("Stratix V"); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get number of reconfig interfaces for Custom PHY + // NOTE - !!Has since been used by other PHY IP!! + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of channels + // @param plls - Number of TX plls (per channel) + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function by overloading for ATT support + // - Carry on the abuse + // + // @return 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_interfaces( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = 0; + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin + // ATT specific calculations + if( data_path_type == "ATT" ) begin + if((operation_mode == "RX_ONLY") || (operation_mode == "rx_only") || (operation_mode == "Rx") || (operation_mode == "RX") || (operation_mode == "rx")) begin + reconfig_interfaces = lanes; + end else if((operation_mode == "TX_ONLY") || (operation_mode == "tx_only") || (operation_mode == "Tx") || (operation_mode == "TX") || (operation_mode == "tx")) begin + reconfig_interfaces = 2*lanes; + end else begin + reconfig_interfaces = 3*lanes; + end + end else begin + // Custom PHY calculations + if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + reconfig_interfaces = lanes; + else begin + bonded_group_size = (bonded_mode == "fb_compensation") ? 1 : bonded_group_size; + reconfig_interfaces = lanes+(plls*(lanes/bonded_group_size)); + end + end + end + get_custom_reconfig_interfaces = reconfig_interfaces; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // @param plls - Number of plls per bonded group + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function to support ATT + // + // @return - 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_to_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode ); + get_custom_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // @param plls - Number of plls per bonded group + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function to support ATT + // + // @return - 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_from_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode); + get_custom_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces); + endfunction + + + //////////////////////////////////////////////////////////////////// + // Start Interlaken Specific functions for calculating reconfig interfaces + // and reconfig_to_gxb, reconfig_from_gxb widths + + //////////////////////////////////////////////////////////////////// + // Get number of reconfig interfaces for Interlaken PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_interfaces( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + integer xslices; + integer xremain; + integer totalplls; + + reconfig_interfaces = 0; + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin + if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + reconfig_interfaces = lanes; + else begin + xslices = lanes/bonded_group_size; + xremain = lanes % bonded_group_size; + + if (xremain >0) + totalplls = xslices +1; + else + totalplls = xslices; + reconfig_interfaces = lanes+totalplls; + end // else: !if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + end // if (has_s5_style_hssi(device_family)) + get_interlaken_reconfig_interfaces = reconfig_interfaces; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Interlaken PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_to_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes); + get_interlaken_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_from_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes); + get_interlaken_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces); + endfunction + + // End Interlaken specific functions + //////////////////////////////////////////////////////////////////// + + + + + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/altera_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/altera_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..f5731672ef25f5db829bfa5138a5ea5bee0aec5c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/altera_xcvr_xaui.sv @@ -0,0 +1,510 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: alt_xaui static verilog top level +// +// Authors: bauyeung 7-Sep-2010 +// Modified: ishimony 13-Dec-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +// have separate generate statements for each component + +`timescale 1 ps / 1 ps +import altera_xcvr_functions::*; +(* altera_attribute = "-name IP_TOOL_NAME __ACDS_IP_CORE_NAME__; -name IP_TOOL_VERSION __ACDS_VERSION_SHORT__" *) +module altera_xcvr_xaui #( + parameter device_family = "Stratix IV", // default Stratix IV + parameter starting_channel_number = 0, // only applies to SIV + parameter interface_type = "Hard XAUI", + parameter data_rate = "3125 Mbps", + parameter xaui_pll_type = "CMU", + parameter BASE_DATA_RATE = "3125 Mbps", + parameter use_control_and_status_ports = 0, + parameter external_pma_ctrl_reconf = 0, + parameter recovered_clk_out = 0, + parameter number_of_interfaces = 1, + parameter reconfig_interfaces = 1, + parameter use_rx_rate_match = 0, + parameter tx_termination = "OCT_150_OHMS", + parameter tx_vod_selection = 1, + parameter tx_preemp_pretap = 0, + parameter tx_preemp_pretap_inv = 0, + parameter tx_preemp_tap_1 = 5, + parameter tx_preemp_tap_2 = 0, + parameter tx_preemp_tap_2_inv = 0, + parameter rx_common_mode = "0.82v", + parameter rx_termination = "OCT_150_OHMS", + parameter rx_eq_dc_gain = 0, + parameter rx_eq_ctrl = 14, + parameter mgmt_clk_in_mhz = 50 +) ( + input wire pll_ref_clk, + input wire xgmii_tx_clk, + output wire xgmii_rx_clk, + output wire tx_clk312_5, // dxaui: pma tx out clock, 312.5Mhz + input wire phy_mgmt_clk, + input wire phy_mgmt_clk_reset, + input wire [8:0] phy_mgmt_address, + output wire phy_mgmt_waitrequest, + input wire phy_mgmt_read, + output wire [31:0] phy_mgmt_readdata, + input wire phy_mgmt_write, + input wire [31:0] phy_mgmt_writedata, + input wire [71:0] xgmii_tx_dc, + output wire [71:0] xgmii_rx_dc, + output wire [3:0] xaui_tx_serial_data, + input wire [3:0] xaui_rx_serial_data, + output wire rx_ready, + output wire tx_ready, + output wire [3:0] rx_recovered_clk, // rx recovered clock from cdr + +// only used if use_control_and_status_ports is set + input tri0 rx_analogreset, + input tri0 rx_digitalreset, + input tri0 tx_digitalreset, + output tri0 rx_channelaligned, + input tri0 [3:0] rx_invpolarity, + input tri0 [3:0] rx_set_locktodata, + input tri0 [3:0] rx_set_locktoref, + input tri0 [3:0] rx_seriallpbken, + input tri0 [3:0] tx_invpolarity, + output tri1 [3:0] rx_is_lockedtodata, + output tri0 [3:0] rx_phase_comp_fifo_error, + output tri1 [3:0] rx_is_lockedtoref, + output tri0 [3:0] rx_rlv, + output tri0 [3:0] rx_rmfifoempty, + output tri0 [3:0] rx_rmfifofull, + output tri0 [3:0] tx_phase_comp_fifo_error, + output tri0 [7:0] rx_disperr, + output tri0 [7:0] rx_errdetect, + output tri0 [7:0] rx_patterndetect, + output tri0 [7:0] rx_rmfifodatadeleted, + output tri0 [7:0] rx_rmfifodatainserted, + output tri0 [7:0] rx_runningdisp, + output tri0 [7:0] rx_syncstatus, + + +// only used if external_pma_ctrl_reconf is set + output tri0 [altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces)-1:0] reconfig_from_xcvr, + input tri0 [altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces) -1:0] reconfig_to_xcvr, + output tri0 pll_locked, + input tri0 cal_blk_powerdown, + input tri0 gxb_powerdown, + input tri0 pll_powerdown +// need to add all possible port/param combinations +// these should be set to tri0/1 where possible, so unused ports don't need to be terminated by the user +); + +import altera_xcvr_functions::*; + +localparam reconfig_out_width = altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces); +localparam reconfig_in_width = altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces); + + wire [reconfig_out_width -1:0] l_reconfig_from_xcvr; // local reconfig_from_xcvr + wire [reconfig_in_width -1:0] l_reconfig_to_xcvr; // local reconfig_to_xcvr + + wire [7:0] sc_phy_address; // mgmt.address + wire sc_phy_waitrequest; // .waitrequest + wire sc_phy_read; // .read + wire [31:0] sc_phy_readdata; // .readdata + wire sc_phy_write; // .write + + wire [6:0] sc_reconf_address; // mgmt.address + wire sc_reconf_waitrequest; // .waitrequest + wire sc_reconf_read; // .read + wire [31:0] sc_reconf_readdata; // .readdata + wire sc_reconf_write; // .write + +/////////////////////////////////////////////////////////////////////// +// Custom decoder for multiple slaves of phy-reconfig interface +/////////////////////////////////////////////////////////////////////// +// should be consistent across all device families + alt_xcvr_mgmt2dec_phyreconfig mgmtdec_phyreconfig ( + .mgmt_clk_reset (phy_mgmt_clk_reset), + .mgmt_clk (phy_mgmt_clk), + + .mgmt_address (phy_mgmt_address), + .mgmt_read (phy_mgmt_read), + .mgmt_write (phy_mgmt_write), + .mgmt_readdata (phy_mgmt_readdata), + .mgmt_waitrequest (phy_mgmt_waitrequest), + + // internal interface to 'top' phy block + .sc_phy_readdata (sc_phy_readdata), + .sc_phy_waitrequest (sc_phy_waitrequest), + .sc_phy_address (sc_phy_address), + .sc_phy_read (sc_phy_read), + .sc_phy_write (sc_phy_write), + + // internal interface to 'top' reconfig block + .sc_reconf_readdata (sc_reconf_readdata), + .sc_reconf_waitrequest (sc_reconf_waitrequest), + .sc_reconf_address (sc_reconf_address), + .sc_reconf_read (sc_reconf_read), + .sc_reconf_write (sc_reconf_write) + ); + + +/////////////////////////////////////////////////////////////////////// +// alt_xcvr_reconfig +/////////////////////////////////////////////////////////////////////// +// add generate statement for this +// need to account for external pma reconfig + generate + if (external_pma_ctrl_reconf == 1) begin + assign l_reconfig_to_xcvr = reconfig_to_xcvr; + assign reconfig_from_xcvr = l_reconfig_from_xcvr; + end else if ((interface_type == "Hard XAUI") && ((device_family == "Stratix IV") || (device_family == "HardCopy IV") || (device_family == "Arria II GX") || (device_family == "Arria II GX") || (device_family == "Arria II GZ"))) begin + alt_xcvr_reconfig_siv #( + .number_of_reconfig_interfaces (1) + ) alt_xcvr_reconfig_0 ( + .mgmt_clk_clk (phy_mgmt_clk), + .mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr[16:0]) + ); + end else if ((interface_type == "Soft XAUI") && ((device_family == "Stratix IV") || (device_family == "HardCopy IV"))) begin // Arria II GX/GZ don't support soft xaui + alt_xcvr_reconfig_siv #( + .number_of_reconfig_interfaces (4) + ) alt_xcvr_reconfig_0 ( + .mgmt_clk_clk (phy_mgmt_clk), + .mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr) + ); + end else if ((interface_type == "DDR XAUI") && (device_family == "Stratix IV")) begin + // stub for now - nothing here + end else if (device_family == "Stratix V") begin + // stub for now - nothing here + assign l_reconfig_to_xcvr = reconfig_to_xcvr; + assign reconfig_from_xcvr = l_reconfig_from_xcvr; + end else if (device_family == "Cyclone IV GX") begin + alt_xcvr_reconfig_civ #( + .number_of_reconfig_interfaces (1) + ) alt_xcvr_reconfig_0 ( + .reconfig_mgmt_clk_clk (phy_mgmt_clk), + .reconfig_mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr[16:0]) + ); + end + endgenerate + +/////////////////////////////////////////////////////////////////////// +// alt_xaui_phy - Integrates hxaui (i/f to hxaui_alt_c3gxb), csr, pma +// controller and pma channel controller +/////////////////////////////////////////////////////////////////////// + generate + if (device_family == "Stratix V") begin + sv_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .data_rate (data_rate), + .xaui_pll_type (xaui_pll_type), + .BASE_DATA_RATE (BASE_DATA_RATE), + .use_control_and_status_ports (use_control_and_status_ports), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode), + .bonded_group_size (4), /// allowed values 1=> non-bonded 4=> bonded + .bonded_mode ("xN") /// allowed values "xN" and "fb_compensation" + ) alt_xaui_phy ( + .pll_ref_clk (pll_ref_clk), // refclk.clk + .xgmii_tx_clk (xgmii_tx_clk), // xgmii_tx_clk.clk + .xgmii_rx_clk (xgmii_rx_clk), // xgmii_rx_clk.clk + .phy_mgmt_clk (phy_mgmt_clk), // mgmt_clk.clk + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), // mgmt_clk_rst.reset_n + .phy_mgmt_address (sc_phy_address), // phy_mgmt.address + .phy_mgmt_waitrequest (sc_phy_waitrequest), // .waitrequest + .phy_mgmt_read (sc_phy_read), // .read + .phy_mgmt_readdata (sc_phy_readdata), // .readdata + .phy_mgmt_write (sc_phy_write), // .write + .phy_mgmt_writedata (phy_mgmt_writedata), // .writedata + .xgmii_tx_dc (xgmii_tx_dc), // xgmii_tx_dc.data + .xgmii_rx_dc (xgmii_rx_dc), // xgmii_rx_dc.data + .xaui_tx_serial_data (xaui_tx_serial_data), // xaui_tx_serial.export + .xaui_rx_serial_data (xaui_rx_serial_data), // xaui_rx_serial.export + .rx_digitalreset (rx_digitalreset), // rx_digitalreset.data + .tx_digitalreset (tx_digitalreset), // tx_digitalreset.data + .rx_channelaligned (rx_channelaligned), // rx_channelaligned.data + .rx_syncstatus (rx_syncstatus), // rx_syncstatus.data + .rx_disperr (rx_disperr), // rx_disperr.data + .rx_errdetect (rx_errdetect), // rx_errdetect.data + .rx_ready (rx_ready), // rx_pma_ready.data + .tx_ready (tx_ready), // tx_pma_ready.data + .reconfig_to_xcvr (l_reconfig_to_xcvr), + .reconfig_from_xcvr (l_reconfig_from_xcvr), + .rx_recovered_clk (rx_recovered_clk) + ); + end else if ((interface_type == "DDR XAUI") && (device_family == "Stratix IV")) begin + dxaui_siv #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .tx_vod_selection (tx_vod_selection), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .rx_common_mode (rx_common_mode), + .rx_termination (rx_termination), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .use_rx_rate_match (use_rx_rate_match) +) dxaui_siv ( + .pll_ref_clk (pll_ref_clk), // i + .xgmii_tx_clk (xgmii_tx_clk), // i + .xgmii_rx_clk (xgmii_rx_clk), // o + .tx_clk312_5 (tx_clk312_5), // o + .phy_mgmt_clk (phy_mgmt_clk), // i + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), // i + .phy_mgmt_address (sc_phy_address), // i + .phy_mgmt_waitrequest (sc_phy_waitrequest), // o + .phy_mgmt_read (sc_phy_read), // i + .phy_mgmt_readdata (sc_phy_readdata), // o + .phy_mgmt_write (sc_phy_write), // i + .phy_mgmt_writedata (phy_mgmt_writedata), // i + .xgmii_tx_dc (xgmii_tx_dc), // i + .xgmii_rx_dc (xgmii_rx_dc), // o + .xaui_tx_serial_data (xaui_tx_serial_data), // o + .xaui_rx_serial_data (xaui_rx_serial_data), // i + .rx_ready (rx_ready), // o + .tx_ready (tx_ready), // o + .rx_recovered_clk (rx_recovered_clk), // o + .reconfig_from_xcvr (l_reconfig_from_xcvr), // o + .reconfig_to_xcvr (l_reconfig_to_xcvr), // i + .rx_analogreset (rx_analogreset), // i + .rx_digitalreset (rx_digitalreset), // i + .tx_digitalreset (tx_digitalreset), // i + .rx_channelaligned (rx_channelaligned), // o + .rx_invpolarity (rx_invpolarity), // i + .rx_set_locktodata (rx_set_locktodata), // i + .rx_set_locktoref (rx_set_locktoref), // i + .rx_seriallpbken (rx_seriallpbken), // i + .tx_invpolarity (tx_invpolarity), // i + .rx_is_lockedtodata (rx_is_lockedtodata), // o + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // o + .rx_is_lockedtoref (rx_is_lockedtoref), // o + .rx_rlv (rx_rlv), // o + .rx_rmfifoempty (rx_rmfifoempty), // o + .rx_rmfifofull (rx_rmfifofull), // o + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // o + .rx_disperr (rx_disperr), // o + .rx_errdetect (rx_errdetect), // o + .rx_patterndetect (rx_patterndetect), // o + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // o + .rx_rmfifodatainserted (rx_rmfifodatainserted), // o + .rx_runningdisp (rx_runningdisp), // o + .rx_syncstatus (rx_syncstatus), // o + .pll_locked (pll_locked), // o + .cal_blk_powerdown (cal_blk_powerdown), // i + .gxb_powerdown (gxb_powerdown), // i + .pll_powerdown (pll_powerdown) // i +); // module dxaui_siv + end else if ((device_family == "Stratix IV") || (device_family == "HardCopy IV") ||(device_family == "Arria II GX") || (device_family == "Arria II GZ")) begin + siv_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) xaui_phy ( + .pll_ref_clk (pll_ref_clk), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_tx_clk (xgmii_tx_clk), + .phy_mgmt_clk (phy_mgmt_clk), + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), + .phy_mgmt_address (sc_phy_address), + .phy_mgmt_read (sc_phy_read), + .phy_mgmt_readdata (sc_phy_readdata), + .phy_mgmt_waitrequest (sc_phy_waitrequest), + .phy_mgmt_write (sc_phy_write), + .phy_mgmt_writedata (phy_mgmt_writedata), + .xaui_rx_serial_data (xaui_rx_serial_data), + .xaui_tx_serial_data (xaui_tx_serial_data), + .xgmii_rx_dc (xgmii_rx_dc), + .xgmii_tx_dc (xgmii_tx_dc), + .rx_ready (rx_ready), + .tx_ready (tx_ready), + .rx_recovered_clk (rx_recovered_clk), //o + .reconfig_from_xcvr (l_reconfig_from_xcvr), + .reconfig_to_xcvr (l_reconfig_to_xcvr), + +// optional control and status ports - will be terminated by tri0 if unconnected + .rx_analogreset (rx_analogreset), // input wire + .rx_digitalreset (rx_digitalreset), // input wire [3:0] + .tx_digitalreset (tx_digitalreset), // input wire [3:0] + .rx_channelaligned (rx_channelaligned), // output wire + .rx_invpolarity (rx_invpolarity), // input wire [3:0] + .rx_set_locktodata (rx_set_locktodata), // input wire [3:0] + .rx_set_locktoref (rx_set_locktoref), // input wire [3:0] + .rx_seriallpbken (rx_seriallpbken), // input wire [3:0] + .tx_invpolarity (tx_invpolarity), // input wire [3:0] + .rx_is_lockedtodata (rx_is_lockedtodata), // output wire [3:0] + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // output wire [3:0] + .rx_is_lockedtoref (rx_is_lockedtoref), // output wire [3:0] + .rx_rlv (rx_rlv), // output wire [3:0] + .rx_rmfifoempty (rx_rmfifoempty), // output wire [3:0] + .rx_rmfifofull (rx_rmfifofull), // output wire [3:0] + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // output wire [3:0] + .rx_disperr (rx_disperr), // output wire [7:0] + .rx_errdetect (rx_errdetect), // output wire [7:0] + .rx_patterndetect (rx_patterndetect), // output wire [7:0] + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // output wire [7:0] + .rx_rmfifodatainserted (rx_rmfifodatainserted), // output wire [7:0] + .rx_runningdisp (rx_runningdisp), // output wire [7:0] + .rx_syncstatus (rx_syncstatus), // output wire [7:0] + +// external_pma_ctrl_reconf + .pll_locked (pll_locked), // output wire + .cal_blk_powerdown (cal_blk_powerdown), // input wire + .gxb_powerdown (gxb_powerdown), // input wire + .pll_powerdown (pll_powerdown) // input wire + ); + end else if (device_family == "Cyclone IV GX") begin +// need to add the extra optional ports for c&s and ext_pma + civ_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) xaui_phy ( + .pll_ref_clk (pll_ref_clk), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_tx_clk (xgmii_tx_clk), + .phy_mgmt_clk (phy_mgmt_clk), + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), + .phy_mgmt_address (sc_phy_address), + .phy_mgmt_read (sc_phy_read), + .phy_mgmt_readdata (sc_phy_readdata), + .phy_mgmt_waitrequest (sc_phy_waitrequest), + .phy_mgmt_write (sc_phy_write), + .phy_mgmt_writedata (phy_mgmt_writedata), + .xaui_rx_serial_data (xaui_rx_serial_data), + .xaui_tx_serial_data (xaui_tx_serial_data), + .xgmii_rx_dc (xgmii_rx_dc), + .xgmii_tx_dc (xgmii_tx_dc), + .rx_ready (rx_ready), + .tx_ready (tx_ready), + .rx_recovered_clk (rx_recovered_clk), //o + .reconfig_from_xcvr (l_reconfig_from_xcvr[16:0]), + .reconfig_to_xcvr (l_reconfig_to_xcvr), + +// optional control and status ports - will be terminated by tri0 if unconnected + .rx_analogreset (rx_analogreset), // input wire + .rx_digitalreset (rx_digitalreset), // input wire [3:0] + .tx_digitalreset (tx_digitalreset), // input wire [3:0] + .rx_channelaligned (rx_channelaligned), // output wire + .rx_invpolarity (rx_invpolarity), // input wire [3:0] + .rx_set_locktodata (rx_set_locktodata), // input wire [3:0] + .rx_set_locktoref (rx_set_locktoref), // input wire [3:0] + .rx_seriallpbken (rx_seriallpbken), // input wire [3:0] + .tx_invpolarity (tx_invpolarity), // input wire [3:0] + .rx_is_lockedtodata (rx_is_lockedtodata), // output wire [3:0] + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // output wire [3:0] + .rx_is_lockedtoref (rx_is_lockedtoref), // output wire [3:0] + .rx_rlv (rx_rlv), // output wire [3:0] + .rx_rmfifoempty (rx_rmfifoempty), // output wire [3:0] + .rx_rmfifofull (rx_rmfifofull), // output wire [3:0] + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // output wire [3:0] + .rx_disperr (rx_disperr), // output wire [7:0] + .rx_errdetect (rx_errdetect), // output wire [7:0] + .rx_patterndetect (rx_patterndetect), // output wire [7:0] + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // output wire [7:0] + .rx_rmfifodatainserted (rx_rmfifodatainserted), // output wire [7:0] + .rx_runningdisp (rx_runningdisp), // output wire [7:0] + .rx_syncstatus (rx_syncstatus), // output wire [7:0] + +// external_pma_ctrl_reconf + .pll_locked (pll_locked), // output wire + .cal_blk_powerdown (cal_blk_powerdown), // input wire + .gxb_powerdown (gxb_powerdown), // input wire + .pll_powerdown (pll_powerdown) // input wire + ); + end + endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui.v new file mode 100644 index 0000000000000000000000000000000000000000..de12fe1dceb673e679f042133e3e4c48d0c3e778 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui.v @@ -0,0 +1,499 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: hxaui - instantiates hard xaui and shim layer +// +// Authors: ishimony 14-Jan-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +// // +//----------------------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module hxaui( + xgmii_tx_clk, refclk, xgmii_tx_dc, xgmii_rx_clk, xgmii_rx_dc, xaui_rx_serial, + xaui_tx_serial, rx_analogreset, rx_digitalreset, + tx_digitalreset, rx_channelaligned, rx_invpolarity, rx_set_locktodata, + rx_set_locktoref, rx_seriallpbken, tx_invpolarity, rx_is_lockedtodata, + rx_phase_comp_fifo_error, rx_is_lockedtoref, rx_rlv, rx_rmfifoempty, + rx_rmfifofull, tx_phase_comp_fifo_error, rx_disperr, rx_errdetect, + rx_patterndetect, rx_rmfifodatadeleted, rx_rmfifodatainserted, rx_recovered_clk, + rx_runningdisp, rx_syncstatus, reconfig_togxb, reconfig_fromgxb, + reconfig_clk, cal_blk_clk, cal_blk_powerdown, + gxb_powerdown, pll_powerdown, pll_locked, r_cal_blk_powerdown, + r_gxb_powerdown, r_pll_powerdown, r_rx_set_locktodata, r_rx_set_locktoref, + r_rx_seriallpbken, r_rx_analogreset, r_rx_digitalreset, r_tx_digitalreset, + r_rx_invpolarity, r_tx_invpolarity +); // module hxaui + +// parameters -------------------------------------------------------------- +parameter starting_channel_number = 0; +parameter xaui_pll_type = "CMU"; // values: CMU/LCTANK +parameter use_control_and_status_ports = "true"; +parameter device_family = "Stratix IV"; +parameter external_pma_ctrl_reconf = "true"; + + //analog parameters +parameter tx_termination = "OCT_100_OHMS"; //Valid values for SIV/AII - OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + //Valid values for CIV - OCT_100_OHMS,OCT_150_OHMS +parameter rx_termination = "OCT_100_OHMS"; //Valid values for SIV/AII - OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + //Valid values for CIV - OCT_100_OHMS,OCT_150_OHMS +parameter rx_common_mode = "0.82v"; + +parameter tx_preemp_pretap = 0;//0-7 +parameter tx_preemp_pretap_inv = "FALSE";//TRUE or FALSE. +parameter tx_preemp_tap_1 = 5; //Valid values for SIV/AII - 0-15 + //Valid values for CIV - 0-31 +parameter tx_preemp_tap_2 = 0; //0-7 +parameter tx_preemp_tap_2_inv = "FALSE";//TRUE or FALSE. +parameter tx_vod_selection = 1;//0-7 + +parameter rx_eq_dc_gain = 0; //Valid values for SIV/AII - 0-4 + //Valid values for CIV - 0-3 +parameter rx_eq_ctrl = 14;//0-16 + + +localparam rx_term = ((rx_termination == "OCT_85_OHMS") ? "OCT 85 OHMS" : ((rx_termination == "OCT_100_OHMS") ? "OCT 100 OHMS" : + ((rx_termination == "OCT_120_OHMS") ? "OCT 120 OHMS" : ((rx_termination == "OCT_150_OHMS") ? "OCT 150 OHMS" : "NONE")))); +localparam tx_term = ((tx_termination == "OCT_85_OHMS") ? "OCT 85 OHMS" : ((tx_termination == "OCT_100_OHMS") ? "OCT 100 OHMS" : + ((tx_termination == "OCT_120_OHMS") ? "OCT 120 OHMS" : ((tx_termination == "OCT_150_OHMS") ? "OCT 150 OHMS" : "NONE")))); +localparam tx_preemp_ptinv = (tx_preemp_pretap_inv == 1) ? "TRUE" : "FALSE"; +localparam tx_preemp_t2inv = (tx_preemp_tap_2_inv == 1) ? "TRUE" : "FALSE"; + +/*Equalization settings*/ +/*Deepak - Found an SPR (287703) that says there is a difference in legality check between SIV and AII. Arria II has a restricted equalization and preemphasis setting. In the case of equalization, EQA, B,C, D and V can all be 0 or 1 whereas on TGX they can all be 0 to 7. Recheck with Brian on whether the following code is the correct way to change it*/ + +// Arria II GZ uses SIV EQ settings, so don't add it to this if statement +localparam rx_eqa_ctrl = (device_family=="Arria II GX") ? ((rx_eq_ctrl >10)? 1 :0) : ((rx_eq_ctrl >10)? 7 :0); +localparam rx_eqb_ctrl = (device_family=="Arria II GX") ? ((rx_eq_ctrl >6) ? 1 :0) : ((rx_eq_ctrl >6) ? 7 :0); +localparam rx_eqc_ctrl = (device_family=="Arria II GX") ? ((rx_eq_ctrl >3) ? 1 :0) : ((rx_eq_ctrl >3) ? 7 :0); +localparam rx_eqd_ctrl = (device_family=="Arria II GX") ? ((rx_eq_ctrl >0) ? 1 :0) : ((rx_eq_ctrl >0) ? 7 :0); +localparam rx_eqv_ctrl = (device_family=="Arria II GX") ? (((rx_eq_ctrl==2 | rx_eq_ctrl==5 | rx_eq_ctrl==8 | rx_eq_ctrl==13)? 1 : + ((rx_eq_ctrl==3 | rx_eq_ctrl==6 | rx_eq_ctrl==10 | rx_eq_ctrl==15)? 1 : + ((rx_eq_ctrl==9 | rx_eq_ctrl==14 )? 1 : + (rx_eq_ctrl==12)? 1 : 0)))): + (((rx_eq_ctrl==2 | rx_eq_ctrl==5 | rx_eq_ctrl==8 | rx_eq_ctrl==13)? 4 : + ((rx_eq_ctrl==3 | rx_eq_ctrl==6 | rx_eq_ctrl==10 | rx_eq_ctrl==15)? 7 : + ((rx_eq_ctrl==9 | rx_eq_ctrl==14 )? 5 : + (rx_eq_ctrl==12)? 3 : 0)))); + +//Deepak:Cyclone IV GX reconfig_fromgxb_width = 4. But we keep it as 16 for backward compatibility with Stratix IV +localparam RECONFIG_FROMGXB_WIDTH = 16; + +// ports ------------------------------------------------------------------- + +// xgmii +input xgmii_tx_clk; +input refclk; +input [71:0] xgmii_tx_dc; +output xgmii_rx_clk; +output [71:0] xgmii_rx_dc; + +// xaui +input [3:0] xaui_rx_serial; +output [3:0] xaui_tx_serial; + +// clock_reset +input rx_analogreset; +input [3:0] rx_digitalreset; //SPR 346070 +input [3:0] tx_digitalreset; //SPR 346070 + +// ctrl_stat: control and status +output rx_channelaligned; +input [3:0] rx_invpolarity; +input [3:0] rx_set_locktodata; // should be [3:0] +input [3:0] rx_set_locktoref; // should be [3:0] +input [3:0] rx_seriallpbken; // should be [3:0] +input [3:0] tx_invpolarity; +output [3:0] rx_is_lockedtodata; // should be [3:0] +output [3:0] rx_phase_comp_fifo_error; +output [3:0] rx_is_lockedtoref; // should be [3:0] +output [3:0] rx_rlv; +output [3:0] rx_rmfifoempty; +output [3:0] rx_rmfifofull; +output [3:0] tx_phase_comp_fifo_error; +output [7:0] rx_disperr; +output [7:0] rx_errdetect; +output [7:0] rx_patterndetect; +output [7:0] rx_rmfifodatadeleted; +output [7:0] rx_rmfifodatainserted; +output [7:0] rx_runningdisp; +output [7:0] rx_syncstatus; +output [3:0] rx_recovered_clk; + +// reconfig +input [3:0] reconfig_togxb; +output [RECONFIG_FROMGXB_WIDTH:0] reconfig_fromgxb; +input reconfig_clk; + +// pma control +input cal_blk_clk; +input gxb_powerdown; +input cal_blk_powerdown; +input pll_powerdown; +output pll_locked; + +input r_gxb_powerdown; +input r_cal_blk_powerdown; +input r_pll_powerdown; +input [3:0] r_rx_set_locktodata; // should be [3:0] +input [3:0] r_rx_set_locktoref; // should be [3:0] +input [3:0] r_rx_seriallpbken; // should be [3:0] +input [3:0] r_rx_analogreset; // should be width 1 +input r_rx_digitalreset; +input r_tx_digitalreset; +input [3:0] r_rx_invpolarity; +input [3:0] r_tx_invpolarity; + + +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + +// ports ------------------------------------------------------------------- + +wire xgmii_tx_clk; +wire [71:0] xgmii_tx_dc; +wire xgmii_rx_clk; +wire [71:0] xgmii_rx_dc; +wire [3:0] xaui_rx_serial; +wire [3:0] xaui_tx_serial; +wire [3:0] rx_cruclk; +wire rx_analogreset; +wire [3:0] rx_digitalreset; //SPR 346070 +wire [3:0] tx_digitalreset; //SPR 346070 +wire rx_channelaligned; +wire [3:0] rx_invpolarity; +wire [3:0] rx_set_locktodata; +wire [3:0] rx_set_locktoref; +wire [3:0] rx_seriallpbken; +wire [3:0] tx_invpolarity; +wire [3:0] rx_is_lockedtodata; +wire [3:0] rx_phase_comp_fifo_error; +wire [3:0] rx_is_lockedtoref; +wire [3:0] rx_rlv; +wire [3:0] rx_rmfifoempty; +wire [3:0] rx_rmfifofull; +wire [3:0] tx_phase_comp_fifo_error; +wire [7:0] rx_disperr; +wire [7:0] rx_errdetect; +wire [7:0] rx_patterndetect; +wire [7:0] rx_rmfifodatadeleted; +wire [7:0] rx_rmfifodatainserted; +wire [7:0] rx_runningdisp; +wire [7:0] rx_syncstatus; +wire [3:0] reconfig_togxb; +wire [RECONFIG_FROMGXB_WIDTH:0] reconfig_fromgxb; +wire reconfig_clk; +wire cal_blk_clk; +wire cal_blk_powerdown; +wire gxb_powerdown; +wire pll_powerdown; +wire pll_locked; + + +// locals ------------------------------------------------------------------ +wire [7:0] xgmii_tx_c; +wire [63:0] xgmii_tx_d; +wire [7:0] xgmii_rx_c; +wire [63:0] xgmii_rx_d; + +// local version +wire l_cal_blk_powerdown; +wire l_gxb_powerdown; +wire l_rx_analogreset; +wire l_rx_digitalreset; +wire l_tx_digitalreset; +wire l_pll_powerdown; +wire [3:0] l_rx_invpolarity; +wire [3:0] l_rx_set_locktodata; +wire [3:0] l_rx_set_locktoref; +wire [3:0] l_rx_seriallpbken; +wire [3:0] l_tx_invpolarity; + +// register file version +wire r_gxb_powerdown; +wire r_cal_blk_powerdown; +wire [3:0] r_rx_analogreset; +wire r_rx_digitalreset; +wire r_tx_digitalreset; +wire r_pll_powerdown; +wire [3:0] r_rx_invpolarity; +wire [3:0] r_rx_set_locktodata; +wire [3:0] r_rx_set_locktoref; +wire [3:0] r_rx_seriallpbken; +wire [3:0] r_tx_invpolarity; + + +// hard xaui signals ------------------------------------------------------- +wire coreclkout; +wire pll_inclk; +wire [7:0] tx_ctrlenable; +wire [63:0] tx_datain; +wire [7:0] rx_ctrldetect; +wire [63:0] rx_dataout; +wire [3:0] rx_datain; +wire [3:0] tx_dataout; +wire [3:0] tx_coreclk; + +// body -------------------------------------------------------------------- + +// Convert to/from Avalon Streaming Interface single bus to data + control +genvar g; +generate + for (g = 0; g < 8; g = g + 1) begin : st_to_dc_b + assign xgmii_tx_d [g*8 +: 8] = xgmii_tx_dc[g*9 +: 8]; + assign xgmii_tx_c [g] = xgmii_tx_dc[g*9 + 8]; + assign xgmii_rx_dc[g*9 +: 8] = xgmii_rx_d [g*8 +: 8]; + assign xgmii_rx_dc[g*9 + 8] = xgmii_rx_c [g]; + end +endgenerate + +// Default values in case ports are not and without control/status registers +generate + if (use_control_and_status_ports == "true" & external_pma_ctrl_reconf == "false" ) begin: use_cs_ports_true + assign l_cal_blk_powerdown = cal_blk_powerdown | r_cal_blk_powerdown; + assign l_gxb_powerdown = gxb_powerdown | r_gxb_powerdown; + assign l_pll_powerdown = pll_powerdown | r_pll_powerdown; + assign l_rx_analogreset = rx_analogreset | r_rx_analogreset[0]; + assign l_rx_digitalreset = |rx_digitalreset | r_rx_digitalreset; //SPR 346070 + assign l_rx_invpolarity = rx_invpolarity | r_rx_invpolarity; + assign l_rx_set_locktodata = rx_set_locktodata[3:0] | + r_rx_set_locktodata[3:0]; + assign l_rx_set_locktoref = rx_set_locktoref[3:0] | + r_rx_set_locktoref[3:0]; + assign l_rx_seriallpbken = rx_seriallpbken[3:0] | + r_rx_seriallpbken[3:0]; + assign l_tx_digitalreset = |tx_digitalreset | r_tx_digitalreset; //SPR 346070 + assign l_tx_invpolarity = tx_invpolarity | r_tx_invpolarity; + end + else if (external_pma_ctrl_reconf == "true" ) begin: use_extern_ctrl_true + assign l_cal_blk_powerdown = cal_blk_powerdown ; + assign l_gxb_powerdown = gxb_powerdown ; + assign l_pll_powerdown = pll_powerdown ; + assign l_rx_analogreset = rx_analogreset | r_rx_analogreset[0]; + assign l_rx_digitalreset = |rx_digitalreset | r_rx_digitalreset; //SPR 346070 + assign l_rx_invpolarity = rx_invpolarity | r_rx_invpolarity; + assign l_rx_set_locktodata = rx_set_locktodata[3:0] | + r_rx_set_locktodata[3:0]; + assign l_rx_set_locktoref = rx_set_locktoref[3:0] | + r_rx_set_locktoref[3:0]; + assign l_rx_seriallpbken = rx_seriallpbken[3:0] | + r_rx_seriallpbken[3:0]; + assign l_tx_digitalreset = |tx_digitalreset | r_tx_digitalreset; //SPR 346070 + assign l_tx_invpolarity = tx_invpolarity | r_tx_invpolarity; + end + else begin: use_cs_ports_false + assign l_cal_blk_powerdown = r_cal_blk_powerdown; + assign l_gxb_powerdown = r_gxb_powerdown; + assign l_pll_powerdown = r_pll_powerdown; + assign l_rx_analogreset = r_rx_analogreset[0]; + assign l_rx_digitalreset = r_rx_digitalreset | |rx_digitalreset; + assign l_rx_invpolarity = r_rx_invpolarity; + assign l_rx_set_locktodata = r_rx_set_locktodata[3:0]; + assign l_rx_set_locktoref = r_rx_set_locktoref[3:0]; + assign l_rx_seriallpbken = r_rx_seriallpbken[3:0]; + assign l_tx_digitalreset = r_tx_digitalreset | |tx_digitalreset; + assign l_tx_invpolarity = r_tx_invpolarity; + end +endgenerate + +// hard xaui -------------------------------------------------------------- + +// interleave shim +assign tx_datain[ 0 +: 8] = xgmii_tx_d[ 0 +: 8]; +assign tx_datain[ 8 +: 8] = xgmii_tx_d[32 +: 8]; +assign tx_datain[16 +: 8] = xgmii_tx_d[ 8 +: 8]; +assign tx_datain[24 +: 8] = xgmii_tx_d[40 +: 8]; +assign tx_datain[32 +: 8] = xgmii_tx_d[16 +: 8]; +assign tx_datain[40 +: 8] = xgmii_tx_d[48 +: 8]; +assign tx_datain[48 +: 8] = xgmii_tx_d[24 +: 8]; +assign tx_datain[56 +: 8] = xgmii_tx_d[56 +: 8]; + +assign tx_ctrlenable[0] = xgmii_tx_c[0]; +assign tx_ctrlenable[1] = xgmii_tx_c[4]; +assign tx_ctrlenable[2] = xgmii_tx_c[1]; +assign tx_ctrlenable[3] = xgmii_tx_c[5]; +assign tx_ctrlenable[4] = xgmii_tx_c[2]; +assign tx_ctrlenable[5] = xgmii_tx_c[6]; +assign tx_ctrlenable[6] = xgmii_tx_c[3]; +assign tx_ctrlenable[7] = xgmii_tx_c[7]; + +assign xgmii_rx_d[ 0 +: 8] = rx_dataout[ 0 +: 8]; +assign xgmii_rx_d[ 8 +: 8] = rx_dataout[16 +: 8]; +assign xgmii_rx_d[16 +: 8] = rx_dataout[32 +: 8]; +assign xgmii_rx_d[24 +: 8] = rx_dataout[48 +: 8]; +assign xgmii_rx_d[32 +: 8] = rx_dataout[ 8 +: 8]; +assign xgmii_rx_d[40 +: 8] = rx_dataout[24 +: 8]; +assign xgmii_rx_d[48 +: 8] = rx_dataout[40 +: 8]; +assign xgmii_rx_d[56 +: 8] = rx_dataout[56 +: 8]; + +assign xgmii_rx_c[0] = rx_ctrldetect[0]; +assign xgmii_rx_c[1] = rx_ctrldetect[2]; +assign xgmii_rx_c[2] = rx_ctrldetect[4]; +assign xgmii_rx_c[3] = rx_ctrldetect[6]; +assign xgmii_rx_c[4] = rx_ctrldetect[1]; +assign xgmii_rx_c[5] = rx_ctrldetect[3]; +assign xgmii_rx_c[6] = rx_ctrldetect[5]; +assign xgmii_rx_c[7] = rx_ctrldetect[7]; + +// translate signal names +assign pll_inclk = refclk; +assign xgmii_rx_clk = coreclkout; +assign rx_datain = xaui_rx_serial; +assign xaui_tx_serial = tx_dataout; +assign rx_cruclk = {4{refclk}}; +assign tx_coreclk = {4{xgmii_tx_clk}}; + +// hard pcs instantiation +generate +if((device_family=="Stratix IV") || (device_family=="HardCopy IV") || (device_family=="Arria II GX") || (device_family=="Arria II GZ")) begin: use_device_family_siv_sv +hxaui_alt4gxb #( + .starting_channel_number(starting_channel_number), + .receiver_termination(rx_term), + .transmitter_termination(tx_term), + .preemphasis_ctrl_pretap_setting(tx_preemp_pretap), + .preemphasis_ctrl_pretap_inv_setting(tx_preemp_ptinv), + .preemphasis_ctrl_1stposttap_setting(tx_preemp_tap_1), + .preemphasis_ctrl_2ndposttap_setting(tx_preemp_tap_2), + .preemphasis_ctrl_2ndposttap_inv_setting(tx_preemp_t2inv), + .vod_ctrl_setting(tx_vod_selection), + .rx_common_mode(rx_common_mode), + .equalizer_ctrl_a_setting(rx_eqa_ctrl), + .equalizer_ctrl_b_setting(rx_eqb_ctrl), + .equalizer_ctrl_c_setting(rx_eqc_ctrl), + .equalizer_ctrl_d_setting(rx_eqd_ctrl), + .equalizer_ctrl_v_setting(rx_eqv_ctrl), + .equalizer_dcgain_setting(rx_eq_dc_gain) +)hxaui_alt4gxb( + .cal_blk_clk (cal_blk_clk), // i + .cal_blk_powerdown (l_cal_blk_powerdown), // i + .gxb_powerdown (l_gxb_powerdown), // i + .pll_inclk (pll_inclk), // i + .pll_powerdown (l_pll_powerdown), // i + .reconfig_clk (reconfig_clk), // i + .reconfig_togxb (reconfig_togxb), // i + .rx_analogreset (l_rx_analogreset), // i + .rx_cruclk (rx_cruclk), + .rx_datain (rx_datain), // i + .rx_digitalreset (l_rx_digitalreset), // i + .rx_invpolarity (l_rx_invpolarity), // i + .rx_locktodata (l_rx_set_locktodata), // i + .rx_locktorefclk (l_rx_set_locktoref), // i + .rx_seriallpbken (l_rx_seriallpbken), // i + .tx_coreclk (tx_coreclk), // i - user should tie this to xgmii_rx_clk at top level, if not used + .tx_ctrlenable (tx_ctrlenable), + .tx_datain (tx_datain), // i + .tx_digitalreset (l_tx_digitalreset), // i + .tx_invpolarity (l_tx_invpolarity), // i + .coreclkout (coreclkout), // o + .pll_locked (pll_locked), // o + .reconfig_fromgxb (reconfig_fromgxb), // o + .rx_channelaligned (rx_channelaligned), // o + .rx_ctrldetect (rx_ctrldetect), // o + .rx_dataout (rx_dataout), // o + .rx_disperr (rx_disperr), // o + .rx_errdetect (rx_errdetect), // o + .rx_freqlocked (rx_is_lockedtodata[3:0]), // o + .rx_patterndetect (rx_patterndetect), // o + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // o + .rx_pll_locked (rx_is_lockedtoref[3:0]), // o + .rx_rlv (rx_rlv), // o + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // o + .rx_rmfifodatainserted (rx_rmfifodatainserted), // o + .rx_rmfifoempty (rx_rmfifoempty), // o + .rx_rmfifofull (rx_rmfifofull), // o + .rx_runningdisp (rx_runningdisp), // o + .rx_recovered_clk (rx_recovered_clk), // o + .rx_syncstatus (rx_syncstatus), // o + .tx_dataout (tx_dataout), // o + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error) // o +); +defparam + hxaui_alt4gxb.starting_channel_number = starting_channel_number; +end + +if((device_family=="Cyclone IV GX")) begin: use_device_family_civ + +//Deepak : from Mei Yin Tan, PN +//Cyclone IV GX: +//if you are using duplex design, both the tx and rx is sharing the same ALTPLL, so the pll_locked will determine whether the pll is locked. +//assign rx_is_lockedtoref[3:0] = {4{pll_locked}}; +assign rx_is_lockedtoref[3:0] = rx_is_lockedtodata[3:0]; + + +hxaui_alt_c3gxb #( + .starting_channel_number(starting_channel_number), + .receiver_termination(rx_term), + .transmitter_termination(tx_term), + .preemphasis_ctrl_1stposttap_setting(tx_preemp_tap_1), + .vod_ctrl_setting(tx_vod_selection), + .rx_common_mode(rx_common_mode), + .equalizer_dcgain_setting(rx_eq_dc_gain) +)hxaui_alt_c3gxb ( + + .cal_blk_clk (cal_blk_clk), // i + .cal_blk_powerdown (l_cal_blk_powerdown), // i + .gxb_powerdown (l_gxb_powerdown), // i + .pll_inclk (pll_inclk), // i + .pll_powerdown (l_pll_powerdown), // i + .reconfig_clk (reconfig_clk), // i + .reconfig_togxb (reconfig_togxb), // i + .rx_analogreset (l_rx_analogreset), // i + .rx_datain (rx_datain), // i + .rx_digitalreset (l_rx_digitalreset), // i + .rx_invpolarity (l_rx_invpolarity), // i + .rx_locktodata (l_rx_set_locktodata), // i + .rx_locktorefclk (l_rx_set_locktoref), // i + + .tx_coreclk (tx_coreclk), // i - user should tie this to xgmii_rx_clk at top level, if not used + .tx_ctrlenable (tx_ctrlenable), + .tx_datain (tx_datain), // i + .tx_digitalreset (l_tx_digitalreset), // i + .tx_invpolarity (l_tx_invpolarity), // i + .coreclkout (coreclkout), // o + .pll_locked (pll_locked), // o + .reconfig_fromgxb (reconfig_fromgxb[4:0]), // o - alt3gxb uses only lower 5 bits of 17 bits from Stratix IV + .rx_channelaligned (rx_channelaligned), // o + .rx_ctrldetect (rx_ctrldetect), // o + .rx_dataout (rx_dataout), // o + .rx_disperr (rx_disperr), // o + .rx_errdetect (rx_errdetect), // o + .rx_freqlocked (rx_is_lockedtodata[3:0]), // o + .rx_patterndetect (rx_patterndetect), // o + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // o + .rx_rlv (rx_rlv), // o + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // o + .rx_rmfifodatainserted (rx_rmfifodatainserted), // o + .rx_rmfifoempty (rx_rmfifoempty), // o + .rx_rmfifofull (rx_rmfifofull), // o + .rx_recovered_clk (rx_recovered_clk), // o + .rx_runningdisp (rx_runningdisp), // o + .rx_syncstatus (rx_syncstatus), // o + .tx_dataout (tx_dataout), // o + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error) // o +); +defparam + hxaui_alt_c3gxb.starting_channel_number = starting_channel_number; + +assign reconfig_fromgxb[16:5] = 12'b0; +end +endgenerate + +endmodule // alt_xaui + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui_alt4gxb.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui_alt4gxb.v new file mode 100644 index 0000000000000000000000000000000000000000..3c73ae92cf771e2eb4711d03be66a8166501ab4d --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui_alt4gxb.v @@ -0,0 +1,4048 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// megafunction wizard: %ALTGX% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: alt4gxb + +// ============================================================ +// File Name: hxaui_alt4gxb.v +// Megafunction Name(s): +// alt4gxb +// +// Simulation Library Files(s): +// stratixiv_hssi +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 9.1 Build 301 01/06/2010 SP 1 SJ Full Version +// ************************************************************ + + +//Copyright (C) 1991-2010 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +//alt4gxb CBX_AUTO_BLACKBOX="ALL" coreclkout_control_width=1 device_family="Stratix IV" effective_data_rate="3125 Mbps" enable_lc_tx_pll="false" equalizer_ctrl_a_setting=0 equalizer_ctrl_b_setting=0 equalizer_ctrl_c_setting=0 equalizer_ctrl_d_setting=0 equalizer_ctrl_v_setting=0 equalizer_dcgain_setting=0 gen_reconfig_pll="false" gx_channel_type="auto" gxb_analog_power="AUTO" gxb_powerdown_width=1 input_clock_frequency="156.25 MHz" intended_device_speed_grade="2" intended_device_variant="GX" loopback_mode="slb" number_of_channels=4 number_of_quads=1 operation_mode="duplex" pll_control_width=1 pll_pfd_fb_mode="internal" preemphasis_ctrl_1stposttap_setting=0 preemphasis_ctrl_2ndposttap_inv_setting="false" preemphasis_ctrl_2ndposttap_setting=0 preemphasis_ctrl_pretap_inv_setting="false" preemphasis_ctrl_pretap_setting=0 protocol="xaui" receiver_termination="OCT_100_OHMS" reconfig_calibration="true" reconfig_dprio_mode=0 reconfig_fromgxb_port_width=17 reconfig_togxb_port_width=4 rx_8b_10b_mode="normal" rx_align_pattern="0101111100" rx_align_pattern_length=10 rx_allow_align_polarity_inversion="true" rx_allow_pipe_polarity_inversion="false" rx_bitslip_enable="false" rx_byte_ordering_mode="none" rx_channel_bonding="x4" rx_channel_width=16 rx_common_mode="0.82v" rx_cru_bandwidth_type="auto" rx_cru_inclock0_period=6400 rx_cru_m_divider=10 rx_cru_n_divider=1 rx_cru_vco_post_scale_divider=2 rx_data_rate=3125 rx_data_rate_remainder=0 rx_datapath_protocol="xaui" rx_digitalreset_port_width=1 rx_dwidth_factor=2 rx_enable_bit_reversal="false" rx_enable_lock_to_data_sig="true" rx_enable_lock_to_refclk_sig="true" rx_enable_self_test_mode="false" rx_force_signal_detect="true" rx_ppmselect=32 rx_rate_match_fifo_mode="normal" rx_rate_match_pattern1="1101000011" rx_rate_match_pattern2="0010111100" rx_rate_match_pattern_size=10 rx_run_length=40 rx_run_length_enable="true" rx_signal_detect_loss_threshold=1 rx_signal_detect_threshold=2 rx_signal_detect_valid_threshold=1 rx_use_align_state_machine="true" rx_use_clkout="false" rx_use_coreclk="false" rx_use_cruclk="true" rx_use_deserializer_double_data_mode="false" rx_use_deskew_fifo="true" rx_use_double_data_mode="true" rx_use_external_termination="false" rx_use_rate_match_pattern1_only="false" rx_word_aligner_num_byte=1 starting_channel_number=0 transmitter_termination="OCT_100_OHMS" tx_8b_10b_mode="normal" tx_allow_polarity_inversion="true" tx_analog_power="AUTO" tx_channel_bonding="x4" tx_channel_width=16 tx_clkout_width=4 tx_common_mode="0.65v" tx_data_rate=3125 tx_data_rate_remainder=0 tx_digitalreset_port_width=1 tx_dwidth_factor=2 tx_enable_bit_reversal="false" tx_enable_self_test_mode="false" tx_pll_bandwidth_type="auto" tx_pll_clock_post_divider=1 tx_pll_inclk0_period=6400 tx_pll_m_divider=10 tx_pll_n_divider=1 tx_pll_type="CMU" tx_pll_vco_post_scale_divider=2 tx_slew_rate="low" tx_transmit_protocol="xaui" tx_use_coreclk="true" tx_use_double_data_mode="true" tx_use_external_termination="false" tx_use_serializer_double_data_mode="false" use_calibration_block="true" vod_ctrl_setting=4 cal_blk_clk cal_blk_powerdown coreclkout gxb_powerdown pll_inclk pll_locked pll_powerdown reconfig_clk reconfig_fromgxb reconfig_togxb rx_analogreset rx_channelaligned rx_cruclk rx_ctrldetect rx_datain rx_dataout rx_digitalreset rx_disperr rx_errdetect rx_freqlocked rx_invpolarity rx_locktodata rx_locktorefclk rx_patterndetect rx_phase_comp_fifo_error rx_pll_locked rx_rlv rx_rmfifodatadeleted rx_rmfifodatainserted rx_rmfifoempty rx_rmfifofull rx_runningdisp rx_seriallpbken rx_syncstatus tx_coreclk tx_ctrlenable tx_datain tx_dataout tx_digitalreset tx_invpolarity tx_phase_comp_fifo_error +//VERSION_BEGIN 9.1SP1 cbx_alt4gxb 2010:01:06:21:12:59:SJ cbx_mgl 2010:01:06:21:19:19:SJ cbx_tgx 2010:01:06:21:12:59:SJ VERSION_END +// synthesis VERILOG_INPUT_VERSION VERILOG_2001 +// altera message_off 10463 + + +//synthesis_resources = reg 6 stratixiv_hssi_calibration_block 1 stratixiv_hssi_clock_divider 1 stratixiv_hssi_cmu 1 stratixiv_hssi_pll 5 stratixiv_hssi_rx_pcs 4 stratixiv_hssi_rx_pma 4 stratixiv_hssi_tx_pcs 4 stratixiv_hssi_tx_pma 4 +//synopsys translate_off +`timescale 1 ps / 1 ps +//synopsys translate_on +module hxaui_alt4gxb_alt4gxb_dksa + ( + cal_blk_clk, + cal_blk_powerdown, + coreclkout, + gxb_powerdown, + pll_inclk, + pll_locked, + pll_powerdown, + reconfig_clk, + reconfig_fromgxb, + reconfig_togxb, + rx_analogreset, + rx_channelaligned, + rx_coreclk, + rx_cruclk, + rx_ctrldetect, + rx_datain, + rx_dataout, + rx_digitalreset, + rx_disperr, + rx_errdetect, + rx_freqlocked, + rx_invpolarity, + rx_locktodata, + rx_locktorefclk, + rx_patterndetect, + rx_phase_comp_fifo_error, + rx_pll_locked, + rx_rlv, + rx_rmfifodatadeleted, + rx_rmfifodatainserted, + rx_rmfifoempty, + rx_rmfifofull, + rx_runningdisp, + rx_seriallpbken, + rx_syncstatus, + rx_recovered_clk, + tx_coreclk, + tx_ctrlenable, + tx_datain, + tx_dataout, + tx_digitalreset, + tx_invpolarity, + tx_phase_comp_fifo_error) ; + input cal_blk_clk; + input cal_blk_powerdown; + output [0:0] coreclkout; + input [0:0] gxb_powerdown; + input pll_inclk; + output [0:0] pll_locked; + input [0:0] pll_powerdown; + input reconfig_clk; + output [16:0] reconfig_fromgxb; + input [3:0] reconfig_togxb; + input [0:0] rx_analogreset; + output [0:0] rx_channelaligned; + input [3:0] rx_coreclk; + input [3:0] rx_cruclk; + output [7:0] rx_ctrldetect; + input [3:0] rx_datain; + output [63:0] rx_dataout; + input [0:0] rx_digitalreset; + output [7:0] rx_disperr; + output [7:0] rx_errdetect; + output [3:0] rx_freqlocked; + input [3:0] rx_invpolarity; + input [3:0] rx_locktodata; + input [3:0] rx_locktorefclk; + output [7:0] rx_patterndetect; + output [3:0] rx_phase_comp_fifo_error; + output [3:0] rx_pll_locked; + output [3:0] rx_rlv; + output [7:0] rx_rmfifodatadeleted; + output [7:0] rx_rmfifodatainserted; + output [3:0] rx_rmfifoempty; + output [3:0] rx_rmfifofull; + output [7:0] rx_runningdisp; + input [3:0] rx_seriallpbken; + output [7:0] rx_syncstatus; + input [3:0] tx_coreclk; + input [7:0] tx_ctrlenable; + input [63:0] tx_datain; + output [3:0] tx_dataout; + input [0:0] tx_digitalreset; + input [3:0] tx_invpolarity; + output [3:0] tx_phase_comp_fifo_error; + output [3:0] rx_recovered_clk; + + wire wire_receive_pcs0_clkout; + wire wire_receive_pcs1_clkout; + wire wire_receive_pcs2_clkout; + wire wire_receive_pcs3_clkout; + assign rx_recovered_clk = {wire_receive_pcs0_clkout,wire_receive_pcs1_clkout,wire_receive_pcs2_clkout,wire_receive_pcs3_clkout}; + +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri0 cal_blk_clk; + tri0 cal_blk_powerdown; + tri0 [0:0] gxb_powerdown; + tri0 pll_inclk; + tri0 [0:0] pll_powerdown; + tri0 reconfig_clk; + tri0 [0:0] rx_analogreset; + tri0 [3:0] rx_coreclk; + tri0 [3:0] rx_cruclk; + tri0 [0:0] rx_digitalreset; + tri0 [3:0] rx_invpolarity; + tri0 [3:0] rx_locktodata; + tri0 [3:0] rx_locktorefclk; + tri0 [3:0] rx_seriallpbken; + tri0 [3:0] tx_coreclk; + tri0 [7:0] tx_ctrlenable; + tri0 [63:0] tx_datain; + tri0 [0:0] tx_digitalreset; + tri0 [3:0] tx_invpolarity; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + + parameter starting_channel_number = 0; + parameter transmitter_termination = "OCT 100 OHMS";//OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter receiver_termination = "OCT 100 OHMS"; //OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter rx_common_mode = "0.82v"; + + parameter preemphasis_ctrl_pretap_setting = 0;//0-7 + parameter preemphasis_ctrl_pretap_inv_setting = "FALSE";//TRUE or FALSE. + parameter preemphasis_ctrl_1stposttap_setting = 5;//0-15 + parameter preemphasis_ctrl_2ndposttap_setting = 0;//0-7 + parameter preemphasis_ctrl_2ndposttap_inv_setting = "FALSE";//TRUE or FALSE. + parameter vod_ctrl_setting = 1;//0-7 + + parameter equalizer_dcgain_setting = 0; //0-4 + parameter equalizer_ctrl_a_setting = 0; + parameter equalizer_ctrl_b_setting = 0; + parameter equalizer_ctrl_c_setting = 0; + parameter equalizer_ctrl_d_setting = 0; + parameter equalizer_ctrl_v_setting = 0; + + + wire [2:0] wire_rx_digitalreset_reg0c_d; + reg [2:0] rx_digitalreset_reg0c; + wire [2:0] wire_rx_digitalreset_reg0c_clk; + wire [2:0] wire_tx_digitalreset_reg0c_d; + reg [2:0] tx_digitalreset_reg0c; + wire [2:0] wire_tx_digitalreset_reg0c_clk; + wire wire_cal_blk0_nonusertocmu; + wire [1:0] wire_central_clk_div0_analogfastrefclkout; + wire [1:0] wire_central_clk_div0_analogrefclkout; + wire wire_central_clk_div0_analogrefclkpulse; + wire wire_central_clk_div0_coreclkout; + wire [99:0] wire_central_clk_div0_dprioout; + wire wire_central_clk_div0_rateswitchdone; + wire wire_central_clk_div0_refclkout; + wire wire_cent_unit0_alignstatus; + wire [1:0] wire_cent_unit0_clkdivpowerdn; + wire [599:0] wire_cent_unit0_cmudividerdprioout; + wire [1799:0] wire_cent_unit0_cmuplldprioout; + wire wire_cent_unit0_dpriodisableout; + wire wire_cent_unit0_dprioout; + wire wire_cent_unit0_enabledeskew; + wire wire_cent_unit0_fiforesetrd; + wire [1:0] wire_cent_unit0_pllpowerdn; + wire [1:0] wire_cent_unit0_pllresetout; + wire wire_cent_unit0_quadresetout; + wire [5:0] wire_cent_unit0_rxanalogresetout; + wire [5:0] wire_cent_unit0_rxcrupowerdown; + wire [5:0] wire_cent_unit0_rxcruresetout; + wire [3:0] wire_cent_unit0_rxctrlout; + wire [31:0] wire_cent_unit0_rxdataout; + wire [3:0] wire_cent_unit0_rxdigitalresetout; + wire [5:0] wire_cent_unit0_rxibpowerdown; + wire [1599:0] wire_cent_unit0_rxpcsdprioout; + wire wire_cent_unit0_rxphfifox4byteselout; + wire wire_cent_unit0_rxphfifox4rdenableout; + wire wire_cent_unit0_rxphfifox4wrclkout; + wire wire_cent_unit0_rxphfifox4wrenableout; + wire [1799:0] wire_cent_unit0_rxpmadprioout; + wire [5:0] wire_cent_unit0_txanalogresetout; + wire [3:0] wire_cent_unit0_txctrlout; + wire [31:0] wire_cent_unit0_txdataout; + wire [5:0] wire_cent_unit0_txdetectrxpowerdown; + wire [3:0] wire_cent_unit0_txdigitalresetout; + wire [5:0] wire_cent_unit0_txobpowerdown; + wire [599:0] wire_cent_unit0_txpcsdprioout; + wire wire_cent_unit0_txphfifox4byteselout; + wire wire_cent_unit0_txphfifox4rdclkout; + wire wire_cent_unit0_txphfifox4rdenableout; + wire wire_cent_unit0_txphfifox4wrenableout; + wire [1799:0] wire_cent_unit0_txpmadprioout; + wire [3:0] wire_rx_cdr_pll0_clk; + wire [1:0] wire_rx_cdr_pll0_dataout; + wire [299:0] wire_rx_cdr_pll0_dprioout; + wire wire_rx_cdr_pll0_freqlocked; + wire wire_rx_cdr_pll0_locked; + wire wire_rx_cdr_pll0_pfdrefclkout; + wire [3:0] wire_rx_cdr_pll1_clk; + wire [1:0] wire_rx_cdr_pll1_dataout; + wire [299:0] wire_rx_cdr_pll1_dprioout; + wire wire_rx_cdr_pll1_freqlocked; + wire wire_rx_cdr_pll1_locked; + wire wire_rx_cdr_pll1_pfdrefclkout; + wire [3:0] wire_rx_cdr_pll2_clk; + wire [1:0] wire_rx_cdr_pll2_dataout; + wire [299:0] wire_rx_cdr_pll2_dprioout; + wire wire_rx_cdr_pll2_freqlocked; + wire wire_rx_cdr_pll2_locked; + wire wire_rx_cdr_pll2_pfdrefclkout; + wire [3:0] wire_rx_cdr_pll3_clk; + wire [1:0] wire_rx_cdr_pll3_dataout; + wire [299:0] wire_rx_cdr_pll3_dprioout; + wire wire_rx_cdr_pll3_freqlocked; + wire wire_rx_cdr_pll3_locked; + wire wire_rx_cdr_pll3_pfdrefclkout; + wire [3:0] wire_tx_pll0_clk; + wire [299:0] wire_tx_pll0_dprioout; + wire wire_tx_pll0_locked; + wire wire_receive_pcs0_adetectdeskew; + wire wire_receive_pcs0_alignstatussyncout; + wire wire_receive_pcs0_cdrctrllocktorefclkout; + wire wire_receive_pcs0_coreclkout; + wire [3:0] wire_receive_pcs0_ctrldetect; + wire [39:0] wire_receive_pcs0_dataout; + wire wire_receive_pcs0_disablefifordout; + wire wire_receive_pcs0_disablefifowrout; + wire [3:0] wire_receive_pcs0_disperr; + wire [399:0] wire_receive_pcs0_dprioout; + wire [3:0] wire_receive_pcs0_errdetect; + wire wire_receive_pcs0_fifordout; + wire [3:0] wire_receive_pcs0_patterndetect; + wire wire_receive_pcs0_phfifobyteserdisableout; + wire wire_receive_pcs0_phfifooverflow; + wire wire_receive_pcs0_phfifoptrsresetout; + wire wire_receive_pcs0_phfifordenableout; + wire wire_receive_pcs0_phfiforesetout; + wire wire_receive_pcs0_phfifounderflow; + wire wire_receive_pcs0_phfifowrdisableout; + wire wire_receive_pcs0_rateswitchout; + wire wire_receive_pcs0_rdalign; + wire wire_receive_pcs0_rlv; + wire [3:0] wire_receive_pcs0_rmfifodatadeleted; + wire [3:0] wire_receive_pcs0_rmfifodatainserted; + wire wire_receive_pcs0_rmfifoempty; + wire wire_receive_pcs0_rmfifofull; + wire [3:0] wire_receive_pcs0_runningdisp; + wire [3:0] wire_receive_pcs0_syncstatus; + wire wire_receive_pcs0_syncstatusdeskew; + wire wire_receive_pcs0_xgmctrldet; + wire [7:0] wire_receive_pcs0_xgmdataout; + wire wire_receive_pcs0_xgmdatavalid; + wire wire_receive_pcs0_xgmrunningdisp; + wire wire_receive_pcs1_adetectdeskew; + wire wire_receive_pcs1_alignstatussyncout; + wire wire_receive_pcs1_cdrctrllocktorefclkout; + wire wire_receive_pcs1_coreclkout; + wire [3:0] wire_receive_pcs1_ctrldetect; + wire [39:0] wire_receive_pcs1_dataout; + wire wire_receive_pcs1_disablefifordout; + wire wire_receive_pcs1_disablefifowrout; + wire [3:0] wire_receive_pcs1_disperr; + wire [399:0] wire_receive_pcs1_dprioout; + wire [3:0] wire_receive_pcs1_errdetect; + wire wire_receive_pcs1_fifordout; + wire [3:0] wire_receive_pcs1_patterndetect; + wire wire_receive_pcs1_phfifobyteserdisableout; + wire wire_receive_pcs1_phfifooverflow; + wire wire_receive_pcs1_phfifoptrsresetout; + wire wire_receive_pcs1_phfifordenableout; + wire wire_receive_pcs1_phfiforesetout; + wire wire_receive_pcs1_phfifounderflow; + wire wire_receive_pcs1_phfifowrdisableout; + wire wire_receive_pcs1_rateswitchout; + wire wire_receive_pcs1_rdalign; + wire wire_receive_pcs1_rlv; + wire [3:0] wire_receive_pcs1_rmfifodatadeleted; + wire [3:0] wire_receive_pcs1_rmfifodatainserted; + wire wire_receive_pcs1_rmfifoempty; + wire wire_receive_pcs1_rmfifofull; + wire [3:0] wire_receive_pcs1_runningdisp; + wire [3:0] wire_receive_pcs1_syncstatus; + wire wire_receive_pcs1_syncstatusdeskew; + wire wire_receive_pcs1_xgmctrldet; + wire [7:0] wire_receive_pcs1_xgmdataout; + wire wire_receive_pcs1_xgmdatavalid; + wire wire_receive_pcs1_xgmrunningdisp; + wire wire_receive_pcs2_adetectdeskew; + wire wire_receive_pcs2_alignstatussyncout; + wire wire_receive_pcs2_cdrctrllocktorefclkout; + wire wire_receive_pcs2_coreclkout; + wire [3:0] wire_receive_pcs2_ctrldetect; + wire [39:0] wire_receive_pcs2_dataout; + wire wire_receive_pcs2_disablefifordout; + wire wire_receive_pcs2_disablefifowrout; + wire [3:0] wire_receive_pcs2_disperr; + wire [399:0] wire_receive_pcs2_dprioout; + wire [3:0] wire_receive_pcs2_errdetect; + wire wire_receive_pcs2_fifordout; + wire [3:0] wire_receive_pcs2_patterndetect; + wire wire_receive_pcs2_phfifobyteserdisableout; + wire wire_receive_pcs2_phfifooverflow; + wire wire_receive_pcs2_phfifoptrsresetout; + wire wire_receive_pcs2_phfifordenableout; + wire wire_receive_pcs2_phfiforesetout; + wire wire_receive_pcs2_phfifounderflow; + wire wire_receive_pcs2_phfifowrdisableout; + wire wire_receive_pcs2_rateswitchout; + wire wire_receive_pcs2_rdalign; + wire wire_receive_pcs2_rlv; + wire [3:0] wire_receive_pcs2_rmfifodatadeleted; + wire [3:0] wire_receive_pcs2_rmfifodatainserted; + wire wire_receive_pcs2_rmfifoempty; + wire wire_receive_pcs2_rmfifofull; + wire [3:0] wire_receive_pcs2_runningdisp; + wire [3:0] wire_receive_pcs2_syncstatus; + wire wire_receive_pcs2_syncstatusdeskew; + wire wire_receive_pcs2_xgmctrldet; + wire [7:0] wire_receive_pcs2_xgmdataout; + wire wire_receive_pcs2_xgmdatavalid; + wire wire_receive_pcs2_xgmrunningdisp; + wire wire_receive_pcs3_adetectdeskew; + wire wire_receive_pcs3_alignstatussyncout; + wire wire_receive_pcs3_cdrctrllocktorefclkout; + wire wire_receive_pcs3_coreclkout; + wire [3:0] wire_receive_pcs3_ctrldetect; + wire [39:0] wire_receive_pcs3_dataout; + wire wire_receive_pcs3_disablefifordout; + wire wire_receive_pcs3_disablefifowrout; + wire [3:0] wire_receive_pcs3_disperr; + wire [399:0] wire_receive_pcs3_dprioout; + wire [3:0] wire_receive_pcs3_errdetect; + wire wire_receive_pcs3_fifordout; + wire [3:0] wire_receive_pcs3_patterndetect; + wire wire_receive_pcs3_phfifobyteserdisableout; + wire wire_receive_pcs3_phfifooverflow; + wire wire_receive_pcs3_phfifoptrsresetout; + wire wire_receive_pcs3_phfifordenableout; + wire wire_receive_pcs3_phfiforesetout; + wire wire_receive_pcs3_phfifounderflow; + wire wire_receive_pcs3_phfifowrdisableout; + wire wire_receive_pcs3_rateswitchout; + wire wire_receive_pcs3_rdalign; + wire wire_receive_pcs3_rlv; + wire [3:0] wire_receive_pcs3_rmfifodatadeleted; + wire [3:0] wire_receive_pcs3_rmfifodatainserted; + wire wire_receive_pcs3_rmfifoempty; + wire wire_receive_pcs3_rmfifofull; + wire [3:0] wire_receive_pcs3_runningdisp; + wire [3:0] wire_receive_pcs3_syncstatus; + wire wire_receive_pcs3_syncstatusdeskew; + wire wire_receive_pcs3_xgmctrldet; + wire [7:0] wire_receive_pcs3_xgmdataout; + wire wire_receive_pcs3_xgmdatavalid; + wire wire_receive_pcs3_xgmrunningdisp; + wire [7:0] wire_receive_pma0_analogtestbus; + wire wire_receive_pma0_clockout; + wire wire_receive_pma0_dataout; + wire [299:0] wire_receive_pma0_dprioout; + wire wire_receive_pma0_locktorefout; + wire [63:0] wire_receive_pma0_recoverdataout; + wire wire_receive_pma0_signaldetect; + wire [7:0] wire_receive_pma1_analogtestbus; + wire wire_receive_pma1_clockout; + wire wire_receive_pma1_dataout; + wire [299:0] wire_receive_pma1_dprioout; + wire wire_receive_pma1_locktorefout; + wire [63:0] wire_receive_pma1_recoverdataout; + wire wire_receive_pma1_signaldetect; + wire [7:0] wire_receive_pma2_analogtestbus; + wire wire_receive_pma2_clockout; + wire wire_receive_pma2_dataout; + wire [299:0] wire_receive_pma2_dprioout; + wire wire_receive_pma2_locktorefout; + wire [63:0] wire_receive_pma2_recoverdataout; + wire wire_receive_pma2_signaldetect; + wire [7:0] wire_receive_pma3_analogtestbus; + wire wire_receive_pma3_clockout; + wire wire_receive_pma3_dataout; + wire [299:0] wire_receive_pma3_dprioout; + wire wire_receive_pma3_locktorefout; + wire [63:0] wire_receive_pma3_recoverdataout; + wire wire_receive_pma3_signaldetect; + wire wire_transmit_pcs0_coreclkout; + wire [19:0] wire_transmit_pcs0_dataout; + wire [149:0] wire_transmit_pcs0_dprioout; + wire wire_transmit_pcs0_forceelecidleout; + wire wire_transmit_pcs0_phfifooverflow; + wire wire_transmit_pcs0_phfiforddisableout; + wire wire_transmit_pcs0_phfiforesetout; + wire wire_transmit_pcs0_phfifounderflow; + wire wire_transmit_pcs0_phfifowrenableout; + wire wire_transmit_pcs0_rdenablesync; + wire wire_transmit_pcs0_txdetectrx; + wire wire_transmit_pcs0_xgmctrlenable; + wire [7:0] wire_transmit_pcs0_xgmdataout; + wire wire_transmit_pcs1_coreclkout; + wire [19:0] wire_transmit_pcs1_dataout; + wire [149:0] wire_transmit_pcs1_dprioout; + wire wire_transmit_pcs1_forceelecidleout; + wire wire_transmit_pcs1_phfifooverflow; + wire wire_transmit_pcs1_phfiforddisableout; + wire wire_transmit_pcs1_phfiforesetout; + wire wire_transmit_pcs1_phfifounderflow; + wire wire_transmit_pcs1_phfifowrenableout; + wire wire_transmit_pcs1_rdenablesync; + wire wire_transmit_pcs1_txdetectrx; + wire wire_transmit_pcs1_xgmctrlenable; + wire [7:0] wire_transmit_pcs1_xgmdataout; + wire wire_transmit_pcs2_coreclkout; + wire [19:0] wire_transmit_pcs2_dataout; + wire [149:0] wire_transmit_pcs2_dprioout; + wire wire_transmit_pcs2_forceelecidleout; + wire wire_transmit_pcs2_phfifooverflow; + wire wire_transmit_pcs2_phfiforddisableout; + wire wire_transmit_pcs2_phfiforesetout; + wire wire_transmit_pcs2_phfifounderflow; + wire wire_transmit_pcs2_phfifowrenableout; + wire wire_transmit_pcs2_rdenablesync; + wire wire_transmit_pcs2_txdetectrx; + wire wire_transmit_pcs2_xgmctrlenable; + wire [7:0] wire_transmit_pcs2_xgmdataout; + wire wire_transmit_pcs3_coreclkout; + wire [19:0] wire_transmit_pcs3_dataout; + wire [149:0] wire_transmit_pcs3_dprioout; + wire wire_transmit_pcs3_forceelecidleout; + wire wire_transmit_pcs3_phfifooverflow; + wire wire_transmit_pcs3_phfiforddisableout; + wire wire_transmit_pcs3_phfiforesetout; + wire wire_transmit_pcs3_phfifounderflow; + wire wire_transmit_pcs3_phfifowrenableout; + wire wire_transmit_pcs3_rdenablesync; + wire wire_transmit_pcs3_txdetectrx; + wire wire_transmit_pcs3_xgmctrlenable; + wire [7:0] wire_transmit_pcs3_xgmdataout; + wire wire_transmit_pma0_clockout; + wire wire_transmit_pma0_dataout; + wire [299:0] wire_transmit_pma0_dprioout; + wire wire_transmit_pma0_seriallpbkout; + wire wire_transmit_pma1_clockout; + wire wire_transmit_pma1_dataout; + wire [299:0] wire_transmit_pma1_dprioout; + wire wire_transmit_pma1_seriallpbkout; + wire wire_transmit_pma2_clockout; + wire wire_transmit_pma2_dataout; + wire [299:0] wire_transmit_pma2_dprioout; + wire wire_transmit_pma2_seriallpbkout; + wire wire_transmit_pma3_clockout; + wire wire_transmit_pma3_dataout; + wire [299:0] wire_transmit_pma3_dprioout; + wire wire_transmit_pma3_seriallpbkout; + wire [0:0] cent_unit_clkdivpowerdn; + wire [599:0] cent_unit_cmudividerdprioout; + wire [1799:0] cent_unit_cmuplldprioout; + wire [1:0] cent_unit_pllpowerdn; + wire [1:0] cent_unit_pllresetout; + wire [0:0] cent_unit_quadresetout; + wire [0:0] cent_unit_rdenablesync; + wire [3:0] cent_unit_rx_ctrl; + wire [31:0] cent_unit_rx_xgmdataout; + wire [5:0] cent_unit_rxcrupowerdn; + wire [5:0] cent_unit_rxibpowerdn; + wire [1599:0] cent_unit_rxpcsdprioin; + wire [1599:0] cent_unit_rxpcsdprioout; + wire [1799:0] cent_unit_rxpmadprioin; + wire [1799:0] cent_unit_rxpmadprioout; + wire [1199:0] cent_unit_tx_dprioin; + wire [31:0] cent_unit_tx_xgmdataout; + wire [3:0] cent_unit_txctrlout; + wire [5:0] cent_unit_txdetectrxpowerdn; + wire [599:0] cent_unit_txdprioout; + wire [5:0] cent_unit_txobpowerdn; + wire [1799:0] cent_unit_txpmadprioin; + wire [1799:0] cent_unit_txpmadprioout; + wire [3:0] clk_div_clk0in; + wire [599:0] clk_div_cmudividerdprioin; + wire [1:0] cmu_analogfastrefclkout; + wire [1:0] cmu_analogrefclkout; + wire [0:0] cmu_analogrefclkpulse; + wire [0:0] coreclkout_wire; + wire [0:0] enabledeskew; + wire [5:0] fixedclk_to_cmu; + wire [0:0] int_hiprateswtichdone; + wire [3:0] int_rx_coreclkout; + wire [0:0] int_rx_digitalreset_reg; + wire [3:0] int_rx_phfifobyteserdisable; + wire [3:0] int_rx_phfifoptrsresetout; + wire [3:0] int_rx_phfifordenableout; + wire [3:0] int_rx_phfiforesetout; + wire [3:0] int_rx_phfifowrdisableout; + wire [11:0] int_rx_phfifoxnbytesel; + wire [11:0] int_rx_phfifoxnrdenable; + wire [11:0] int_rx_phfifoxnwrclk; + wire [11:0] int_rx_phfifoxnwrenable; + wire [0:0] int_rxcoreclk; + wire [0:0] int_rxphfifordenable; + wire [0:0] int_rxphfiforeset; + wire [0:0] int_rxphfifox4byteselout; + wire [0:0] int_rxphfifox4rdenableout; + wire [0:0] int_rxphfifox4wrclkout; + wire [0:0] int_rxphfifox4wrenableout; + wire [3:0] int_tx_coreclkout; + wire [0:0] int_tx_digitalreset_reg; + wire [3:0] int_tx_phfiforddisableout; + wire [3:0] int_tx_phfiforesetout; + wire [3:0] int_tx_phfifowrenableout; + wire [11:0] int_tx_phfifoxnbytesel; + wire [11:0] int_tx_phfifoxnrdclk; + wire [11:0] int_tx_phfifoxnrdenable; + wire [11:0] int_tx_phfifoxnwrenable; + wire [0:0] int_txcoreclk; + wire [0:0] int_txphfiforddisable; + wire [0:0] int_txphfiforeset; + wire [0:0] int_txphfifowrenable; + wire [0:0] int_txphfifox4byteselout; + wire [0:0] int_txphfifox4rdclkout; + wire [0:0] int_txphfifox4rdenableout; + wire [0:0] int_txphfifox4wrenableout; + wire [0:0] nonusertocmu_out; + wire [9:0] pll0_clkin; + wire [299:0] pll0_dprioin; + wire [299:0] pll0_dprioout; + wire [3:0] pll0_out; + wire [7:0] pll_ch_dataout_wire; + wire [1199:0] pll_ch_dprioout; + wire [1799:0] pll_cmuplldprioout; + wire [0:0] pll_inclk_wire; + wire [0:0] pll_locked_out; + wire [1:0] pllpowerdn_in; + wire [1:0] pllreset_in; + wire [0:0] reconfig_togxb_busy; + wire [0:0] reconfig_togxb_disable; + wire [0:0] reconfig_togxb_in; + wire [0:0] reconfig_togxb_load; + wire [0:0] refclk_pma; + wire [3:0] rx_adetectdeskew; + wire [0:0] rx_alignstatussync; + wire [3:0] rx_alignstatussyncout; + wire [5:0] rx_analogreset_in; + wire [5:0] rx_analogreset_out; + wire [0:0] rx_channelaligned_in; + wire [3:0] rx_coreclk_in; + wire [39:0] rx_cruclk_in; + wire [15:0] rx_deserclock_in; + wire [3:0] rx_digitalreset_in; + wire [3:0] rx_digitalreset_out; + wire [0:0] rx_disablefifordin_in; + wire [3:0] rx_disablefifordout_wire; + wire [0:0] rx_disablefifowrin_in; + wire [3:0] rx_disablefifowrout_wire; + wire [3:0] rx_enapatternalign; + wire [0:0] rx_fifordin_in; + wire [3:0] rx_fifordout_wire; + wire [0:0] rx_fiforesetrd; + wire [3:0] rx_freqlocked_wire; + wire [3:0] rx_locktodata_wire; + wire [3:0] rx_locktorefclk_wire; + wire [0:0] rx_masterclk; + wire [63:0] rx_out_wire; + wire [1599:0] rx_pcsdprioin_wire; + wire [1599:0] rx_pcsdprioout; + wire [3:0] rx_phfifooverflowout; + wire [3:0] rx_phfifordenable; + wire [3:0] rx_phfiforeset; + wire [3:0] rx_phfifounderflowout; + wire [3:0] rx_phfifowrdisable; + wire [3:0] rx_pldcruclk_in; + wire [15:0] rx_pll_clkout; + wire [3:0] rx_pll_pfdrefclkout_wire; + wire [3:0] rx_plllocked_wire; + wire [67:0] rx_pma_analogtestbus; + wire [3:0] rx_pma_clockout; + wire [3:0] rx_pma_dataout; + wire [3:0] rx_pma_locktorefout; + wire [79:0] rx_pma_recoverdataout_wire; + wire [1799:0] rx_pmadprioin_wire; + wire [1799:0] rx_pmadprioout; + wire [3:0] rx_powerdown; + wire [5:0] rx_powerdown_in; + wire [3:0] rx_prbscidenable; + wire [3:0] rx_rdalign; + wire [3:0] rx_rmfiforeset; + wire [5:0] rx_rxcruresetout; + wire [3:0] rx_syncstatusdeskew; + wire [3:0] rx_xgmctrlin; + wire [31:0] rx_xgmdataout; + wire [3:0] rx_xgmdatavalid; + wire [3:0] rx_xgmrunningdisp; + wire [0:0] rxphfifowrdisable; + wire [1799:0] rxpll_dprioin; + wire [5:0] tx_analogreset_out; + wire [3:0] tx_clkout_int_wire; + wire [3:0] tx_coreclk_in; + wire [63:0] tx_datain_wire; + wire [79:0] tx_dataout_pcs_to_pma; + wire [3:0] tx_digitalreset_in; + wire [3:0] tx_digitalreset_out; + wire [1199:0] tx_dprioin_wire; + wire [7:0] tx_forcedisp_wire; + wire [3:0] tx_localrefclk; + wire [3:0] tx_phfifooverflowout; + wire [3:0] tx_phfiforeset; + wire [3:0] tx_phfifounderflowout; + wire [1799:0] tx_pmadprioin_wire; + wire [1799:0] tx_pmadprioout; + wire [3:0] tx_rdenablesync; + wire [3:0] tx_serialloopbackout; + wire [599:0] tx_txdprioout; + wire [3:0] tx_xgmctrlenable; + wire [31:0] tx_xgmdataout; + wire [3:0] txdetectrxout; + wire [0:0] w_cent_unit_dpriodisableout1w; + + // These are synchronized forms digital reset + // async inputs go to *digitalreset_reg0c, synced with data path clock + // synopsys translate_off + initial + rx_digitalreset_reg0c[0:0] = 0; + // synopsys translate_on + always @ ( posedge wire_rx_digitalreset_reg0c_clk[0:0]) + rx_digitalreset_reg0c[0:0] <= wire_rx_digitalreset_reg0c_d[0:0]; + // synopsys translate_off + initial + rx_digitalreset_reg0c[1:1] = 0; + // synopsys translate_on + always @ ( posedge wire_rx_digitalreset_reg0c_clk[1:1]) + rx_digitalreset_reg0c[1:1] <= wire_rx_digitalreset_reg0c_d[1:1]; + // synopsys translate_off + initial + rx_digitalreset_reg0c[2:2] = 0; + // synopsys translate_on + always @ ( posedge wire_rx_digitalreset_reg0c_clk[2:2]) + rx_digitalreset_reg0c[2:2] <= wire_rx_digitalreset_reg0c_d[2:2]; + assign + wire_rx_digitalreset_reg0c_d = {rx_digitalreset_reg0c[1:0], rx_digitalreset[0]}; + assign + wire_rx_digitalreset_reg0c_clk = {3{coreclkout_wire[0]}}; + // synopsys translate_off + initial + tx_digitalreset_reg0c[0:0] = 0; + // synopsys translate_on + always @ ( posedge wire_tx_digitalreset_reg0c_clk[0:0]) + tx_digitalreset_reg0c[0:0] <= wire_tx_digitalreset_reg0c_d[0:0]; + // synopsys translate_off + initial + tx_digitalreset_reg0c[1:1] = 0; + // synopsys translate_on + always @ ( posedge wire_tx_digitalreset_reg0c_clk[1:1]) + tx_digitalreset_reg0c[1:1] <= wire_tx_digitalreset_reg0c_d[1:1]; + // synopsys translate_off + initial + tx_digitalreset_reg0c[2:2] = 0; + // synopsys translate_on + always @ ( posedge wire_tx_digitalreset_reg0c_clk[2:2]) + tx_digitalreset_reg0c[2:2] <= wire_tx_digitalreset_reg0c_d[2:2]; + assign + wire_tx_digitalreset_reg0c_d = {tx_digitalreset_reg0c[1:0], tx_digitalreset[0]}; + assign + wire_tx_digitalreset_reg0c_clk = {3{coreclkout_wire[0]}}; + + // Parameter strings for embedded timing constraints + localparam TX_DIGITALRESET_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to {*xaui_phy*tx_digitalreset_reg0c[0]};\""}; + localparam RX_DIGITALRESET_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to {*xaui_phy*rx_digitalreset_reg0c[0]};\""}; + localparam SDC_CONSTRAINTS = {TX_DIGITALRESET_CONSTRAINT,";",RX_DIGITALRESET_CONSTRAINT}; + (* altera_attribute = SDC_CONSTRAINTS *) // Apply timing constraints (does not matter which node) + + stratixiv_hssi_calibration_block cal_blk0 + ( + .calibrationstatus(), + .clk(cal_blk_clk), + .enabletestbus(1'b1), + .nonusertocmu(wire_cal_blk0_nonusertocmu), + .powerdn(cal_blk_powerdown) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .testctrl(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + stratixiv_hssi_clock_divider central_clk_div0 + ( + .analogfastrefclkout(wire_central_clk_div0_analogfastrefclkout), + .analogfastrefclkoutshifted(), + .analogrefclkout(wire_central_clk_div0_analogrefclkout), + .analogrefclkoutshifted(), + .analogrefclkpulse(wire_central_clk_div0_analogrefclkpulse), + .analogrefclkpulseshifted(), + .clk0in(clk_div_clk0in[3:0]), + .coreclkout(wire_central_clk_div0_coreclkout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(cent_unit_cmudividerdprioout[499:400]), + .dprioout(wire_central_clk_div0_dprioout), + .powerdn(cent_unit_clkdivpowerdn[0]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchbaseclock(), + .rateswitchdone(wire_central_clk_div0_rateswitchdone), + .rateswitchout(), + .refclkout(wire_central_clk_div0_refclkout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .clk1in({4{1'b0}}), + .rateswitch(1'b0), + .rateswitchbaseclkin({2{1'b0}}), + .rateswitchdonein({2{1'b0}}), + .refclkdig(1'b0), + .refclkin({2{1'b0}}), + .vcobypassin(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + central_clk_div0.divide_by = 5, + central_clk_div0.divider_type = "CENTRAL_ENHANCED", + central_clk_div0.effective_data_rate = "3125 Mbps", + central_clk_div0.enable_dynamic_divider = "false", + central_clk_div0.enable_refclk_out = "true", + central_clk_div0.inclk_select = 0, + central_clk_div0.logical_channel_address = 0, + central_clk_div0.pre_divide_by = 1, + central_clk_div0.refclkin_select = 0, + central_clk_div0.select_local_rate_switch_base_clock = "true", + central_clk_div0.select_local_refclk = "true", + central_clk_div0.sim_analogfastrefclkout_phase_shift = 0, + central_clk_div0.sim_analogrefclkout_phase_shift = 0, + central_clk_div0.sim_coreclkout_phase_shift = 0, + central_clk_div0.sim_refclkout_phase_shift = 0, + central_clk_div0.use_coreclk_out_post_divider = "true", + central_clk_div0.use_refclk_post_divider = "false", + central_clk_div0.use_vco_bypass = "false", + central_clk_div0.lpm_type = "stratixiv_hssi_clock_divider"; + stratixiv_hssi_cmu cent_unit0 + ( + .adet(rx_adetectdeskew[3:0]), + .alignstatus(wire_cent_unit0_alignstatus), + .autospdx4configsel(), + .autospdx4rateswitchout(), + .autospdx4spdchg(), + .clkdivpowerdn(wire_cent_unit0_clkdivpowerdn), + .cmudividerdprioin({clk_div_cmudividerdprioin[599:0]}), + .cmudividerdprioout(wire_cent_unit0_cmudividerdprioout), + .cmuplldprioin(pll_cmuplldprioout[1799:0]), + .cmuplldprioout(wire_cent_unit0_cmuplldprioout), + .digitaltestout(), + .dpclk(reconfig_clk), + .dpriodisable(reconfig_togxb_disable), + .dpriodisableout(wire_cent_unit0_dpriodisableout), + .dprioin(reconfig_togxb_in), + .dprioload(reconfig_togxb_load), + .dpriooe(), + .dprioout(wire_cent_unit0_dprioout), + .enabledeskew(wire_cent_unit0_enabledeskew), + .extra10gout(), + .fiforesetrd(wire_cent_unit0_fiforesetrd), + .fixedclk({{2{1'b0}}, fixedclk_to_cmu[3:0]}), + .lccmutestbus(), + .nonuserfromcal(nonusertocmu_out[0]), + .phfifiox4ptrsreset(), + .pllpowerdn(wire_cent_unit0_pllpowerdn), + .pllresetout(wire_cent_unit0_pllresetout), + .quadreset(gxb_powerdown[0]), + .quadresetout(wire_cent_unit0_quadresetout), + .rateswitchdonein(int_hiprateswtichdone[0]), + .rdalign(rx_rdalign[3:0]), + .rdenablesync(cent_unit_rdenablesync[0]), + .recovclk(rx_masterclk[0]), + .refclkdividerdprioin({2{1'b0}}), + .refclkdividerdprioout(), + .rxadcepowerdown(), + .rxadceresetout(), + .rxanalogreset({{2{1'b0}}, rx_analogreset_in[3:0]}), + .rxanalogresetout(wire_cent_unit0_rxanalogresetout), + .rxclk(refclk_pma[0]), + .rxcoreclk(int_rxcoreclk[0]), + .rxcrupowerdown(wire_cent_unit0_rxcrupowerdown), + .rxcruresetout(wire_cent_unit0_rxcruresetout), + .rxctrl(cent_unit_rx_ctrl[3:0]), + .rxctrlout(wire_cent_unit0_rxctrlout), + .rxdatain(rx_xgmdataout[31:0]), + .rxdataout(wire_cent_unit0_rxdataout), + .rxdatavalid(rx_xgmdatavalid[3:0]), + .rxdigitalreset({rx_digitalreset_in[3:0]}), + .rxdigitalresetout(wire_cent_unit0_rxdigitalresetout), + .rxibpowerdown(wire_cent_unit0_rxibpowerdown), + .rxpcsdprioin({cent_unit_rxpcsdprioin[1599:0]}), + .rxpcsdprioout(wire_cent_unit0_rxpcsdprioout), + .rxphfifordenable(int_rxphfifordenable[0]), + .rxphfiforeset(int_rxphfiforeset[0]), + .rxphfifowrdisable(rxphfifowrdisable[0]), + .rxphfifox4byteselout(wire_cent_unit0_rxphfifox4byteselout), + .rxphfifox4rdenableout(wire_cent_unit0_rxphfifox4rdenableout), + .rxphfifox4wrclkout(wire_cent_unit0_rxphfifox4wrclkout), + .rxphfifox4wrenableout(wire_cent_unit0_rxphfifox4wrenableout), + .rxpmadprioin({cent_unit_rxpmadprioin[1799:0]}), + .rxpmadprioout(wire_cent_unit0_rxpmadprioout), + .rxpowerdown({{2{1'b0}}, rx_powerdown_in[3:0]}), + .rxrunningdisp(rx_xgmrunningdisp[3:0]), + .scanout(), + .syncstatus(rx_syncstatusdeskew[3:0]), + .testout(), + .txanalogresetout(wire_cent_unit0_txanalogresetout), + .txclk(refclk_pma[0]), + .txcoreclk(int_txcoreclk[0]), + .txctrl(tx_xgmctrlenable[3:0]), + .txctrlout(wire_cent_unit0_txctrlout), + .txdatain(tx_xgmdataout[31:0]), + .txdataout(wire_cent_unit0_txdataout), + .txdetectrxpowerdown(wire_cent_unit0_txdetectrxpowerdown), + .txdigitalreset({tx_digitalreset_in[3:0]}), + .txdigitalresetout(wire_cent_unit0_txdigitalresetout), + .txdividerpowerdown(), + .txobpowerdown(wire_cent_unit0_txobpowerdown), + .txpcsdprioin({cent_unit_tx_dprioin[599:0]}), + .txpcsdprioout(wire_cent_unit0_txpcsdprioout), + .txphfiforddisable(int_txphfiforddisable[0]), + .txphfiforeset(int_txphfiforeset[0]), + .txphfifowrenable(int_txphfifowrenable[0]), + .txphfifox4byteselout(wire_cent_unit0_txphfifox4byteselout), + .txphfifox4rdclkout(wire_cent_unit0_txphfifox4rdclkout), + .txphfifox4rdenableout(wire_cent_unit0_txphfifox4rdenableout), + .txphfifox4wrenableout(wire_cent_unit0_txphfifox4wrenableout), + .txpllreset({{1{1'b0}}, pll_powerdown[0]}), + .txpmadprioin({cent_unit_txpmadprioin[1799:0]}), + .txpmadprioout(wire_cent_unit0_txpmadprioout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .extra10gin({7{1'b0}}), + .lccmurtestbussel({3{1'b0}}), + .pmacramtest(1'b0), + .rateswitch(1'b0), + .scanclk(1'b0), + .scanin({23{1'b0}}), + .scanmode(1'b0), + .scanshift(1'b0), + .testin({10000{1'b0}}) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + cent_unit0.auto_spd_deassert_ph_fifo_rst_count = 8, + cent_unit0.auto_spd_phystatus_notify_count = 0, + cent_unit0.bonded_quad_mode = "none", + cent_unit0.devaddr = ((((starting_channel_number / 4) + 0) % 32) + 1), + cent_unit0.in_xaui_mode = "true", + cent_unit0.offset_all_errors_align = "false", + cent_unit0.pipe_auto_speed_nego_enable = "false", + cent_unit0.pipe_freq_scale_mode = "Frequency", + cent_unit0.pma_done_count = 249950, + cent_unit0.portaddr = (((starting_channel_number + 0) / 128) + 1), + cent_unit0.rx0_auto_spd_self_switch_enable = "false", + cent_unit0.rx0_channel_bonding = "x4", + cent_unit0.rx0_clk1_mux_select = "master clock", + cent_unit0.rx0_clk2_mux_select = "digital reference clock", + cent_unit0.rx0_ph_fifo_reg_mode = "false", + cent_unit0.rx0_rd_clk_mux_select = "core clock", + cent_unit0.rx0_recovered_clk_mux_select = "recovered clock", + cent_unit0.rx0_reset_clock_output_during_digital_reset = "false", + cent_unit0.rx0_use_double_data_mode = "true", + cent_unit0.tx0_auto_spd_self_switch_enable = "false", + cent_unit0.tx0_channel_bonding = "x4", + cent_unit0.tx0_ph_fifo_reg_mode = "false", + cent_unit0.tx0_rd_clk_mux_select = "cmu_clock_divider", + cent_unit0.tx0_use_double_data_mode = "true", + cent_unit0.tx0_wr_clk_mux_select = "core_clk", + cent_unit0.use_deskew_fifo = "true", + cent_unit0.vcceh_voltage = "Auto", + cent_unit0.lpm_type = "stratixiv_hssi_cmu"; + stratixiv_hssi_pll rx_cdr_pll0 + ( + .areset(rx_rxcruresetout[0]), + .clk(wire_rx_cdr_pll0_clk), + .datain(rx_pma_dataout[0]), + .dataout(wire_rx_cdr_pll0_dataout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rxpll_dprioin[299:0]), + .dprioout(wire_rx_cdr_pll0_dprioout), + .freqlocked(wire_rx_cdr_pll0_freqlocked), + .inclk({rx_cruclk_in[9:0]}), + .locked(wire_rx_cdr_pll0_locked), + .locktorefclk(rx_pma_locktorefout[0]), + .pfdfbclkout(), + .pfdrefclkout(wire_rx_cdr_pll0_pfdrefclkout), + .powerdown(cent_unit_rxcrupowerdn[0]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + rx_cdr_pll0.bandwidth_type = "Auto", + rx_cdr_pll0.channel_num = ((starting_channel_number + 0) % 4), + rx_cdr_pll0.dprio_config_mode = 6'h00, + rx_cdr_pll0.effective_data_rate = "3125 Mbps", + rx_cdr_pll0.enable_dynamic_divider = "false", + rx_cdr_pll0.fast_lock_control = "false", + rx_cdr_pll0.inclk0_input_period = 6400, + rx_cdr_pll0.input_clock_frequency = "156.25 MHz", + rx_cdr_pll0.m = 10, + rx_cdr_pll0.n = 1, + rx_cdr_pll0.pfd_clk_select = 0, + rx_cdr_pll0.pll_type = "RX CDR", + rx_cdr_pll0.use_refclk_pin = "false", + rx_cdr_pll0.vco_post_scale = 2, + rx_cdr_pll0.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_pll rx_cdr_pll1 + ( + .areset(rx_rxcruresetout[1]), + .clk(wire_rx_cdr_pll1_clk), + .datain(rx_pma_dataout[1]), + .dataout(wire_rx_cdr_pll1_dataout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rxpll_dprioin[599:300]), + .dprioout(wire_rx_cdr_pll1_dprioout), + .freqlocked(wire_rx_cdr_pll1_freqlocked), + .inclk({rx_cruclk_in[19:10]}), + .locked(wire_rx_cdr_pll1_locked), + .locktorefclk(rx_pma_locktorefout[1]), + .pfdfbclkout(), + .pfdrefclkout(wire_rx_cdr_pll1_pfdrefclkout), + .powerdown(cent_unit_rxcrupowerdn[1]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + rx_cdr_pll1.bandwidth_type = "Auto", + rx_cdr_pll1.channel_num = ((starting_channel_number + 1) % 4), + rx_cdr_pll1.dprio_config_mode = 6'h00, + rx_cdr_pll1.effective_data_rate = "3125 Mbps", + rx_cdr_pll1.enable_dynamic_divider = "false", + rx_cdr_pll1.fast_lock_control = "false", + rx_cdr_pll1.inclk0_input_period = 6400, + rx_cdr_pll1.input_clock_frequency = "156.25 MHz", + rx_cdr_pll1.m = 10, + rx_cdr_pll1.n = 1, + rx_cdr_pll1.pfd_clk_select = 0, + rx_cdr_pll1.pll_type = "RX CDR", + rx_cdr_pll1.use_refclk_pin = "false", + rx_cdr_pll1.vco_post_scale = 2, + rx_cdr_pll1.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_pll rx_cdr_pll2 + ( + .areset(rx_rxcruresetout[2]), + .clk(wire_rx_cdr_pll2_clk), + .datain(rx_pma_dataout[2]), + .dataout(wire_rx_cdr_pll2_dataout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rxpll_dprioin[899:600]), + .dprioout(wire_rx_cdr_pll2_dprioout), + .freqlocked(wire_rx_cdr_pll2_freqlocked), + .inclk({rx_cruclk_in[29:20]}), + .locked(wire_rx_cdr_pll2_locked), + .locktorefclk(rx_pma_locktorefout[2]), + .pfdfbclkout(), + .pfdrefclkout(wire_rx_cdr_pll2_pfdrefclkout), + .powerdown(cent_unit_rxcrupowerdn[2]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + rx_cdr_pll2.bandwidth_type = "Auto", + rx_cdr_pll2.channel_num = ((starting_channel_number + 2) % 4), + rx_cdr_pll2.dprio_config_mode = 6'h00, + rx_cdr_pll2.effective_data_rate = "3125 Mbps", + rx_cdr_pll2.enable_dynamic_divider = "false", + rx_cdr_pll2.fast_lock_control = "false", + rx_cdr_pll2.inclk0_input_period = 6400, + rx_cdr_pll2.input_clock_frequency = "156.25 MHz", + rx_cdr_pll2.m = 10, + rx_cdr_pll2.n = 1, + rx_cdr_pll2.pfd_clk_select = 0, + rx_cdr_pll2.pll_type = "RX CDR", + rx_cdr_pll2.use_refclk_pin = "false", + rx_cdr_pll2.vco_post_scale = 2, + rx_cdr_pll2.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_pll rx_cdr_pll3 + ( + .areset(rx_rxcruresetout[3]), + .clk(wire_rx_cdr_pll3_clk), + .datain(rx_pma_dataout[3]), + .dataout(wire_rx_cdr_pll3_dataout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rxpll_dprioin[1199:900]), + .dprioout(wire_rx_cdr_pll3_dprioout), + .freqlocked(wire_rx_cdr_pll3_freqlocked), + .inclk({rx_cruclk_in[39:30]}), + .locked(wire_rx_cdr_pll3_locked), + .locktorefclk(rx_pma_locktorefout[3]), + .pfdfbclkout(), + .pfdrefclkout(wire_rx_cdr_pll3_pfdrefclkout), + .powerdown(cent_unit_rxcrupowerdn[3]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + rx_cdr_pll3.bandwidth_type = "Auto", + rx_cdr_pll3.channel_num = ((starting_channel_number + 3) % 4), + rx_cdr_pll3.dprio_config_mode = 6'h00, + rx_cdr_pll3.effective_data_rate = "3125 Mbps", + rx_cdr_pll3.enable_dynamic_divider = "false", + rx_cdr_pll3.fast_lock_control = "false", + rx_cdr_pll3.inclk0_input_period = 6400, + rx_cdr_pll3.input_clock_frequency = "156.25 MHz", + rx_cdr_pll3.m = 10, + rx_cdr_pll3.n = 1, + rx_cdr_pll3.pfd_clk_select = 0, + rx_cdr_pll3.pll_type = "RX CDR", + rx_cdr_pll3.use_refclk_pin = "false", + rx_cdr_pll3.vco_post_scale = 2, + rx_cdr_pll3.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_pll tx_pll0 + ( + .areset(pllreset_in[0]), + .clk(wire_tx_pll0_clk), + .dataout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(pll0_dprioin[299:0]), + .dprioout(wire_tx_pll0_dprioout), + .freqlocked(), + .inclk({pll0_clkin[9:0]}), + .locked(wire_tx_pll0_locked), + .pfdfbclkout(), + .pfdrefclkout(), + .powerdown(pllpowerdn_in[0]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datain(1'b0), + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .locktorefclk(1'b1), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + tx_pll0.bandwidth_type = "Auto", + tx_pll0.channel_num = 4, + tx_pll0.dprio_config_mode = 6'h00, + tx_pll0.inclk0_input_period = 6400, + tx_pll0.input_clock_frequency = "156.25 MHz", + tx_pll0.logical_tx_pll_number = 0, + tx_pll0.m = 10, + tx_pll0.n = 1, + tx_pll0.pfd_clk_select = 0, + tx_pll0.pfd_fb_select = "internal", + tx_pll0.pll_type = "CMU", + tx_pll0.use_refclk_pin = "false", + tx_pll0.vco_post_scale = 2, + tx_pll0.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_rx_pcs receive_pcs0 + ( + .a1a2size(1'b0), + .a1a2sizeout(), + .a1detect(), + .a2detect(), + .adetectdeskew(wire_receive_pcs0_adetectdeskew), + .alignstatus(rx_channelaligned_in[0]), + .alignstatussync(rx_alignstatussync[0]), + .alignstatussyncout(wire_receive_pcs0_alignstatussyncout), + .autospdrateswitchout(), + .autospdspdchgout(), + .bistdone(), + .bisterr(), + .bitslipboundaryselectout(), + .byteorderalignstatus(), + .cdrctrlearlyeios(), + .cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[0])), + .cdrctrllocktorefclkout(wire_receive_pcs0_cdrctrllocktorefclkout), + .clkout(wire_receive_pcs0_clkout), + .coreclk(rx_coreclk_in[0]), + .coreclkout(wire_receive_pcs0_coreclkout), + .ctrldetect(wire_receive_pcs0_ctrldetect), + .datain(rx_pma_recoverdataout_wire[19:0]), + .dataout(wire_receive_pcs0_dataout), + .dataoutfull(), + .digitalreset(rx_digitalreset_out[0]), + .digitaltestout(), + .disablefifordin(rx_disablefifordin_in[0]), + .disablefifordout(wire_receive_pcs0_disablefifordout), + .disablefifowrin(rx_disablefifowrin_in[0]), + .disablefifowrout(wire_receive_pcs0_disablefifowrout), + .disperr(wire_receive_pcs0_disperr), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pcsdprioin_wire[399:0]), + .dprioout(wire_receive_pcs0_dprioout), + .enabledeskew(enabledeskew[0]), + .enabyteord(1'b0), + .enapatternalign(rx_enapatternalign[0]), + .errdetect(wire_receive_pcs0_errdetect), + .fifordin(rx_fifordin_in[0]), + .fifordout(wire_receive_pcs0_fifordout), + .fiforesetrd(rx_fiforesetrd[0]), + .hipdataout(), + .hipdatavalid(), + .hipelecidle(), + .hipphydonestatus(), + .hipstatus(), + .invpol(rx_invpolarity[0]), + .iqpphfifobyteselout(), + .iqpphfifoptrsresetout(), + .iqpphfifordenableout(), + .iqpphfifowrclkout(), + .iqpphfifowrenableout(), + .k1detect(), + .k2detect(), + .localrefclk(1'b0), + .masterclk(rx_masterclk[0]), + .parallelfdbk({20{1'b0}}), + .patterndetect(wire_receive_pcs0_patterndetect), + .phfifobyteselout(), + .phfifobyteserdisableout(wire_receive_pcs0_phfifobyteserdisableout), + .phfifooverflow(wire_receive_pcs0_phfifooverflow), + .phfifoptrsresetout(wire_receive_pcs0_phfifoptrsresetout), + .phfifordenable(rx_phfifordenable[0]), + .phfifordenableout(wire_receive_pcs0_phfifordenableout), + .phfiforeset(rx_phfiforeset[0]), + .phfiforesetout(wire_receive_pcs0_phfiforesetout), + .phfifounderflow(wire_receive_pcs0_phfifounderflow), + .phfifowrclkout(), + .phfifowrdisable(rx_phfifowrdisable[0]), + .phfifowrdisableout(wire_receive_pcs0_phfifowrdisableout), + .phfifowrenableout(), + .phfifoxnbytesel(int_rx_phfifoxnbytesel[2:0]), + .phfifoxnrdenable(int_rx_phfifoxnrdenable[2:0]), + .phfifoxnwrclk(int_rx_phfifoxnwrclk[2:0]), + .phfifoxnwrenable(int_rx_phfifoxnwrenable[2:0]), + .pipebufferstat(), + .pipedatavalid(), + .pipeelecidle(), + .pipephydonestatus(), + .pipepowerdown({2{1'b0}}), + .pipepowerstate({4{1'b0}}), + .pipestatetransdoneout(), + .pipestatus(), + .prbscidenable(rx_prbscidenable[0]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(wire_receive_pcs0_rateswitchout), + .rateswitchxndone(int_hiprateswtichdone[0]), + .rdalign(wire_receive_pcs0_rdalign), + .recoveredclk(rx_pma_clockout[0]), + .refclk(refclk_pma[0]), + .revbitorderwa(1'b0), + .revbyteorderwa(1'b0), + .revparallelfdbkdata(), + .rlv(wire_receive_pcs0_rlv), + .rmfifoalmostempty(), + .rmfifoalmostfull(), + .rmfifodatadeleted(wire_receive_pcs0_rmfifodatadeleted), + .rmfifodatainserted(wire_receive_pcs0_rmfifodatainserted), + .rmfifoempty(wire_receive_pcs0_rmfifoempty), + .rmfifofull(wire_receive_pcs0_rmfifofull), + .rmfifordena(1'b0), + .rmfiforeset(rx_rmfiforeset[0]), + .rmfifowrena(1'b0), + .runningdisp(wire_receive_pcs0_runningdisp), + .rxdetectvalid(1'b0), + .rxfound({2{1'b0}}), + .signaldetect(), + .syncstatus(wire_receive_pcs0_syncstatus), + .syncstatusdeskew(wire_receive_pcs0_syncstatusdeskew), + .xauidelcondmetout(), + .xauififoovrout(), + .xauiinsertincompleteout(), + .xauilatencycompout(), + .xgmctrldet(wire_receive_pcs0_xgmctrldet), + .xgmctrlin(rx_xgmctrlin[0]), + .xgmdatain(cent_unit_rx_xgmdataout[7:0]), + .xgmdataout(wire_receive_pcs0_xgmdataout), + .xgmdatavalid(wire_receive_pcs0_xgmdatavalid), + .xgmrunningdisp(wire_receive_pcs0_xgmrunningdisp) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .autospdxnconfigsel({3{1'b0}}), + .autospdxnspdchg({3{1'b0}}), + .bitslip(1'b0), + .elecidleinfersel({3{1'b0}}), + .grayelecidleinferselfromtx({3{1'b0}}), + .hip8b10binvpolarity(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hippowerdown({2{1'b0}}), + .hiprateswitch(1'b0), + .iqpautospdxnspgchg({2{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnptrsreset({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrclk({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrclk(1'b0), + .phfifox4wrenable(1'b0), + .phfifox8bytesel(1'b0), + .phfifox8rdenable(1'b0), + .phfifox8wrclk(1'b0), + .phfifox8wrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .pipe8b10binvpolarity(1'b0), + .pipeenrevparallellpbkfromtx(1'b0), + .pmatestbusin({8{1'b0}}), + .powerdn({2{1'b0}}), + .ppmdetectdividedclk(1'b0), + .ppmdetectrefclk(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rxelecidlerateswitch(1'b0), + .signaldetected(1'b0), + .wareset(1'b0), + .xauidelcondmet(1'b0), + .xauififoovr(1'b0), + .xauiinsertincomplete(1'b0), + .xauilatencycomp(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pcs0.align_pattern = "0101111100", + receive_pcs0.align_pattern_length = 10, + receive_pcs0.align_to_deskew_pattern_pos_disp_only = "false", + receive_pcs0.allow_align_polarity_inversion = "true", + receive_pcs0.allow_pipe_polarity_inversion = "false", + receive_pcs0.auto_spd_deassert_ph_fifo_rst_count = 8, + receive_pcs0.auto_spd_phystatus_notify_count = 0, + receive_pcs0.auto_spd_self_switch_enable = "false", + receive_pcs0.bit_slip_enable = "false", + receive_pcs0.byte_order_double_data_mode_mask_enable = "false", + receive_pcs0.byte_order_mode = "none", + receive_pcs0.byte_order_pad_pattern = "0", + receive_pcs0.byte_order_pattern = "0", + receive_pcs0.byte_order_pld_ctrl_enable = "false", + receive_pcs0.cdrctrl_bypass_ppm_detector_cycle = 1000, + receive_pcs0.cdrctrl_enable = "false", + receive_pcs0.cdrctrl_mask_cycle = 800, + receive_pcs0.cdrctrl_min_lock_to_ref_cycle = 63, + receive_pcs0.cdrctrl_rxvalid_mask = "false", + receive_pcs0.channel_bonding = "x4", + receive_pcs0.channel_number = ((starting_channel_number + 0) % 4), + receive_pcs0.channel_width = 16, + receive_pcs0.clk1_mux_select = "master clock", + receive_pcs0.clk2_mux_select = "digital reference clock", + receive_pcs0.core_clock_0ppm = "true", + receive_pcs0.datapath_low_latency_mode = "false", + receive_pcs0.datapath_protocol = "xaui", + receive_pcs0.dec_8b_10b_compatibility_mode = "true", + receive_pcs0.dec_8b_10b_mode = "normal", + receive_pcs0.dec_8b_10b_polarity_inv_enable = "false", + receive_pcs0.deskew_pattern = "0011000011", + receive_pcs0.disable_auto_idle_insertion = "true", + receive_pcs0.disable_running_disp_in_word_align = "false", + receive_pcs0.disallow_kchar_after_pattern_ordered_set = "false", + receive_pcs0.dprio_config_mode = 6'h01, + receive_pcs0.elec_idle_infer_enable = "false", + receive_pcs0.elec_idle_num_com_detect = 3, + receive_pcs0.enable_bit_reversal = "false", + receive_pcs0.enable_deep_align = "false", + receive_pcs0.enable_deep_align_byte_swap = "false", + receive_pcs0.enable_self_test_mode = "false", + receive_pcs0.enable_true_complement_match_in_word_align = "false", + receive_pcs0.force_signal_detect_dig = "true", + receive_pcs0.hip_enable = "false", + receive_pcs0.infiniband_invalid_code = 0, + receive_pcs0.insert_pad_on_underflow = "false", + receive_pcs0.logical_channel_address = (starting_channel_number + 0), + receive_pcs0.num_align_code_groups_in_ordered_set = 0, + receive_pcs0.num_align_cons_good_data = 4, + receive_pcs0.num_align_cons_pat = 4, + receive_pcs0.num_align_loss_sync_error = 4, + receive_pcs0.ph_fifo_low_latency_enable = "true", + receive_pcs0.ph_fifo_reg_mode = "false", + receive_pcs0.ph_fifo_xn_mapping0 = "none", + receive_pcs0.ph_fifo_xn_mapping1 = "none", + receive_pcs0.ph_fifo_xn_mapping2 = "central", + receive_pcs0.ph_fifo_xn_select = 2, + receive_pcs0.pipe_auto_speed_nego_enable = "false", + receive_pcs0.pipe_freq_scale_mode = "Frequency", + receive_pcs0.pma_done_count = 249950, + receive_pcs0.protocol_hint = "xaui", + receive_pcs0.rate_match_almost_empty_threshold = 11, + receive_pcs0.rate_match_almost_full_threshold = 13, + receive_pcs0.rate_match_back_to_back = "true", + receive_pcs0.rate_match_delete_threshold = 13, + receive_pcs0.rate_match_empty_threshold = 5, + receive_pcs0.rate_match_fifo_mode = "true", + receive_pcs0.rate_match_full_threshold = 20, + receive_pcs0.rate_match_insert_threshold = 11, + receive_pcs0.rate_match_ordered_set_based = "false", + receive_pcs0.rate_match_pattern1 = "1101000011", + receive_pcs0.rate_match_pattern2 = "0010111100", + receive_pcs0.rate_match_pattern_size = 10, + receive_pcs0.rate_match_reset_enable = "false", + receive_pcs0.rate_match_skip_set_based = "false", + receive_pcs0.rate_match_start_threshold = 7, + receive_pcs0.rd_clk_mux_select = "core clock", + receive_pcs0.recovered_clk_mux_select = "recovered clock", + receive_pcs0.run_length = 40, + receive_pcs0.run_length_enable = "true", + receive_pcs0.rx_detect_bypass = "false", + receive_pcs0.rx_phfifo_wait_cnt = 15, + receive_pcs0.rxstatus_error_report_mode = 0, + receive_pcs0.self_test_mode = "incremental", + receive_pcs0.use_alignment_state_machine = "true", + receive_pcs0.use_deserializer_double_data_mode = "false", + receive_pcs0.use_deskew_fifo = "true", + receive_pcs0.use_double_data_mode = "true", + receive_pcs0.use_parallel_loopback = "false", + receive_pcs0.use_rising_edge_triggered_pattern_align = "false", + receive_pcs0.lpm_type = "stratixiv_hssi_rx_pcs"; + stratixiv_hssi_rx_pcs receive_pcs1 + ( + .a1a2size(1'b0), + .a1a2sizeout(), + .a1detect(), + .a2detect(), + .adetectdeskew(wire_receive_pcs1_adetectdeskew), + .alignstatus(rx_channelaligned_in[0]), + .alignstatussync(rx_alignstatussync[0]), + .alignstatussyncout(wire_receive_pcs1_alignstatussyncout), + .autospdrateswitchout(), + .autospdspdchgout(), + .bistdone(), + .bisterr(), + .bitslipboundaryselectout(), + .byteorderalignstatus(), + .cdrctrlearlyeios(), + .cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[1])), + .cdrctrllocktorefclkout(wire_receive_pcs1_cdrctrllocktorefclkout), + .clkout(wire_receive_pcs1_clkout), + .coreclk(rx_coreclk_in[1]), + .coreclkout(wire_receive_pcs1_coreclkout), + .ctrldetect(wire_receive_pcs1_ctrldetect), + .datain(rx_pma_recoverdataout_wire[39:20]), + .dataout(wire_receive_pcs1_dataout), + .dataoutfull(), + .digitalreset(rx_digitalreset_out[1]), + .digitaltestout(), + .disablefifordin(rx_disablefifordin_in[0]), + .disablefifordout(wire_receive_pcs1_disablefifordout), + .disablefifowrin(rx_disablefifowrin_in[0]), + .disablefifowrout(wire_receive_pcs1_disablefifowrout), + .disperr(wire_receive_pcs1_disperr), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pcsdprioin_wire[799:400]), + .dprioout(wire_receive_pcs1_dprioout), + .enabledeskew(enabledeskew[0]), + .enabyteord(1'b0), + .enapatternalign(rx_enapatternalign[1]), + .errdetect(wire_receive_pcs1_errdetect), + .fifordin(rx_fifordin_in[0]), + .fifordout(wire_receive_pcs1_fifordout), + .fiforesetrd(rx_fiforesetrd[0]), + .hipdataout(), + .hipdatavalid(), + .hipelecidle(), + .hipphydonestatus(), + .hipstatus(), + .invpol(rx_invpolarity[1]), + .iqpphfifobyteselout(), + .iqpphfifoptrsresetout(), + .iqpphfifordenableout(), + .iqpphfifowrclkout(), + .iqpphfifowrenableout(), + .k1detect(), + .k2detect(), + .localrefclk(1'b0), + .masterclk(rx_masterclk[0]), + .parallelfdbk({20{1'b0}}), + .patterndetect(wire_receive_pcs1_patterndetect), + .phfifobyteselout(), + .phfifobyteserdisableout(wire_receive_pcs1_phfifobyteserdisableout), + .phfifooverflow(wire_receive_pcs1_phfifooverflow), + .phfifoptrsresetout(wire_receive_pcs1_phfifoptrsresetout), + .phfifordenable(rx_phfifordenable[1]), + .phfifordenableout(wire_receive_pcs1_phfifordenableout), + .phfiforeset(rx_phfiforeset[1]), + .phfiforesetout(wire_receive_pcs1_phfiforesetout), + .phfifounderflow(wire_receive_pcs1_phfifounderflow), + .phfifowrclkout(), + .phfifowrdisable(rx_phfifowrdisable[1]), + .phfifowrdisableout(wire_receive_pcs1_phfifowrdisableout), + .phfifowrenableout(), + .phfifoxnbytesel(int_rx_phfifoxnbytesel[5:3]), + .phfifoxnrdenable(int_rx_phfifoxnrdenable[5:3]), + .phfifoxnwrclk(int_rx_phfifoxnwrclk[5:3]), + .phfifoxnwrenable(int_rx_phfifoxnwrenable[5:3]), + .pipebufferstat(), + .pipedatavalid(), + .pipeelecidle(), + .pipephydonestatus(), + .pipepowerdown({2{1'b0}}), + .pipepowerstate({4{1'b0}}), + .pipestatetransdoneout(), + .pipestatus(), + .prbscidenable(rx_prbscidenable[1]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(wire_receive_pcs1_rateswitchout), + .rateswitchxndone(int_hiprateswtichdone[0]), + .rdalign(wire_receive_pcs1_rdalign), + .recoveredclk(rx_pma_clockout[1]), + .refclk(refclk_pma[0]), + .revbitorderwa(1'b0), + .revbyteorderwa(1'b0), + .revparallelfdbkdata(), + .rlv(wire_receive_pcs1_rlv), + .rmfifoalmostempty(), + .rmfifoalmostfull(), + .rmfifodatadeleted(wire_receive_pcs1_rmfifodatadeleted), + .rmfifodatainserted(wire_receive_pcs1_rmfifodatainserted), + .rmfifoempty(wire_receive_pcs1_rmfifoempty), + .rmfifofull(wire_receive_pcs1_rmfifofull), + .rmfifordena(1'b0), + .rmfiforeset(rx_rmfiforeset[1]), + .rmfifowrena(1'b0), + .runningdisp(wire_receive_pcs1_runningdisp), + .rxdetectvalid(1'b0), + .rxfound({2{1'b0}}), + .signaldetect(), + .syncstatus(wire_receive_pcs1_syncstatus), + .syncstatusdeskew(wire_receive_pcs1_syncstatusdeskew), + .xauidelcondmetout(), + .xauififoovrout(), + .xauiinsertincompleteout(), + .xauilatencycompout(), + .xgmctrldet(wire_receive_pcs1_xgmctrldet), + .xgmctrlin(rx_xgmctrlin[1]), + .xgmdatain(cent_unit_rx_xgmdataout[15:8]), + .xgmdataout(wire_receive_pcs1_xgmdataout), + .xgmdatavalid(wire_receive_pcs1_xgmdatavalid), + .xgmrunningdisp(wire_receive_pcs1_xgmrunningdisp) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .autospdxnconfigsel({3{1'b0}}), + .autospdxnspdchg({3{1'b0}}), + .bitslip(1'b0), + .elecidleinfersel({3{1'b0}}), + .grayelecidleinferselfromtx({3{1'b0}}), + .hip8b10binvpolarity(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hippowerdown({2{1'b0}}), + .hiprateswitch(1'b0), + .iqpautospdxnspgchg({2{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnptrsreset({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrclk({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrclk(1'b0), + .phfifox4wrenable(1'b0), + .phfifox8bytesel(1'b0), + .phfifox8rdenable(1'b0), + .phfifox8wrclk(1'b0), + .phfifox8wrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .pipe8b10binvpolarity(1'b0), + .pipeenrevparallellpbkfromtx(1'b0), + .pmatestbusin({8{1'b0}}), + .powerdn({2{1'b0}}), + .ppmdetectdividedclk(1'b0), + .ppmdetectrefclk(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rxelecidlerateswitch(1'b0), + .signaldetected(1'b0), + .wareset(1'b0), + .xauidelcondmet(1'b0), + .xauififoovr(1'b0), + .xauiinsertincomplete(1'b0), + .xauilatencycomp(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pcs1.align_pattern = "0101111100", + receive_pcs1.align_pattern_length = 10, + receive_pcs1.align_to_deskew_pattern_pos_disp_only = "false", + receive_pcs1.allow_align_polarity_inversion = "true", + receive_pcs1.allow_pipe_polarity_inversion = "false", + receive_pcs1.auto_spd_deassert_ph_fifo_rst_count = 8, + receive_pcs1.auto_spd_phystatus_notify_count = 0, + receive_pcs1.auto_spd_self_switch_enable = "false", + receive_pcs1.bit_slip_enable = "false", + receive_pcs1.byte_order_double_data_mode_mask_enable = "false", + receive_pcs1.byte_order_mode = "none", + receive_pcs1.byte_order_pad_pattern = "0", + receive_pcs1.byte_order_pattern = "0", + receive_pcs1.byte_order_pld_ctrl_enable = "false", + receive_pcs1.cdrctrl_bypass_ppm_detector_cycle = 1000, + receive_pcs1.cdrctrl_enable = "false", + receive_pcs1.cdrctrl_mask_cycle = 800, + receive_pcs1.cdrctrl_min_lock_to_ref_cycle = 63, + receive_pcs1.cdrctrl_rxvalid_mask = "false", + receive_pcs1.channel_bonding = "x4", + receive_pcs1.channel_number = ((starting_channel_number + 1) % 4), + receive_pcs1.channel_width = 16, + receive_pcs1.clk1_mux_select = "master clock", + receive_pcs1.clk2_mux_select = "digital reference clock", + receive_pcs1.core_clock_0ppm = "true", + receive_pcs1.datapath_low_latency_mode = "false", + receive_pcs1.datapath_protocol = "xaui", + receive_pcs1.dec_8b_10b_compatibility_mode = "true", + receive_pcs1.dec_8b_10b_mode = "normal", + receive_pcs1.dec_8b_10b_polarity_inv_enable = "false", + receive_pcs1.deskew_pattern = "0011000011", + receive_pcs1.disable_auto_idle_insertion = "true", + receive_pcs1.disable_running_disp_in_word_align = "false", + receive_pcs1.disallow_kchar_after_pattern_ordered_set = "false", + receive_pcs1.dprio_config_mode = 6'h01, + receive_pcs1.elec_idle_infer_enable = "false", + receive_pcs1.elec_idle_num_com_detect = 3, + receive_pcs1.enable_bit_reversal = "false", + receive_pcs1.enable_deep_align = "false", + receive_pcs1.enable_deep_align_byte_swap = "false", + receive_pcs1.enable_self_test_mode = "false", + receive_pcs1.enable_true_complement_match_in_word_align = "false", + receive_pcs1.force_signal_detect_dig = "true", + receive_pcs1.hip_enable = "false", + receive_pcs1.infiniband_invalid_code = 0, + receive_pcs1.insert_pad_on_underflow = "false", + receive_pcs1.logical_channel_address = (starting_channel_number + 1), + receive_pcs1.num_align_code_groups_in_ordered_set = 0, + receive_pcs1.num_align_cons_good_data = 4, + receive_pcs1.num_align_cons_pat = 4, + receive_pcs1.num_align_loss_sync_error = 4, + receive_pcs1.ph_fifo_low_latency_enable = "true", + receive_pcs1.ph_fifo_reg_mode = "false", + receive_pcs1.ph_fifo_xn_mapping0 = "none", + receive_pcs1.ph_fifo_xn_mapping1 = "none", + receive_pcs1.ph_fifo_xn_mapping2 = "central", + receive_pcs1.ph_fifo_xn_select = 2, + receive_pcs1.pipe_auto_speed_nego_enable = "false", + receive_pcs1.pipe_freq_scale_mode = "Frequency", + receive_pcs1.pma_done_count = 249950, + receive_pcs1.protocol_hint = "xaui", + receive_pcs1.rate_match_almost_empty_threshold = 11, + receive_pcs1.rate_match_almost_full_threshold = 13, + receive_pcs1.rate_match_back_to_back = "true", + receive_pcs1.rate_match_delete_threshold = 13, + receive_pcs1.rate_match_empty_threshold = 5, + receive_pcs1.rate_match_fifo_mode = "true", + receive_pcs1.rate_match_full_threshold = 20, + receive_pcs1.rate_match_insert_threshold = 11, + receive_pcs1.rate_match_ordered_set_based = "false", + receive_pcs1.rate_match_pattern1 = "1101000011", + receive_pcs1.rate_match_pattern2 = "0010111100", + receive_pcs1.rate_match_pattern_size = 10, + receive_pcs1.rate_match_reset_enable = "false", + receive_pcs1.rate_match_skip_set_based = "false", + receive_pcs1.rate_match_start_threshold = 7, + receive_pcs1.rd_clk_mux_select = "core clock", + receive_pcs1.recovered_clk_mux_select = "recovered clock", + receive_pcs1.run_length = 40, + receive_pcs1.run_length_enable = "true", + receive_pcs1.rx_detect_bypass = "false", + receive_pcs1.rx_phfifo_wait_cnt = 15, + receive_pcs1.rxstatus_error_report_mode = 0, + receive_pcs1.self_test_mode = "incremental", + receive_pcs1.use_alignment_state_machine = "true", + receive_pcs1.use_deserializer_double_data_mode = "false", + receive_pcs1.use_deskew_fifo = "true", + receive_pcs1.use_double_data_mode = "true", + receive_pcs1.use_parallel_loopback = "false", + receive_pcs1.use_rising_edge_triggered_pattern_align = "false", + receive_pcs1.lpm_type = "stratixiv_hssi_rx_pcs"; + stratixiv_hssi_rx_pcs receive_pcs2 + ( + .a1a2size(1'b0), + .a1a2sizeout(), + .a1detect(), + .a2detect(), + .adetectdeskew(wire_receive_pcs2_adetectdeskew), + .alignstatus(rx_channelaligned_in[0]), + .alignstatussync(rx_alignstatussync[0]), + .alignstatussyncout(wire_receive_pcs2_alignstatussyncout), + .autospdrateswitchout(), + .autospdspdchgout(), + .bistdone(), + .bisterr(), + .bitslipboundaryselectout(), + .byteorderalignstatus(), + .cdrctrlearlyeios(), + .cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[2])), + .cdrctrllocktorefclkout(wire_receive_pcs2_cdrctrllocktorefclkout), + .clkout(wire_receive_pcs2_clkout), + .coreclk(rx_coreclk_in[2]), + .coreclkout(wire_receive_pcs2_coreclkout), + .ctrldetect(wire_receive_pcs2_ctrldetect), + .datain(rx_pma_recoverdataout_wire[59:40]), + .dataout(wire_receive_pcs2_dataout), + .dataoutfull(), + .digitalreset(rx_digitalreset_out[2]), + .digitaltestout(), + .disablefifordin(rx_disablefifordin_in[0]), + .disablefifordout(wire_receive_pcs2_disablefifordout), + .disablefifowrin(rx_disablefifowrin_in[0]), + .disablefifowrout(wire_receive_pcs2_disablefifowrout), + .disperr(wire_receive_pcs2_disperr), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pcsdprioin_wire[1199:800]), + .dprioout(wire_receive_pcs2_dprioout), + .enabledeskew(enabledeskew[0]), + .enabyteord(1'b0), + .enapatternalign(rx_enapatternalign[2]), + .errdetect(wire_receive_pcs2_errdetect), + .fifordin(rx_fifordin_in[0]), + .fifordout(wire_receive_pcs2_fifordout), + .fiforesetrd(rx_fiforesetrd[0]), + .hipdataout(), + .hipdatavalid(), + .hipelecidle(), + .hipphydonestatus(), + .hipstatus(), + .invpol(rx_invpolarity[2]), + .iqpphfifobyteselout(), + .iqpphfifoptrsresetout(), + .iqpphfifordenableout(), + .iqpphfifowrclkout(), + .iqpphfifowrenableout(), + .k1detect(), + .k2detect(), + .localrefclk(1'b0), + .masterclk(rx_masterclk[0]), + .parallelfdbk({20{1'b0}}), + .patterndetect(wire_receive_pcs2_patterndetect), + .phfifobyteselout(), + .phfifobyteserdisableout(wire_receive_pcs2_phfifobyteserdisableout), + .phfifooverflow(wire_receive_pcs2_phfifooverflow), + .phfifoptrsresetout(wire_receive_pcs2_phfifoptrsresetout), + .phfifordenable(rx_phfifordenable[2]), + .phfifordenableout(wire_receive_pcs2_phfifordenableout), + .phfiforeset(rx_phfiforeset[2]), + .phfiforesetout(wire_receive_pcs2_phfiforesetout), + .phfifounderflow(wire_receive_pcs2_phfifounderflow), + .phfifowrclkout(), + .phfifowrdisable(rx_phfifowrdisable[2]), + .phfifowrdisableout(wire_receive_pcs2_phfifowrdisableout), + .phfifowrenableout(), + .phfifoxnbytesel(int_rx_phfifoxnbytesel[8:6]), + .phfifoxnrdenable(int_rx_phfifoxnrdenable[8:6]), + .phfifoxnwrclk(int_rx_phfifoxnwrclk[8:6]), + .phfifoxnwrenable(int_rx_phfifoxnwrenable[8:6]), + .pipebufferstat(), + .pipedatavalid(), + .pipeelecidle(), + .pipephydonestatus(), + .pipepowerdown({2{1'b0}}), + .pipepowerstate({4{1'b0}}), + .pipestatetransdoneout(), + .pipestatus(), + .prbscidenable(rx_prbscidenable[2]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(wire_receive_pcs2_rateswitchout), + .rateswitchxndone(int_hiprateswtichdone[0]), + .rdalign(wire_receive_pcs2_rdalign), + .recoveredclk(rx_pma_clockout[2]), + .refclk(refclk_pma[0]), + .revbitorderwa(1'b0), + .revbyteorderwa(1'b0), + .revparallelfdbkdata(), + .rlv(wire_receive_pcs2_rlv), + .rmfifoalmostempty(), + .rmfifoalmostfull(), + .rmfifodatadeleted(wire_receive_pcs2_rmfifodatadeleted), + .rmfifodatainserted(wire_receive_pcs2_rmfifodatainserted), + .rmfifoempty(wire_receive_pcs2_rmfifoempty), + .rmfifofull(wire_receive_pcs2_rmfifofull), + .rmfifordena(1'b0), + .rmfiforeset(rx_rmfiforeset[2]), + .rmfifowrena(1'b0), + .runningdisp(wire_receive_pcs2_runningdisp), + .rxdetectvalid(1'b0), + .rxfound({2{1'b0}}), + .signaldetect(), + .syncstatus(wire_receive_pcs2_syncstatus), + .syncstatusdeskew(wire_receive_pcs2_syncstatusdeskew), + .xauidelcondmetout(), + .xauififoovrout(), + .xauiinsertincompleteout(), + .xauilatencycompout(), + .xgmctrldet(wire_receive_pcs2_xgmctrldet), + .xgmctrlin(rx_xgmctrlin[2]), + .xgmdatain(cent_unit_rx_xgmdataout[23:16]), + .xgmdataout(wire_receive_pcs2_xgmdataout), + .xgmdatavalid(wire_receive_pcs2_xgmdatavalid), + .xgmrunningdisp(wire_receive_pcs2_xgmrunningdisp) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .autospdxnconfigsel({3{1'b0}}), + .autospdxnspdchg({3{1'b0}}), + .bitslip(1'b0), + .elecidleinfersel({3{1'b0}}), + .grayelecidleinferselfromtx({3{1'b0}}), + .hip8b10binvpolarity(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hippowerdown({2{1'b0}}), + .hiprateswitch(1'b0), + .iqpautospdxnspgchg({2{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnptrsreset({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrclk({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrclk(1'b0), + .phfifox4wrenable(1'b0), + .phfifox8bytesel(1'b0), + .phfifox8rdenable(1'b0), + .phfifox8wrclk(1'b0), + .phfifox8wrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .pipe8b10binvpolarity(1'b0), + .pipeenrevparallellpbkfromtx(1'b0), + .pmatestbusin({8{1'b0}}), + .powerdn({2{1'b0}}), + .ppmdetectdividedclk(1'b0), + .ppmdetectrefclk(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rxelecidlerateswitch(1'b0), + .signaldetected(1'b0), + .wareset(1'b0), + .xauidelcondmet(1'b0), + .xauififoovr(1'b0), + .xauiinsertincomplete(1'b0), + .xauilatencycomp(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pcs2.align_pattern = "0101111100", + receive_pcs2.align_pattern_length = 10, + receive_pcs2.align_to_deskew_pattern_pos_disp_only = "false", + receive_pcs2.allow_align_polarity_inversion = "true", + receive_pcs2.allow_pipe_polarity_inversion = "false", + receive_pcs2.auto_spd_deassert_ph_fifo_rst_count = 8, + receive_pcs2.auto_spd_phystatus_notify_count = 0, + receive_pcs2.auto_spd_self_switch_enable = "false", + receive_pcs2.bit_slip_enable = "false", + receive_pcs2.byte_order_double_data_mode_mask_enable = "false", + receive_pcs2.byte_order_mode = "none", + receive_pcs2.byte_order_pad_pattern = "0", + receive_pcs2.byte_order_pattern = "0", + receive_pcs2.byte_order_pld_ctrl_enable = "false", + receive_pcs2.cdrctrl_bypass_ppm_detector_cycle = 1000, + receive_pcs2.cdrctrl_enable = "false", + receive_pcs2.cdrctrl_mask_cycle = 800, + receive_pcs2.cdrctrl_min_lock_to_ref_cycle = 63, + receive_pcs2.cdrctrl_rxvalid_mask = "false", + receive_pcs2.channel_bonding = "x4", + receive_pcs2.channel_number = ((starting_channel_number + 2) % 4), + receive_pcs2.channel_width = 16, + receive_pcs2.clk1_mux_select = "master clock", + receive_pcs2.clk2_mux_select = "digital reference clock", + receive_pcs2.core_clock_0ppm = "true", + receive_pcs2.datapath_low_latency_mode = "false", + receive_pcs2.datapath_protocol = "xaui", + receive_pcs2.dec_8b_10b_compatibility_mode = "true", + receive_pcs2.dec_8b_10b_mode = "normal", + receive_pcs2.dec_8b_10b_polarity_inv_enable = "false", + receive_pcs2.deskew_pattern = "0011000011", + receive_pcs2.disable_auto_idle_insertion = "true", + receive_pcs2.disable_running_disp_in_word_align = "false", + receive_pcs2.disallow_kchar_after_pattern_ordered_set = "false", + receive_pcs2.dprio_config_mode = 6'h01, + receive_pcs2.elec_idle_infer_enable = "false", + receive_pcs2.elec_idle_num_com_detect = 3, + receive_pcs2.enable_bit_reversal = "false", + receive_pcs2.enable_deep_align = "false", + receive_pcs2.enable_deep_align_byte_swap = "false", + receive_pcs2.enable_self_test_mode = "false", + receive_pcs2.enable_true_complement_match_in_word_align = "false", + receive_pcs2.force_signal_detect_dig = "true", + receive_pcs2.hip_enable = "false", + receive_pcs2.infiniband_invalid_code = 0, + receive_pcs2.insert_pad_on_underflow = "false", + receive_pcs2.logical_channel_address = (starting_channel_number + 2), + receive_pcs2.num_align_code_groups_in_ordered_set = 0, + receive_pcs2.num_align_cons_good_data = 4, + receive_pcs2.num_align_cons_pat = 4, + receive_pcs2.num_align_loss_sync_error = 4, + receive_pcs2.ph_fifo_low_latency_enable = "true", + receive_pcs2.ph_fifo_reg_mode = "false", + receive_pcs2.ph_fifo_xn_mapping0 = "none", + receive_pcs2.ph_fifo_xn_mapping1 = "none", + receive_pcs2.ph_fifo_xn_mapping2 = "central", + receive_pcs2.ph_fifo_xn_select = 2, + receive_pcs2.pipe_auto_speed_nego_enable = "false", + receive_pcs2.pipe_freq_scale_mode = "Frequency", + receive_pcs2.pma_done_count = 249950, + receive_pcs2.protocol_hint = "xaui", + receive_pcs2.rate_match_almost_empty_threshold = 11, + receive_pcs2.rate_match_almost_full_threshold = 13, + receive_pcs2.rate_match_back_to_back = "true", + receive_pcs2.rate_match_delete_threshold = 13, + receive_pcs2.rate_match_empty_threshold = 5, + receive_pcs2.rate_match_fifo_mode = "true", + receive_pcs2.rate_match_full_threshold = 20, + receive_pcs2.rate_match_insert_threshold = 11, + receive_pcs2.rate_match_ordered_set_based = "false", + receive_pcs2.rate_match_pattern1 = "1101000011", + receive_pcs2.rate_match_pattern2 = "0010111100", + receive_pcs2.rate_match_pattern_size = 10, + receive_pcs2.rate_match_reset_enable = "false", + receive_pcs2.rate_match_skip_set_based = "false", + receive_pcs2.rate_match_start_threshold = 7, + receive_pcs2.rd_clk_mux_select = "core clock", + receive_pcs2.recovered_clk_mux_select = "recovered clock", + receive_pcs2.run_length = 40, + receive_pcs2.run_length_enable = "true", + receive_pcs2.rx_detect_bypass = "false", + receive_pcs2.rx_phfifo_wait_cnt = 15, + receive_pcs2.rxstatus_error_report_mode = 0, + receive_pcs2.self_test_mode = "incremental", + receive_pcs2.use_alignment_state_machine = "true", + receive_pcs2.use_deserializer_double_data_mode = "false", + receive_pcs2.use_deskew_fifo = "true", + receive_pcs2.use_double_data_mode = "true", + receive_pcs2.use_parallel_loopback = "false", + receive_pcs2.use_rising_edge_triggered_pattern_align = "false", + receive_pcs2.lpm_type = "stratixiv_hssi_rx_pcs"; + stratixiv_hssi_rx_pcs receive_pcs3 + ( + .a1a2size(1'b0), + .a1a2sizeout(), + .a1detect(), + .a2detect(), + .adetectdeskew(wire_receive_pcs3_adetectdeskew), + .alignstatus(rx_channelaligned_in[0]), + .alignstatussync(rx_alignstatussync[0]), + .alignstatussyncout(wire_receive_pcs3_alignstatussyncout), + .autospdrateswitchout(), + .autospdspdchgout(), + .bistdone(), + .bisterr(), + .bitslipboundaryselectout(), + .byteorderalignstatus(), + .cdrctrlearlyeios(), + .cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[3])), + .cdrctrllocktorefclkout(wire_receive_pcs3_cdrctrllocktorefclkout), + .clkout(wire_receive_pcs3_clkout), + .coreclk(rx_coreclk_in[3]), + .coreclkout(wire_receive_pcs3_coreclkout), + .ctrldetect(wire_receive_pcs3_ctrldetect), + .datain(rx_pma_recoverdataout_wire[79:60]), + .dataout(wire_receive_pcs3_dataout), + .dataoutfull(), + .digitalreset(rx_digitalreset_out[3]), + .digitaltestout(), + .disablefifordin(rx_disablefifordin_in[0]), + .disablefifordout(wire_receive_pcs3_disablefifordout), + .disablefifowrin(rx_disablefifowrin_in[0]), + .disablefifowrout(wire_receive_pcs3_disablefifowrout), + .disperr(wire_receive_pcs3_disperr), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pcsdprioin_wire[1599:1200]), + .dprioout(wire_receive_pcs3_dprioout), + .enabledeskew(enabledeskew[0]), + .enabyteord(1'b0), + .enapatternalign(rx_enapatternalign[3]), + .errdetect(wire_receive_pcs3_errdetect), + .fifordin(rx_fifordin_in[0]), + .fifordout(wire_receive_pcs3_fifordout), + .fiforesetrd(rx_fiforesetrd[0]), + .hipdataout(), + .hipdatavalid(), + .hipelecidle(), + .hipphydonestatus(), + .hipstatus(), + .invpol(rx_invpolarity[3]), + .iqpphfifobyteselout(), + .iqpphfifoptrsresetout(), + .iqpphfifordenableout(), + .iqpphfifowrclkout(), + .iqpphfifowrenableout(), + .k1detect(), + .k2detect(), + .localrefclk(1'b0), + .masterclk(rx_masterclk[0]), + .parallelfdbk({20{1'b0}}), + .patterndetect(wire_receive_pcs3_patterndetect), + .phfifobyteselout(), + .phfifobyteserdisableout(wire_receive_pcs3_phfifobyteserdisableout), + .phfifooverflow(wire_receive_pcs3_phfifooverflow), + .phfifoptrsresetout(wire_receive_pcs3_phfifoptrsresetout), + .phfifordenable(rx_phfifordenable[3]), + .phfifordenableout(wire_receive_pcs3_phfifordenableout), + .phfiforeset(rx_phfiforeset[3]), + .phfiforesetout(wire_receive_pcs3_phfiforesetout), + .phfifounderflow(wire_receive_pcs3_phfifounderflow), + .phfifowrclkout(), + .phfifowrdisable(rx_phfifowrdisable[3]), + .phfifowrdisableout(wire_receive_pcs3_phfifowrdisableout), + .phfifowrenableout(), + .phfifoxnbytesel(int_rx_phfifoxnbytesel[11:9]), + .phfifoxnrdenable(int_rx_phfifoxnrdenable[11:9]), + .phfifoxnwrclk(int_rx_phfifoxnwrclk[11:9]), + .phfifoxnwrenable(int_rx_phfifoxnwrenable[11:9]), + .pipebufferstat(), + .pipedatavalid(), + .pipeelecidle(), + .pipephydonestatus(), + .pipepowerdown({2{1'b0}}), + .pipepowerstate({4{1'b0}}), + .pipestatetransdoneout(), + .pipestatus(), + .prbscidenable(rx_prbscidenable[3]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(wire_receive_pcs3_rateswitchout), + .rateswitchxndone(int_hiprateswtichdone[0]), + .rdalign(wire_receive_pcs3_rdalign), + .recoveredclk(rx_pma_clockout[3]), + .refclk(refclk_pma[0]), + .revbitorderwa(1'b0), + .revbyteorderwa(1'b0), + .revparallelfdbkdata(), + .rlv(wire_receive_pcs3_rlv), + .rmfifoalmostempty(), + .rmfifoalmostfull(), + .rmfifodatadeleted(wire_receive_pcs3_rmfifodatadeleted), + .rmfifodatainserted(wire_receive_pcs3_rmfifodatainserted), + .rmfifoempty(wire_receive_pcs3_rmfifoempty), + .rmfifofull(wire_receive_pcs3_rmfifofull), + .rmfifordena(1'b0), + .rmfiforeset(rx_rmfiforeset[3]), + .rmfifowrena(1'b0), + .runningdisp(wire_receive_pcs3_runningdisp), + .rxdetectvalid(1'b0), + .rxfound({2{1'b0}}), + .signaldetect(), + .syncstatus(wire_receive_pcs3_syncstatus), + .syncstatusdeskew(wire_receive_pcs3_syncstatusdeskew), + .xauidelcondmetout(), + .xauififoovrout(), + .xauiinsertincompleteout(), + .xauilatencycompout(), + .xgmctrldet(wire_receive_pcs3_xgmctrldet), + .xgmctrlin(rx_xgmctrlin[3]), + .xgmdatain(cent_unit_rx_xgmdataout[31:24]), + .xgmdataout(wire_receive_pcs3_xgmdataout), + .xgmdatavalid(wire_receive_pcs3_xgmdatavalid), + .xgmrunningdisp(wire_receive_pcs3_xgmrunningdisp) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .autospdxnconfigsel({3{1'b0}}), + .autospdxnspdchg({3{1'b0}}), + .bitslip(1'b0), + .elecidleinfersel({3{1'b0}}), + .grayelecidleinferselfromtx({3{1'b0}}), + .hip8b10binvpolarity(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hippowerdown({2{1'b0}}), + .hiprateswitch(1'b0), + .iqpautospdxnspgchg({2{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnptrsreset({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrclk({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrclk(1'b0), + .phfifox4wrenable(1'b0), + .phfifox8bytesel(1'b0), + .phfifox8rdenable(1'b0), + .phfifox8wrclk(1'b0), + .phfifox8wrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .pipe8b10binvpolarity(1'b0), + .pipeenrevparallellpbkfromtx(1'b0), + .pmatestbusin({8{1'b0}}), + .powerdn({2{1'b0}}), + .ppmdetectdividedclk(1'b0), + .ppmdetectrefclk(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rxelecidlerateswitch(1'b0), + .signaldetected(1'b0), + .wareset(1'b0), + .xauidelcondmet(1'b0), + .xauififoovr(1'b0), + .xauiinsertincomplete(1'b0), + .xauilatencycomp(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pcs3.align_pattern = "0101111100", + receive_pcs3.align_pattern_length = 10, + receive_pcs3.align_to_deskew_pattern_pos_disp_only = "false", + receive_pcs3.allow_align_polarity_inversion = "true", + receive_pcs3.allow_pipe_polarity_inversion = "false", + receive_pcs3.auto_spd_deassert_ph_fifo_rst_count = 8, + receive_pcs3.auto_spd_phystatus_notify_count = 0, + receive_pcs3.auto_spd_self_switch_enable = "false", + receive_pcs3.bit_slip_enable = "false", + receive_pcs3.byte_order_double_data_mode_mask_enable = "false", + receive_pcs3.byte_order_mode = "none", + receive_pcs3.byte_order_pad_pattern = "0", + receive_pcs3.byte_order_pattern = "0", + receive_pcs3.byte_order_pld_ctrl_enable = "false", + receive_pcs3.cdrctrl_bypass_ppm_detector_cycle = 1000, + receive_pcs3.cdrctrl_enable = "false", + receive_pcs3.cdrctrl_mask_cycle = 800, + receive_pcs3.cdrctrl_min_lock_to_ref_cycle = 63, + receive_pcs3.cdrctrl_rxvalid_mask = "false", + receive_pcs3.channel_bonding = "x4", + receive_pcs3.channel_number = ((starting_channel_number + 3) % 4), + receive_pcs3.channel_width = 16, + receive_pcs3.clk1_mux_select = "master clock", + receive_pcs3.clk2_mux_select = "digital reference clock", + receive_pcs3.core_clock_0ppm = "true", + receive_pcs3.datapath_low_latency_mode = "false", + receive_pcs3.datapath_protocol = "xaui", + receive_pcs3.dec_8b_10b_compatibility_mode = "true", + receive_pcs3.dec_8b_10b_mode = "normal", + receive_pcs3.dec_8b_10b_polarity_inv_enable = "false", + receive_pcs3.deskew_pattern = "0011000011", + receive_pcs3.disable_auto_idle_insertion = "true", + receive_pcs3.disable_running_disp_in_word_align = "false", + receive_pcs3.disallow_kchar_after_pattern_ordered_set = "false", + receive_pcs3.dprio_config_mode = 6'h01, + receive_pcs3.elec_idle_infer_enable = "false", + receive_pcs3.elec_idle_num_com_detect = 3, + receive_pcs3.enable_bit_reversal = "false", + receive_pcs3.enable_deep_align = "false", + receive_pcs3.enable_deep_align_byte_swap = "false", + receive_pcs3.enable_self_test_mode = "false", + receive_pcs3.enable_true_complement_match_in_word_align = "false", + receive_pcs3.force_signal_detect_dig = "true", + receive_pcs3.hip_enable = "false", + receive_pcs3.infiniband_invalid_code = 0, + receive_pcs3.insert_pad_on_underflow = "false", + receive_pcs3.logical_channel_address = (starting_channel_number + 3), + receive_pcs3.num_align_code_groups_in_ordered_set = 0, + receive_pcs3.num_align_cons_good_data = 4, + receive_pcs3.num_align_cons_pat = 4, + receive_pcs3.num_align_loss_sync_error = 4, + receive_pcs3.ph_fifo_low_latency_enable = "true", + receive_pcs3.ph_fifo_reg_mode = "false", + receive_pcs3.ph_fifo_xn_mapping0 = "none", + receive_pcs3.ph_fifo_xn_mapping1 = "none", + receive_pcs3.ph_fifo_xn_mapping2 = "central", + receive_pcs3.ph_fifo_xn_select = 2, + receive_pcs3.pipe_auto_speed_nego_enable = "false", + receive_pcs3.pipe_freq_scale_mode = "Frequency", + receive_pcs3.pma_done_count = 249950, + receive_pcs3.protocol_hint = "xaui", + receive_pcs3.rate_match_almost_empty_threshold = 11, + receive_pcs3.rate_match_almost_full_threshold = 13, + receive_pcs3.rate_match_back_to_back = "true", + receive_pcs3.rate_match_delete_threshold = 13, + receive_pcs3.rate_match_empty_threshold = 5, + receive_pcs3.rate_match_fifo_mode = "true", + receive_pcs3.rate_match_full_threshold = 20, + receive_pcs3.rate_match_insert_threshold = 11, + receive_pcs3.rate_match_ordered_set_based = "false", + receive_pcs3.rate_match_pattern1 = "1101000011", + receive_pcs3.rate_match_pattern2 = "0010111100", + receive_pcs3.rate_match_pattern_size = 10, + receive_pcs3.rate_match_reset_enable = "false", + receive_pcs3.rate_match_skip_set_based = "false", + receive_pcs3.rate_match_start_threshold = 7, + receive_pcs3.rd_clk_mux_select = "core clock", + receive_pcs3.recovered_clk_mux_select = "recovered clock", + receive_pcs3.run_length = 40, + receive_pcs3.run_length_enable = "true", + receive_pcs3.rx_detect_bypass = "false", + receive_pcs3.rx_phfifo_wait_cnt = 15, + receive_pcs3.rxstatus_error_report_mode = 0, + receive_pcs3.self_test_mode = "incremental", + receive_pcs3.use_alignment_state_machine = "true", + receive_pcs3.use_deserializer_double_data_mode = "false", + receive_pcs3.use_deskew_fifo = "true", + receive_pcs3.use_double_data_mode = "true", + receive_pcs3.use_parallel_loopback = "false", + receive_pcs3.use_rising_edge_triggered_pattern_align = "false", + receive_pcs3.lpm_type = "stratixiv_hssi_rx_pcs"; + stratixiv_hssi_rx_pma receive_pma0 + ( + .adaptdone(), + .analogtestbus(wire_receive_pma0_analogtestbus), + .clockout(wire_receive_pma0_clockout), + .datain(rx_datain[0]), + .dataout(wire_receive_pma0_dataout), + .dataoutfull(), + .deserclock(rx_deserclock_in[3:0]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pmadprioin_wire[299:0]), + .dprioout(wire_receive_pma0_dprioout), + .freqlock(1'b0), + .ignorephslck(1'b0), + .locktodata(rx_locktodata_wire[0]), + .locktoref(rx_locktorefclk_wire[0]), + .locktorefout(wire_receive_pma0_locktorefout), + .offsetcancellationen(1'b0), + .plllocked(rx_plllocked_wire[0]), + .powerdn(cent_unit_rxibpowerdn[0]), + .ppmdetectclkrel(), + .ppmdetectrefclk(rx_pll_pfdrefclkout_wire[0]), + .recoverdatain(pll_ch_dataout_wire[1:0]), + .recoverdataout(wire_receive_pma0_recoverdataout), + .reverselpbkout(), + .revserialfdbkout(), + .rxpmareset(rx_analogreset_out[0]), + .seriallpbken(rx_seriallpbken[0]), + .seriallpbkin(tx_serialloopbackout[0]), + .signaldetect(wire_receive_pma0_signaldetect), + .testbussel(4'b0110) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .adaptcapture(1'b0), + .adcepowerdn(1'b0), + .adcereset(1'b0), + .adcestandby(1'b0), + .extra10gin({38{1'b0}}), + .ppmdetectdividedclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pma0.adaptive_equalization_mode = "none", + receive_pma0.allow_serial_loopback = "true", + receive_pma0.channel_number = ((starting_channel_number + 0) % 4), + receive_pma0.channel_type = "auto", + receive_pma0.common_mode = rx_common_mode, + receive_pma0.deserialization_factor = 10, + receive_pma0.dprio_config_mode = 6'h01, + receive_pma0.enable_ltd = "true", + receive_pma0.enable_ltr = "true", + receive_pma0.eq_dc_gain = equalizer_dcgain_setting, + receive_pma0.eqa_ctrl = equalizer_ctrl_a_setting, + receive_pma0.eqb_ctrl = equalizer_ctrl_b_setting, + receive_pma0.eqc_ctrl = equalizer_ctrl_c_setting, + receive_pma0.eqd_ctrl = equalizer_ctrl_d_setting, + receive_pma0.eqv_ctrl = equalizer_ctrl_v_setting, + receive_pma0.eyemon_bandwidth = 0, + receive_pma0.force_signal_detect = "true", + receive_pma0.logical_channel_address = (starting_channel_number + 0), + receive_pma0.low_speed_test_select = 0, + receive_pma0.offset_cancellation = 1, + receive_pma0.ppmselect = 32, + receive_pma0.protocol_hint = "xaui", + receive_pma0.send_direct_reverse_serial_loopback = "None", + receive_pma0.signal_detect_hysteresis = 2, + receive_pma0.signal_detect_hysteresis_valid_threshold = 1, + receive_pma0.signal_detect_loss_threshold = 1, + receive_pma0.termination = receiver_termination, + receive_pma0.use_deser_double_data_width = "false", + receive_pma0.use_external_termination = "false", + receive_pma0.use_pma_direct = "false", + receive_pma0.lpm_type = "stratixiv_hssi_rx_pma"; + stratixiv_hssi_rx_pma receive_pma1 + ( + .adaptdone(), + .analogtestbus(wire_receive_pma1_analogtestbus), + .clockout(wire_receive_pma1_clockout), + .datain(rx_datain[1]), + .dataout(wire_receive_pma1_dataout), + .dataoutfull(), + .deserclock(rx_deserclock_in[7:4]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pmadprioin_wire[599:300]), + .dprioout(wire_receive_pma1_dprioout), + .freqlock(1'b0), + .ignorephslck(1'b0), + .locktodata(rx_locktodata_wire[1]), + .locktoref(rx_locktorefclk_wire[1]), + .locktorefout(wire_receive_pma1_locktorefout), + .offsetcancellationen(1'b0), + .plllocked(rx_plllocked_wire[1]), + .powerdn(cent_unit_rxibpowerdn[1]), + .ppmdetectclkrel(), + .ppmdetectrefclk(rx_pll_pfdrefclkout_wire[1]), + .recoverdatain(pll_ch_dataout_wire[3:2]), + .recoverdataout(wire_receive_pma1_recoverdataout), + .reverselpbkout(), + .revserialfdbkout(), + .rxpmareset(rx_analogreset_out[1]), + .seriallpbken(rx_seriallpbken[1]), + .seriallpbkin(tx_serialloopbackout[1]), + .signaldetect(wire_receive_pma1_signaldetect), + .testbussel(4'b0110) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .adaptcapture(1'b0), + .adcepowerdn(1'b0), + .adcereset(1'b0), + .adcestandby(1'b0), + .extra10gin({38{1'b0}}), + .ppmdetectdividedclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pma1.adaptive_equalization_mode = "none", + receive_pma1.allow_serial_loopback = "true", + receive_pma1.channel_number = ((starting_channel_number + 1) % 4), + receive_pma1.channel_type = "auto", + receive_pma1.common_mode = rx_common_mode, + receive_pma1.deserialization_factor = 10, + receive_pma1.dprio_config_mode = 6'h01, + receive_pma1.enable_ltd = "true", + receive_pma1.enable_ltr = "true", + receive_pma1.eq_dc_gain = equalizer_dcgain_setting, + receive_pma1.eqa_ctrl = equalizer_ctrl_a_setting, + receive_pma1.eqb_ctrl = equalizer_ctrl_b_setting, + receive_pma1.eqc_ctrl = equalizer_ctrl_c_setting, + receive_pma1.eqd_ctrl = equalizer_ctrl_d_setting, + receive_pma1.eqv_ctrl = equalizer_ctrl_v_setting, + receive_pma1.eyemon_bandwidth = 0, + receive_pma1.force_signal_detect = "true", + receive_pma1.logical_channel_address = (starting_channel_number + 1), + receive_pma1.low_speed_test_select = 0, + receive_pma1.offset_cancellation = 1, + receive_pma1.ppmselect = 32, + receive_pma1.protocol_hint = "xaui", + receive_pma1.send_direct_reverse_serial_loopback = "None", + receive_pma1.signal_detect_hysteresis = 2, + receive_pma1.signal_detect_hysteresis_valid_threshold = 1, + receive_pma1.signal_detect_loss_threshold = 1, + receive_pma1.termination = receiver_termination, + receive_pma1.use_deser_double_data_width = "false", + receive_pma1.use_external_termination = "false", + receive_pma1.use_pma_direct = "false", + receive_pma1.lpm_type = "stratixiv_hssi_rx_pma"; + stratixiv_hssi_rx_pma receive_pma2 + ( + .adaptdone(), + .analogtestbus(wire_receive_pma2_analogtestbus), + .clockout(wire_receive_pma2_clockout), + .datain(rx_datain[2]), + .dataout(wire_receive_pma2_dataout), + .dataoutfull(), + .deserclock(rx_deserclock_in[11:8]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pmadprioin_wire[899:600]), + .dprioout(wire_receive_pma2_dprioout), + .freqlock(1'b0), + .ignorephslck(1'b0), + .locktodata(rx_locktodata_wire[2]), + .locktoref(rx_locktorefclk_wire[2]), + .locktorefout(wire_receive_pma2_locktorefout), + .offsetcancellationen(1'b0), + .plllocked(rx_plllocked_wire[2]), + .powerdn(cent_unit_rxibpowerdn[2]), + .ppmdetectclkrel(), + .ppmdetectrefclk(rx_pll_pfdrefclkout_wire[2]), + .recoverdatain(pll_ch_dataout_wire[5:4]), + .recoverdataout(wire_receive_pma2_recoverdataout), + .reverselpbkout(), + .revserialfdbkout(), + .rxpmareset(rx_analogreset_out[2]), + .seriallpbken(rx_seriallpbken[2]), + .seriallpbkin(tx_serialloopbackout[2]), + .signaldetect(wire_receive_pma2_signaldetect), + .testbussel(4'b0110) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .adaptcapture(1'b0), + .adcepowerdn(1'b0), + .adcereset(1'b0), + .adcestandby(1'b0), + .extra10gin({38{1'b0}}), + .ppmdetectdividedclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pma2.adaptive_equalization_mode = "none", + receive_pma2.allow_serial_loopback = "true", + receive_pma2.channel_number = ((starting_channel_number + 2) % 4), + receive_pma2.channel_type = "auto", + receive_pma2.common_mode = rx_common_mode, + receive_pma2.deserialization_factor = 10, + receive_pma2.dprio_config_mode = 6'h01, + receive_pma2.enable_ltd = "true", + receive_pma2.enable_ltr = "true", + receive_pma2.eq_dc_gain = equalizer_dcgain_setting, + receive_pma2.eqa_ctrl = equalizer_ctrl_a_setting, + receive_pma2.eqb_ctrl = equalizer_ctrl_b_setting, + receive_pma2.eqc_ctrl = equalizer_ctrl_c_setting, + receive_pma2.eqd_ctrl = equalizer_ctrl_d_setting, + receive_pma2.eqv_ctrl = equalizer_ctrl_v_setting, + receive_pma2.eyemon_bandwidth = 0, + receive_pma2.force_signal_detect = "true", + receive_pma2.logical_channel_address = (starting_channel_number + 2), + receive_pma2.low_speed_test_select = 0, + receive_pma2.offset_cancellation = 1, + receive_pma2.ppmselect = 32, + receive_pma2.protocol_hint = "xaui", + receive_pma2.send_direct_reverse_serial_loopback = "None", + receive_pma2.signal_detect_hysteresis = 2, + receive_pma2.signal_detect_hysteresis_valid_threshold = 1, + receive_pma2.signal_detect_loss_threshold = 1, + receive_pma2.termination = receiver_termination, + receive_pma2.use_deser_double_data_width = "false", + receive_pma2.use_external_termination = "false", + receive_pma2.use_pma_direct = "false", + receive_pma2.lpm_type = "stratixiv_hssi_rx_pma"; + stratixiv_hssi_rx_pma receive_pma3 + ( + .adaptdone(), + .analogtestbus(wire_receive_pma3_analogtestbus), + .clockout(wire_receive_pma3_clockout), + .datain(rx_datain[3]), + .dataout(wire_receive_pma3_dataout), + .dataoutfull(), + .deserclock(rx_deserclock_in[15:12]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pmadprioin_wire[1199:900]), + .dprioout(wire_receive_pma3_dprioout), + .freqlock(1'b0), + .ignorephslck(1'b0), + .locktodata(rx_locktodata_wire[3]), + .locktoref(rx_locktorefclk_wire[3]), + .locktorefout(wire_receive_pma3_locktorefout), + .offsetcancellationen(1'b0), + .plllocked(rx_plllocked_wire[3]), + .powerdn(cent_unit_rxibpowerdn[3]), + .ppmdetectclkrel(), + .ppmdetectrefclk(rx_pll_pfdrefclkout_wire[3]), + .recoverdatain(pll_ch_dataout_wire[7:6]), + .recoverdataout(wire_receive_pma3_recoverdataout), + .reverselpbkout(), + .revserialfdbkout(), + .rxpmareset(rx_analogreset_out[3]), + .seriallpbken(rx_seriallpbken[3]), + .seriallpbkin(tx_serialloopbackout[3]), + .signaldetect(wire_receive_pma3_signaldetect), + .testbussel(4'b0110) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .adaptcapture(1'b0), + .adcepowerdn(1'b0), + .adcereset(1'b0), + .adcestandby(1'b0), + .extra10gin({38{1'b0}}), + .ppmdetectdividedclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pma3.adaptive_equalization_mode = "none", + receive_pma3.allow_serial_loopback = "true", + receive_pma3.channel_number = ((starting_channel_number + 3) % 4), + receive_pma3.channel_type = "auto", + receive_pma3.common_mode = rx_common_mode, + receive_pma3.deserialization_factor = 10, + receive_pma3.dprio_config_mode = 6'h01, + receive_pma3.enable_ltd = "true", + receive_pma3.enable_ltr = "true", + receive_pma3.eq_dc_gain = equalizer_dcgain_setting, + receive_pma3.eqa_ctrl = equalizer_ctrl_a_setting, + receive_pma3.eqb_ctrl = equalizer_ctrl_b_setting, + receive_pma3.eqc_ctrl = equalizer_ctrl_c_setting, + receive_pma3.eqd_ctrl = equalizer_ctrl_d_setting, + receive_pma3.eqv_ctrl = equalizer_ctrl_v_setting, + receive_pma3.eyemon_bandwidth = 0, + receive_pma3.force_signal_detect = "true", + receive_pma3.logical_channel_address = (starting_channel_number + 3), + receive_pma3.low_speed_test_select = 0, + receive_pma3.offset_cancellation = 1, + receive_pma3.ppmselect = 32, + receive_pma3.protocol_hint = "xaui", + receive_pma3.send_direct_reverse_serial_loopback = "None", + receive_pma3.signal_detect_hysteresis = 2, + receive_pma3.signal_detect_hysteresis_valid_threshold = 1, + receive_pma3.signal_detect_loss_threshold = 1, + receive_pma3.termination = receiver_termination, + receive_pma3.use_deser_double_data_width = "false", + receive_pma3.use_external_termination = "false", + receive_pma3.use_pma_direct = "false", + receive_pma3.lpm_type = "stratixiv_hssi_rx_pma"; + stratixiv_hssi_tx_pcs transmit_pcs0 + ( + .clkout(), + .coreclk(tx_coreclk_in[0]), + .coreclkout(wire_transmit_pcs0_coreclkout), + .ctrlenable({{2{1'b0}}, tx_ctrlenable[1:0]}), + .datain({{24{1'b0}}, tx_datain_wire[15:0]}), + .datainfull({44{1'b0}}), + .dataout(wire_transmit_pcs0_dataout), + .detectrxloop(1'b0), + .digitalreset(tx_digitalreset_out[0]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_dprioin_wire[149:0]), + .dprioout(wire_transmit_pcs0_dprioout), + .enrevparallellpbk(1'b0), + .forcedisp({{2{1'b0}}, tx_forcedisp_wire[1:0]}), + .forcedispcompliance(1'b0), + .forceelecidleout(wire_transmit_pcs0_forceelecidleout), + .grayelecidleinferselout(), + .hiptxclkout(), + .invpol(tx_invpolarity[0]), + .iqpphfifobyteselout(), + .iqpphfifordclkout(), + .iqpphfifordenableout(), + .iqpphfifowrenableout(), + .localrefclk(tx_localrefclk[0]), + .parallelfdbkout(), + .phfifobyteselout(), + .phfifobyteserdisable(int_rx_phfifobyteserdisable[0]), + .phfifooverflow(wire_transmit_pcs0_phfifooverflow), + .phfifoptrsreset(int_rx_phfifoptrsresetout[0]), + .phfifordclkout(), + .phfiforddisable(1'b0), + .phfiforddisableout(wire_transmit_pcs0_phfiforddisableout), + .phfifordenableout(), + .phfiforeset(tx_phfiforeset[0]), + .phfiforesetout(wire_transmit_pcs0_phfiforesetout), + .phfifounderflow(wire_transmit_pcs0_phfifounderflow), + .phfifowrenable(1'b1), + .phfifowrenableout(wire_transmit_pcs0_phfifowrenableout), + .phfifoxnbytesel(int_tx_phfifoxnbytesel[2:0]), + .phfifoxnrdclk(int_tx_phfifoxnrdclk[2:0]), + .phfifoxnrdenable(int_tx_phfifoxnrdenable[2:0]), + .phfifoxnwrenable(int_tx_phfifoxnwrenable[2:0]), + .pipeenrevparallellpbkout(), + .pipepowerdownout(), + .pipepowerstateout(), + .pipestatetransdone(1'b0), + .powerdn({2{1'b0}}), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(), + .rdenablesync(wire_transmit_pcs0_rdenablesync), + .refclk(refclk_pma[0]), + .revparallelfdbk({20{1'b0}}), + .txdetectrx(wire_transmit_pcs0_txdetectrx), + .xgmctrl(cent_unit_txctrlout[0]), + .xgmctrlenable(wire_transmit_pcs0_xgmctrlenable), + .xgmdatain(cent_unit_tx_xgmdataout[7:0]), + .xgmdataout(wire_transmit_pcs0_xgmdataout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .bitslipboundaryselect({5{1'b0}}), + .dispval({4{1'b0}}), + .elecidleinfersel({3{1'b0}}), + .forceelecidle(1'b0), + .freezptr(1'b0), + .hipdatain({10{1'b0}}), + .hipdetectrxloop(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hipforceelecidle(1'b0), + .hippowerdn({2{1'b0}}), + .hiptxdeemph(1'b0), + .hiptxmargin({3{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnrdclk({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdclk(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrenable(1'b0), + .phfifoxnbottombytesel(1'b0), + .phfifoxnbottomrdclk(1'b0), + .phfifoxnbottomrdenable(1'b0), + .phfifoxnbottomwrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .phfifoxntopbytesel(1'b0), + .phfifoxntoprdclk(1'b0), + .phfifoxntoprdenable(1'b0), + .phfifoxntopwrenable(1'b0), + .pipetxdeemph(1'b0), + .pipetxmargin({3{1'b0}}), + .pipetxswing(1'b0), + .prbscidenable(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rateswitchxndone(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pcs0.allow_polarity_inversion = "true", + transmit_pcs0.auto_spd_self_switch_enable = "false", + transmit_pcs0.bitslip_enable = "false", + transmit_pcs0.channel_bonding = "x4", + transmit_pcs0.channel_number = ((starting_channel_number + 0) % 4), + transmit_pcs0.channel_width = 16, + transmit_pcs0.core_clock_0ppm = "true", + transmit_pcs0.datapath_low_latency_mode = "false", + transmit_pcs0.datapath_protocol = "xaui", + transmit_pcs0.disable_ph_low_latency_mode = "false", + transmit_pcs0.disparity_mode = "none", + transmit_pcs0.dprio_config_mode = 6'h01, + transmit_pcs0.elec_idle_delay = 6, + transmit_pcs0.enable_bit_reversal = "false", + transmit_pcs0.enable_idle_selection = "false", + transmit_pcs0.enable_reverse_parallel_loopback = "false", + transmit_pcs0.enable_self_test_mode = "false", + transmit_pcs0.enable_symbol_swap = "false", + transmit_pcs0.enc_8b_10b_compatibility_mode = "true", + transmit_pcs0.enc_8b_10b_mode = "normal", + transmit_pcs0.force_echar = "false", + transmit_pcs0.force_kchar = "false", + transmit_pcs0.hip_enable = "false", + transmit_pcs0.logical_channel_address = (starting_channel_number + 0), + transmit_pcs0.ph_fifo_reg_mode = "false", + transmit_pcs0.ph_fifo_xn_mapping0 = "none", + transmit_pcs0.ph_fifo_xn_mapping1 = "none", + transmit_pcs0.ph_fifo_xn_mapping2 = "central", + transmit_pcs0.ph_fifo_xn_select = 2, + transmit_pcs0.pipe_auto_speed_nego_enable = "false", + transmit_pcs0.pipe_freq_scale_mode = "Frequency", + transmit_pcs0.prbs_cid_pattern = "false", + transmit_pcs0.protocol_hint = "xaui", + transmit_pcs0.refclk_select = "cmu_clock_divider", + transmit_pcs0.self_test_mode = "incremental", + transmit_pcs0.use_double_data_mode = "true", + transmit_pcs0.use_serializer_double_data_mode = "false", + transmit_pcs0.wr_clk_mux_select = "core_clk", + transmit_pcs0.lpm_type = "stratixiv_hssi_tx_pcs"; + stratixiv_hssi_tx_pcs transmit_pcs1 + ( + .clkout(), + .coreclk(tx_coreclk_in[1]), + .coreclkout(wire_transmit_pcs1_coreclkout), + .ctrlenable({{2{1'b0}}, tx_ctrlenable[3:2]}), + .datain({{24{1'b0}}, tx_datain_wire[31:16]}), + .datainfull({44{1'b0}}), + .dataout(wire_transmit_pcs1_dataout), + .detectrxloop(1'b0), + .digitalreset(tx_digitalreset_out[1]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_dprioin_wire[299:150]), + .dprioout(wire_transmit_pcs1_dprioout), + .enrevparallellpbk(1'b0), + .forcedisp({{2{1'b0}}, tx_forcedisp_wire[3:2]}), + .forcedispcompliance(1'b0), + .forceelecidleout(wire_transmit_pcs1_forceelecidleout), + .grayelecidleinferselout(), + .hiptxclkout(), + .invpol(tx_invpolarity[1]), + .iqpphfifobyteselout(), + .iqpphfifordclkout(), + .iqpphfifordenableout(), + .iqpphfifowrenableout(), + .localrefclk(tx_localrefclk[1]), + .parallelfdbkout(), + .phfifobyteselout(), + .phfifobyteserdisable(int_rx_phfifobyteserdisable[1]), + .phfifooverflow(wire_transmit_pcs1_phfifooverflow), + .phfifoptrsreset(int_rx_phfifoptrsresetout[1]), + .phfifordclkout(), + .phfiforddisable(1'b0), + .phfiforddisableout(wire_transmit_pcs1_phfiforddisableout), + .phfifordenableout(), + .phfiforeset(tx_phfiforeset[1]), + .phfiforesetout(wire_transmit_pcs1_phfiforesetout), + .phfifounderflow(wire_transmit_pcs1_phfifounderflow), + .phfifowrenable(1'b1), + .phfifowrenableout(wire_transmit_pcs1_phfifowrenableout), + .phfifoxnbytesel(int_tx_phfifoxnbytesel[5:3]), + .phfifoxnrdclk(int_tx_phfifoxnrdclk[5:3]), + .phfifoxnrdenable(int_tx_phfifoxnrdenable[5:3]), + .phfifoxnwrenable(int_tx_phfifoxnwrenable[5:3]), + .pipeenrevparallellpbkout(), + .pipepowerdownout(), + .pipepowerstateout(), + .pipestatetransdone(1'b0), + .powerdn({2{1'b0}}), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(), + .rdenablesync(wire_transmit_pcs1_rdenablesync), + .refclk(refclk_pma[0]), + .revparallelfdbk({20{1'b0}}), + .txdetectrx(wire_transmit_pcs1_txdetectrx), + .xgmctrl(cent_unit_txctrlout[1]), + .xgmctrlenable(wire_transmit_pcs1_xgmctrlenable), + .xgmdatain(cent_unit_tx_xgmdataout[15:8]), + .xgmdataout(wire_transmit_pcs1_xgmdataout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .bitslipboundaryselect({5{1'b0}}), + .dispval({4{1'b0}}), + .elecidleinfersel({3{1'b0}}), + .forceelecidle(1'b0), + .freezptr(1'b0), + .hipdatain({10{1'b0}}), + .hipdetectrxloop(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hipforceelecidle(1'b0), + .hippowerdn({2{1'b0}}), + .hiptxdeemph(1'b0), + .hiptxmargin({3{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnrdclk({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdclk(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrenable(1'b0), + .phfifoxnbottombytesel(1'b0), + .phfifoxnbottomrdclk(1'b0), + .phfifoxnbottomrdenable(1'b0), + .phfifoxnbottomwrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .phfifoxntopbytesel(1'b0), + .phfifoxntoprdclk(1'b0), + .phfifoxntoprdenable(1'b0), + .phfifoxntopwrenable(1'b0), + .pipetxdeemph(1'b0), + .pipetxmargin({3{1'b0}}), + .pipetxswing(1'b0), + .prbscidenable(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rateswitchxndone(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pcs1.allow_polarity_inversion = "true", + transmit_pcs1.auto_spd_self_switch_enable = "false", + transmit_pcs1.bitslip_enable = "false", + transmit_pcs1.channel_bonding = "x4", + transmit_pcs1.channel_number = ((starting_channel_number + 1) % 4), + transmit_pcs1.channel_width = 16, + transmit_pcs1.core_clock_0ppm = "true", + transmit_pcs1.datapath_low_latency_mode = "false", + transmit_pcs1.datapath_protocol = "xaui", + transmit_pcs1.disable_ph_low_latency_mode = "false", + transmit_pcs1.disparity_mode = "none", + transmit_pcs1.dprio_config_mode = 6'h01, + transmit_pcs1.elec_idle_delay = 6, + transmit_pcs1.enable_bit_reversal = "false", + transmit_pcs1.enable_idle_selection = "false", + transmit_pcs1.enable_reverse_parallel_loopback = "false", + transmit_pcs1.enable_self_test_mode = "false", + transmit_pcs1.enable_symbol_swap = "false", + transmit_pcs1.enc_8b_10b_compatibility_mode = "true", + transmit_pcs1.enc_8b_10b_mode = "normal", + transmit_pcs1.force_echar = "false", + transmit_pcs1.force_kchar = "false", + transmit_pcs1.hip_enable = "false", + transmit_pcs1.logical_channel_address = (starting_channel_number + 1), + transmit_pcs1.ph_fifo_reg_mode = "false", + transmit_pcs1.ph_fifo_xn_mapping0 = "none", + transmit_pcs1.ph_fifo_xn_mapping1 = "none", + transmit_pcs1.ph_fifo_xn_mapping2 = "central", + transmit_pcs1.ph_fifo_xn_select = 2, + transmit_pcs1.pipe_auto_speed_nego_enable = "false", + transmit_pcs1.pipe_freq_scale_mode = "Frequency", + transmit_pcs1.prbs_cid_pattern = "false", + transmit_pcs1.protocol_hint = "xaui", + transmit_pcs1.refclk_select = "cmu_clock_divider", + transmit_pcs1.self_test_mode = "incremental", + transmit_pcs1.use_double_data_mode = "true", + transmit_pcs1.use_serializer_double_data_mode = "false", + transmit_pcs1.wr_clk_mux_select = "core_clk", + transmit_pcs1.lpm_type = "stratixiv_hssi_tx_pcs"; + stratixiv_hssi_tx_pcs transmit_pcs2 + ( + .clkout(), + .coreclk(tx_coreclk_in[2]), + .coreclkout(wire_transmit_pcs2_coreclkout), + .ctrlenable({{2{1'b0}}, tx_ctrlenable[5:4]}), + .datain({{24{1'b0}}, tx_datain_wire[47:32]}), + .datainfull({44{1'b0}}), + .dataout(wire_transmit_pcs2_dataout), + .detectrxloop(1'b0), + .digitalreset(tx_digitalreset_out[2]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_dprioin_wire[449:300]), + .dprioout(wire_transmit_pcs2_dprioout), + .enrevparallellpbk(1'b0), + .forcedisp({{2{1'b0}}, tx_forcedisp_wire[5:4]}), + .forcedispcompliance(1'b0), + .forceelecidleout(wire_transmit_pcs2_forceelecidleout), + .grayelecidleinferselout(), + .hiptxclkout(), + .invpol(tx_invpolarity[2]), + .iqpphfifobyteselout(), + .iqpphfifordclkout(), + .iqpphfifordenableout(), + .iqpphfifowrenableout(), + .localrefclk(tx_localrefclk[2]), + .parallelfdbkout(), + .phfifobyteselout(), + .phfifobyteserdisable(int_rx_phfifobyteserdisable[2]), + .phfifooverflow(wire_transmit_pcs2_phfifooverflow), + .phfifoptrsreset(int_rx_phfifoptrsresetout[2]), + .phfifordclkout(), + .phfiforddisable(1'b0), + .phfiforddisableout(wire_transmit_pcs2_phfiforddisableout), + .phfifordenableout(), + .phfiforeset(tx_phfiforeset[2]), + .phfiforesetout(wire_transmit_pcs2_phfiforesetout), + .phfifounderflow(wire_transmit_pcs2_phfifounderflow), + .phfifowrenable(1'b1), + .phfifowrenableout(wire_transmit_pcs2_phfifowrenableout), + .phfifoxnbytesel(int_tx_phfifoxnbytesel[8:6]), + .phfifoxnrdclk(int_tx_phfifoxnrdclk[8:6]), + .phfifoxnrdenable(int_tx_phfifoxnrdenable[8:6]), + .phfifoxnwrenable(int_tx_phfifoxnwrenable[8:6]), + .pipeenrevparallellpbkout(), + .pipepowerdownout(), + .pipepowerstateout(), + .pipestatetransdone(1'b0), + .powerdn({2{1'b0}}), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(), + .rdenablesync(wire_transmit_pcs2_rdenablesync), + .refclk(refclk_pma[0]), + .revparallelfdbk({20{1'b0}}), + .txdetectrx(wire_transmit_pcs2_txdetectrx), + .xgmctrl(cent_unit_txctrlout[2]), + .xgmctrlenable(wire_transmit_pcs2_xgmctrlenable), + .xgmdatain(cent_unit_tx_xgmdataout[23:16]), + .xgmdataout(wire_transmit_pcs2_xgmdataout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .bitslipboundaryselect({5{1'b0}}), + .dispval({4{1'b0}}), + .elecidleinfersel({3{1'b0}}), + .forceelecidle(1'b0), + .freezptr(1'b0), + .hipdatain({10{1'b0}}), + .hipdetectrxloop(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hipforceelecidle(1'b0), + .hippowerdn({2{1'b0}}), + .hiptxdeemph(1'b0), + .hiptxmargin({3{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnrdclk({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdclk(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrenable(1'b0), + .phfifoxnbottombytesel(1'b0), + .phfifoxnbottomrdclk(1'b0), + .phfifoxnbottomrdenable(1'b0), + .phfifoxnbottomwrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .phfifoxntopbytesel(1'b0), + .phfifoxntoprdclk(1'b0), + .phfifoxntoprdenable(1'b0), + .phfifoxntopwrenable(1'b0), + .pipetxdeemph(1'b0), + .pipetxmargin({3{1'b0}}), + .pipetxswing(1'b0), + .prbscidenable(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rateswitchxndone(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pcs2.allow_polarity_inversion = "true", + transmit_pcs2.auto_spd_self_switch_enable = "false", + transmit_pcs2.bitslip_enable = "false", + transmit_pcs2.channel_bonding = "x4", + transmit_pcs2.channel_number = ((starting_channel_number + 2) % 4), + transmit_pcs2.channel_width = 16, + transmit_pcs2.core_clock_0ppm = "true", + transmit_pcs2.datapath_low_latency_mode = "false", + transmit_pcs2.datapath_protocol = "xaui", + transmit_pcs2.disable_ph_low_latency_mode = "false", + transmit_pcs2.disparity_mode = "none", + transmit_pcs2.dprio_config_mode = 6'h01, + transmit_pcs2.elec_idle_delay = 6, + transmit_pcs2.enable_bit_reversal = "false", + transmit_pcs2.enable_idle_selection = "false", + transmit_pcs2.enable_reverse_parallel_loopback = "false", + transmit_pcs2.enable_self_test_mode = "false", + transmit_pcs2.enable_symbol_swap = "false", + transmit_pcs2.enc_8b_10b_compatibility_mode = "true", + transmit_pcs2.enc_8b_10b_mode = "normal", + transmit_pcs2.force_echar = "false", + transmit_pcs2.force_kchar = "false", + transmit_pcs2.hip_enable = "false", + transmit_pcs2.logical_channel_address = (starting_channel_number + 2), + transmit_pcs2.ph_fifo_reg_mode = "false", + transmit_pcs2.ph_fifo_xn_mapping0 = "none", + transmit_pcs2.ph_fifo_xn_mapping1 = "none", + transmit_pcs2.ph_fifo_xn_mapping2 = "central", + transmit_pcs2.ph_fifo_xn_select = 2, + transmit_pcs2.pipe_auto_speed_nego_enable = "false", + transmit_pcs2.pipe_freq_scale_mode = "Frequency", + transmit_pcs2.prbs_cid_pattern = "false", + transmit_pcs2.protocol_hint = "xaui", + transmit_pcs2.refclk_select = "cmu_clock_divider", + transmit_pcs2.self_test_mode = "incremental", + transmit_pcs2.use_double_data_mode = "true", + transmit_pcs2.use_serializer_double_data_mode = "false", + transmit_pcs2.wr_clk_mux_select = "core_clk", + transmit_pcs2.lpm_type = "stratixiv_hssi_tx_pcs"; + stratixiv_hssi_tx_pcs transmit_pcs3 + ( + .clkout(), + .coreclk(tx_coreclk_in[3]), + .coreclkout(wire_transmit_pcs3_coreclkout), + .ctrlenable({{2{1'b0}}, tx_ctrlenable[7:6]}), + .datain({{24{1'b0}}, tx_datain_wire[63:48]}), + .datainfull({44{1'b0}}), + .dataout(wire_transmit_pcs3_dataout), + .detectrxloop(1'b0), + .digitalreset(tx_digitalreset_out[3]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_dprioin_wire[599:450]), + .dprioout(wire_transmit_pcs3_dprioout), + .enrevparallellpbk(1'b0), + .forcedisp({{2{1'b0}}, tx_forcedisp_wire[7:6]}), + .forcedispcompliance(1'b0), + .forceelecidleout(wire_transmit_pcs3_forceelecidleout), + .grayelecidleinferselout(), + .hiptxclkout(), + .invpol(tx_invpolarity[3]), + .iqpphfifobyteselout(), + .iqpphfifordclkout(), + .iqpphfifordenableout(), + .iqpphfifowrenableout(), + .localrefclk(tx_localrefclk[3]), + .parallelfdbkout(), + .phfifobyteselout(), + .phfifobyteserdisable(int_rx_phfifobyteserdisable[3]), + .phfifooverflow(wire_transmit_pcs3_phfifooverflow), + .phfifoptrsreset(int_rx_phfifoptrsresetout[3]), + .phfifordclkout(), + .phfiforddisable(1'b0), + .phfiforddisableout(wire_transmit_pcs3_phfiforddisableout), + .phfifordenableout(), + .phfiforeset(tx_phfiforeset[3]), + .phfiforesetout(wire_transmit_pcs3_phfiforesetout), + .phfifounderflow(wire_transmit_pcs3_phfifounderflow), + .phfifowrenable(1'b1), + .phfifowrenableout(wire_transmit_pcs3_phfifowrenableout), + .phfifoxnbytesel(int_tx_phfifoxnbytesel[11:9]), + .phfifoxnrdclk(int_tx_phfifoxnrdclk[11:9]), + .phfifoxnrdenable(int_tx_phfifoxnrdenable[11:9]), + .phfifoxnwrenable(int_tx_phfifoxnwrenable[11:9]), + .pipeenrevparallellpbkout(), + .pipepowerdownout(), + .pipepowerstateout(), + .pipestatetransdone(1'b0), + .powerdn({2{1'b0}}), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(), + .rdenablesync(wire_transmit_pcs3_rdenablesync), + .refclk(refclk_pma[0]), + .revparallelfdbk({20{1'b0}}), + .txdetectrx(wire_transmit_pcs3_txdetectrx), + .xgmctrl(cent_unit_txctrlout[3]), + .xgmctrlenable(wire_transmit_pcs3_xgmctrlenable), + .xgmdatain(cent_unit_tx_xgmdataout[31:24]), + .xgmdataout(wire_transmit_pcs3_xgmdataout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .bitslipboundaryselect({5{1'b0}}), + .dispval({4{1'b0}}), + .elecidleinfersel({3{1'b0}}), + .forceelecidle(1'b0), + .freezptr(1'b0), + .hipdatain({10{1'b0}}), + .hipdetectrxloop(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hipforceelecidle(1'b0), + .hippowerdn({2{1'b0}}), + .hiptxdeemph(1'b0), + .hiptxmargin({3{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnrdclk({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdclk(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrenable(1'b0), + .phfifoxnbottombytesel(1'b0), + .phfifoxnbottomrdclk(1'b0), + .phfifoxnbottomrdenable(1'b0), + .phfifoxnbottomwrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .phfifoxntopbytesel(1'b0), + .phfifoxntoprdclk(1'b0), + .phfifoxntoprdenable(1'b0), + .phfifoxntopwrenable(1'b0), + .pipetxdeemph(1'b0), + .pipetxmargin({3{1'b0}}), + .pipetxswing(1'b0), + .prbscidenable(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rateswitchxndone(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pcs3.allow_polarity_inversion = "true", + transmit_pcs3.auto_spd_self_switch_enable = "false", + transmit_pcs3.bitslip_enable = "false", + transmit_pcs3.channel_bonding = "x4", + transmit_pcs3.channel_number = ((starting_channel_number + 3) % 4), + transmit_pcs3.channel_width = 16, + transmit_pcs3.core_clock_0ppm = "true", + transmit_pcs3.datapath_low_latency_mode = "false", + transmit_pcs3.datapath_protocol = "xaui", + transmit_pcs3.disable_ph_low_latency_mode = "false", + transmit_pcs3.disparity_mode = "none", + transmit_pcs3.dprio_config_mode = 6'h01, + transmit_pcs3.elec_idle_delay = 6, + transmit_pcs3.enable_bit_reversal = "false", + transmit_pcs3.enable_idle_selection = "false", + transmit_pcs3.enable_reverse_parallel_loopback = "false", + transmit_pcs3.enable_self_test_mode = "false", + transmit_pcs3.enable_symbol_swap = "false", + transmit_pcs3.enc_8b_10b_compatibility_mode = "true", + transmit_pcs3.enc_8b_10b_mode = "normal", + transmit_pcs3.force_echar = "false", + transmit_pcs3.force_kchar = "false", + transmit_pcs3.hip_enable = "false", + transmit_pcs3.logical_channel_address = (starting_channel_number + 3), + transmit_pcs3.ph_fifo_reg_mode = "false", + transmit_pcs3.ph_fifo_xn_mapping0 = "none", + transmit_pcs3.ph_fifo_xn_mapping1 = "none", + transmit_pcs3.ph_fifo_xn_mapping2 = "central", + transmit_pcs3.ph_fifo_xn_select = 2, + transmit_pcs3.pipe_auto_speed_nego_enable = "false", + transmit_pcs3.pipe_freq_scale_mode = "Frequency", + transmit_pcs3.prbs_cid_pattern = "false", + transmit_pcs3.protocol_hint = "xaui", + transmit_pcs3.refclk_select = "cmu_clock_divider", + transmit_pcs3.self_test_mode = "incremental", + transmit_pcs3.use_double_data_mode = "true", + transmit_pcs3.use_serializer_double_data_mode = "false", + transmit_pcs3.wr_clk_mux_select = "core_clk", + transmit_pcs3.lpm_type = "stratixiv_hssi_tx_pcs"; + stratixiv_hssi_tx_pma transmit_pma0 + ( + .clockout(wire_transmit_pma0_clockout), + .datain({{44{1'b0}}, tx_dataout_pcs_to_pma[19:0]}), + .dataout(wire_transmit_pma0_dataout), + .detectrxpowerdown(cent_unit_txdetectrxpowerdn[0]), + .dftout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_pmadprioin_wire[299:0]), + .dprioout(wire_transmit_pma0_dprioout), + .fastrefclk0in({2{1'b0}}), + .fastrefclk1in(cmu_analogfastrefclkout[1:0]), + .fastrefclk2in({2{1'b0}}), + .fastrefclk4in({2{1'b0}}), + .forceelecidle(1'b0), + .powerdn(cent_unit_txobpowerdn[0]), + .refclk0in({2{1'b0}}), + .refclk0inpulse(1'b0), + .refclk1in(cmu_analogrefclkout[1:0]), + .refclk1inpulse(cmu_analogrefclkpulse[0]), + .refclk2in({2{1'b0}}), + .refclk2inpulse(1'b0), + .refclk4in({2{1'b0}}), + .refclk4inpulse(1'b0), + .revserialfdbk(1'b0), + .rxdetecten(txdetectrxout[0]), + .rxdetectvalidout(), + .rxfoundout(), + .seriallpbkout(wire_transmit_pma0_seriallpbkout), + .txpmareset(tx_analogreset_out[0]) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datainfull({20{1'b0}}), + .extra10gin({11{1'b0}}), + .fastrefclk3in({2{1'b0}}), + .pclk({5{1'b0}}), + .refclk3in({2{1'b0}}), + .refclk3inpulse(1'b0), + .rxdetectclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pma0.analog_power = "auto", + transmit_pma0.channel_number = ((starting_channel_number + 0) % 4), + transmit_pma0.channel_type = "auto", + transmit_pma0.clkin_select = 1, + transmit_pma0.clkmux_delay = "false", + transmit_pma0.common_mode = "0.65V", + transmit_pma0.dprio_config_mode = 6'h01, + transmit_pma0.enable_reverse_serial_loopback = "false", + transmit_pma0.logical_channel_address = (starting_channel_number + 0), + transmit_pma0.logical_protocol_hint_0 = "xaui", + transmit_pma0.low_speed_test_select = 0, + transmit_pma0.physical_clkin1_mapping = "x4", + transmit_pma0.preemp_pretap = preemphasis_ctrl_pretap_setting, + transmit_pma0.preemp_pretap_inv = preemphasis_ctrl_pretap_inv_setting, + transmit_pma0.preemp_tap_1 = preemphasis_ctrl_1stposttap_setting, + transmit_pma0.preemp_tap_2 = preemphasis_ctrl_2ndposttap_setting, + transmit_pma0.preemp_tap_2_inv = preemphasis_ctrl_2ndposttap_inv_setting, + transmit_pma0.protocol_hint = "xaui", + transmit_pma0.rx_detect = 0, + transmit_pma0.serialization_factor = 10, + transmit_pma0.slew_rate = "low", + transmit_pma0.termination = transmitter_termination, + transmit_pma0.use_external_termination = "false", + transmit_pma0.use_pma_direct = "false", + transmit_pma0.use_ser_double_data_mode = "false", + transmit_pma0.vod_selection = vod_ctrl_setting, + transmit_pma0.lpm_type = "stratixiv_hssi_tx_pma"; + stratixiv_hssi_tx_pma transmit_pma1 + ( + .clockout(wire_transmit_pma1_clockout), + .datain({{44{1'b0}}, tx_dataout_pcs_to_pma[39:20]}), + .dataout(wire_transmit_pma1_dataout), + .detectrxpowerdown(cent_unit_txdetectrxpowerdn[1]), + .dftout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_pmadprioin_wire[599:300]), + .dprioout(wire_transmit_pma1_dprioout), + .fastrefclk0in({2{1'b0}}), + .fastrefclk1in(cmu_analogfastrefclkout[1:0]), + .fastrefclk2in({2{1'b0}}), + .fastrefclk4in({2{1'b0}}), + .forceelecidle(1'b0), + .powerdn(cent_unit_txobpowerdn[1]), + .refclk0in({2{1'b0}}), + .refclk0inpulse(1'b0), + .refclk1in(cmu_analogrefclkout[1:0]), + .refclk1inpulse(cmu_analogrefclkpulse[0]), + .refclk2in({2{1'b0}}), + .refclk2inpulse(1'b0), + .refclk4in({2{1'b0}}), + .refclk4inpulse(1'b0), + .revserialfdbk(1'b0), + .rxdetecten(txdetectrxout[1]), + .rxdetectvalidout(), + .rxfoundout(), + .seriallpbkout(wire_transmit_pma1_seriallpbkout), + .txpmareset(tx_analogreset_out[1]) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datainfull({20{1'b0}}), + .extra10gin({11{1'b0}}), + .fastrefclk3in({2{1'b0}}), + .pclk({5{1'b0}}), + .refclk3in({2{1'b0}}), + .refclk3inpulse(1'b0), + .rxdetectclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pma1.analog_power = "auto", + transmit_pma1.channel_number = ((starting_channel_number + 1) % 4), + transmit_pma1.channel_type = "auto", + transmit_pma1.clkin_select = 1, + transmit_pma1.clkmux_delay = "false", + transmit_pma1.common_mode = "0.65V", + transmit_pma1.dprio_config_mode = 6'h01, + transmit_pma1.enable_reverse_serial_loopback = "false", + transmit_pma1.logical_channel_address = (starting_channel_number + 1), + transmit_pma1.logical_protocol_hint_0 = "xaui", + transmit_pma1.low_speed_test_select = 0, + transmit_pma1.physical_clkin1_mapping = "x4", + transmit_pma1.preemp_pretap = preemphasis_ctrl_pretap_setting, + transmit_pma1.preemp_pretap_inv = preemphasis_ctrl_pretap_inv_setting, + transmit_pma1.preemp_tap_1 = preemphasis_ctrl_1stposttap_setting, + transmit_pma1.preemp_tap_2 = preemphasis_ctrl_2ndposttap_setting, + transmit_pma1.preemp_tap_2_inv = preemphasis_ctrl_2ndposttap_inv_setting, + transmit_pma1.protocol_hint = "xaui", + transmit_pma1.rx_detect = 0, + transmit_pma1.serialization_factor = 10, + transmit_pma1.slew_rate = "low", + transmit_pma1.termination = transmitter_termination, + transmit_pma1.use_external_termination = "false", + transmit_pma1.use_pma_direct = "false", + transmit_pma1.use_ser_double_data_mode = "false", + transmit_pma1.vod_selection = vod_ctrl_setting, + transmit_pma1.lpm_type = "stratixiv_hssi_tx_pma"; + stratixiv_hssi_tx_pma transmit_pma2 + ( + .clockout(wire_transmit_pma2_clockout), + .datain({{44{1'b0}}, tx_dataout_pcs_to_pma[59:40]}), + .dataout(wire_transmit_pma2_dataout), + .detectrxpowerdown(cent_unit_txdetectrxpowerdn[2]), + .dftout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_pmadprioin_wire[899:600]), + .dprioout(wire_transmit_pma2_dprioout), + .fastrefclk0in({2{1'b0}}), + .fastrefclk1in(cmu_analogfastrefclkout[1:0]), + .fastrefclk2in({2{1'b0}}), + .fastrefclk4in({2{1'b0}}), + .forceelecidle(1'b0), + .powerdn(cent_unit_txobpowerdn[2]), + .refclk0in({2{1'b0}}), + .refclk0inpulse(1'b0), + .refclk1in(cmu_analogrefclkout[1:0]), + .refclk1inpulse(cmu_analogrefclkpulse[0]), + .refclk2in({2{1'b0}}), + .refclk2inpulse(1'b0), + .refclk4in({2{1'b0}}), + .refclk4inpulse(1'b0), + .revserialfdbk(1'b0), + .rxdetecten(txdetectrxout[2]), + .rxdetectvalidout(), + .rxfoundout(), + .seriallpbkout(wire_transmit_pma2_seriallpbkout), + .txpmareset(tx_analogreset_out[2]) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datainfull({20{1'b0}}), + .extra10gin({11{1'b0}}), + .fastrefclk3in({2{1'b0}}), + .pclk({5{1'b0}}), + .refclk3in({2{1'b0}}), + .refclk3inpulse(1'b0), + .rxdetectclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pma2.analog_power = "auto", + transmit_pma2.channel_number = ((starting_channel_number + 2) % 4), + transmit_pma2.channel_type = "auto", + transmit_pma2.clkin_select = 1, + transmit_pma2.clkmux_delay = "false", + transmit_pma2.common_mode = "0.65V", + transmit_pma2.dprio_config_mode = 6'h01, + transmit_pma2.enable_reverse_serial_loopback = "false", + transmit_pma2.logical_channel_address = (starting_channel_number + 2), + transmit_pma2.logical_protocol_hint_0 = "xaui", + transmit_pma2.low_speed_test_select = 0, + transmit_pma2.physical_clkin1_mapping = "x4", + transmit_pma2.preemp_pretap = preemphasis_ctrl_pretap_setting, + transmit_pma2.preemp_pretap_inv = preemphasis_ctrl_pretap_inv_setting, + transmit_pma2.preemp_tap_1 = preemphasis_ctrl_1stposttap_setting, + transmit_pma2.preemp_tap_2 = preemphasis_ctrl_2ndposttap_setting, + transmit_pma2.preemp_tap_2_inv = preemphasis_ctrl_2ndposttap_inv_setting, + transmit_pma2.protocol_hint = "xaui", + transmit_pma2.rx_detect = 0, + transmit_pma2.serialization_factor = 10, + transmit_pma2.slew_rate = "low", + transmit_pma2.termination = transmitter_termination, + transmit_pma2.use_external_termination = "false", + transmit_pma2.use_pma_direct = "false", + transmit_pma2.use_ser_double_data_mode = "false", + transmit_pma2.vod_selection = vod_ctrl_setting, + transmit_pma2.lpm_type = "stratixiv_hssi_tx_pma"; + stratixiv_hssi_tx_pma transmit_pma3 + ( + .clockout(wire_transmit_pma3_clockout), + .datain({{44{1'b0}}, tx_dataout_pcs_to_pma[79:60]}), + .dataout(wire_transmit_pma3_dataout), + .detectrxpowerdown(cent_unit_txdetectrxpowerdn[3]), + .dftout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_pmadprioin_wire[1199:900]), + .dprioout(wire_transmit_pma3_dprioout), + .fastrefclk0in({2{1'b0}}), + .fastrefclk1in(cmu_analogfastrefclkout[1:0]), + .fastrefclk2in({2{1'b0}}), + .fastrefclk4in({2{1'b0}}), + .forceelecidle(1'b0), + .powerdn(cent_unit_txobpowerdn[3]), + .refclk0in({2{1'b0}}), + .refclk0inpulse(1'b0), + .refclk1in(cmu_analogrefclkout[1:0]), + .refclk1inpulse(cmu_analogrefclkpulse[0]), + .refclk2in({2{1'b0}}), + .refclk2inpulse(1'b0), + .refclk4in({2{1'b0}}), + .refclk4inpulse(1'b0), + .revserialfdbk(1'b0), + .rxdetecten(txdetectrxout[3]), + .rxdetectvalidout(), + .rxfoundout(), + .seriallpbkout(wire_transmit_pma3_seriallpbkout), + .txpmareset(tx_analogreset_out[3]) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datainfull({20{1'b0}}), + .extra10gin({11{1'b0}}), + .fastrefclk3in({2{1'b0}}), + .pclk({5{1'b0}}), + .refclk3in({2{1'b0}}), + .refclk3inpulse(1'b0), + .rxdetectclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pma3.analog_power = "auto", + transmit_pma3.channel_number = ((starting_channel_number + 3) % 4), + transmit_pma3.channel_type = "auto", + transmit_pma3.clkin_select = 1, + transmit_pma3.clkmux_delay = "false", + transmit_pma3.common_mode = "0.65V", + transmit_pma3.dprio_config_mode = 6'h01, + transmit_pma3.enable_reverse_serial_loopback = "false", + transmit_pma3.logical_channel_address = (starting_channel_number + 3), + transmit_pma3.logical_protocol_hint_0 = "xaui", + transmit_pma3.low_speed_test_select = 0, + transmit_pma3.physical_clkin1_mapping = "x4", + transmit_pma3.preemp_pretap = preemphasis_ctrl_pretap_setting, + transmit_pma3.preemp_pretap_inv = preemphasis_ctrl_pretap_inv_setting, + transmit_pma3.preemp_tap_1 = preemphasis_ctrl_1stposttap_setting, + transmit_pma3.preemp_tap_2 = preemphasis_ctrl_2ndposttap_setting, + transmit_pma3.preemp_tap_2_inv = preemphasis_ctrl_2ndposttap_inv_setting, + transmit_pma3.protocol_hint = "xaui", + transmit_pma3.rx_detect = 0, + transmit_pma3.serialization_factor = 10, + transmit_pma3.slew_rate = "low", + transmit_pma3.termination = transmitter_termination, + transmit_pma3.use_external_termination = "false", + transmit_pma3.use_pma_direct = "false", + transmit_pma3.use_ser_double_data_mode = "false", + transmit_pma3.vod_selection = vod_ctrl_setting, + transmit_pma3.lpm_type = "stratixiv_hssi_tx_pma"; + assign + cent_unit_clkdivpowerdn = {wire_cent_unit0_clkdivpowerdn[0]}, + cent_unit_cmudividerdprioout = {wire_cent_unit0_cmudividerdprioout}, + cent_unit_cmuplldprioout = {wire_cent_unit0_cmuplldprioout}, + cent_unit_pllpowerdn = {wire_cent_unit0_pllpowerdn[1:0]}, + cent_unit_pllresetout = {wire_cent_unit0_pllresetout[1:0]}, + cent_unit_quadresetout = {wire_cent_unit0_quadresetout}, + cent_unit_rdenablesync = {tx_rdenablesync[0]}, + cent_unit_rx_ctrl = {wire_receive_pcs3_xgmctrldet, wire_receive_pcs2_xgmctrldet, wire_receive_pcs1_xgmctrldet, wire_receive_pcs0_xgmctrldet}, + cent_unit_rx_xgmdataout = {wire_cent_unit0_rxdataout}, + cent_unit_rxcrupowerdn = {wire_cent_unit0_rxcrupowerdown[5:0]}, + cent_unit_rxibpowerdn = {wire_cent_unit0_rxibpowerdown[5:0]}, + cent_unit_rxpcsdprioin = {rx_pcsdprioout[1599:0]}, + cent_unit_rxpcsdprioout = {wire_cent_unit0_rxpcsdprioout[1599:0]}, + cent_unit_rxpmadprioin = {{2{{300{1'b0}}}}, rx_pmadprioout[1199:0]}, + cent_unit_rxpmadprioout = {wire_cent_unit0_rxpmadprioout[1799:0]}, + cent_unit_tx_dprioin = {{600{1'b0}}, tx_txdprioout[599:0]}, + cent_unit_tx_xgmdataout = {wire_cent_unit0_txdataout[31:0]}, + cent_unit_txctrlout = {wire_cent_unit0_txctrlout}, + cent_unit_txdetectrxpowerdn = {wire_cent_unit0_txdetectrxpowerdown[5:0]}, + cent_unit_txdprioout = {wire_cent_unit0_txpcsdprioout[599:0]}, + cent_unit_txobpowerdn = {wire_cent_unit0_txobpowerdown[5:0]}, + cent_unit_txpmadprioin = {{2{{300{1'b0}}}}, tx_pmadprioout[1199:0]}, + cent_unit_txpmadprioout = {wire_cent_unit0_txpmadprioout[1799:0]}, + clk_div_clk0in = {pll0_out[3:0]}, + clk_div_cmudividerdprioin = {{100{1'b0}}, wire_central_clk_div0_dprioout, {400{1'b0}}}, + cmu_analogfastrefclkout = {wire_central_clk_div0_analogfastrefclkout}, + cmu_analogrefclkout = {wire_central_clk_div0_analogrefclkout}, + cmu_analogrefclkpulse = {wire_central_clk_div0_analogrefclkpulse}, + coreclkout = {coreclkout_wire[0]}, + coreclkout_wire = {wire_central_clk_div0_coreclkout}, + enabledeskew = {wire_cent_unit0_enabledeskew}, + fixedclk_to_cmu = {6{reconfig_clk}}, + int_hiprateswtichdone = {wire_central_clk_div0_rateswitchdone}, + int_rx_coreclkout = {wire_receive_pcs3_coreclkout, wire_receive_pcs2_coreclkout, wire_receive_pcs1_coreclkout, wire_receive_pcs0_coreclkout}, + int_rx_digitalreset_reg = {rx_digitalreset_reg0c[2]}, + int_rx_phfifobyteserdisable = {wire_receive_pcs3_phfifobyteserdisableout, wire_receive_pcs2_phfifobyteserdisableout, wire_receive_pcs1_phfifobyteserdisableout, wire_receive_pcs0_phfifobyteserdisableout}, + int_rx_phfifoptrsresetout = {wire_receive_pcs3_phfifoptrsresetout, wire_receive_pcs2_phfifoptrsresetout, wire_receive_pcs1_phfifoptrsresetout, wire_receive_pcs0_phfifoptrsresetout}, + int_rx_phfifordenableout = {wire_receive_pcs3_phfifordenableout, wire_receive_pcs2_phfifordenableout, wire_receive_pcs1_phfifordenableout, wire_receive_pcs0_phfifordenableout}, + int_rx_phfiforesetout = {wire_receive_pcs3_phfiforesetout, wire_receive_pcs2_phfiforesetout, wire_receive_pcs1_phfiforesetout, wire_receive_pcs0_phfiforesetout}, + int_rx_phfifowrdisableout = {wire_receive_pcs3_phfifowrdisableout, wire_receive_pcs2_phfifowrdisableout, wire_receive_pcs1_phfifowrdisableout, wire_receive_pcs0_phfifowrdisableout}, + int_rx_phfifoxnbytesel = {int_rxphfifox4byteselout[0], {2{1'b0}}, int_rxphfifox4byteselout[0], {2{1'b0}}, int_rxphfifox4byteselout[0], {2{1'b0}}, int_rxphfifox4byteselout[0], {2{1'b0}}}, + int_rx_phfifoxnrdenable = {int_rxphfifox4rdenableout[0], {2{1'b0}}, int_rxphfifox4rdenableout[0], {2{1'b0}}, int_rxphfifox4rdenableout[0], {2{1'b0}}, int_rxphfifox4rdenableout[0], {2{1'b0}}}, + int_rx_phfifoxnwrclk = {int_rxphfifox4wrclkout[0], {2{1'b0}}, int_rxphfifox4wrclkout[0], {2{1'b0}}, int_rxphfifox4wrclkout[0], {2{1'b0}}, int_rxphfifox4wrclkout[0], {2{1'b0}}}, + int_rx_phfifoxnwrenable = {int_rxphfifox4wrenableout[0], {2{1'b0}}, int_rxphfifox4wrenableout[0], {2{1'b0}}, int_rxphfifox4wrenableout[0], {2{1'b0}}, int_rxphfifox4wrenableout[0], {2{1'b0}}}, + int_rxcoreclk = {int_rx_coreclkout[0]}, + int_rxphfifordenable = {int_rx_phfifordenableout[0]}, + int_rxphfiforeset = {int_rx_phfiforesetout[0]}, + int_rxphfifox4byteselout = {wire_cent_unit0_rxphfifox4byteselout}, + int_rxphfifox4rdenableout = {wire_cent_unit0_rxphfifox4rdenableout}, + int_rxphfifox4wrclkout = {wire_cent_unit0_rxphfifox4wrclkout}, + int_rxphfifox4wrenableout = {wire_cent_unit0_rxphfifox4wrenableout}, + int_tx_coreclkout = {wire_transmit_pcs3_coreclkout, wire_transmit_pcs2_coreclkout, wire_transmit_pcs1_coreclkout, wire_transmit_pcs0_coreclkout}, + int_tx_digitalreset_reg = {tx_digitalreset_reg0c[2]}, + int_tx_phfiforddisableout = {wire_transmit_pcs3_phfiforddisableout, wire_transmit_pcs2_phfiforddisableout, wire_transmit_pcs1_phfiforddisableout, wire_transmit_pcs0_phfiforddisableout}, + int_tx_phfiforesetout = {wire_transmit_pcs3_phfiforesetout, wire_transmit_pcs2_phfiforesetout, wire_transmit_pcs1_phfiforesetout, wire_transmit_pcs0_phfiforesetout}, + int_tx_phfifowrenableout = {wire_transmit_pcs3_phfifowrenableout, wire_transmit_pcs2_phfifowrenableout, wire_transmit_pcs1_phfifowrenableout, wire_transmit_pcs0_phfifowrenableout}, + int_tx_phfifoxnbytesel = {int_txphfifox4byteselout[0], {2{1'b0}}, int_txphfifox4byteselout[0], {2{1'b0}}, int_txphfifox4byteselout[0], {2{1'b0}}, int_txphfifox4byteselout[0], {2{1'b0}}}, + int_tx_phfifoxnrdclk = {int_txphfifox4rdclkout[0], {2{1'b0}}, int_txphfifox4rdclkout[0], {2{1'b0}}, int_txphfifox4rdclkout[0], {2{1'b0}}, int_txphfifox4rdclkout[0], {2{1'b0}}}, + int_tx_phfifoxnrdenable = {int_txphfifox4rdenableout[0], {2{1'b0}}, int_txphfifox4rdenableout[0], {2{1'b0}}, int_txphfifox4rdenableout[0], {2{1'b0}}, int_txphfifox4rdenableout[0], {2{1'b0}}}, + int_tx_phfifoxnwrenable = {int_txphfifox4wrenableout[0], {2{1'b0}}, int_txphfifox4wrenableout[0], {2{1'b0}}, int_txphfifox4wrenableout[0], {2{1'b0}}, int_txphfifox4wrenableout[0], {2{1'b0}}}, + int_txcoreclk = {int_tx_coreclkout[0]}, + int_txphfiforddisable = {int_tx_phfiforddisableout[0]}, + int_txphfiforeset = {int_tx_phfiforesetout[0]}, + int_txphfifowrenable = {int_tx_phfifowrenableout[0]}, + int_txphfifox4byteselout = {wire_cent_unit0_txphfifox4byteselout}, + int_txphfifox4rdclkout = {wire_cent_unit0_txphfifox4rdclkout}, + int_txphfifox4rdenableout = {wire_cent_unit0_txphfifox4rdenableout}, + int_txphfifox4wrenableout = {wire_cent_unit0_txphfifox4wrenableout}, + nonusertocmu_out = {wire_cal_blk0_nonusertocmu}, + pll0_clkin = {{9{1'b0}}, pll_inclk_wire[0]}, + pll0_dprioin = {cent_unit_cmuplldprioout[1499:1200]}, + pll0_dprioout = {wire_tx_pll0_dprioout}, + pll0_out = {wire_tx_pll0_clk[3:0]}, + pll_ch_dataout_wire = {wire_rx_cdr_pll3_dataout, wire_rx_cdr_pll2_dataout, wire_rx_cdr_pll1_dataout, wire_rx_cdr_pll0_dataout}, + pll_ch_dprioout = {wire_rx_cdr_pll3_dprioout, wire_rx_cdr_pll2_dprioout, wire_rx_cdr_pll1_dprioout, wire_rx_cdr_pll0_dprioout}, + pll_cmuplldprioout = {{300{1'b0}}, pll0_dprioout[299:0], pll_ch_dprioout[1199:0]}, + pll_inclk_wire = {pll_inclk}, + pll_locked = {pll_locked_out[0]}, + pll_locked_out = {wire_tx_pll0_locked}, + pllpowerdn_in = {1'b0, cent_unit_pllpowerdn[0]}, + pllreset_in = {1'b0, cent_unit_pllresetout[0]}, + reconfig_fromgxb = {rx_pma_analogtestbus[16:1], wire_cent_unit0_dprioout}, + reconfig_togxb_busy = reconfig_togxb[3], + reconfig_togxb_disable = reconfig_togxb[1], + reconfig_togxb_in = reconfig_togxb[0], + reconfig_togxb_load = reconfig_togxb[2], + refclk_pma = {wire_central_clk_div0_refclkout}, + rx_adetectdeskew = {wire_receive_pcs3_adetectdeskew, wire_receive_pcs2_adetectdeskew, wire_receive_pcs1_adetectdeskew, wire_receive_pcs0_adetectdeskew}, + rx_alignstatussync = {rx_alignstatussyncout[0]}, + rx_alignstatussyncout = {wire_receive_pcs3_alignstatussyncout, wire_receive_pcs2_alignstatussyncout, wire_receive_pcs1_alignstatussyncout, wire_receive_pcs0_alignstatussyncout}, + rx_analogreset_in = {{2{1'b0}}, {4{((~ reconfig_togxb_busy) & rx_analogreset[0])}}}, + rx_analogreset_out = {wire_cent_unit0_rxanalogresetout[5:0]}, + rx_channelaligned = {rx_channelaligned_in[0]}, + rx_channelaligned_in = {wire_cent_unit0_alignstatus}, + rx_coreclk_in = {rx_coreclk[3:0]}, + rx_cruclk_in = {{9{1'b0}}, rx_pldcruclk_in[3], {9{1'b0}}, rx_pldcruclk_in[2], {9{1'b0}}, rx_pldcruclk_in[1], {9{1'b0}}, rx_pldcruclk_in[0]}, + rx_ctrldetect = {wire_receive_pcs3_ctrldetect[1:0], wire_receive_pcs2_ctrldetect[1:0], wire_receive_pcs1_ctrldetect[1:0], wire_receive_pcs0_ctrldetect[1:0]}, + rx_dataout = {rx_out_wire[63:0]}, + rx_deserclock_in = {rx_pll_clkout[15:0]}, + rx_digitalreset_in = {4{int_rx_digitalreset_reg[0]}}, + rx_digitalreset_out = {wire_cent_unit0_rxdigitalresetout[3:0]}, + rx_disablefifordin_in = {rx_disablefifordout_wire[0]}, + rx_disablefifordout_wire = {wire_receive_pcs3_disablefifordout, wire_receive_pcs2_disablefifordout, wire_receive_pcs1_disablefifordout, wire_receive_pcs0_disablefifordout}, + rx_disablefifowrin_in = {rx_disablefifowrout_wire[0]}, + rx_disablefifowrout_wire = {wire_receive_pcs3_disablefifowrout, wire_receive_pcs2_disablefifowrout, wire_receive_pcs1_disablefifowrout, wire_receive_pcs0_disablefifowrout}, + rx_disperr = {wire_receive_pcs3_disperr[1:0], wire_receive_pcs2_disperr[1:0], wire_receive_pcs1_disperr[1:0], wire_receive_pcs0_disperr[1:0]}, + rx_enapatternalign = {4{1'b0}}, + rx_errdetect = {wire_receive_pcs3_errdetect[1:0], wire_receive_pcs2_errdetect[1:0], wire_receive_pcs1_errdetect[1:0], wire_receive_pcs0_errdetect[1:0]}, + rx_fifordin_in = {rx_fifordout_wire[0]}, + rx_fifordout_wire = {wire_receive_pcs3_fifordout, wire_receive_pcs2_fifordout, wire_receive_pcs1_fifordout, wire_receive_pcs0_fifordout}, + rx_fiforesetrd = {wire_cent_unit0_fiforesetrd}, + rx_freqlocked = {(rx_freqlocked_wire[3] & (~ rx_analogreset[0])), (rx_freqlocked_wire[2] & (~ rx_analogreset[0])), (rx_freqlocked_wire[1] & (~ rx_analogreset[0])), (rx_freqlocked_wire[0] & (~ rx_analogreset[0]))}, + rx_freqlocked_wire = {wire_rx_cdr_pll3_freqlocked, wire_rx_cdr_pll2_freqlocked, wire_rx_cdr_pll1_freqlocked, wire_rx_cdr_pll0_freqlocked}, + rx_locktodata_wire = {((~ reconfig_togxb_busy) & rx_locktodata[3]), ((~ reconfig_togxb_busy) & rx_locktodata[2]), ((~ reconfig_togxb_busy) & rx_locktodata[1]), ((~ reconfig_togxb_busy) & rx_locktodata[0])}, + rx_locktorefclk_wire = {wire_receive_pcs3_cdrctrllocktorefclkout, wire_receive_pcs2_cdrctrllocktorefclkout, wire_receive_pcs1_cdrctrllocktorefclkout, wire_receive_pcs0_cdrctrllocktorefclkout}, + rx_masterclk = {rx_pma_clockout[0]}, + rx_out_wire = {wire_receive_pcs3_dataout[15:0], wire_receive_pcs2_dataout[15:0], wire_receive_pcs1_dataout[15:0], wire_receive_pcs0_dataout[15:0]}, + rx_patterndetect = {wire_receive_pcs3_patterndetect[1:0], wire_receive_pcs2_patterndetect[1:0], wire_receive_pcs1_patterndetect[1:0], wire_receive_pcs0_patterndetect[1:0]}, + rx_pcsdprioin_wire = {cent_unit_rxpcsdprioout[1599:0]}, + rx_pcsdprioout = {wire_receive_pcs3_dprioout, wire_receive_pcs2_dprioout, wire_receive_pcs1_dprioout, wire_receive_pcs0_dprioout}, + rx_phase_comp_fifo_error = {(rx_phfifooverflowout[3] | rx_phfifounderflowout[3]), (rx_phfifooverflowout[2] | rx_phfifounderflowout[2]), (rx_phfifooverflowout[1] | rx_phfifounderflowout[1]), (rx_phfifooverflowout[0] | rx_phfifounderflowout[0])}, + rx_phfifooverflowout = {wire_receive_pcs3_phfifooverflow, wire_receive_pcs2_phfifooverflow, wire_receive_pcs1_phfifooverflow, wire_receive_pcs0_phfifooverflow}, + rx_phfifordenable = {4{1'b1}}, + rx_phfiforeset = {4{1'b0}}, + rx_phfifounderflowout = {wire_receive_pcs3_phfifounderflow, wire_receive_pcs2_phfifounderflow, wire_receive_pcs1_phfifounderflow, wire_receive_pcs0_phfifounderflow}, + rx_phfifowrdisable = {4{1'b0}}, + rx_pldcruclk_in = {rx_cruclk[3:0]}, + rx_pll_clkout = {wire_rx_cdr_pll3_clk, wire_rx_cdr_pll2_clk, wire_rx_cdr_pll1_clk, wire_rx_cdr_pll0_clk}, + rx_pll_locked = {(rx_plllocked_wire[3] & (~ rx_analogreset[0])), (rx_plllocked_wire[2] & (~ rx_analogreset[0])), (rx_plllocked_wire[1] & (~ rx_analogreset[0])), (rx_plllocked_wire[0] & (~ rx_analogreset[0]))}, + rx_pll_pfdrefclkout_wire = {wire_rx_cdr_pll3_pfdrefclkout, wire_rx_cdr_pll2_pfdrefclkout, wire_rx_cdr_pll1_pfdrefclkout, wire_rx_cdr_pll0_pfdrefclkout}, + rx_plllocked_wire = {wire_rx_cdr_pll3_locked, wire_rx_cdr_pll2_locked, wire_rx_cdr_pll1_locked, wire_rx_cdr_pll0_locked}, + rx_pma_analogtestbus = {{51{1'b0}}, wire_receive_pma3_analogtestbus[5:2], wire_receive_pma2_analogtestbus[5:2], wire_receive_pma1_analogtestbus[5:2], wire_receive_pma0_analogtestbus[5:2], 1'b0}, + rx_pma_clockout = {wire_receive_pma3_clockout, wire_receive_pma2_clockout, wire_receive_pma1_clockout, wire_receive_pma0_clockout}, + rx_pma_dataout = {wire_receive_pma3_dataout, wire_receive_pma2_dataout, wire_receive_pma1_dataout, wire_receive_pma0_dataout}, + rx_pma_locktorefout = {wire_receive_pma3_locktorefout, wire_receive_pma2_locktorefout, wire_receive_pma1_locktorefout, wire_receive_pma0_locktorefout}, + rx_pma_recoverdataout_wire = {wire_receive_pma3_recoverdataout[19:0], wire_receive_pma2_recoverdataout[19:0], wire_receive_pma1_recoverdataout[19:0], wire_receive_pma0_recoverdataout[19:0]}, + rx_pmadprioin_wire = {{2{{300{1'b0}}}}, cent_unit_rxpmadprioout[1199:0]}, + rx_pmadprioout = {{2{{300{1'b0}}}}, wire_receive_pma3_dprioout, wire_receive_pma2_dprioout, wire_receive_pma1_dprioout, wire_receive_pma0_dprioout}, + rx_powerdown = {4{1'b0}}, + rx_powerdown_in = {{2{1'b0}}, rx_powerdown[3:0]}, + rx_prbscidenable = {4{1'b0}}, + rx_rdalign = {wire_receive_pcs3_rdalign, wire_receive_pcs2_rdalign, wire_receive_pcs1_rdalign, wire_receive_pcs0_rdalign}, + rx_rlv = {wire_receive_pcs3_rlv, wire_receive_pcs2_rlv, wire_receive_pcs1_rlv, wire_receive_pcs0_rlv}, + rx_rmfifodatadeleted = {wire_receive_pcs3_rmfifodatadeleted[1:0], wire_receive_pcs2_rmfifodatadeleted[1:0], wire_receive_pcs1_rmfifodatadeleted[1:0], wire_receive_pcs0_rmfifodatadeleted[1:0]}, + rx_rmfifodatainserted = {wire_receive_pcs3_rmfifodatainserted[1:0], wire_receive_pcs2_rmfifodatainserted[1:0], wire_receive_pcs1_rmfifodatainserted[1:0], wire_receive_pcs0_rmfifodatainserted[1:0]}, + rx_rmfifoempty = {wire_receive_pcs3_rmfifoempty, wire_receive_pcs2_rmfifoempty, wire_receive_pcs1_rmfifoempty, wire_receive_pcs0_rmfifoempty}, + rx_rmfifofull = {wire_receive_pcs3_rmfifofull, wire_receive_pcs2_rmfifofull, wire_receive_pcs1_rmfifofull, wire_receive_pcs0_rmfifofull}, + rx_rmfiforeset = {4{1'b0}}, + rx_runningdisp = {wire_receive_pcs3_runningdisp[1:0], wire_receive_pcs2_runningdisp[1:0], wire_receive_pcs1_runningdisp[1:0], wire_receive_pcs0_runningdisp[1:0]}, + rx_rxcruresetout = {wire_cent_unit0_rxcruresetout[5:0]}, + rx_syncstatus = {wire_receive_pcs3_syncstatus[1:0], wire_receive_pcs2_syncstatus[1:0], wire_receive_pcs1_syncstatus[1:0], wire_receive_pcs0_syncstatus[1:0]}, + rx_syncstatusdeskew = {wire_receive_pcs3_syncstatusdeskew, wire_receive_pcs2_syncstatusdeskew, wire_receive_pcs1_syncstatusdeskew, wire_receive_pcs0_syncstatusdeskew}, + rx_xgmctrlin = {wire_cent_unit0_rxctrlout}, + rx_xgmdataout = {wire_receive_pcs3_xgmdataout, wire_receive_pcs2_xgmdataout, wire_receive_pcs1_xgmdataout, wire_receive_pcs0_xgmdataout}, + rx_xgmdatavalid = {wire_receive_pcs3_xgmdatavalid, wire_receive_pcs2_xgmdatavalid, wire_receive_pcs1_xgmdatavalid, wire_receive_pcs0_xgmdatavalid}, + rx_xgmrunningdisp = {wire_receive_pcs3_xgmrunningdisp, wire_receive_pcs2_xgmrunningdisp, wire_receive_pcs1_xgmrunningdisp, wire_receive_pcs0_xgmrunningdisp}, + rxphfifowrdisable = {int_rx_phfifowrdisableout[0]}, + rxpll_dprioin = {{2{{300{1'b0}}}}, cent_unit_cmuplldprioout[1199:0]}, + tx_analogreset_out = {wire_cent_unit0_txanalogresetout[5:0]}, + tx_coreclk_in = {tx_coreclk[3:0]}, + tx_datain_wire = {tx_datain[63:0]}, + tx_dataout = {wire_transmit_pma3_dataout, wire_transmit_pma2_dataout, wire_transmit_pma1_dataout, wire_transmit_pma0_dataout}, + tx_dataout_pcs_to_pma = {wire_transmit_pcs3_dataout, wire_transmit_pcs2_dataout, wire_transmit_pcs1_dataout, wire_transmit_pcs0_dataout}, + tx_digitalreset_in = {4{int_tx_digitalreset_reg[0]}}, + tx_digitalreset_out = {wire_cent_unit0_txdigitalresetout[3:0]}, + tx_dprioin_wire = {{600{1'b0}}, cent_unit_txdprioout[599:0]}, + tx_forcedisp_wire = {4{{2{1'b0}}}}, + tx_localrefclk = {wire_transmit_pma3_clockout, wire_transmit_pma2_clockout, wire_transmit_pma1_clockout, wire_transmit_pma0_clockout}, + tx_phase_comp_fifo_error = {(tx_phfifooverflowout[3] | tx_phfifounderflowout[3]), (tx_phfifooverflowout[2] | tx_phfifounderflowout[2]), (tx_phfifooverflowout[1] | tx_phfifounderflowout[1]), (tx_phfifooverflowout[0] | tx_phfifounderflowout[0])}, + tx_phfifooverflowout = {wire_transmit_pcs3_phfifooverflow, wire_transmit_pcs2_phfifooverflow, wire_transmit_pcs1_phfifooverflow, wire_transmit_pcs0_phfifooverflow}, + tx_phfiforeset = {4{1'b0}}, + tx_phfifounderflowout = {wire_transmit_pcs3_phfifounderflow, wire_transmit_pcs2_phfifounderflow, wire_transmit_pcs1_phfifounderflow, wire_transmit_pcs0_phfifounderflow}, + tx_pmadprioin_wire = {{2{{300{1'b0}}}}, cent_unit_txpmadprioout[1199:0]}, + tx_pmadprioout = {{2{{300{1'b0}}}}, wire_transmit_pma3_dprioout, wire_transmit_pma2_dprioout, wire_transmit_pma1_dprioout, wire_transmit_pma0_dprioout}, + tx_rdenablesync = {wire_transmit_pcs3_rdenablesync, wire_transmit_pcs2_rdenablesync, wire_transmit_pcs1_rdenablesync, wire_transmit_pcs0_rdenablesync}, + tx_serialloopbackout = {wire_transmit_pma3_seriallpbkout, wire_transmit_pma2_seriallpbkout, wire_transmit_pma1_seriallpbkout, wire_transmit_pma0_seriallpbkout}, + tx_txdprioout = {wire_transmit_pcs3_dprioout, wire_transmit_pcs2_dprioout, wire_transmit_pcs1_dprioout, wire_transmit_pcs0_dprioout}, + tx_xgmctrlenable = {wire_transmit_pcs3_xgmctrlenable, wire_transmit_pcs2_xgmctrlenable, wire_transmit_pcs1_xgmctrlenable, wire_transmit_pcs0_xgmctrlenable}, + tx_xgmdataout = {wire_transmit_pcs3_xgmdataout, wire_transmit_pcs2_xgmdataout, wire_transmit_pcs1_xgmdataout, wire_transmit_pcs0_xgmdataout}, + txdetectrxout = {wire_transmit_pcs3_txdetectrx, wire_transmit_pcs2_txdetectrx, wire_transmit_pcs1_txdetectrx, wire_transmit_pcs0_txdetectrx}, + w_cent_unit_dpriodisableout1w = {wire_cent_unit0_dpriodisableout}; +endmodule //hxaui_alt4gxb_alt4gxb_dksa +//VALID FILE + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +//(* ALTERA_ATTRIBUTE = {"-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*fifo*flow] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[*]}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*alt_pma_ch_controller_0*rc*}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\""} *) +module hxaui_alt4gxb ( + cal_blk_clk, + cal_blk_powerdown, + gxb_powerdown, + pll_inclk, + pll_powerdown, + reconfig_clk, + reconfig_togxb, + rx_analogreset, + rx_cruclk, + rx_datain, + rx_digitalreset, + rx_invpolarity, + rx_locktodata, + rx_locktorefclk, + rx_seriallpbken, + tx_coreclk, + tx_ctrlenable, + tx_datain, + tx_digitalreset, + tx_invpolarity, + coreclkout, + pll_locked, + reconfig_fromgxb, + rx_channelaligned, + rx_ctrldetect, + rx_dataout, + rx_disperr, + rx_errdetect, + rx_freqlocked, + rx_patterndetect, + rx_phase_comp_fifo_error, + rx_pll_locked, + rx_rlv, + rx_rmfifodatadeleted, + rx_rmfifodatainserted, + rx_rmfifoempty, + rx_rmfifofull, + rx_runningdisp, + rx_syncstatus, + rx_recovered_clk, + tx_dataout, + tx_phase_comp_fifo_error); + + input cal_blk_clk; + input cal_blk_powerdown; + input [0:0] gxb_powerdown; + input pll_inclk; + input [0:0] pll_powerdown; + input reconfig_clk; + input [3:0] reconfig_togxb; + input [0:0] rx_analogreset; + wire [3:0] rx_coreclk; + input [3:0] rx_cruclk; + input [3:0] rx_datain; + input [0:0] rx_digitalreset; + input [3:0] rx_invpolarity; + input [3:0] rx_locktodata; + input [3:0] rx_locktorefclk; + input [3:0] rx_seriallpbken; + input [3:0] tx_coreclk; + input [7:0] tx_ctrlenable; + input [63:0] tx_datain; + input [0:0] tx_digitalreset; + input [3:0] tx_invpolarity; + output [0:0] coreclkout; + output [0:0] pll_locked; + output [16:0] reconfig_fromgxb; + output [0:0] rx_channelaligned; + output [7:0] rx_ctrldetect; + output [63:0] rx_dataout; + output [7:0] rx_disperr; + output [7:0] rx_errdetect; + output [3:0] rx_freqlocked; + output [3:0] rx_recovered_clk; + output [7:0] rx_patterndetect; + output [3:0] rx_phase_comp_fifo_error; + output [3:0] rx_pll_locked; + output [3:0] rx_rlv; + output [7:0] rx_rmfifodatadeleted; + output [7:0] rx_rmfifodatainserted; + output [3:0] rx_rmfifoempty; + output [3:0] rx_rmfifofull; + output [7:0] rx_runningdisp; + output [7:0] rx_syncstatus; + output [3:0] tx_dataout; + output [3:0] tx_phase_comp_fifo_error; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri0 [3:0] rx_cruclk; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + parameter starting_channel_number = 0; + parameter transmitter_termination = "OCT 100 OHMS";//OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter receiver_termination = "OCT 100 OHMS"; //OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter rx_common_mode = "0.82v"; + + parameter preemphasis_ctrl_pretap_setting = 0;//0-7 + parameter preemphasis_ctrl_pretap_inv_setting = "FALSE";//TRUE or FALSE. + parameter preemphasis_ctrl_1stposttap_setting = 5;//0-15 + parameter preemphasis_ctrl_2ndposttap_setting = 0;//0-7 + parameter preemphasis_ctrl_2ndposttap_inv_setting = "FALSE";//TRUE or FALSE. + parameter vod_ctrl_setting = 1;//0-7 + + parameter equalizer_dcgain_setting = 0; //0-4 + parameter equalizer_ctrl_a_setting = 0; + parameter equalizer_ctrl_b_setting = 0; + parameter equalizer_ctrl_c_setting = 0; + parameter equalizer_ctrl_d_setting = 0; + parameter equalizer_ctrl_v_setting = 0; + + + wire [7:0] sub_wire0; + wire [3:0] sub_wire1; + wire [3:0] sub_wire2; + wire [7:0] sub_wire3; + wire [0:0] sub_wire4; + wire [7:0] sub_wire5; + wire [7:0] sub_wire6; + wire [7:0] sub_wire7; + wire [3:0] sub_wire8; + wire [3:0] sub_wire9; + wire [7:0] sub_wire10; + wire [3:0] sub_wire11; + wire [3:0] sub_wire12; + wire [7:0] sub_wire13; + wire [3:0] sub_wire14; + wire [0:0] sub_wire15; + wire [3:0] sub_wire16; + wire [7:0] sub_wire17; + wire [16:0] sub_wire18; + wire [0:0] sub_wire19; + wire [63:0] sub_wire20; + wire [7:0] rx_disperr = sub_wire0[7:0]; + wire [3:0] rx_rmfifoempty = sub_wire1[3:0]; + wire [3:0] rx_rlv = sub_wire2[3:0]; + wire [7:0] rx_patterndetect = sub_wire3[7:0]; + wire [0:0] coreclkout = sub_wire4[0:0]; + wire [7:0] rx_ctrldetect = sub_wire5[7:0]; + wire [7:0] rx_errdetect = sub_wire6[7:0]; + wire [7:0] rx_rmfifodatadeleted = sub_wire7[7:0]; + wire [3:0] rx_pll_locked = sub_wire8[3:0]; + wire [3:0] rx_freqlocked = sub_wire9[3:0]; + wire [7:0] rx_runningdisp = sub_wire10[7:0]; + wire [3:0] tx_phase_comp_fifo_error = sub_wire11[3:0]; + wire [3:0] tx_dataout = sub_wire12[3:0]; + wire [7:0] rx_rmfifodatainserted = sub_wire13[7:0]; + wire [3:0] rx_phase_comp_fifo_error = sub_wire14[3:0]; + wire [0:0] rx_channelaligned = sub_wire15[0:0]; + wire [3:0] rx_rmfifofull = sub_wire16[3:0]; + wire [7:0] rx_syncstatus = sub_wire17[7:0]; + wire [16:0] reconfig_fromgxb = sub_wire18[16:0]; + wire [0:0] pll_locked = sub_wire19[0:0]; + wire [63:0] rx_dataout = sub_wire20[63:0]; + wire coreclkout_global; + // Start - Manually instantiate the clock control block without requiring the MegaWizard generated file + stratixiv_clkena sd1 + ( + .ena(1'b1), + .enaout(), + .inclk(sub_wire4), + .outclk(coreclkout_global) + // synopsys translate_off + , + .devclrn(1'b1), + .devpor(1'b1) + // synopsys translate_on + ); + defparam + //sd1.clock_type = "Global Clock", + sd1.ena_register_mode = "falling edge", + sd1.lpm_type = "stratixiv_clkena"; + // End + assign rx_coreclk = {4{coreclkout_global}}; + + hxaui_alt4gxb_alt4gxb_dksa hxaui_alt4gxb_alt4gxb_dksa_component ( + .rx_locktorefclk (rx_locktorefclk), + .rx_invpolarity (rx_invpolarity), + .pll_inclk (pll_inclk), + .tx_invpolarity (tx_invpolarity), + .gxb_powerdown (gxb_powerdown), + .tx_datain (tx_datain), + .rx_cruclk (rx_cruclk), + .cal_blk_clk (cal_blk_clk), + .pll_powerdown (pll_powerdown), + .reconfig_clk (reconfig_clk), + .rx_seriallpbken (rx_seriallpbken), + .rx_locktodata (rx_locktodata), + .rx_datain (rx_datain), + .cal_blk_powerdown (cal_blk_powerdown), + .reconfig_togxb (reconfig_togxb), + .tx_coreclk (tx_coreclk), + .tx_ctrlenable (tx_ctrlenable), + .rx_analogreset (rx_analogreset), + .rx_digitalreset (rx_digitalreset), + .tx_digitalreset (tx_digitalreset), + .rx_disperr (sub_wire0), + .rx_rmfifoempty (sub_wire1), + .rx_rlv (sub_wire2), + .rx_patterndetect (sub_wire3), + .coreclkout (sub_wire4), + .rx_ctrldetect (sub_wire5), + .rx_errdetect (sub_wire6), + .rx_rmfifodatadeleted (sub_wire7), + .rx_pll_locked (sub_wire8), + .rx_freqlocked (sub_wire9), + .rx_runningdisp (sub_wire10), + .tx_phase_comp_fifo_error (sub_wire11), + .tx_dataout (sub_wire12), + .rx_rmfifodatainserted (sub_wire13), + .rx_phase_comp_fifo_error (sub_wire14), + .rx_channelaligned (sub_wire15), + .rx_rmfifofull (sub_wire16), + .rx_coreclk (rx_coreclk), + .rx_syncstatus (sub_wire17), + .rx_recovered_clk(rx_recovered_clk), // o + .reconfig_fromgxb (sub_wire18), + .pll_locked (sub_wire19), + .rx_dataout (sub_wire20)); + defparam + hxaui_alt4gxb_alt4gxb_dksa_component.starting_channel_number = starting_channel_number, + hxaui_alt4gxb_alt4gxb_dksa_component.receiver_termination = receiver_termination, + hxaui_alt4gxb_alt4gxb_dksa_component.transmitter_termination = transmitter_termination, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_pretap_setting = preemphasis_ctrl_pretap_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_pretap_inv_setting = preemphasis_ctrl_pretap_inv_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_1stposttap_setting = preemphasis_ctrl_1stposttap_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_2ndposttap_setting = preemphasis_ctrl_2ndposttap_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_2ndposttap_inv_setting = preemphasis_ctrl_2ndposttap_inv_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.vod_ctrl_setting = vod_ctrl_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.rx_common_mode = rx_common_mode, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_a_setting = equalizer_ctrl_a_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_b_setting = equalizer_ctrl_b_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_c_setting = equalizer_ctrl_c_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_d_setting = equalizer_ctrl_d_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_v_setting = equalizer_ctrl_v_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_dcgain_setting = equalizer_dcgain_setting; + + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix IV" +// Retrieval info: PRIVATE: NUM_KEYS NUMERIC "0" +// Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC" +// Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none" +// Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE STRING "3125.0" +// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE_ENABLE STRING "0" +// Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "3125" +// Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "50.0 " +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2000" +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps" +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "50.0" +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz" +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "250.0" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "XAUI" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "0" +// Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "0" +// Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "1" +// Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "156.25" +// Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "156.25" +// Retrieval info: PRIVATE: WIZ_INPUT_A STRING "3125" +// Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps" +// Retrieval info: PRIVATE: WIZ_INPUT_B STRING "156.25" +// Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz" +// Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0" +// Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "XAUI" +// Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "None" +// Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0" +// Retrieval info: PARAMETER: STARTING_CHANNEL_NUMBER NUMERIC "0" +// Retrieval info: CONSTANT: EFFECTIVE_DATA_RATE STRING "3125 Mbps" +// Retrieval info: CONSTANT: ENABLE_LC_TX_PLL STRING "false" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_A_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_B_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_C_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_D_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_V_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: GEN_RECONFIG_PLL STRING "false" +// Retrieval info: CONSTANT: GXB_ANALOG_POWER STRING "AUTO" +// Retrieval info: CONSTANT: GX_CHANNEL_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: INPUT_CLOCK_FREQUENCY STRING "156.25 MHz" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix IV" +// Retrieval info: CONSTANT: INTENDED_DEVICE_SPEED_GRADE STRING "2" +// Retrieval info: CONSTANT: INTENDED_DEVICE_VARIANT STRING "GX" +// Retrieval info: CONSTANT: LOOPBACK_MODE STRING "slb" +// Retrieval info: CONSTANT: LPM_TYPE STRING "alt4gxb" +// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "4" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex" +// Retrieval info: CONSTANT: PLL_CONTROL_WIDTH NUMERIC "1" +// Retrieval info: CONSTANT: PLL_PFD_FB_MODE STRING "internal" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_INV_SETTING STRING "false" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_INV_SETTING STRING "false" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: PROTOCOL STRING "xaui" +// Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms" +// Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "0" +// Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "normal" +// Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "0101111100" +// Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "10" +// Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "true" +// Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "false" +// Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false" +// Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "NONE" +// Retrieval info: CONSTANT: RX_CHANNEL_BONDING STRING "x4" +// Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "16" +// Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.82v" +// Retrieval info: CONSTANT: RX_CRU_BANDWIDTH_TYPE STRING "Auto" +// Retrieval info: CONSTANT: RX_CRU_INCLOCK0_PERIOD NUMERIC "6400" +// Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "xaui" +// Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "3125" +// Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0" +// Retrieval info: CONSTANT: RX_DIGITALRESET_PORT_WIDTH NUMERIC "1" +// Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false" +// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "true" +// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "true" +// Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false" +// Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "true" +// Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "32" +// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "normal" +// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN1 STRING "1101000011" +// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN2 STRING "0010111100" +// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN_SIZE NUMERIC "10" +// Retrieval info: CONSTANT: RX_RUN_LENGTH NUMERIC "40" +// Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "true" +// Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "2" +// Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true" +// Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "false" +// Retrieval info: CONSTANT: RX_USE_CORECLK STRING "true" +// Retrieval info: CONSTANT: RX_USE_CRUCLK STRING "true" +// Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false" +// Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "true" +// Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "true" +// Retrieval info: CONSTANT: RX_USE_RATE_MATCH_PATTERN1_ONLY STRING "false" +// Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms" +// Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "normal" +// Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "true" +// Retrieval info: CONSTANT: TX_ANALOG_POWER STRING "AUTO" +// Retrieval info: CONSTANT: TX_CHANNEL_BONDING STRING "x4" +// Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "16" +// Retrieval info: CONSTANT: TX_CLKOUT_WIDTH NUMERIC "4" +// Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.65v" +// Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "3125" +// Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0" +// Retrieval info: CONSTANT: TX_DIGITALRESET_PORT_WIDTH NUMERIC "1" +// Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false" +// Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false" +// Retrieval info: CONSTANT: TX_PLL_BANDWIDTH_TYPE STRING "Auto" +// Retrieval info: CONSTANT: TX_PLL_INCLK0_PERIOD NUMERIC "6400" +// Retrieval info: CONSTANT: TX_PLL_TYPE STRING "CMU" +// Retrieval info: CONSTANT: TX_SLEW_RATE STRING "low" +// Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "xaui" +// Retrieval info: CONSTANT: TX_USE_CORECLK STRING "true" +// Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "true" +// Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false" +// Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true" +// Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "4" +// Retrieval info: CONSTANT: coreclkout_control_width NUMERIC "1" +// Retrieval info: CONSTANT: gxb_powerdown_width NUMERIC "1" +// Retrieval info: CONSTANT: number_of_quads NUMERIC "1" +// Retrieval info: CONSTANT: reconfig_calibration STRING "true" +// Retrieval info: CONSTANT: reconfig_fromgxb_port_width NUMERIC "17" +// Retrieval info: CONSTANT: reconfig_togxb_port_width NUMERIC "4" +// Retrieval info: CONSTANT: rx_cru_m_divider NUMERIC "10" +// Retrieval info: CONSTANT: rx_cru_n_divider NUMERIC "1" +// Retrieval info: CONSTANT: rx_cru_vco_post_scale_divider NUMERIC "2" +// Retrieval info: CONSTANT: rx_dwidth_factor NUMERIC "2" +// Retrieval info: CONSTANT: rx_signal_detect_loss_threshold STRING "1" +// Retrieval info: CONSTANT: rx_signal_detect_valid_threshold STRING "1" +// Retrieval info: CONSTANT: rx_use_external_termination STRING "false" +// Retrieval info: CONSTANT: rx_word_aligner_num_byte NUMERIC "1" +// Retrieval info: CONSTANT: tx_dwidth_factor NUMERIC "2" +// Retrieval info: CONSTANT: tx_pll_clock_post_divider NUMERIC "1" +// Retrieval info: CONSTANT: tx_pll_m_divider NUMERIC "10" +// Retrieval info: CONSTANT: tx_pll_n_divider NUMERIC "1" +// Retrieval info: CONSTANT: tx_pll_vco_post_scale_divider NUMERIC "2" +// Retrieval info: CONSTANT: tx_use_external_termination STRING "false" +// Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk" +// Retrieval info: USED_PORT: cal_blk_powerdown 0 0 0 0 INPUT NODEFVAL "cal_blk_powerdown" +// Retrieval info: USED_PORT: coreclkout 0 0 1 0 OUTPUT NODEFVAL "coreclkout[0..0]" +// Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]" +// Retrieval info: USED_PORT: pll_inclk 0 0 0 0 INPUT NODEFVAL "pll_inclk" +// Retrieval info: USED_PORT: pll_locked 0 0 1 0 OUTPUT NODEFVAL "pll_locked[0..0]" +// Retrieval info: USED_PORT: pll_powerdown 0 0 1 0 INPUT NODEFVAL "pll_powerdown[0..0]" +// Retrieval info: USED_PORT: reconfig_clk 0 0 0 0 INPUT NODEFVAL "reconfig_clk" +// Retrieval info: USED_PORT: reconfig_fromgxb 0 0 17 0 OUTPUT NODEFVAL "reconfig_fromgxb[16..0]" +// Retrieval info: USED_PORT: reconfig_togxb 0 0 4 0 INPUT NODEFVAL "reconfig_togxb[3..0]" +// Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]" +// Retrieval info: USED_PORT: rx_channelaligned 0 0 1 0 OUTPUT NODEFVAL "rx_channelaligned[0..0]" +// Retrieval info: USED_PORT: rx_cruclk 0 0 4 0 INPUT GND "rx_cruclk[3..0]" +// Retrieval info: USED_PORT: rx_ctrldetect 0 0 8 0 OUTPUT NODEFVAL "rx_ctrldetect[7..0]" +// Retrieval info: USED_PORT: rx_datain 0 0 4 0 INPUT NODEFVAL "rx_datain[3..0]" +// Retrieval info: USED_PORT: rx_dataout 0 0 64 0 OUTPUT NODEFVAL "rx_dataout[63..0]" +// Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]" +// Retrieval info: USED_PORT: rx_disperr 0 0 8 0 OUTPUT NODEFVAL "rx_disperr[7..0]" +// Retrieval info: USED_PORT: rx_errdetect 0 0 8 0 OUTPUT NODEFVAL "rx_errdetect[7..0]" +// Retrieval info: USED_PORT: rx_freqlocked 0 0 4 0 OUTPUT NODEFVAL "rx_freqlocked[3..0]" +// Retrieval info: USED_PORT: rx_invpolarity 0 0 4 0 INPUT NODEFVAL "rx_invpolarity[3..0]" +// Retrieval info: USED_PORT: rx_locktodata 0 0 4 0 INPUT NODEFVAL "rx_locktodata[3..0]" +// Retrieval info: USED_PORT: rx_locktorefclk 0 0 4 0 INPUT NODEFVAL "rx_locktorefclk[3..0]" +// Retrieval info: USED_PORT: rx_patterndetect 0 0 8 0 OUTPUT NODEFVAL "rx_patterndetect[7..0]" +// Retrieval info: USED_PORT: rx_phase_comp_fifo_error 0 0 4 0 OUTPUT NODEFVAL "rx_phase_comp_fifo_error[3..0]" +// Retrieval info: USED_PORT: rx_pll_locked 0 0 4 0 OUTPUT NODEFVAL "rx_pll_locked[3..0]" +// Retrieval info: USED_PORT: rx_rlv 0 0 4 0 OUTPUT NODEFVAL "rx_rlv[3..0]" +// Retrieval info: USED_PORT: rx_rmfifodatadeleted 0 0 8 0 OUTPUT NODEFVAL "rx_rmfifodatadeleted[7..0]" +// Retrieval info: USED_PORT: rx_rmfifodatainserted 0 0 8 0 OUTPUT NODEFVAL "rx_rmfifodatainserted[7..0]" +// Retrieval info: USED_PORT: rx_rmfifoempty 0 0 4 0 OUTPUT NODEFVAL "rx_rmfifoempty[3..0]" +// Retrieval info: USED_PORT: rx_rmfifofull 0 0 4 0 OUTPUT NODEFVAL "rx_rmfifofull[3..0]" +// Retrieval info: USED_PORT: rx_runningdisp 0 0 8 0 OUTPUT NODEFVAL "rx_runningdisp[7..0]" +// Retrieval info: USED_PORT: rx_seriallpbken 0 0 4 0 INPUT NODEFVAL "rx_seriallpbken[3..0]" +// Retrieval info: USED_PORT: rx_syncstatus 0 0 8 0 OUTPUT NODEFVAL "rx_syncstatus[7..0]" +// Retrieval info: USED_PORT: tx_coreclk 0 0 4 0 INPUT NODEFVAL "tx_coreclk[3..0]" +// Retrieval info: USED_PORT: tx_ctrlenable 0 0 8 0 INPUT NODEFVAL "tx_ctrlenable[7..0]" +// Retrieval info: USED_PORT: tx_datain 0 0 64 0 INPUT NODEFVAL "tx_datain[63..0]" +// Retrieval info: USED_PORT: tx_dataout 0 0 4 0 OUTPUT NODEFVAL "tx_dataout[3..0]" +// Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]" +// Retrieval info: USED_PORT: tx_invpolarity 0 0 4 0 INPUT NODEFVAL "tx_invpolarity[3..0]" +// Retrieval info: USED_PORT: tx_phase_comp_fifo_error 0 0 4 0 OUTPUT NODEFVAL "tx_phase_comp_fifo_error[3..0]" +// Retrieval info: CONNECT: rx_patterndetect 0 0 8 0 @rx_patterndetect 0 0 8 0 +// Retrieval info: CONNECT: @rx_invpolarity 0 0 4 0 rx_invpolarity 0 0 4 0 +// Retrieval info: CONNECT: @rx_locktorefclk 0 0 4 0 rx_locktorefclk 0 0 4 0 +// Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0 +// Retrieval info: CONNECT: rx_ctrldetect 0 0 8 0 @rx_ctrldetect 0 0 8 0 +// Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0 +// Retrieval info: CONNECT: rx_dataout 0 0 64 0 @rx_dataout 0 0 64 0 +// Retrieval info: CONNECT: rx_runningdisp 0 0 8 0 @rx_runningdisp 0 0 8 0 +// Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0 +// Retrieval info: CONNECT: rx_phase_comp_fifo_error 0 0 4 0 @rx_phase_comp_fifo_error 0 0 4 0 +// Retrieval info: CONNECT: rx_rmfifofull 0 0 4 0 @rx_rmfifofull 0 0 4 0 +// Retrieval info: CONNECT: rx_errdetect 0 0 8 0 @rx_errdetect 0 0 8 0 +// Retrieval info: CONNECT: rx_channelaligned 0 0 1 0 @rx_channelaligned 0 0 1 0 +// Retrieval info: CONNECT: @tx_coreclk 0 0 4 0 tx_coreclk 0 0 4 0 +// Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0 +// Retrieval info: CONNECT: rx_pll_locked 0 0 4 0 @rx_pll_locked 0 0 4 0 +// Retrieval info: CONNECT: coreclkout 0 0 1 0 @coreclkout 0 0 1 0 +// Retrieval info: CONNECT: rx_syncstatus 0 0 8 0 @rx_syncstatus 0 0 8 0 +// Retrieval info: CONNECT: @rx_seriallpbken 0 0 4 0 rx_seriallpbken 0 0 4 0 +// Retrieval info: CONNECT: @reconfig_clk 0 0 0 0 reconfig_clk 0 0 0 0 +// Retrieval info: CONNECT: tx_phase_comp_fifo_error 0 0 4 0 @tx_phase_comp_fifo_error 0 0 4 0 +// Retrieval info: CONNECT: @reconfig_togxb 0 0 4 0 reconfig_togxb 0 0 4 0 +// Retrieval info: CONNECT: pll_locked 0 0 1 0 @pll_locked 0 0 1 0 +// Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0 +// Retrieval info: CONNECT: @rx_cruclk 0 0 4 0 rx_cruclk 0 0 4 0 +// Retrieval info: CONNECT: @tx_invpolarity 0 0 4 0 tx_invpolarity 0 0 4 0 +// Retrieval info: CONNECT: rx_rlv 0 0 4 0 @rx_rlv 0 0 4 0 +// Retrieval info: CONNECT: @pll_inclk 0 0 0 0 pll_inclk 0 0 0 0 +// Retrieval info: CONNECT: @tx_ctrlenable 0 0 8 0 tx_ctrlenable 0 0 8 0 +// Retrieval info: CONNECT: tx_dataout 0 0 4 0 @tx_dataout 0 0 4 0 +// Retrieval info: CONNECT: @tx_datain 0 0 64 0 tx_datain 0 0 64 0 +// Retrieval info: CONNECT: reconfig_fromgxb 0 0 17 0 @reconfig_fromgxb 0 0 17 0 +// Retrieval info: CONNECT: rx_rmfifoempty 0 0 4 0 @rx_rmfifoempty 0 0 4 0 +// Retrieval info: CONNECT: @cal_blk_powerdown 0 0 0 0 cal_blk_powerdown 0 0 0 0 +// Retrieval info: CONNECT: rx_freqlocked 0 0 4 0 @rx_freqlocked 0 0 4 0 +// Retrieval info: CONNECT: @rx_locktodata 0 0 4 0 rx_locktodata 0 0 4 0 +// Retrieval info: CONNECT: @rx_datain 0 0 4 0 rx_datain 0 0 4 0 +// Retrieval info: CONNECT: rx_rmfifodatainserted 0 0 8 0 @rx_rmfifodatainserted 0 0 8 0 +// Retrieval info: CONNECT: rx_rmfifodatadeleted 0 0 8 0 @rx_rmfifodatadeleted 0 0 8 0 +// Retrieval info: CONNECT: rx_disperr 0 0 8 0 @rx_disperr 0 0 8 0 +// Retrieval info: CONNECT: @pll_powerdown 0 0 1 0 pll_powerdown 0 0 1 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb_bb.v TRUE +// Retrieval info: LIB_FILE: stratixiv_hssi diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui_csr.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui_csr.sv new file mode 100644 index 0000000000000000000000000000000000000000..5ad633285f019d30350c678e518974f6621093e3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui_csr.sv @@ -0,0 +1,415 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2010 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +/////////////////////////////////////////////////////////////////////////////// +// +// +// Description: Hard xaui control and status registers +// +// Authors: ishimony 12-Jun-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +/////////////////////////////////////////////////////////////////////////////// + +// +// TBD: unless synthesis is smart enough all synchronization flops will have +// to be manually instantiated (altera_std_synchronizer) +// + +`timescale 1 ps / 1 ps + +module hxaui_csr( + clk, reset, address, byteenable, read, readdata, write, writedata, + rx_patterndetect, rx_syncstatus, rx_runningdisp, rx_errdetect, + rx_disperr, rx_phase_comp_fifo_error, rx_rlv, rx_rmfifodatadeleted, + rx_rmfifodatainserted, rx_rmfifoempty, rx_rmfifofull, + tx_phase_comp_fifo_error, r_rx_invpolarity, r_tx_invpolarity, + r_rx_digitalreset, r_tx_digitalreset, simulation_flag +); // module hxaui_csr +import hxaui_csr_h::*; + +// ports ------------------------------------------------------------------- + +// Avalon MM slave +input clk; +input reset; + +input [4:0] address; +input [3:0] byteenable; + +input read; +output [31:0] readdata; + +input write; +input [31:0] writedata; + +// hard xaui control/status +input [7:0] rx_patterndetect; +input [7:0] rx_syncstatus; +input [7:0] rx_runningdisp; // nc + +input [7:0] rx_errdetect; +input [7:0] rx_disperr; + +input [3:0] rx_phase_comp_fifo_error; +input [3:0] rx_rlv; + +input [7:0] rx_rmfifodatadeleted; +input [7:0] rx_rmfifodatainserted; +input [3:0] rx_rmfifoempty; +input [3:0] rx_rmfifofull; + +input [3:0] tx_phase_comp_fifo_error; + +output [3:0] r_rx_invpolarity; +output [3:0] r_tx_invpolarity; +output r_rx_digitalreset; +output r_tx_digitalreset; + +output simulation_flag; // '1' shortens reset and loss_timer length + +// ports ------------------------------------------------------------------- +wire clk; +wire reset; +wire [4:0] address; +wire [6:0] addr; +wire [3:0] byteenable; +wire read; +reg [31:0] readdata; +wire write; +wire [31:0] writedata; +wire [3:0] rx_phase_comp_fifo_error; +wire [3:0] rx_rlv; +wire [3:0] rx_rmfifoempty; +wire [3:0] rx_rmfifofull; +wire [3:0] tx_phase_comp_fifo_error; +wire [7:0] rx_disperr; +wire [7:0] rx_errdetect; +wire [7:0] rx_patterndetect; +wire [7:0] rx_rmfifodatadeleted; +wire [7:0] rx_rmfifodatainserted; +wire [7:0] rx_runningdisp; +wire [7:0] rx_syncstatus; +wire [3:0] r_rx_invpolarity; +wire [3:0] r_tx_invpolarity; +wire r_rx_digitalreset; +wire r_tx_digitalreset; +wire simulation_flag; + +// locals ------------------------------------------------------------------ +reg [1:0] hxaui_csr_reset, hxaui_csr_reset0q; +reg [3:0] hxaui_csr_rx_cntrl, hxaui_csr_rx_cntrl0q; +reg [3:0] hxaui_csr_tx_cntrl, hxaui_csr_tx_cntrl0q; +wire [31:0] hxaui_csr_rx_status_0; +wire [31:0] hxaui_csr_rx_status_1; +wire [31:0] hxaui_csr_rx_status_2; +wire [31:0] hxaui_csr_rx_status_3; +wire [31:0] hxaui_csr_rx_status_4; +wire [31:0] hxaui_csr_tx_status_0; +reg hxaui_csr_simulation_flag, hxaui_csr_simulation_flag0q; + + +reg [7:0] rx_patterndetect_c; // rx_patterndetect synced to clk +reg [7:0] rx_syncstatus_c; // rx_syncstatus synced to clk +reg [7:0] rx_patterndetect_sr; // rx_patterndetect sr ff +reg [7:0] rx_syncstatus_sr; // rx_syncstatus sr ff +wire read_rx_status_0; + +reg [7:0] rx_errdetect_c; // rx_errdetect synced to clk +reg [7:0] rx_disperr_c; // rx_disperr synced to clk +reg [7:0] rx_errdetect_sr; // rx_errdetect sr ff +reg [7:0] rx_disperr_sr; // rx_disperr sr ff +wire read_rx_status_1; + +reg [3:0] rx_phase_comp_fifo_error_c; // rx_phase_comp_fifo_error synced +reg [3:0] rx_rlv_c; // rx_rlv synced to clk +reg [3:0] rx_phase_comp_fifo_error_sr; // rx_phase_comp_fifo_error sr ff +reg [3:0] rx_rlv_sr; // rx_rlv sr ff +wire read_rx_status_2; + +reg [7:0] rx_rmfifodatainserted_c; // rx_rmfifodatainserted synced to clk +reg [7:0] rx_rmfifodatadeleted_c; // rx_rmfifodatadeleted synced to clk +reg [7:0] rx_rmfifodatainserted_sr;// rx_rmfifodatainserted sr ff +reg [7:0] rx_rmfifodatadeleted_sr; // rx_rmfifodatadeleted sr ff +wire read_rx_status_3; + +reg [3:0] rx_rmfifofull_c; // rx_rmfifo_full synced +reg [3:0] rx_rmfifoempty_c; // rx_rmfifoempty synced to clk +reg [3:0] rx_rmfifofull_sr; // rx_rmfifo_full sr ff +reg [3:0] rx_rmfifoempty_sr; // rx_rmfifoempty sr ff +wire read_rx_status_4; + +wire read_rx_status_5; + +reg [3:0] tx_phase_comp_fifo_error_c; // tx_phase_comp_fifo_error synced +reg [3:0] tx_phase_comp_fifo_error_sr; // tx_phase_comp_fifo_error sr ff +wire read_tx_status_0; + +// body -------------------------------------------------------------------- + +//--- readdata output latch --- +// For easier address debug shift back 2 bits +assign addr = {address[4:0], 2'b00}; + +always @(*) begin + case (addr) + HXAUI_CSR_RESET_ADDR: + readdata <= hxaui_csr_reset0q; + HXAUI_CSR_RX_CNTRL_ADDR: + readdata <= hxaui_csr_rx_cntrl0q; + HXAUI_CSR_TX_CNTRL_ADDR: + readdata <= hxaui_csr_tx_cntrl0q; + HXAUI_CSR_RX_STATUS_0_ADDR: + readdata <= hxaui_csr_rx_status_0; + HXAUI_CSR_RX_STATUS_1_ADDR: + readdata <= hxaui_csr_rx_status_1; + HXAUI_CSR_RX_STATUS_2_ADDR: + readdata <= hxaui_csr_rx_status_2; + HXAUI_CSR_RX_STATUS_3_ADDR: + readdata <= hxaui_csr_rx_status_3; + HXAUI_CSR_RX_STATUS_4_ADDR: + readdata <= hxaui_csr_rx_status_4; + HXAUI_CSR_TX_STATUS_0_ADDR: + readdata <= hxaui_csr_tx_status_0; + HXAUI_CSR_SIMULATION_FLAG_ADDR: + readdata <= hxaui_csr_simulation_flag0q; + default: + readdata <= 32'h0; + endcase // case (addr) +end + +always @ (posedge clk or posedge reset) begin + if (reset) begin + hxaui_csr_reset0q <= 2'b0; + hxaui_csr_rx_cntrl0q <= 4'b0; + hxaui_csr_tx_cntrl0q <= 4'b0; + hxaui_csr_simulation_flag0q <= 1'b0; + end else begin + hxaui_csr_reset0q <= hxaui_csr_reset; + hxaui_csr_rx_cntrl0q <= hxaui_csr_rx_cntrl; + hxaui_csr_tx_cntrl0q <= hxaui_csr_tx_cntrl; + hxaui_csr_simulation_flag0q <= hxaui_csr_simulation_flag; + end +end + + +always @ (*) begin + hxaui_csr_reset = hxaui_csr_reset0q; + hxaui_csr_rx_cntrl = hxaui_csr_rx_cntrl0q; + hxaui_csr_tx_cntrl = hxaui_csr_tx_cntrl0q; + hxaui_csr_simulation_flag = hxaui_csr_simulation_flag0q; + if (write) begin + case (addr) + HXAUI_CSR_RESET_ADDR: + hxaui_csr_reset = writedata[1:0]; + HXAUI_CSR_RX_CNTRL_ADDR: + hxaui_csr_rx_cntrl = writedata[3:0]; + HXAUI_CSR_TX_CNTRL_ADDR: + hxaui_csr_tx_cntrl = writedata[3:0]; + HXAUI_CSR_SIMULATION_FLAG_ADDR: + hxaui_csr_simulation_flag = writedata[0]; + default:; + endcase // case (addr) + end +end + +assign {r_rx_digitalreset, r_tx_digitalreset} = hxaui_csr_reset0q[1:0]; +assign r_rx_invpolarity[3:0] = hxaui_csr_rx_cntrl0q[3:0]; +assign r_tx_invpolarity[3:0] = hxaui_csr_tx_cntrl0q[3:0]; +assign simulation_flag = hxaui_csr_simulation_flag0q; + +//--- rx_status_0 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_patterndetect_c <= rx_patterndetect; + rx_syncstatus_c <= rx_syncstatus; +end + +assign read_rx_status_0 = read && (addr == HXAUI_CSR_RX_STATUS_0_ADDR); + +// sticky bits implementation - +// set: status signal +// reset: read from register +// Set has precedence over reset +// +// s r q nq +// ------------ +// 0 0 x q +// 0 1 x 0 +// 1 x x 1 +// +// nq <= s + qr' +// + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_patterndetect_sr <= 8'h0; + rx_syncstatus_sr <= 8'h0; + end else begin + rx_patterndetect_sr <= rx_patterndetect_c | + (rx_patterndetect_sr & {8{read_rx_status_0}}); + rx_syncstatus_sr <= rx_syncstatus_c | + (rx_syncstatus_sr & {8{read_rx_status_0}}); + end +end + +assign hxaui_csr_rx_status_0 = {rx_patterndetect_sr, rx_syncstatus_sr}; + +//--- rx_status_1 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_errdetect_c <= rx_errdetect; + rx_disperr_c <= rx_disperr; +end + +assign read_rx_status_1 = read && (addr == HXAUI_CSR_RX_STATUS_1_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_errdetect_sr <= 8'h0; + rx_disperr_sr <= 8'h0; + end else begin + rx_errdetect_sr <= rx_errdetect_c | + (rx_errdetect_sr & {8{read_rx_status_1}}); + rx_disperr_sr <= rx_disperr_c | + (rx_disperr_sr & {8{read_rx_status_1}}); + end +end + +assign hxaui_csr_rx_status_1 = {rx_errdetect_sr, rx_disperr_sr}; + +//--- rx_status_2 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_phase_comp_fifo_error_c <= rx_phase_comp_fifo_error; + rx_rlv_c <= rx_rlv; +end + +assign read_rx_status_2 = read && (addr == HXAUI_CSR_RX_STATUS_2_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_phase_comp_fifo_error_sr <= 4'h0; + rx_rlv_sr <= 4'h0; + end else begin + rx_phase_comp_fifo_error_sr <= rx_phase_comp_fifo_error_c | + (rx_phase_comp_fifo_error_sr & {4{read_rx_status_2}}); + rx_rlv_sr <= rx_rlv_c | + (rx_rlv_sr & {4{read_rx_status_2}}); + end +end + +assign hxaui_csr_rx_status_2 = {rx_phase_comp_fifo_error_sr, rx_rlv_sr}; + +//--- rx_status_3 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_rmfifodatainserted_c <= rx_rmfifodatainserted; + rx_rmfifodatadeleted_c <= rx_rmfifodatadeleted; +end + +assign read_rx_status_3 = read && (addr == HXAUI_CSR_RX_STATUS_3_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_rmfifodatainserted_sr <= 8'h0; + rx_rmfifodatadeleted_sr <= 8'h0; + end else begin + rx_rmfifodatainserted_sr <= rx_rmfifodatainserted_c | + (rx_rmfifodatainserted_sr & {8{read_rx_status_3}}); + rx_rmfifodatadeleted_sr <= rx_rmfifodatadeleted_c | + (rx_rmfifodatadeleted_sr & {8{read_rx_status_3}}); + end +end + +assign hxaui_csr_rx_status_3 = {rx_rmfifodatainserted_sr, + rx_rmfifodatadeleted_sr}; + +//--- rx_status_4 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_rmfifoempty_c <= rx_rmfifoempty; + rx_rmfifofull_c <= rx_rmfifofull; +end + +assign read_rx_status_4 = read && (addr == HXAUI_CSR_RX_STATUS_4_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_rmfifoempty_sr <= 4'h0; + rx_rmfifofull_sr <= 4'h0; + end else begin + rx_rmfifoempty_sr <= rx_rmfifoempty_c | + (rx_rmfifoempty_sr & {4{read_rx_status_4}}); + rx_rmfifofull_sr <= rx_rmfifofull_c | + (rx_rmfifofull_sr & {4{read_rx_status_4}}); + end +end + +assign hxaui_csr_rx_status_4 = {rx_rmfifoempty_sr, rx_rmfifofull_sr}; + +//--- tx_status_0 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + tx_phase_comp_fifo_error_c <= tx_phase_comp_fifo_error; +end + +assign read_tx_status_0 = read && (addr == HXAUI_CSR_TX_STATUS_0_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + tx_phase_comp_fifo_error_sr <= 4'h0; + end else begin + tx_phase_comp_fifo_error_sr <= tx_phase_comp_fifo_error_c | + (tx_phase_comp_fifo_error_sr & {4{read_tx_status_0}}); + end +end + +assign hxaui_csr_tx_status_0 = tx_phase_comp_fifo_error_sr; + + +endmodule // hxaui_csr + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui_csr_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui_csr_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..b4856c0cf54dc1a394a545937fa14fa28b03a1ce --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/hxaui_csr_h.sv @@ -0,0 +1,155 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2011 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +/////////////////////////////////////////////////////////////////////////////// +// +// +// Description: Hard xaui control and status registers header file +// +// Authors: ishimony 12-Jun-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +/////////////////////////////////////////////////////////////////////////////// +`timescale 1 ps / 1 ps + +package hxaui_csr_h; + + +// address map +localparam [11:0]ALT_PMA_CONTROLLER_ADDR =12'h080; +localparam [11:0]ALT_PMA_CH_CONTROLLER_ADDR =12'h180; +//localparam ALT_PMA_ADDR 12'h080 +localparam [11:0]ALT_RECONFIG_ANALOG_ADDR =12'h400; +localparam [11:0]ALT_RECONFIG_OC_ADDR =12'h408; +localparam [11:0]HXAUI_CSR_ADDR =12'h200; + + +// registers address ------------------------------------------------------- +// preserve 7'h00 for indirection register (future implementation) +localparam [6:0]HXAUI_CSR_RESET_ADDR =7'h04; +localparam [6:0]HXAUI_CSR_RX_CNTRL_ADDR =7'h08; +localparam [6:0]HXAUI_CSR_TX_CNTRL_ADDR =7'h0C; +localparam [6:0]HXAUI_CSR_RX_STATUS_0_ADDR =7'h10; +localparam [6:0]HXAUI_CSR_RX_STATUS_1_ADDR =7'h14; +localparam [6:0]HXAUI_CSR_RX_STATUS_2_ADDR =7'h18; +localparam [6:0]HXAUI_CSR_RX_STATUS_3_ADDR =7'h1C; +localparam [6:0]HXAUI_CSR_RX_STATUS_4_ADDR =7'h20; +localparam [6:0]HXAUI_CSR_TX_STATUS_0_ADDR =7'h24; +localparam [6:0]HXAUI_CSR_SIMULATION_FLAG_ADDR =7'h28; + +// register bitmap --------------------------------------------------------- +localparam [32:0]HXAUI_CSR_RESET_RX_DIGITAL =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RESET_TX_DIGITAL =32'h0000_0002; + +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_0 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_1 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_2 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_3 =32'h0000_0080; + +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_0 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_1 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_2 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_3 =32'h0000_0080; + +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_SIMULATION_FLAG =32'h0000_0001; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/plain_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/plain_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..96175539fe10e847160e3bb13c7980081beae5b3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/plain_files.txt @@ -0,0 +1,34 @@ +./altera_xcvr_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./hxaui_alt4gxb.v +./hxaui.v +./siv_xcvr_xaui.sv +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/qencrypt_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/qencrypt_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..96175539fe10e847160e3bb13c7980081beae5b3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/qencrypt_files.txt @@ -0,0 +1,34 @@ +./altera_xcvr_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./hxaui_alt4gxb.v +./hxaui.v +./siv_xcvr_xaui.sv +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/siv_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/siv_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..16ad2c9b0c247529918db040a9c41da5f8639e0c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0/siv_xcvr_xaui.sv @@ -0,0 +1,539 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: hxaui static verilog for Stratix IV +// +// Authors: bauyeung 7-Sep-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +//----------------------------------------------------------------------------- + /*ALTERA_ATTRIBUTE = "-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" " */ + +module siv_xcvr_xaui #( + parameter device_family = "Stratix IV", + parameter starting_channel_number = 0, + parameter interface_type = "Hard XAUI", + parameter number_of_interfaces = 1, + parameter sys_clk_in_mhz = 50, + parameter xaui_pll_type = "CMU", + parameter reconfig_interfaces = 1, + parameter use_control_and_status_ports = 0, + parameter external_pma_ctrl_reconf = 0, + parameter tx_termination = "OCT_150_OHMS", + parameter tx_vod_selection = 1, + parameter tx_preemp_pretap = 0, + parameter tx_preemp_pretap_inv = 0, + parameter tx_preemp_tap_1 = 5, + parameter tx_preemp_tap_2 = 0, + parameter tx_preemp_tap_2_inv = 0, + parameter rx_common_mode = "0.82v", + parameter rx_termination = "OCT_150_OHMS", + parameter rx_eq_dc_gain = 0, + parameter rx_eq_ctrl = 14 +) ( + input wire pll_ref_clk, // refclk.clk + input wire xgmii_tx_clk, // xgmii_tx_clk.clk + output wire xgmii_rx_clk, // xgmii_rx_clk.clk + input wire phy_mgmt_clk, // mgmt_clk.clk + input wire phy_mgmt_clk_reset, // mgmt_clk_rst.reset_n + input wire [7:0] phy_mgmt_address, // phy_mgmt.address + output wire phy_mgmt_waitrequest, // .waitrequest + input wire phy_mgmt_read, // .read + output wire [31:0] phy_mgmt_readdata, // .readdata + input wire phy_mgmt_write, // .write + input wire [31:0] phy_mgmt_writedata, // .writedata + input wire [71:0] xgmii_tx_dc, // xgmii_tx_dc.data + output wire [71:0] xgmii_rx_dc, // xgmii_rx_dc.data + output wire [3:0] xaui_tx_serial_data, // xaui_tx_serial.export + input wire [3:0] xaui_rx_serial_data, // xaui_rx_serial.export + output wire rx_ready, // rx_pma_ready.data + output wire tx_ready, // tx_pma_ready.data + output tri0 [altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces)-1:0] reconfig_from_xcvr, + input tri0 [altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces) -1:0] reconfig_to_xcvr, + + output wire [3:0] rx_recovered_clk, // rx recovered clock from cdr +// optional control and status ports + input wire rx_analogreset, + input wire rx_digitalreset, + input wire tx_digitalreset, + output wire rx_channelaligned, + input wire [3:0] rx_invpolarity, + input wire [3:0] rx_set_locktodata, + input wire [3:0] rx_set_locktoref, + input wire [3:0] rx_seriallpbken, + input wire [3:0] tx_invpolarity, + output wire [3:0] rx_is_lockedtodata, + output wire [3:0] rx_phase_comp_fifo_error, + output wire [3:0] rx_is_lockedtoref, + output wire [3:0] rx_rlv, + output wire [3:0] rx_rmfifoempty, + output wire [3:0] rx_rmfifofull, + output wire [3:0] tx_phase_comp_fifo_error, + output wire [7:0] rx_disperr, + output wire [7:0] rx_errdetect, + output wire [7:0] rx_patterndetect, + output wire [7:0] rx_rmfifodatadeleted, + output wire [7:0] rx_rmfifodatainserted, + output wire [7:0] rx_runningdisp, + output wire [7:0] rx_syncstatus, + +// external_pma_ctrl_reconf + output wire pll_locked, + input wire cal_blk_powerdown, + input wire gxb_powerdown, + input wire pll_powerdown +); + +import altera_xcvr_functions::*; + + wire alt_pma_controller_0_cal_blk_pdn_data; + wire alt_pma_controller_0_pll_pdn0_data; + wire alt_pma_controller_0_gx_pdn_data; + wire pll_locked_data; + wire [7:0] rx_disperr_data; + wire [7:0] rx_errdetect_data; + wire [7:0] rx_patterndetect_data; + wire [3:0] rx_phase_comp_fifo_error_data; + wire [3:0] rx_rlv_data; + wire [7:0] rx_rmfifodatadeleted_data; + wire [7:0] rx_rmfifodatainserted_data; + wire [3:0] rx_rmfifoempty_data; + wire [3:0] rx_rmfifofull_data; + wire [7:0] rx_runningdisp_data; + wire [7:0] rx_syncstatus_data; + wire [3:0] tx_phase_comp_fifo_error_data; + wire [3:0] alt_pma_ch_controller_0_rx_set_locktodata_data; + wire [3:0] alt_pma_ch_controller_0_rx_set_locktoref_data; + wire [3:0] alt_pma_ch_controller_0_rx_seriallpbken_data; + wire [3:0] alt_pma_ch_controller_0_rx_analog_rst_data; + wire [3:0] rx_is_lockedtodata_data; + wire [3:0] rx_is_lockedtoref_data; + wire [3:0] alt_pma_ch_controller_0_tx_digital_rst_data; + wire [3:0] alt_pma_ch_controller_0_rx_digital_rst_data; + wire hxaui_csr_r_rx_digitalreset_data; + wire [3:0] hxaui_csr_r_rx_invpolarity_data; + wire hxaui_csr_r_tx_digitalreset_data; + wire [3:0] hxaui_csr_r_tx_invpolarity_data; + wire hxaui_csr_simulation_flag_data; + wire alt_pma_controller_0_pll_pdn; + wire [3:0] alt_pma_0_tx_out_clk_clk; + wire [3:0] alt_pma_0_rx_recovered_clk_clk; + wire [79:0] sxaui_0_tx_parallel_data_data; + wire [79:0] alt_pma_0_rx_parallel_data_data; + wire [3:0] alt_pma_0_rx_is_lockedtodata_data; + wire rx_pma_ready; + wire sxaui_rst_done; + + + wire [5:0] sc_pma_ch_controller_address; + wire sc_pma_ch_controller_read; + wire [31:0] sc_pma_ch_controller_readdata; + wire sc_pma_ch_controller_waitrequest; + wire sc_pma_ch_controller_write; + + + wire [1:0] sc_pma_controller_address; + wire sc_pma_controller_read; + wire [31:0] sc_pma_controller_readdata; + wire sc_pma_controller_waitrequest; + wire sc_pma_controller_write; + + wire [4:0] sc_csr_address; + wire sc_csr_read; + wire [31:0] sc_csr_readdata; + wire sc_csr_write; + +// assign output wires for status ports - whether or not they are used will be decided by the top level + assign rx_is_lockedtodata = rx_is_lockedtodata_data; + assign rx_phase_comp_fifo_error = rx_phase_comp_fifo_error_data; + assign rx_is_lockedtoref = rx_is_lockedtoref_data; + assign rx_rlv = rx_rlv_data; + assign rx_rmfifoempty = rx_rmfifoempty_data; + assign rx_rmfifofull = rx_rmfifofull_data; + assign tx_phase_comp_fifo_error = tx_phase_comp_fifo_error_data; + assign rx_disperr = rx_disperr_data; + assign rx_errdetect = rx_errdetect_data; + assign rx_patterndetect = rx_patterndetect_data; + assign rx_rmfifodatadeleted = rx_rmfifodatadeleted_data; + assign rx_rmfifodatainserted = rx_rmfifodatainserted_data; + assign rx_runningdisp = rx_runningdisp_data; + assign rx_syncstatus = rx_syncstatus_data; + assign rx_recovered_clk = alt_pma_0_rx_recovered_clk_clk; + + // assign output wires for external pma_ctrl - whether or not they are used will be decided by the top level + assign pll_locked = pll_locked_data; + assign rx_ready = rx_pma_ready & sxaui_rst_done; // pma and PCS must be both ready + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of pma_ch_control,pma_control,hxaui i/f + /////////////////////////////////////////////////////////////////////// + alt_xcvr_mgmt2dec_xaui mgmtdec_xaui ( + .mgmt_clk_reset (phy_mgmt_clk_reset), + .mgmt_clk (phy_mgmt_clk), + .mgmt_address (phy_mgmt_address), + .mgmt_read (phy_mgmt_read), + .mgmt_write (phy_mgmt_write), + .mgmt_readdata (phy_mgmt_readdata), + .mgmt_waitrequest (phy_mgmt_waitrequest), + + // internal interface to 'top' pma ch controller block + .sc_pma_ch_controller_readdata (sc_pma_ch_controller_readdata), + .sc_pma_ch_controller_waitrequest (sc_pma_ch_controller_waitrequest), + .sc_pma_ch_controller_address (sc_pma_ch_controller_address), //6 bit wide + .sc_pma_ch_controller_read (sc_pma_ch_controller_read), + .sc_pma_ch_controller_write (sc_pma_ch_controller_write), + + // internal interface to 'top' pma controller block + .sc_pma_controller_readdata (sc_pma_controller_readdata), + .sc_pma_controller_waitrequest (sc_pma_controller_waitrequest), + .sc_pma_controller_address (sc_pma_controller_address), //2 bit wide + .sc_pma_controller_read (sc_pma_controller_read), + .sc_pma_controller_write (sc_pma_controller_write), + + // internal interface to 'top' hxaui csr block + .sc_csr_readdata (sc_csr_readdata), + .sc_csr_waitrequest (1'b0), // PCS CSR is always ready + .sc_csr_address (sc_csr_address), //5 bit wide + .sc_csr_read (sc_csr_read), + .sc_csr_write (sc_csr_write) + ); + + /////////////////////////////////////////////////////////////////////// + // PMA Channel Controller - only for HXAUI + /////////////////////////////////////////////////////////////////////// +// add generate for hxaui/sxaui - only use ch_controller for hxaui + generate + if (interface_type == "Hard XAUI") begin + alt_pma_ch_controller_tgx #( + .number_of_channels (4), + .sync_depth (2), + .sys_clk_in_mhz (sys_clk_in_mhz) + ) alt_pma_ch_controller_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .ch_mgmt_address (sc_pma_ch_controller_address), + .ch_mgmt_read (sc_pma_ch_controller_read), + .ch_mgmt_readdata (sc_pma_ch_controller_readdata), + .ch_mgmt_write (sc_pma_ch_controller_write), + .ch_mgmt_writedata (phy_mgmt_writedata), + .ch_mgmt_waitrequest (sc_pma_ch_controller_waitrequest), + .rx_pma_ready (rx_pma_ready), + .tx_pma_ready (tx_ready), + .rx_is_lockedtodata (rx_is_lockedtodata_data), + .rx_is_lockedtoref (rx_is_lockedtoref_data), + .rx_set_locktodata (alt_pma_ch_controller_0_rx_set_locktodata_data), + .rx_set_locktoref (alt_pma_ch_controller_0_rx_set_locktoref_data), + .rx_seriallpbken (alt_pma_ch_controller_0_rx_seriallpbken_data), + .rx_analog_rst (alt_pma_ch_controller_0_rx_analog_rst_data), + .tx_digital_rst (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_digital_rst (alt_pma_ch_controller_0_rx_digital_rst_data), + .rx_rst_digital (rx_digitalreset), // optional user triggered rx_digitalreset + .tx_rst_digital (tx_digitalreset), // optional user triggered tx_digitalreset + //reconfig_to_xcvr bit configuration + //Bit | Value + //------------------------------------------- + //3 | offset_cancellation_is_busy | + //2 | dprio_load | + //1 | dprio_disable | + //0 | dprio_in | + //------------------------------------------- + // .rx_oc_busy (reconfig_to_xcvr[3]), // rx_oc_busy.data + .rx_cal_busy (reconfig_to_xcvr[3]), // rx_oc_busy.data + .tx_cal_busy (1'b0), // rx_oc_busy.data + .pll_locked (pll_locked_data) + ); + end else if (interface_type == "Soft XAUI") begin + siv_xcvr_low_latency_phy_nr #( + .device_family (device_family), + .intended_device_variant ("ANY"), + .number_of_channels (4), + .number_of_reconfig_interface (reconfig_interfaces), + .operation_mode ("DUPLEX"), + .phase_comp_fifo_mode ("NONE"), + .serialization_factor (20), + .data_rate ("3125 Mbps"), + .pll_input_frequency ("156.25 MHz"), + .number_pll_inclks (1), + .pll_inclk_select (0), + .pll_type (xaui_pll_type), + .starting_channel_number (starting_channel_number), + .bonded_mode ("TRUE"), + .sys_clk_in_mhz (sys_clk_in_mhz), + //.rx_bitslip_en (0), + //.tx_bitslip_en (0), + //.select_10g_pcs (0), + //.tx_use_coreclk (0), + //.rx_use_coreclk (0), + .gx_analog_power ("AUTO"), + .pll_lock_speed ("AUTO"), + .tx_analog_power ("AUTO"), + .tx_slew_rate ("OFF"), + .tx_termination (tx_termination), + .tx_common_mode ("0.65V"), + .rx_pll_lock_speed ("AUTO"), + .rx_common_mode (rx_common_mode), + .rx_signal_detect_threshold (2), + .rx_ppmselect (32), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv ((tx_preemp_pretap_inv==1)? "TRUE" : "FALSE"), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv ((tx_preemp_tap_2_inv==1)? "TRUE" : "FALSE"), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_use_cruclk ("FALSE"), + .loopback_mode ("SLB") + ) alt_pma_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .gx_pdn (alt_pma_controller_0_gx_pdn_data), + .ch_mgmt_address (sc_pma_ch_controller_address), + .ch_mgmt_read (sc_pma_ch_controller_read), + .ch_mgmt_readdata (sc_pma_ch_controller_readdata), + .ch_mgmt_write (sc_pma_ch_controller_write), + .ch_mgmt_writedata (phy_mgmt_writedata), + .ch_mgmt_waitrequest (sc_pma_ch_controller_waitrequest), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_pdn (alt_pma_controller_0_cal_blk_pdn_data), + .pll_ref_clk (pll_ref_clk), + .tx_out_clk (alt_pma_0_tx_out_clk_clk), + .tx_parallel_data (sxaui_0_tx_parallel_data_data), + .tx_serial_data (xaui_tx_serial_data), + .tx_pma_ready (tx_ready), + .pll_pdn (alt_pma_controller_0_pll_pdn0_data), + .pll_locked (pll_locked_data), + .rx_is_lockedtodata (alt_pma_0_rx_is_lockedtodata_data), + .rx_is_lockedtoref (), + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), + .rx_serial_data (xaui_rx_serial_data), + .rx_parallel_data (alt_pma_0_rx_parallel_data_data), + .rx_cdr_ref_clk (), + .rx_pma_ready (rx_pma_ready), + .reconfig_clk (phy_mgmt_clk), + .reconfig_to_gxb (reconfig_to_xcvr), + .reconfig_from_gxb (reconfig_from_xcvr), + .rx_rst_digital (rx_digitalreset), //optional user triggered rx_digitalreset + .tx_rst_digital (tx_digitalreset), //optional user triggered tx_digitalreset + //.tx_bitslip (28'b0), + //.tx_coreclk (4'b0), + //.rx_offset_cancellation_done (1'b1), + //.rx_bitslip (4'b0), + //.rx_parallel_data_read (4'b0), + //.rx_coreclk (4'b0), + //.aeq_to_gxb (96'b0), + //.aeq_from_gxb (), + .tx_digital_rst (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_digital_rst (alt_pma_ch_controller_0_rx_digital_rst_data) + ); + end + // don't instantiate anything if the interface type is invalid + endgenerate + + /////////////////////////////////////////////////////////////////////// + // PMA Controller + /////////////////////////////////////////////////////////////////////// + generate + if (external_pma_ctrl_reconf == 0) begin + alt_pma_controller_tgx #( + .number_of_plls (1), + .sync_depth (2), + .tx_pll_reset_hold_time (20) + ) alt_pma_controller_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .pma_mgmt_address (sc_pma_controller_address), + .pma_mgmt_read (sc_pma_controller_read), + .pma_mgmt_readdata (sc_pma_controller_readdata), + .pma_mgmt_write (sc_pma_controller_write), + .pma_mgmt_writedata (phy_mgmt_writedata), + .pma_mgmt_waitrequest (sc_pma_controller_waitrequest), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_pdn (alt_pma_controller_0_cal_blk_pdn_data), + .tx_pll_ready (), + .gx_pdn (alt_pma_controller_0_gx_pdn_data), + .pll_pdn (alt_pma_controller_0_pll_pdn0_data), + .pll_locked (pll_locked_data) + ); + end else begin + assign alt_pma_controller_0_cal_blk_pdn_data = cal_blk_powerdown; + assign alt_pma_controller_0_gx_pdn_data = gxb_powerdown; + assign alt_pma_controller_0_pll_pdn0_data = pll_powerdown; + end + endgenerate + + + /////////////////////////////////////////////////////////////////////// + // HXAUI CSR + /////////////////////////////////////////////////////////////////////// +// should be consistent across all device families and interface types +// -might need a generate statement for some of the connections that don't exist between hxaui/sxaui + hxaui_csr hxaui_csr ( + .clk (phy_mgmt_clk), + .reset (phy_mgmt_clk_reset), + .address (sc_csr_address), + .byteenable (4'b1111), // .byteenable (Tie byteenable to all 1s) + .read (sc_csr_read), + .readdata (sc_csr_readdata), + .write (sc_csr_write), + .writedata (phy_mgmt_writedata), + .rx_patterndetect (rx_patterndetect_data), + .rx_syncstatus (rx_syncstatus_data), + .rx_runningdisp (rx_runningdisp_data), + .rx_errdetect (rx_errdetect_data), + .rx_disperr (rx_disperr_data), + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error_data), + .rx_rlv (rx_rlv_data), + .rx_rmfifodatadeleted (rx_rmfifodatadeleted_data), + .rx_rmfifodatainserted (rx_rmfifodatainserted_data), + .rx_rmfifoempty (rx_rmfifoempty_data), + .rx_rmfifofull (rx_rmfifofull_data), + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error_data), + .r_rx_invpolarity (hxaui_csr_r_rx_invpolarity_data), + .r_tx_invpolarity (hxaui_csr_r_tx_invpolarity_data), + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), + .simulation_flag (hxaui_csr_simulation_flag_data) // only for soft_xaui + ); + + + /////////////////////////////////////////////////////////////////////// + // HXAUI - Interface to alt4gxb megafunction block + /////////////////////////////////////////////////////////////////////// +// need to add generate to pick between sxaui and hxaui + generate + if (interface_type == "Hard XAUI") begin + (* ALTERA_ATTRIBUTE = {"-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*fifo*flow] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[*]}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*alt_pma_ch_controller_0*rc*}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\""} *) + hxaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) hxaui_0 ( + .xgmii_tx_clk (xgmii_tx_clk), + .xgmii_tx_dc (xgmii_tx_dc), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_rx_dc (xgmii_rx_dc), + .refclk (pll_ref_clk), + .xaui_tx_serial (xaui_tx_serial_data), + .xaui_rx_serial (xaui_rx_serial_data), + .rx_analogreset (rx_analogreset), // use_cs_ports, input + .rx_digitalreset (alt_pma_ch_controller_0_rx_digital_rst_data), + .tx_digitalreset (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_channelaligned (rx_channelaligned), // use_cs_ports, output + .rx_invpolarity (rx_invpolarity), // use_cs_ports, input + .rx_set_locktodata (rx_set_locktodata), // use_cs_ports, input + .rx_set_locktoref (rx_set_locktoref), // use_cs_ports, input + .rx_seriallpbken (rx_seriallpbken), // use_cs_ports, input + .tx_invpolarity (tx_invpolarity), // use_cs_ports, input + .rx_is_lockedtodata (rx_is_lockedtodata_data), // use_cs_ports, output + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error_data), // use_cs_ports, output + .rx_is_lockedtoref (rx_is_lockedtoref_data), // use_cs_ports, output + .rx_rlv (rx_rlv_data), // use_cs_ports, output + .rx_rmfifoempty (rx_rmfifoempty_data), // use_cs_ports, output + .rx_rmfifofull (rx_rmfifofull_data), // use_cs_ports, output + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error_data), // use_cs_ports, output + .rx_disperr (rx_disperr_data), // use_cs_ports, output + .rx_errdetect (rx_errdetect_data), // use_cs_ports, output + .rx_patterndetect (rx_patterndetect_data), // use_cs_ports, output + .rx_rmfifodatadeleted (rx_rmfifodatadeleted_data), // use_cs_ports, output + .rx_rmfifodatainserted (rx_rmfifodatainserted_data), // use_cs_ports, output + .rx_runningdisp (rx_runningdisp_data), // use_cs_ports, output + .rx_syncstatus (rx_syncstatus_data), // use_cs_ports, output + .reconfig_clk (phy_mgmt_clk), + .reconfig_togxb (reconfig_to_xcvr), // external_pma_ctrl_reconf + .reconfig_fromgxb (reconfig_from_xcvr[16:0]), // external_pma_ctrl_reconf + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_powerdown (1'b0), // not used, should remove + .gxb_powerdown (1'b0), // not used, should remove + .pll_powerdown (1'b0), // not used, should remove + .pll_locked (pll_locked_data), + .r_cal_blk_powerdown (alt_pma_controller_0_cal_blk_pdn_data), + .r_gxb_powerdown (alt_pma_controller_0_gx_pdn_data), + .r_pll_powerdown (alt_pma_controller_0_pll_pdn0_data), + .r_rx_set_locktodata (alt_pma_ch_controller_0_rx_set_locktodata_data), + .r_rx_set_locktoref (alt_pma_ch_controller_0_rx_set_locktoref_data), + .r_rx_seriallpbken (alt_pma_ch_controller_0_rx_seriallpbken_data), + .r_rx_analogreset (alt_pma_ch_controller_0_rx_analog_rst_data), + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), + .r_rx_invpolarity (hxaui_csr_r_rx_invpolarity_data), + .r_tx_invpolarity (hxaui_csr_r_tx_invpolarity_data) + ); + assign sxaui_rst_done = 1'b1; // if using hard xaui, assign this to 1 to always be ready + end else if (interface_type == "Soft XAUI") begin + (* ALTERA_ATTRIBUTE = {" -name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*disp_err_delay[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*pcs_rx_syncstatus[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*channel_align_synchclk[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[1]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*tx_reset*] \" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[1]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*rx_reset*] \" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*rd_ptr_latched_del[*]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*xaui_rx*rd_ptr_wr[*]]\""} *) + sxaui #( + .starting_channel_number (starting_channel_number), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports) + ) sxaui_0 ( + .xgmii_tx_clk (xgmii_tx_clk), // xgmii_tx_clk.clk + .xgmii_tx_dc (xgmii_tx_dc), // xgmii_tx_dc.data + .xgmii_rx_clk (xgmii_rx_clk), // xgmii_rx_clk.clk + .xgmii_rx_dc (xgmii_rx_dc), // xgmii_rx_dc.data + .refclk (pll_ref_clk), // refclk.clk + .mgmt_clk (phy_mgmt_clk), // mgmt_clk.clk + .tx_out_clk (alt_pma_0_tx_out_clk_clk), // tx_out_clk.clk + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), // rx_recovered_clk.clk + .tx_parallel_data (sxaui_0_tx_parallel_data_data), // tx_parallel_data.data + .rx_parallel_data (alt_pma_0_rx_parallel_data_data), // rx_parallel_data.data + .rx_is_lockedtodata (alt_pma_0_rx_is_lockedtodata_data), // rx_is_lockedtodata.data + .rx_digitalreset (alt_pma_ch_controller_0_rx_digital_rst_data[0] ), // rx_digitalreset from cahnnel controller in alt_pma + .tx_digitalreset (alt_pma_ch_controller_0_tx_digital_rst_data[0] ), // tx_digitalreset from cahnnel controller in alt_pma + .pll_locked (pll_locked_data), // pll_locked.data + .rx_syncstatus (rx_syncstatus_data), // rx_syncstatus.data + .rx_channelaligned (rx_channelaligned), // rx_channelaligned.data + .rx_disperr (rx_disperr_data), // rx_disperr.data + .rx_errdetect (rx_errdetect_data), // rx_errdetect.data + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), // r_rx_digitalreset.data + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), // r_tx_digitalreset.data + .pma_stat_rst_done (sxaui_rst_done), // soft reset done + .simulation_flag (hxaui_csr_simulation_flag_data) // simulation_flag.data + ); + assign rx_patterndetect_data = 8'b0; // not supported by soft PCS + assign rx_runningdisp_data = 8'b0; // not supported by soft PCS + assign rx_phase_comp_fifo_error_data = 4'b0; // not supported by soft PCS + assign rx_rlv_data = 4'b0; // not supported by soft PCS + assign rx_rmfifodatadeleted_data = 8'b0; // not supported by soft PCS + assign rx_rmfifodatainserted_data = 8'b0; // not supported by soft PCS + assign rx_rmfifoempty_data = 4'b0; // not supported by soft PCS + assign rx_rmfifofull_data = 4'b0; // not supported by soft PCS + assign tx_phase_comp_fifo_error_data = 4'b0; // not supported by soft PCS + assign hxaui_csr_r_rx_invpolarity_data = 4'b0; // not supported by soft PCS + assign hxaui_csr_r_tx_invpolarity_data = 4'b0; // not supported by soft PCS + assign rx_is_lockedtodata_data = 4'b0; // not supported by soft PCS + assign rx_is_lockedtoref_data = 4'b0; // not supported by soft PCS + end + // don't instantiate anything if the interface type is invalid + endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/aldec_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/aldec_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..96175539fe10e847160e3bb13c7980081beae5b3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/aldec_files.txt @@ -0,0 +1,34 @@ +./altera_xcvr_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./hxaui_alt4gxb.v +./hxaui.v +./siv_xcvr_xaui.sv +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_dprio.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_dprio.v new file mode 100644 index 0000000000000000000000000000000000000000..c8cb550d6c519e9fddf949f789fe95544e45690e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_dprio.v @@ -0,0 +1,199 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//synopsys translate_off +`timescale 1 ps / 1 ps +//synopsys translate_on +(* ALTERA_ATTRIBUTE = {"{-to addr_shift_reg[31]} DPRIO_INTERFACE_REG=ON;{-to wr_out_data_shift_reg[31]} DPRIO_INTERFACE_REG=ON;{-to rd_out_data_shift_reg[13]} DPRIO_INTERFACE_REG=ON;{-to in_data_shift_reg[0]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[0]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[1]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[2]} DPRIO_INTERFACE_REG=ON"} *) +module alt_dprio ( + input wire [15:0] address, + output wire busy, + input wire [15:0] datain, + output wire [15:0] dataout, + input wire dpclk, + output wire dpriodisable, + output wire dprioin, + output wire dprioload, + input wire dprioout, + input wire [6:0] quad_address, + input wire rden, + input wire wren, + input wire wren_data + ); + + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [31:0] addr_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [15:0] in_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [15:0] rd_out_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [2:0] startup_cntr; + (* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) + reg [2:0] state_mc_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [31:0] wr_out_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) + reg [5:0] state_mc_counter_q; + + wire pre_amble_cmpr_agb; + wire [7:0] state_mc_decode_eq; + wire dprioin_mux_dataout; + wire busy_state; + wire idle_state; + wire rd_addr_done; + wire rd_addr_state; + wire rd_data_done; + wire rd_data_input_state; + wire rd_data_output_state; + wire rd_data_state; + wire rdinc; + wire read_state; + wire s0_to_0; + wire s0_to_1; + wire s1_to_0; + wire s1_to_1; + wire s2_to_0; + wire s2_to_1; + wire startup_done; + wire startup_idle; + wire wr_addr_done; + wire wr_addr_state; + wire wr_data_done; + wire wr_data_state; + wire write_state; + wire [2:0] startup_cntr_d; + wire [2:0] startup_cntr_ena; + + // synopsys translate_off + initial + begin + addr_shift_reg = 0; + in_data_shift_reg = 0; + rd_out_data_shift_reg = 0; + startup_cntr[2:0] = 3'b0; + state_mc_reg = 0; + wr_out_data_shift_reg = 0; + state_mc_counter_q = 6'b0; + end + // synopsys translate_on + + always @ (posedge dpclk) + begin + if (state_mc_counter_q == 6'b011111) + begin + addr_shift_reg <= {{2{{2{1'b0}}}}, {3{1'b0}}, quad_address, 2'b10, address}; + rd_out_data_shift_reg <= {{2{1'b0}}, {2{1'b1}}, {3{1'b0}}, quad_address, 2'b10}; + wr_out_data_shift_reg <= {{2{1'b0}}, 2'b01, {3{1'b0}}, quad_address, 2'b10, datain}; + end + else begin + addr_shift_reg <= {addr_shift_reg[30:0], 1'b0}; + rd_out_data_shift_reg <= {rd_out_data_shift_reg[14:0], 1'b0}; + wr_out_data_shift_reg <= {wr_out_data_shift_reg[30:0], 1'b0}; + end + + if (rd_data_input_state == 1'b1) + in_data_shift_reg <= {in_data_shift_reg[14:0], dprioout}; + end + + always @ (posedge dpclk) + begin + if (startup_cntr_ena[0] == 1'b1) + startup_cntr[0] <= startup_cntr_d[0]; + if (startup_cntr_ena[1:1] == 1'b1) + startup_cntr[1] <= startup_cntr_d[1]; + if (startup_cntr_ena[2:2] == 1'b1) + startup_cntr[2] <= startup_cntr_d[2]; + end + + assign startup_cntr_d = {(startup_cntr[2] ^ (startup_cntr[1] & startup_cntr[0])), (startup_cntr[0] ^ startup_cntr[1]), (~ startup_cntr[0])}; + assign startup_cntr_ena = {3{((((rden | wren) | rdinc) | (~ startup_idle)) & (~ startup_done))}}; + + always @ ( posedge dpclk) + begin + state_mc_reg <= {(s2_to_1 | (((~ s2_to_0) & (~ s2_to_1)) & state_mc_reg[2])), (s1_to_1 | (((~ s1_to_0) & (~ s1_to_1)) & state_mc_reg[1])), (s0_to_1 | (((~ s0_to_0) & (~ s0_to_1)) & state_mc_reg[0]))}; + end + + always @(posedge dpclk) + begin + if (write_state | read_state) + begin + if (state_mc_counter_q == 6'b111111) + state_mc_counter_q <= 6'b0; + else + state_mc_counter_q <= state_mc_counter_q[5:0] + 6'b1; + end + end + + lpm_decode #( + .lpm_decodes (8), + .lpm_width (3), + .lpm_type ("lpm_decode")) + state_mc_decode( + .data(state_mc_reg), + .eq(state_mc_decode_eq) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .aclr(1'b0), + .clken(1'b1), + .clock(1'b0), + .enable(1'b1) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + + or(dprioin_mux_dataout, ((((((wr_addr_state | rd_addr_state) & addr_shift_reg[31]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & (wr_addr_state | rd_addr_state))) | (((wr_data_state & wr_out_data_shift_reg[31]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & wr_data_state))) | (((rd_data_output_state & rd_out_data_shift_reg[15]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & rd_data_output_state))), ~(((write_state | rd_addr_state) | rd_data_output_state))); + + assign + pre_amble_cmpr_agb = (state_mc_counter_q > 6'b011111), + busy = busy_state, + busy_state = (write_state | read_state), + dataout = in_data_shift_reg, + dpriodisable = (~ (startup_cntr[2] & (startup_cntr[0] | startup_cntr[1]))), + dprioin = dprioin_mux_dataout, + dprioload = (~ ((startup_cntr[0] ^ startup_cntr[1]) & (~ startup_cntr[2]))), + idle_state = state_mc_decode_eq[0], + + rd_addr_done = (rd_addr_state & (state_mc_counter_q == 6'b111111)), + + rd_addr_state = (state_mc_decode_eq[5] & startup_done), + rd_data_done = (rd_data_state & (state_mc_counter_q == 6'b111111)), + + rd_data_input_state = ((state_mc_counter_q >= 6'b110000) & rd_data_state), + + rd_data_output_state = (state_mc_counter_q < 6'b110000 & rd_data_state), + rd_data_state = (state_mc_decode_eq[7] & startup_done), + rdinc = 1'b0, + read_state = (rd_addr_state | rd_data_state), + s0_to_0 = ((wr_data_state & wr_data_done) | (rd_data_state & rd_data_done)), + s0_to_1 = (((idle_state & (wren | ((~ wren) & ((rden | rdinc) | wren_data)))) | (wr_addr_state & wr_addr_done)) | (rd_addr_state & rd_addr_done)), + s1_to_0 = (((wr_data_state & wr_data_done) | (rd_data_state & rd_data_done)) | (idle_state & (wren | (((~ wren) & (~ wren_data)) & rden)))), + s1_to_1 = (((idle_state & ((~ wren) & (rdinc | wren_data))) | (wr_addr_state & wr_addr_done)) | (rd_addr_state & rd_addr_done)), + s2_to_0 = ((((wr_addr_state & wr_addr_done) | (wr_data_state & wr_data_done)) | (rd_data_state & rd_data_done)) | (idle_state & (wren | wren_data))), + s2_to_1 = ((idle_state & (((~ wren) & (~ wren_data)) & (rdinc | rden))) | (rd_addr_state & rd_addr_done)), + startup_done = ((startup_cntr[2] & (~ startup_cntr[0])) & startup_cntr[1]), + startup_idle = ((~ startup_cntr[0]) & (~ (startup_cntr[2] ^ startup_cntr[1]))), + + wr_addr_done = (wr_addr_state & (state_mc_counter_q == 6'b111111)), + + wr_addr_state = (state_mc_decode_eq[1] & startup_done), + + wr_data_done = (wr_data_state & (state_mc_counter_q == 6'b111111)), + + wr_data_state = (state_mc_decode_eq[3] & startup_done), + write_state = (wr_addr_state | wr_data_state); +endmodule //alt_dprio + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_mutex_acq.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_mutex_acq.v new file mode 100644 index 0000000000000000000000000000000000000000..c5d020f703904fbb94916ef75bd1fda144424ba9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_mutex_acq.v @@ -0,0 +1,221 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_mutex_acq #( + parameter mutex_wait_time = 101, + parameter mutex_wait_time_cntr_width = 7, // do we need this parameter? + parameter addr_width = 5, + parameter data_width = 32, + parameter read_mutex_addr_offset = 0 // address of the mutex word +) +( + +input wire clk, // this will be the reconfig clk +input wire reset, + +// Internal interface for the bigger controlling module, like analog reconfig +input wire [addr_width-1:0] address, // MM address +input wire [data_width-1:0] writedata, +input wire write, +input wire read, +output reg waitrequest, // can use to tell internal master to wait when auto-request+release +output wire [data_width-1:0] readdata, + + +// MM master external interface, that connects to mutex-slave, like the reconfig_basic block +output wire [addr_width-1:0] master_address, // MM address +output wire [data_width-1:0] master_writedata, +output wire master_write, +output wire master_read, +input wire master_waitrequest, // needed for a valid master interface +input wire [data_width-1:0] master_readdata, // from mutex-slave + + + +// request mutex access and should be held high as long as mutex is used +input wire mutex_req, +// output indicating whether mutex access was granted or not +output reg mutex_grant + +); + + + +localparam IDLE = 2'b00; +localparam GET_MUTEX = 2'b01; +localparam RELEASE_MUTEX = 2'b10; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [9:0] mutex_timeout_cntr; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) // should we make this variable +reg [mutex_wait_time_cntr_width-1:0] mutex_wait_cntr; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [addr_width-1:0] mutex_int_address; // MM address +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [data_width-1:0] mutex_int_writedata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_int_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_int_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_base_readwrite; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=HIGH"} *) +reg mutex_mux_ctrl; + +// synopsys translate_off +initial +begin + mutex_grant = 0; + mutex_mux_ctrl = 1'b1; + mutex_timeout_cntr = 0; + mutex_wait_cntr = 0; + mutex_int_address = 0; + mutex_int_writedata = 0; + mutex_int_read = 0; + mutex_int_write = 0; + waitrequest = 0; + do_base_readwrite = 0; + state = 0; +end +// synopsys translate_on + +always @(posedge clk or posedge reset) +begin + if (reset === 1'b1) + begin + mutex_grant <= 0; + mutex_mux_ctrl <= 1'b1; + mutex_timeout_cntr <= 0; + mutex_wait_cntr <= 0; + mutex_int_address <= 'b0; + mutex_int_writedata <= 'b0; + mutex_int_read <= 'b0; + mutex_int_write <= 'b0; + waitrequest <= 'b0; + do_base_readwrite <= 'b0; + state <= 'b0; + end + else begin + case (state) + IDLE:begin +// fix SPR:343567 - Avalon slaves are permitted to keep waitrequest asserted during idle cycles. +// The state machine will still work correctly by simply removing this condition. +// The next state is GET_MUTEX, and it will wait there if waitrequest is legitimately asserted. +// if (master_waitrequest == 1'b1) +// state <= IDLE; // wait till the waitrequest goes low +// else if (mutex_grant == 0 && + if (mutex_grant == 0 && + (mutex_req == 1'b1 || write == 1'b1 || read == 1'b1)) + begin + state <= GET_MUTEX; + mutex_int_read <= 1'b1; + mutex_int_address <= read_mutex_addr_offset; + mutex_int_write <= 1'b0; + mutex_wait_cntr <= 0; + mutex_mux_ctrl <= 1'b1; + waitrequest <= 1'b1; // pull the waitrequest high + if (write == 1'b1 || read == 1'b1) + do_base_readwrite <= 1'b1; + else + do_base_readwrite <= 1'b0; + end + else if (mutex_grant == 1'b1) + begin + do_base_readwrite <= 1'b0; + if (mutex_req == 1'b1 || write == 1'b1 || read == 1'b1) + begin + mutex_mux_ctrl <= 1'b0; // relinquish control of the mux + if (write == 1'b1 || read == 1'b1) + waitrequest <= master_waitrequest; + else + waitrequest <= 1'b0; + if (read == 1'b1 || write == 1'b1) + mutex_timeout_cntr <= 0; + else if (mutex_timeout_cntr == 10'd1000) + state <= RELEASE_MUTEX; + else + mutex_timeout_cntr <= mutex_timeout_cntr[9:0] + 10'b1; + end + else + state <= RELEASE_MUTEX; + end + else + begin + mutex_mux_ctrl <= 1'b1; // choke the inputs going to basic as mux is not available + mutex_int_read <= 1'b0; + mutex_int_write <= 1'b0; + waitrequest <= 1'b0; + end + end + RELEASE_MUTEX: begin + // release the mutex + mutex_mux_ctrl <= 1'b1; + mutex_int_read <= 1'b0; + mutex_int_write <= 1'b1; + mutex_int_address <= read_mutex_addr_offset; + mutex_int_writedata <= 16'b0; + mutex_grant <= 1'b0; + state <= IDLE; + mutex_timeout_cntr <= 0; + waitrequest <= 1'b0; + end + GET_MUTEX: begin + if (master_waitrequest == 1'b1) // wait in this state itself + begin + state <= GET_MUTEX; + end + else if (mutex_int_read == 1'b1 && master_readdata[0] == 1'b0) // check mutex bit + begin + mutex_grant <= 1; // mutex is available + state <= IDLE; + mutex_int_read <= 1'b0; // reset the read and mux ctrl bits + mutex_mux_ctrl <= 0; + if (do_base_readwrite == 1'b1) + waitrequest <= master_waitrequest; + else + waitrequest <= 1'b0; + end + else if (mutex_wait_cntr != mutex_wait_time) + begin // continue waiting till the wait time is hit + mutex_wait_cntr <= mutex_wait_cntr[mutex_wait_time_cntr_width-1:0] + 1; + mutex_int_read <= 1'b0; // pull down the read + state <= GET_MUTEX; + end + else // try and read again + begin + mutex_wait_cntr <= 0; // reset the wait cntr and try and read again + mutex_int_read <= 1'b1; + state <= GET_MUTEX; + end + end + default: begin + state <= IDLE; + end + endcase + end + +end + + +assign master_address = mutex_mux_ctrl ? mutex_int_address : address; +assign master_writedata = mutex_mux_ctrl ? mutex_int_writedata : writedata; +assign master_write = mutex_mux_ctrl ? mutex_int_write : write; +assign master_read = mutex_mux_ctrl ? mutex_int_read : read; +assign readdata = master_readdata; // pipe the readdata out + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_pma_ch_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_pma_ch_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..6347c1ae0190510fae1e3cec2d4c58e3c11c0d34 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_pma_ch_controller_tgx.v @@ -0,0 +1,164 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1ns/10ps +module alt_pma_ch_controller_tgx #( + parameter + number_of_channels = 4, + sys_clk_in_mhz = 50, // used to calculate internal delays in terms of system clock cycles + manual_reset = "false", + sync_depth =2 + ) + ( + //controller standard interface to user + input tri0 rst, // controller logic reset +// input wire powerdown_all, // save power + input tri0 rx_rst_digital, // tx_digitalreset + input tri0 tx_rst_digital, // rx_digitalreset + output wire tx_pma_ready, // pma tx pll_locked + output wire rx_pma_ready, // pma rx pll is locked to data + input tri0 tx_cal_busy, // tx calibration in progress + input tri0 rx_cal_busy, // rx calibration in progress + input tri1 pll_locked, // tx pll_locked + + // user data (avalon-MM formatted) + input wire clk, + input tri0 [5:0] ch_mgmt_address, + input tri1 ch_mgmt_read, + output wire [31:0] ch_mgmt_readdata, + input tri0 ch_mgmt_write, + input tri0 [31:0] ch_mgmt_writedata, + output wire ch_mgmt_waitrequest, + + input tri1 [number_of_channels-1:0] rx_is_lockedtodata, + input tri1 [number_of_channels-1:0] rx_is_lockedtoref, + + output wire [number_of_channels-1:0] rx_set_locktodata, + output wire [number_of_channels-1:0] rx_set_locktoref, + output wire [number_of_channels-1:0] rx_seriallpbken, + + output wire [number_of_channels-1:0] rx_analog_rst, + output wire [number_of_channels-1:0] tx_digital_rst, + output wire [number_of_channels-1:0] rx_digital_rst, + output wire pll_powerdown +); + // reset controller outputs + wire reset_controller_tx_ready; + wire reset_controller_rx_ready; + wire reset_controller_pll_powerdown; + wire reset_controller_tx_digitalreset; + wire reset_controller_rx_analogreset; + wire reset_controller_rx_digitalreset; + wire reset_controller_manual_mode; + + // Control & status register map (CSR) outputs + wire csr_reset_tx_digital; // to reset controller + wire csr_reset_rx_digital; // to reset controller + wire csr_reset_all; // to reset controller + wire csr_pll_powerdown; + wire [number_of_channels - 1 : 0] csr_tx_digitalreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_analogreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_digitalreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_phy_loopback_serial; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_set_locktoref; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_set_locktodata; // to xcvr instance + + + /////////////////////////////////////////////////////////////////// + + // Implement waitrequest to match previous implementation + altera_wait_generate wait_gen( + .rst(rst), + .clk(clk), + .launch_signal(ch_mgmt_read), + .wait_req(ch_mgmt_waitrequest) + ); + // Instantiate memory map logic for given number of lanes & PLL's + // Includes all except PCS + alt_xcvr_csr_common #( + .lanes(number_of_channels), + .plls(1) + ) csr ( + .clk(clk), + .reset(rst), + .address(8'h40 | ch_mgmt_address), // map word 0 of this module to word 64 of alt_xcvr_csr_common + .read(ch_mgmt_read), + .write(ch_mgmt_write), + .writedata(ch_mgmt_writedata), + .pll_locked(pll_locked), + .rx_is_lockedtoref(rx_is_lockedtoref), + .rx_is_lockedtodata(rx_is_lockedtodata), + .rx_signaldetect({number_of_channels{1'b0}}), + .reset_controller_tx_ready(reset_controller_tx_ready), + .reset_controller_rx_ready(reset_controller_rx_ready), + .reset_controller_pll_powerdown(reset_controller_pll_powerdown), + .reset_controller_tx_digitalreset(reset_controller_tx_digitalreset), + .reset_controller_rx_analogreset(reset_controller_rx_analogreset), + .reset_controller_rx_digitalreset(reset_controller_rx_digitalreset), + .readdata(ch_mgmt_readdata), + .csr_reset_tx_digital(csr_reset_tx_digital), + .csr_reset_rx_digital(csr_reset_rx_digital), + .csr_reset_all(csr_reset_all), + .csr_pll_powerdown(csr_pll_powerdown), + .csr_tx_digitalreset(csr_tx_digitalreset), + .csr_rx_analogreset(csr_rx_analogreset), + .csr_rx_digitalreset(csr_rx_digitalreset), + .csr_phy_loopback_serial(csr_phy_loopback_serial), + .csr_rx_set_locktoref(csr_rx_set_locktoref), + .csr_rx_set_locktodata(csr_rx_set_locktodata) + ); + + + // Instantiate reset controller for PMA channels + alt_reset_ctrl_tgx_cdrauto #( + .sys_clk_in_mhz(sys_clk_in_mhz) + ) rc ( + .clock(clk), + .reset_all(csr_reset_all), + .reset_tx_digital(csr_reset_tx_digital | tx_rst_digital), + .reset_rx_digital(csr_reset_rx_digital | rx_rst_digital), + .powerdown_all(rst), + .tx_ready(reset_controller_tx_ready), + .rx_ready(reset_controller_rx_ready), + .pll_powerdown (reset_controller_pll_powerdown), + .tx_digitalreset(reset_controller_tx_digitalreset), + .rx_analogreset(reset_controller_rx_analogreset), + .rx_digitalreset(reset_controller_rx_digitalreset), + .gxb_powerdown(), + .pll_is_locked(pll_locked), + .tx_cal_busy(tx_cal_busy), + .rx_cal_busy(rx_cal_busy), + .rx_is_lockedtodata(&rx_is_lockedtodata), + .manual_mode(reset_controller_manual_mode) + ); + + // Assign outputs from reset controller + assign tx_pma_ready = reset_controller_tx_ready; + assign rx_pma_ready = reset_controller_rx_ready; + + // Assign outputs from CSR to channel control bits + assign rx_set_locktodata = csr_rx_set_locktodata; + assign rx_set_locktoref = csr_rx_set_locktoref; + assign rx_seriallpbken = csr_phy_loopback_serial; + + assign rx_analog_rst = csr_rx_analogreset; + assign tx_digital_rst = csr_tx_digitalreset; + assign rx_digital_rst = csr_rx_digitalreset; + + assign reset_controller_manual_mode = (manual_reset == "true") ? 1'b1 + : (csr_rx_set_locktoref || csr_rx_set_locktodata); + + assign pll_powerdown = csr_pll_powerdown; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_pma_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_pma_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..614ad6278ca4a3cbbfa013d414c4e6c8410c156e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_pma_controller_tgx.v @@ -0,0 +1,198 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1ns/10ps +module alt_pma_controller_tgx #( + parameter number_of_plls = 1,// One controller only control the possible merged pll. If more pll is needed, user can use multiplier controller for none share plls. + parameter tx_pll_reset_hold_time = 0,// ignored - now calculated according to system clock + parameter sync_depth = 2, + parameter sys_clk_in_mhz = 50 // needed for 1us and 4us delay timers +) +( + input rst, // controller logic reset + output tx_pll_ready, + + // user data (avalon-MM formatted) + input wire clk, + input wire [1:0] pma_mgmt_address, + input wire pma_mgmt_read, + output reg [31:0] pma_mgmt_readdata, + input wire pma_mgmt_write, + input wire [31:0] pma_mgmt_writedata, + output wire pma_mgmt_waitrequest, + + // user data (avalon-clock formatted) + input wire cal_blk_clk, + + // user data: pll control (avalon-ST formatted) + output wire cal_blk_pdn,//sync with cal_blk_clk + output wire gx_pdn,//sync with clk + output wire [number_of_plls-1:0] pll_pdn, //sync with clk + input wire [number_of_plls-1:0] pll_locked // +); + +localparam clk_in_mhz = +`ifdef QUARTUS__SIMGEN + 2; // simulation-only value +`elsif ALTERA_RESERVED_QIS + sys_clk_in_mhz; // use real counter lengths for normal Quartus synthesis +`else + 2; // simulation-only value +`endif +localparam t_pll_powerdown = clk_in_mhz; // 1 us minimum +localparam t_ltd_auto = clk_in_mhz*4; // 4 us minimum + +wire [number_of_plls-1:0] pll_locked_sync; +reg cal_blk_pdn_reg; +reg read_delay; +reg gx_pdn_reg; +reg pll_pdn_resetall_avmm; +wire pll_pdn_int; +reg pll_pdn_reg; + +wire gx_pdn_int; +wire gx_pdn_done; + +altera_wait_generate wait_gen( + .rst(rst), +.clk(clk), +.launch_signal(pma_mgmt_read), +.wait_req(pma_mgmt_waitrequest) + ); + +alt_reset_ctrl_lego +#( +.reset_hold_cycles (t_pll_powerdown) // reset pulse length in clock cycles +) pll_pdn_rst +( +.clock(clk), +.start(1'b1 ), +.reset(pll_pdn_int), +.rdone(pll_locked), // reset done signal +.aclr(rst), +.sdone(tx_pll_ready) // sequence done for this lego +); + +alt_reset_ctrl_lego +#( +.reset_hold_cycles (2) // reset pulse length in clock cycles +) gx_pdn_rst +( +.clock(clk), +.start(1'b1 ), +.reset(gx_pdn_int), +.rdone(1'b1), // reset done signal +.aclr(rst), +.sdone(gx_pdn_done) // sequence done for this lego +); + +assign pll_pdn= pll_pdn_reg | {number_of_plls{pll_pdn_int}} ; + +initial +begin + pll_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + pll_pdn_reg <= 1'b0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b00) begin + pll_pdn_reg <= pma_mgmt_writedata[number_of_plls -1 :0]; + end +end + +initial +begin + pma_mgmt_readdata <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + pma_mgmt_readdata <= 0; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b00) begin + pma_mgmt_readdata[number_of_plls -1 :0] <= pll_pdn; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b01) begin + pma_mgmt_readdata[0] <= cal_blk_pdn_reg; + pma_mgmt_readdata[1] <= gx_pdn; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b10) begin + pma_mgmt_readdata[number_of_plls -1 :0] <= pll_locked_sync; + end + else + pma_mgmt_readdata <= 0; +end + +generate +genvar i; +for (i=0; i<number_of_plls; i=i+1) +begin: lock_sync + altera_std_synchronizer + #( + .depth (sync_depth) // reset pulse length in clock cycles + )stdsync + ( + .clk(clk), + .din(pll_locked[i]), + .dout(pll_locked_sync[i]), + .reset_n((~ rst)) + ); +end +endgenerate + +initial +begin + cal_blk_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + cal_blk_pdn_reg <= 0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b01) begin + cal_blk_pdn_reg <= pma_mgmt_writedata[0]; + end +end +altera_std_synchronizer +#( + .depth (sync_depth) // reset pulse length in clock cycles +)stdsync +( + .clk(cal_blk_clk), + .din(cal_blk_pdn_reg), + .dout(cal_blk_pdn), + .reset_n((~ rst)) +); + +assign gx_pdn = gx_pdn_reg | gx_pdn_int; +initial +begin + gx_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + gx_pdn_reg <= 0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b01) begin + gx_pdn_reg <= pma_mgmt_writedata[1]; + end + else begin + gx_pdn_reg <= gx_pdn_reg; + end + +end +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_reset_ctrl_lego.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_reset_ctrl_lego.sv new file mode 100644 index 0000000000000000000000000000000000000000..38d99a0658aa5380a62046e68a3b66cb9158881b --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_reset_ctrl_lego.sv @@ -0,0 +1,265 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Reset controller building block. +// +// Handles a single reset stage. Can be daisy-chained with other blocks for purely sequential resets. +// Options include reset pulse length in clock cycles, and a counter for sdone stability checking. +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module alt_reset_ctrl_lego +#( + parameter reset_hold_til_rdone = 0, // 1 means reset stays high until rdone arrives + // 0 means fixed pulse length, defined by reset_hold_cycles + parameter reset_hold_cycles = 1, // reset pulse length in clock cycles + parameter sdone_delay_cycles = 0, // optional delay from rdone received til sdone sent to next block + parameter rdone_is_edge_sensitive = 0 // default is level sensitive rdone +) +( + // clocks and PLLs + input wire clock, + input wire start, + input tri0 aclr, // active-high asynchronous reset + output wire reset, + input tri1 rdone, // reset done signal + output reg sdone // sequence done for this lego +); + localparam max_precision = 32; // VCS requires this declaration outside the function + function integer ceil_log2; + input [max_precision-1:0] input_num; + integer i; + reg [max_precision-1:0] try_result; + begin + i = 0; + try_result = 1; + while ((try_result << i) < input_num && i < max_precision) + i = i + 1; + ceil_log2 = i; + end + endfunction + + // How many bits are needed for 'reset_hold_cycles' counter? + localparam rhc_bits = ceil_log2(reset_hold_cycles); + localparam rhc_load_constant = (1 << rhc_bits) | (reset_hold_cycles-1); + // How many bits are needed for 'sdone_delay_cycles' counter? + localparam sdc_bits = ceil_log2(sdone_delay_cycles); + localparam sdc_load_constant = (1 << sdc_bits) + | ((rdone_is_edge_sensitive == 1 && sdone_delay_cycles > 1) ? sdone_delay_cycles-2 : sdone_delay_cycles-1); + localparam sdone_stable_cycles = (sdone_delay_cycles > 1 ? sdone_delay_cycles+1 : 0); + + wire spulse; // synchronous detection of 'start' 0-to-1 transition + wire rhold; + wire timed_reset_in_progress; + wire rinit_next; // combinatorial input to rinit DFF + wire rdonei; // internal selector between rdone and rdsave (rdone_is_edge_sensitive==1) + wire rdpulse; // synchronous detection of 'rdone' 0-to-1 transition, when rdone_is_edge_sensitive==1 + + reg zstart = 0; // delayed value of 'start' input, used for detection of 0-to-1 transition + reg rinit = 0; // state bit that indicates sequence is in progress + + initial begin + sdone = 0; // 1 indicates sequence is done + end + + + // 'start' input, detect 0-to-1 transition that triggers sequence + assign spulse = start & ~zstart; + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + zstart <= 0; + else + zstart <= start; + + // rinit state bit, triggered by spulse, waits while rhold = 1 + assign rinit_next = spulse | (rinit & (rhold | ~rdonei | rdpulse)) | timed_reset_in_progress; + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + rinit <= 0; + else + rinit <= rinit_next; + + // optional internal 'rdone' generation logic, if rdone_is_edge_sensitive==1 + generate + if (rdone_is_edge_sensitive == 0) begin + assign rdpulse = 0; + assign rdonei = rdone; + end + else begin + // instantiate synchronous edge-detection logic for rdone + reg zrdone = 0; // for edge-sensitive rdone, detect 0-to-1 transition synchronously + reg rdsave = 0; // for edge-sensitive rdone, use this as internal rdone + always @(posedge clock or posedge aclr) begin + if (aclr == 1'b1) begin + zrdone <= 0; + rdsave <= 0; + end + else begin + zrdone <= rdone; // previous value of rdone for synchronous edge detection + rdsave <= ~spulse & (rdpulse | rdsave); + end + end + assign rdpulse = rdone & ~zrdone; + assign rdonei = rdsave; + end + endgenerate + + // rhold depends on sdone_delay_cycles and rdone_is_edge_sensitive + generate + if (sdone_delay_cycles == 0 || (sdone_delay_cycles == 1 && rdone_is_edge_sensitive == 1)) + assign rhold = ~rdonei; // sdone_delay_cycles=0 + else begin + // declare only when needed to avoid Quartus synthesis warnings + reg [sdc_bits:0] rhold_reg = 0; // for sdone_delay_cycles > 0 + if (sdone_delay_cycles == 1) begin + always @(posedge clock or posedge aclr) begin + if (aclr == 1'b1) + rhold_reg <= 0; + else + rhold_reg <= ~(rinit & rdonei); + end + assign rhold = rhold_reg[0]; // sdone_delay_cycles=1 + end + else begin + // need to count cycles to make sure rdone is stable + always @(posedge clock or posedge aclr) + begin + if (aclr == 1'b1) + rhold_reg <= 0; + else if ((rinit & rdonei & ~rdpulse) == 0) + // keep load value until rinit & rdone both high, and no new rdone pulses + rhold_reg <= sdc_load_constant[sdc_bits:0]; + else + rhold_reg <= rhold_reg - 1'b1; + end + assign rhold = rhold_reg[sdc_bits]; // sdone_delay_cycles > 1 + end + end + endgenerate + + // sdone state bit indicates that reset sequence completed. Clear again on 'start' + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + sdone <= 0; + else + sdone <= ~spulse & (sdone | (rinit & ~rinit_next)); + + // reset pulse generation logic depends on 2 parameters + generate + if (reset_hold_til_rdone == 1) begin + assign reset = rinit; + assign timed_reset_in_progress = 0; + end + else if (reset_hold_cycles < 1) begin // 0 is legal, but catch negative (illegal) values too + assign reset = spulse; + assign timed_reset_in_progress = 0; + end + else begin + // declare only when needed to avoid Quartus synthesis warnings + reg [rhc_bits:0] zspulse = 0; // bits for reset pulse if fixed length + assign timed_reset_in_progress = zspulse[rhc_bits]; + assign reset = zspulse[rhc_bits]; + + if (reset_hold_cycles == 1) + // a single-cycle reset pulse needs 1 register + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + zspulse <= 0; + else + zspulse <= spulse; + else begin + // multi-cycle reset pulse needs a counter + always @(posedge clock or posedge aclr) + begin + if (aclr == 1'b1) + zspulse <= 0; + else if (spulse == 1) + zspulse <= rhc_load_constant[rhc_bits:0]; + else if (zspulse[rhc_bits] == 1) + zspulse <= zspulse - 1'b1; + end + end + end + endgenerate + +// generate +// case (reset_hold_til_rdone) +// 0 : m1 U1 (a, b, c); +// 2 : m2 U1 (a, b, c); +// default : m3 U1 (a, b, c); +// endcase +// endgenerate + + // general assertions + //synopsys translate_off + // vlog/vcs/ncverilog: +define+ALTERA_XCVR_ASSERTIONS +`ifdef ALTERA_XCVR_ASSERTIONS + // when rdone is edge sensitive, last rdone +ve edge triggers sdone +ve edge, + // 'sdone_delay_cycles' later. "##1 1" is an always-true cycle to match $rise(sdone) + sequence rdone_last_edge; + @(posedge clock) $rose(rdone) ##1 !$rose(rdone) [*sdone_delay_cycles] ##1 1; + endsequence + + // when rdone is level sensitive, stable rdone for 'sdone_delay_cycles' consecutive cycles + // triggers sdone +ve edge. "##1 1" is an always-true cycle to match $rise(sdone) + sequence rdone_stable_level; + @(posedge clock) rdone [*(sdone_delay_cycles+1)] ##1 1; + endsequence + +// Most assertions aren't valid when 'aclr' is active +//`define assert_awake(arg) assert property (disable iff (aclr) arg ) + always @(aclr) + if (aclr) $assertkill; + else $asserton; + + generate + always @(posedge clock) begin + // A rising edge on start will result in reset high within 1 clock cycle + assert property ($rose(start & ~aclr) |-> ##[0:1] reset); + // A rising edge on reset will result in sdone low within 1 clock cycle + assert property ($rose(reset) |-> ##[0:1] !sdone); + + // assertions for optional behavior: reset pulse length options + if (reset_hold_til_rdone == 0 && reset_hold_cycles > 1) + // Verify fixed-length reset pulse option + assert property ($rose(reset) |-> reset [*reset_hold_cycles] ##1 !reset) + else $error("Reset pulse length should be %d", reset_hold_cycles); + if (reset_hold_til_rdone == 0 && reset_hold_cycles == 1) + // Verify fixed 1-length reset pulse option + assert property ($rose(reset) |=> !reset); + if (reset_hold_til_rdone == 0 && reset_hold_cycles == 0) + // Verify minimal-length reset pulse option, which mirrors 'start' edge detection + assert property ($rose(start & ~aclr) |-> reset ##1 !reset); + if (reset_hold_til_rdone == 1) begin + // with hold-til-rdone, reset should not deassert until after rdone asserts, then deassert immediately + assert property ($rose(reset) && !rdone |=> $stable(reset) [*0:$] ##1 (reset && rdone) ##1 !reset); + assert property ($rose(reset) && rdone ##1 rdone [*sdone_delay_cycles] |=> !reset); // rdone was already high + //assert property ($rose(reset) && !rdone |-> ##[0:$] rdone ##1 !reset); + end + + // assertions for optional behavior: sdone delay options and rdone edge sensitive option + if (rdone_is_edge_sensitive == 1) + // rdone edge-sensitive option only has an effect when sdone_delay_cycles > 0 + assert property ($rose(sdone) |-> rdone_last_edge.ended); + if (rdone_is_edge_sensitive == 0) + // rdone defaults to level-sensitive + assert property ($rose(sdone) |-> (rdone_stable_level.ended or $past($fell(reset),1))); + end + endgenerate +`endif // ALTERA_XCVR_ASSERTIONS + //synopsys translate_on +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_reset_ctrl_tgx_cdrauto.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_reset_ctrl_tgx_cdrauto.sv new file mode 100644 index 0000000000000000000000000000000000000000..d785de6ee8eb397584ea1833c7e8a7ddf5c3b045 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_reset_ctrl_tgx_cdrauto.sv @@ -0,0 +1,201 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Reset controller for Stratix IV transceivers with RX CDR in auto-lock mode. +// +// Uses alt_reset_ctrl_lego to handle each reset stage, with 3 required for the overall sequence. +// Parameter defaults for pll-powerdown and lock-to-data-auto timers assume 50 MHz system clock +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +(* altera_attribute = "-name MERGE_TX_PLL_DRIVEN_BY_REGISTERS_WITH_SAME_CLEAR ON -to \"alt_reset_ctrl_lego:lego_pll_powerdown|zspulse\" " *) +module alt_reset_ctrl_tgx_cdrauto +#( + parameter sys_clk_in_mhz = 50 // needed for 1us and 4us delay timers +) +( + // User inputs and outputs + input wire clock, + input wire reset_all, + input tri0 reset_tx_digital, + input tri0 reset_rx_digital, + input wire powerdown_all, + output wire tx_ready, + output wire rx_ready, + + // I/O to Stratix IV transceiver control & status + output wire pll_powerdown, // reset TX PLL + output wire tx_digitalreset, // reset TX PCS + output wire rx_analogreset, // reset RX PMA + output wire rx_digitalreset, // reset RX PCS + output wire gxb_powerdown, // powerdown whole quad + input wire pll_is_locked, // TX PLL is locked status + input tri0 tx_cal_busy, // TX channel calibration status + input tri0 rx_cal_busy, // RX channel calibration status + input tri1 rx_is_lockedtodata, // RX CDR PLL is locked to data status + input tri0 manual_mode // 0=Automatically reset RX after loss of rx_is_lockedtodata +); + +localparam clk_in_mhz = +`ifdef QUARTUS__SIMGEN + 2; // simulation-only value +`elsif ALTERA_RESERVED_QIS + sys_clk_in_mhz; // use real counter lengths for normal Quartus synthesis +`else + 2; // simulation-only value +`endif +localparam t_pll_powerdown = clk_in_mhz; // 1 us minimum +localparam t_ltd_auto = clk_in_mhz*4; // 4 us minimum + + +wire pll_is_locked_r; // pll_is_locked resynchronized +wire tx_cal_busy_r; // tx_cal_busy resynchronized +wire rx_cal_busy_r; // rx_cal_busy resynchronized +wire rx_is_lockedtodata_r; // rx_is_lockedtodata resynchronized + +wire sdone_lego_pll_powerdown; // 'sequence done' output of pll_powerdown lego +wire sdone_lego_tx_digitalreset;// 'sequence done' output of tx_digitalreset lego +wire sdone_lego_rx_digitalreset;// 'sequence done' output of rx_digitalreset lego +wire sdone_lego_rx_analogreset; // 'sequence done' output of rx_analogreset lego +wire wire_tx_digital_only_reset;// reset output for TX digital-only +wire wire_rx_digital_only_reset;// reset output for RX digital-only +wire wire_tx_digitalreset; // TX digital full-reset source +wire wire_rx_digitalreset; // RX digital full-reset source +wire wire_rx_digital_retrigger; // Trigger new RX digital sequence after main sequence completes, and lose lock-to-data + +// Resynchronize input signals +alt_xcvr_resync #( + .WIDTH(2) + ) alt_xcvr_resync_inst_0 ( + .clk (clock), + .reset (1'b0), + .d ({pll_is_locked ,rx_is_lockedtodata }), + .q ({pll_is_locked_r,rx_is_lockedtodata_r}) +); + +alt_xcvr_resync #( + .WIDTH(2), + .INIT_VALUE(1) + ) alt_xcvr_resync_inst_1 ( + .clk (clock), + .reset (1'b0), + .d ({rx_cal_busy,tx_cal_busy}), + .q ({rx_cal_busy_r,tx_cal_busy_r}) +); + +// First reset ctrl sequencer lego is for pll_powerdown generation +alt_reset_ctrl_lego #( + .reset_hold_cycles(t_pll_powerdown) // hold pll_powerdown for 1us + ) lego_pll_powerdown ( .clock(clock), + .start(reset_all), // Do not use resynched version of reset_all here + .aclr(powerdown_all), + .reset(pll_powerdown), + .rdone(pll_is_locked_r), + .sdone(sdone_lego_pll_powerdown)); + +// next reset ctrl sequencer lego is for tx_digitalreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1) // hold until rdone arrives for this test case + ) lego_tx_digitalreset ( .clock(clock), + .start(reset_all), + .aclr(powerdown_all), + .reset(wire_tx_digitalreset), + .rdone(sdone_lego_pll_powerdown & ~tx_cal_busy_r), + .sdone(sdone_lego_tx_digitalreset)); + +// next reset ctrl sequencer lego is for rx_analogreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1), // hold until rdone arrives for this test case + .sdone_delay_cycles(2) // hold rx_analogreset 2 parallel_clock cycles after offset cancellation done + ) lego_rx_analogreset ( .clock(clock), + .start(reset_all), + .aclr(powerdown_all), + .reset(rx_analogreset), + .rdone(sdone_lego_tx_digitalreset & ~rx_cal_busy_r), + .sdone(sdone_lego_rx_analogreset)); + +// last reset ctrl sequencer lego is for rx_digitalreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1), // hold until rdone arrives for this test case + .sdone_delay_cycles(t_ltd_auto) // hold rx_digitalreset for 4us + ) lego_rx_digitalreset ( .clock(clock), + .start(~manual_mode & reset_all | wire_rx_digital_retrigger), + .aclr(powerdown_all), + .reset(wire_rx_digitalreset), + .rdone(sdone_lego_rx_analogreset & rx_is_lockedtodata_r), + .sdone(sdone_lego_rx_digitalreset)); + +//////////// digital-only reset //////////// +// separate reset ctrl sequencer lego for digital-only reset generation +alt_reset_ctrl_lego #( + .reset_hold_cycles(3) // hold 2 parallel clock cycles (assumes sysclk slower or same freq as parallel clock) + ) lego_tx_digitalonly ( .clock(clock), + .start(reset_tx_digital | reset_all), + .aclr(powerdown_all), + .reset(wire_tx_digital_only_reset), + .rdone(sdone_lego_tx_digitalreset), + .sdone(tx_ready)); // TX status indicator for user + +alt_reset_ctrl_lego #( + .reset_hold_cycles(3) // hold 2 parallel clock cycles (assumes sysclk slower or same freq as parallel clock) + ) lego_rx_digitalonly ( .clock(clock), + .start(reset_rx_digital | (reset_all & ~manual_mode) | wire_rx_digital_retrigger), + .aclr(powerdown_all), + .reset(wire_rx_digital_only_reset), + .rdone(sdone_lego_rx_digitalreset), + .sdone(rx_ready)); // RX status indicator for user + +// digital resets have 2 possible sources: full-reset or digital-only +assign tx_digitalreset = wire_tx_digitalreset | wire_tx_digital_only_reset; +assign rx_digitalreset = wire_rx_digitalreset | wire_rx_digital_only_reset; + +// re-trigger RX digital sequence when main sequence is complete (indicated by sdone_lego_rx_digitalreset) +// not manual mode, and lose lock-to-data +assign wire_rx_digital_retrigger = ~manual_mode & sdone_lego_rx_digitalreset & ~rx_is_lockedtodata_r; + +// Quad power-down +assign gxb_powerdown = powerdown_all; + + +//////////////////////// +// general assertions +//synopsys translate_off +// vlog/vcs/ncverilog: +define+ALTERA_XCVR_ASSERTIONS +`ifdef ALTERA_XCVR_ASSERTIONS +always @(posedge clock) begin + // reset_all starts by triggering CMU PLL powerdown + assert property ($rose(reset_all) |=> $rose(pll_powerdown)); + // While CMU PLL powerdown is asserted, all other resets must be asserted + assert property (pll_powerdown |-> (tx_digitalreset & rx_analogreset & rx_digitalreset)); + // While rx_analogreset is asserted, rx_digitalreset must be asserted + assert property (rx_analogreset |-> rx_digitalreset); + // When pll_is_locked is asserted, tx_digitalreset must be deasserted + assert property ($rose(pll_is_locked_r) |-> ##[0:2] !tx_digitalreset); + // During a reset, rx_digitalreset should remain high for t_ltd_auto after rx_is_lockedtodata rising edge + assert property ($rose(rx_is_lockedtodata_r) & ~manual_mode|-> rx_digitalreset [*(t_ltd_auto+1)] ##1 !rx_digitalreset); + assert property ($rose(rx_is_lockedtodata_r) & manual_mode|->!rx_digitalreset); + // reset_tx_digital results in only a brief pulse on tx_digitalreset + assert property ($rose(reset_tx_digital) |=> tx_digitalreset [*3] ); + assert property ($rose(reset_tx_digital) & tx_ready |=> tx_digitalreset [*3] ##1 ~tx_digitalreset ##1 $rose(tx_ready) ); + // reset_rx_digital results in only a brief pulse on rx_digitalreset + assert property ($rose(reset_rx_digital) |=> rx_digitalreset [*3] ); + assert property ($rose(reset_rx_digital) & rx_ready |=> rx_digitalreset [*3] ##1 ~rx_digitalreset ##1 $rose(rx_ready) ); +end +`endif +//synopsys translate_on + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_arbiter.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_arbiter.sv new file mode 100644 index 0000000000000000000000000000000000000000..5b0e914d4dea0be5e022a29a6df73240a4f4f29e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_arbiter.sv @@ -0,0 +1,64 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Clocked priority encoder with state +// +// On each clock cycle, updates state to show which request is granted. +// Most recent grant holder is always the highest priority. +// If current grant holder is not making a request, while others are, +// then new grant holder is always the requester with lowest bit number. +// If no requests, current grant holder retains grant state + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_arbiter #( + parameter width = 2 +) ( + input wire clock, + input wire [width-1:0] req, // req[n] requests for this cycle + output reg [width-1:0] grant // grant[n] means requester n is grantee in this cycle +); + + wire idle; // idle when no requests + wire [width-1:0] keep; // keep[n] means requester n is requesting, and already has the grant + // Note: current grantee is always highest priority for next grant + wire [width-1:0] take; // take[n] means requester n is requesting, and there are no higher-priority requests + + assign keep = req & grant; // current grantee is always highest priority for next grant + assign idle = ~| req; // idle when no requests + + initial begin + grant = 0; + end + + // grant next state depends on current grant and take priority + always @(posedge clock) begin + grant <= keep // if current grantee is requesting, gets to keep grant + | ({width{idle}} & grant) // if no requests, grant state remains unchanged + | take; // take applies only if current grantee is not requesting + end + + // 'take' bus encodes priority. Request with lowest bit number wins when current grantee not requesting + assign take[0] = req[0] + & (~| (keep & ({width{1'b1}} << 1))); // no 'keep' from lower-priority inputs + genvar i; + generate + for (i=1; i < width; i = i + 1) begin : arb + assign take[i] = req[i] + & (~| (keep & ({width{1'b1}} << (i+1)))) // no 'keep' from lower-priority inputs + & (~| (req & {i{1'b1}})); // no 'req' from higher-priority inputs + end + endgenerate +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_common.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_common.sv new file mode 100644 index 0000000000000000000000000000000000000000..dbe3e5a5fcf1db4a9ce64efc08efa2005e19cbe8 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_common.sv @@ -0,0 +1,209 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// + +`timescale 1 ps / 1 ps + +module alt_xcvr_csr_common #( + parameter lanes = 1, + parameter plls = 1 +) +( + // user data (avalon-MM formatted) + input wire clk, + input tri0 reset, + input wire [7:0] address, + input tri1 read, + output reg [31:0] readdata = 0, + input tri0 write, + input wire [31:0] writedata, + + // transceiver status inputs to this CSR + input wire [plls - 1 : 0] pll_locked, + input wire [lanes - 1 : 0] rx_is_lockedtoref, + input wire [lanes - 1 : 0] rx_is_lockedtodata, + input wire [lanes - 1 : 0] rx_signaldetect, + + // reset controller outputs + input wire reset_controller_tx_ready, + input wire reset_controller_rx_ready, + input wire reset_controller_pll_powerdown, + input wire reset_controller_tx_digitalreset, + input wire reset_controller_rx_analogreset, + input wire reset_controller_rx_digitalreset, + + // read/write control registers + // to reset controller + output reg csr_reset_tx_digital = 0, + output reg csr_reset_rx_digital = 0, + output reg csr_reset_all = 1, // power-up to 1 to trigger auto-init sequence + // to PMA and PCS reset inputs + output wire csr_pll_powerdown, // reset controller or manual + output wire [lanes - 1 : 0] csr_tx_digitalreset, // reset controller or manual + output wire [lanes - 1 : 0] csr_rx_analogreset, // reset controller or manual + output wire [lanes - 1 : 0] csr_rx_digitalreset, // reset controller or manual + // common PMA controls + output reg [lanes - 1 : 0] csr_phy_loopback_serial = 0, + output reg [lanes - 1 : 0] csr_rx_set_locktoref = 0, + output reg [lanes - 1 : 0] csr_rx_set_locktodata = 0 +); + import alt_xcvr_csr_common_h::*; + + localparam sync_stages = 2; // number of sync stages for transceiver status signals + localparam sync_stages_str = "2"; // number of sync stages specified as string (for timing constraints) + integer stage; + + // Parameter strings for embedded timing constraints + localparam CSR_PLLLOCKED_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_pll_locked*[",sync_stages_str,"]*]\""}; + localparam CSR_RXISLOCKED_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_rx_is_locked*[",sync_stages_str,"]*]\""}; + localparam CSR_RXSIGNALDET_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_rx_signaldetect*[",sync_stages_str,"]*]\""}; + localparam SDC_CONSTRAINTS = {CSR_PLLLOCKED_CONSTRAINT,";",CSR_RXISLOCKED_CONSTRAINT,";",CSR_RXSIGNALDET_CONSTRAINT}; + + // internal control registers + reg [lanes - 1 : 0] csr_interrupt_ch_bitmask = {lanes{1'b1}}; + // fine reset control. 'OR' with reset controller equivalent signals + reg csr_reset_or_pll_powerdown = 0; // fine reset control + reg csr_reset_or_reset_tx_digital = 0; // fine reset control + reg csr_reset_or_reset_rx_analog = 0; // fine reset control + reg csr_reset_or_reset_rx_digital = 0; // fine reset control + reg [lanes - 1 : 0] csr_reset_ch_bitmask = {lanes{1'b1}}; + + // read-only status registers + // These are synchronized forms of transceiver status signals + // async inputs go to reg [sync_stages], and come out synchronized at reg [1] + (* altera_attribute = SDC_CONSTRAINTS *) // Apply timing constraints (does not matter which node) + reg [plls - 1 : 0] csr_pll_locked [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_is_lockedtoref [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_is_lockedtodata [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_signaldetect [sync_stages:1]; + + always @(posedge clk or posedge reset) begin + if (reset == 1) begin + readdata <= 0; + csr_interrupt_ch_bitmask <= {lanes{1'b1}}; + + csr_reset_tx_digital <= 0; + csr_reset_rx_digital <= 0; + csr_reset_all <= 1; // reset to 1 to trigger auto-init sequence + csr_reset_ch_bitmask <= {lanes{1'b1}}; + csr_reset_or_pll_powerdown <= 0; // fine reset control + csr_reset_or_reset_tx_digital <= 0; // fine reset control + csr_reset_or_reset_rx_analog <= 0; // fine reset control + csr_reset_or_reset_rx_digital <= 0; // fine reset control + + csr_phy_loopback_serial <= 0; + csr_rx_set_locktoref <= 0; + csr_rx_set_locktodata <= 0; + end + else begin + // decode read & write for each supported address + case (address) + // interrupt control + ADDR_INTERRUPT_CH_BITMASK: begin + readdata <= (32'd0 | csr_interrupt_ch_bitmask); + if (write) csr_interrupt_ch_bitmask <= writedata[lanes-1:0]; + end + + // reset control + ADDR_RESET_CONTROL: begin + // on read, returns two bits: bit0: TX ready, bit1: RX ready + readdata <= (32'd0 | {reset_controller_rx_ready, reset_controller_tx_ready}); + // on write, bit0 is 'reset_tx_digital', bit1 is 'reset_rx_digital', bit2 is 'reset_all' + //if (write) begin + // reset_all write side-effect: when write a '1', force all reset_ch_bitmask bits to '1' + // csr_reset_ch_bitmask <= csr_reset_ch_bitmask | {(lanes){writedata[2]}}; + //end + end + ADDR_RESET_CH_BITMASK: begin + readdata <= (32'd0 | csr_reset_ch_bitmask); + if (write) csr_reset_ch_bitmask <= writedata[lanes-1:0]; + end + + // loopback control + ADDR_PHY_LOOPBACK_SERIAL, + ADDR_PMA_LOOPBACK_SERIAL: begin + readdata <= (32'd0 | csr_phy_loopback_serial); + if (write) csr_phy_loopback_serial <= writedata[lanes-1:0]; + end + + // PMA control and status + ADDR_PMA_RX_SET_LOCKTOREF: begin + readdata <= (32'd0 | csr_rx_set_locktoref); + if (write) csr_rx_set_locktoref <= writedata[lanes-1:0]; + end + ADDR_PMA_RX_SET_LOCKTODATA: begin + readdata <= (32'd0 | csr_rx_set_locktodata); + if (write) csr_rx_set_locktodata <= writedata[lanes-1:0]; + end + // PMA status (read-only) + ADDR_PMA_PLL_IS_LOCKED: readdata <= (32'd0 | csr_pll_locked[1]); + ADDR_PMA_RX_IS_LOCKEDTOREF: readdata <= (32'd0 | csr_rx_is_lockedtoref[1]); + ADDR_PMA_RX_IS_LOCKEDTODATA: readdata <= (32'd0 | csr_rx_is_lockedtodata[1]); + ADDR_PMA_RX_SIGNALDETECT: readdata <= (32'd0 | csr_rx_signaldetect[1]); + + // Fine reset control - device dependent + ADDR_RESET_FINE_CONTROL: begin + // bit 0: 'pll_powerdown', 1: 'reset_tx_digital', 2: 'reset_rx_analog', 3: 'reset_rx_digital' + + readdata <= (32'd0 | {csr_reset_or_reset_rx_digital, csr_reset_or_reset_rx_analog, + csr_reset_or_reset_tx_digital, csr_reset_or_pll_powerdown}); + if (write) begin + csr_reset_or_pll_powerdown <= writedata[0]; // fine reset control + csr_reset_or_reset_tx_digital <= writedata[1]; // fine reset control + csr_reset_or_reset_rx_analog <= writedata[2]; // fine reset control + csr_reset_or_reset_rx_digital <= writedata[3]; // fine reset control + end + end + + + default: readdata <= ~ 32'd0; // use too many LEs? + endcase + + // special handling for registers that must auto-clear on cycle after a write + // reset control. on write, bit0 is 'reset_tx_digital', bit1 is 'reset_rx_digital', bit2 is 'reset_all' + csr_reset_tx_digital <= (address == ADDR_RESET_CONTROL) & write & writedata[0]; + csr_reset_rx_digital <= (address == ADDR_RESET_CONTROL) & write & writedata[1]; + csr_reset_all <= (address == ADDR_RESET_CONTROL) & write & writedata[2]; + + // synchronization registers for status signals from transceivers + csr_pll_locked[sync_stages] <= pll_locked; // input from transceiver + csr_rx_is_lockedtoref[sync_stages] <= rx_is_lockedtoref; + csr_rx_is_lockedtodata[sync_stages] <= rx_is_lockedtodata; + csr_rx_signaldetect[sync_stages] <= rx_signaldetect; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + csr_pll_locked[stage-1] <= csr_pll_locked[stage]; + csr_rx_is_lockedtoref[stage-1] <= csr_rx_is_lockedtoref[stage]; + csr_rx_is_lockedtodata[stage-1] <= csr_rx_is_lockedtodata[stage]; + csr_rx_signaldetect[stage-1] <= csr_rx_signaldetect[stage]; + end + end + end + + // combine reset controller and CSR manual reset control settings + assign csr_pll_powerdown = reset_controller_pll_powerdown; // cut manual PLL reset path + // | csr_reset_or_pll_powerdown; + assign csr_tx_digitalreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_tx_digitalreset | csr_reset_or_reset_tx_digital}}; + assign csr_rx_analogreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_rx_analogreset | csr_reset_or_reset_rx_analog}}; + assign csr_rx_digitalreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_rx_digitalreset | csr_reset_or_reset_rx_digital}}; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_common_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_common_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..a323c0a2a16722d20880e2fd2d694fe5031fe780 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_common_h.sv @@ -0,0 +1,61 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// +// PACKAGE DECLARATION + +`timescale 1 ps / 1 ps + +package alt_xcvr_csr_common_h; + + localparam alt_xcvr_csr_addr_width = 8; + + // register bitmap --------------------------------------------------------- + // common blocks, interrupt control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_CH_BITMASK = 1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_ENABLE_BITMASK = 2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_SOURCE = 3; + + // common blocks, loopback control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PHY_LOOPBACK_SERIAL = 6; + + // common blocks, reset control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CONTROL_BASE = 64; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CH_BITMASK = ADDR_RESET_CONTROL_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CONTROL = ADDR_RESET_CONTROL_BASE + 8'd2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_FINE_CONTROL = ADDR_RESET_CONTROL_BASE + 8'd4; + + // common blocks, PMA common control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_COMMON_BASE = 32; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_PLL_IS_LOCKED = ADDR_PMA_COMMON_BASE + 8'd2; + // common blocks, PMA channel control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_CHANNEL_BASE = 96; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_LOOPBACK_SERIAL = ADDR_PMA_CHANNEL_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SIGNALDETECT = ADDR_PMA_CHANNEL_BASE + 8'd3; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SET_LOCKTODATA = ADDR_PMA_CHANNEL_BASE + 8'd4; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SET_LOCKTOREF = ADDR_PMA_CHANNEL_BASE + 8'd5; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_IS_LOCKEDTODATA = ADDR_PMA_CHANNEL_BASE + 8'd6; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_IS_LOCKEDTOREF = ADDR_PMA_CHANNEL_BASE + 8'd7; + + // external block for PCS control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS_BASE = 128; // 128-255 + + // First word in every PCS CSR is lane # (or lane group # as appropriate) + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS_LANE_GROUP = ADDR_PCS_BASE + 8'd0; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g.sv new file mode 100644 index 0000000000000000000000000000000000000000..62ddfa2dce74f10cf83c30cd54f72573bbf216be --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g.sv @@ -0,0 +1,350 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module alt_xcvr_csr_pcs8g #( + parameter lanes = 1, + parameter words = 2 // for status bits that are per-word, like 8B10B status +) +( + // user data (avalon-MM formatted) + input wire clk, + input tri0 reset, + input wire [7:0] address, + input tri1 read, + output reg [31:0] readdata = 0, + input tri0 write, + input wire [31:0] writedata, + + input wire rx_clk, // to synchronize rx control outputs + input wire tx_clk, // to synchronize tx control outputs + + // transceiver status inputs to this CSR + input wire [lanes*words - 1 : 0] rx_patterndetect, + input wire [lanes*words - 1 : 0] rx_syncstatus, + input wire [lanes*words - 1 : 0] rx_errdetect, + input wire [lanes*words - 1 : 0] rx_disperr, + input wire [lanes - 1 : 0] rx_phase_comp_fifo_error, + input wire [lanes - 1 : 0] tx_phase_comp_fifo_error, + input wire [lanes*5 - 1: 0] rx_bitslipboundaryselectout, + input wire [lanes - 1 : 0] rlv, + input wire [lanes*words - 1 : 0] rx_a1a2sizeout, + + // read/write control outputs + // PCS controls + output wire [lanes - 1 : 0] csr_tx_invpolarity, + output wire [lanes*5 - 1 : 0] csr_tx_bitslipboundaryselect, + output wire [lanes - 1 : 0] csr_rx_invpolarity, + output wire [lanes - 1 : 0] csr_rx_enapatternalign, + output wire [lanes - 1 : 0] csr_rx_bitreversalenable, + output wire [lanes - 1 : 0] csr_rx_bytereversalenable, + output wire [lanes - 1 : 0] csr_rx_bitslip, + output wire [lanes - 1 : 0] csr_rx_a1a2size +); + import alt_xcvr_csr_common_h::*; + import alt_xcvr_csr_pcs8g_h::*; + + localparam sync_stages = 2; // number of sync stages for transceiver status signals + localparam sync_stages_str = "2"; // number of sync stages specified as string (for timing constraints) + localparam LANE_REGW = 5; + + // Parameter strings for embedded timing constraints + localparam SYNC_RX_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*sync_rx_*[",sync_stages_str,"]*]\""}; + localparam SYNC_TX_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*sync_tx_*[",sync_stages_str,"]*]\""}; + localparam CSR_REG_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*csr_indexed_read_only_reg*sreg[",sync_stages_str,"]*]\""}; + localparam SDC_CONSTRAINTS = {SYNC_RX_CONSTRAINT,";",SYNC_TX_CONSTRAINT,";",CSR_REG_CONSTRAINT}; + + // internal registers + reg [LANE_REGW-1:0] reg_lane_number = 0; // lane or group number for indirection + + //////////////////////////////////////////////////////// + // Read/Write CSR registers with lane indirection + //////////////////////////////////////////////////////// + // Apply false path timing constraints to synchronization registers. (It does not matter as to which node these are applied). + (* altera_attribute = SDC_CONSTRAINTS *) + reg [lanes - 1 : 0] reg_tx_invpolarity = 0; + reg [lanes - 1 : 0] sync_tx_invpolarity [sync_stages:1]; // synchronize to tx_clk + wire [lanes - 1 : 0] write_tx_invpolarity; // indexed write group muxed in + wire [0 : 0] lane_tx_invpolarity; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_tx_invpolarity(.in_narrow(writedata[0]), + .in_wide(reg_tx_invpolarity), .sel(reg_lane_number), + .out_narrow(lane_tx_invpolarity), .out_wide(write_tx_invpolarity)); + + reg [lanes*5 - 1 : 0] reg_tx_bitslipboundaryselect = 0; + reg [lanes*5 - 1 : 0] sync_tx_bitslipboundaryselect [sync_stages:1]; //synchronize to tx_clk + wire [lanes*5 - 1 : 0] write_tx_bitslipboundaryselect; //indexed write group muxed in + wire [4:0] lane_tx_bitslipboundaryselect; //selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(5), .sel_size(LANE_REGW), .init_value(0)) + wmux_tx_bitslipboundaryselect(.in_narrow(writedata[5:1]), + .in_wide(reg_tx_bitslipboundaryselect), .sel(reg_lane_number), + .out_narrow(lane_tx_bitslipboundaryselect), .out_wide(write_tx_bitslipboundaryselect)); + + reg [lanes - 1 : 0] reg_rx_invpolarity = 0; + reg [lanes - 1 : 0] sync_rx_invpolarity [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_invpolarity; // indexed write group muxed in + wire [0 : 0] lane_rx_invpolarity; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_invpolarity(.in_narrow(writedata[0]), + .in_wide(reg_rx_invpolarity), .sel(reg_lane_number), + .out_narrow(lane_rx_invpolarity), .out_wide(write_rx_invpolarity)); + + reg [lanes - 1 : 0] reg_rx_enapatternalign = 0; + reg [lanes - 1 : 0] sync_rx_enapatternalign [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_enapatternalign; // indexed write group muxed in + wire [0 : 0] lane_rx_enapatternalign; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_enapatternalign(.in_narrow(writedata[0]), + .in_wide(reg_rx_enapatternalign), .sel(reg_lane_number), + .out_narrow(lane_rx_enapatternalign), .out_wide(write_rx_enapatternalign)); + + reg [lanes - 1 : 0] reg_rx_bitreversalenable = 0; + reg [lanes - 1 : 0] sync_rx_bitreversalenable [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bitreversalenable; // indexed write group muxed in + wire [0 : 0] lane_rx_bitreversalenable; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bitreversalenable(.in_narrow(writedata[1]), + .in_wide(reg_rx_bitreversalenable), .sel(reg_lane_number), + .out_narrow(lane_rx_bitreversalenable), .out_wide(write_rx_bitreversalenable)); + + reg [lanes - 1 : 0] reg_rx_bytereversalenable = 0; + reg [lanes - 1 : 0] sync_rx_bytereversalenable [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bytereversalenable; // indexed write group muxed in + wire [0 : 0] lane_rx_bytereversalenable; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bytereversalenable(.in_narrow(writedata[2]), + .in_wide(reg_rx_bytereversalenable), .sel(reg_lane_number), + .out_narrow(lane_rx_bytereversalenable), .out_wide(write_rx_bytereversalenable)); + + reg [lanes - 1 : 0] reg_rx_bitslip = 0; + reg [lanes - 1 : 0] sync_rx_bitslip [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bitslip; // indexed write group muxed in + wire [0 : 0] lane_rx_bitslip; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bitslip(.in_narrow(writedata[3]), + .in_wide(reg_rx_bitslip), .sel(reg_lane_number), + .out_narrow(lane_rx_bitslip), .out_wide(write_rx_bitslip)); + + reg [lanes - 1 : 0] reg_rx_a1a2size = 0; + reg [lanes - 1 : 0] sync_rx_a1a2size [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_a1a2size; // indexed write group muxed in + wire [0 : 0] lane_rx_a1a2size; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_a1a2size(.in_narrow(writedata[3]), + .in_wide(reg_rx_a1a2size), .sel(reg_lane_number), + .out_narrow(lane_rx_a1a2size), .out_wide(write_rx_a1a2size)); + + //////////////////////////////////////////////////////// + // Read-only CSR registers with lane indirection + //////////////////////////////////////////////////////// + // read-only status registers are synchronized forms of transceiver status signals + // async inputs go to reg [sync_stages], and come out synchronized at reg [1] + //////////////////////////////////////////////////////// + // read selectors (muxes) that index using the indirect lane (group) number + wire [words-1 : 0] lane_rx_patterndetect; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_patterndetect(.clk(clk), .async_in_wide(rx_patterndetect), + .sel(reg_lane_number), .out_narrow(lane_rx_patterndetect)); + + wire [words-1 : 0] lane_rx_syncstatus; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_syncstatus(.clk(clk), .async_in_wide(rx_syncstatus), + .sel(reg_lane_number), .out_narrow(lane_rx_syncstatus)); + + wire [words-1 : 0] lane_rx_errdetect; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_errdetect(.clk(clk), .async_in_wide(rx_errdetect), + .sel(reg_lane_number), .out_narrow(lane_rx_errdetect)); + + wire [words-1 : 0] lane_rx_disperr; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_disperr(.clk(clk), .async_in_wide(rx_disperr), + .sel(reg_lane_number), .out_narrow(lane_rx_disperr)); + + wire [words-1 : 0] lane_rx_a1a2sizeout; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_a1a2sizeout(.clk(clk), .async_in_wide(rx_a1a2sizeout), + .sel(reg_lane_number), .out_narrow(lane_rx_a1a2sizeout)); + + wire [0 : 0] lane_rx_phase_comp_fifo_error; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_phase_comp_fifo_error(.clk(clk), .async_in_wide(rx_phase_comp_fifo_error), + .sel(reg_lane_number), .out_narrow(lane_rx_phase_comp_fifo_error)); + + wire [4 : 0] lane_rx_bitslipboundaryselectout; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(5), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_bitslipboundaryselectout(.clk(clk), .async_in_wide(rx_bitslipboundaryselectout), + .sel(reg_lane_number), .out_narrow(lane_rx_bitslipboundaryselectout)); + + wire [0 : 0] lane_tx_phase_comp_fifo_error; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_tx_phase_comp_fifo_error(.clk(clk), .async_in_wide(tx_phase_comp_fifo_error), + .sel(reg_lane_number), .out_narrow(lane_tx_phase_comp_fifo_error)); + + wire [0 : 0] lane_rlv; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rlv(.clk(clk), .async_in_wide(rlv), + .sel(reg_lane_number), .out_narrow(lane_rlv)); + + + always @(posedge clk or posedge reset) begin + if (reset == 1) begin + readdata <= 0; + reg_lane_number <= 0; + reg_tx_invpolarity <= 0; + reg_tx_bitslipboundaryselect <= 0; + reg_rx_invpolarity <= 0; + reg_rx_enapatternalign <= 0; + reg_rx_bitreversalenable <= 0; + reg_rx_bytereversalenable <= 0; + reg_rx_bitslip <= 0; + reg_rx_a1a2size <= 0; + + // no need to clear synchronization registers, since they do not store state + end + else begin + // decode read & write for each supported address + case (address) + // lane or group number for indirection + ADDR_PCS_LANE_GROUP: begin + readdata <= (32'd0 | reg_lane_number); + if (write) reg_lane_number <= writedata[LANE_REGW-1:0]; + end + + // offset + 1, read-only RX status bits + // bit 0, rx_phase_comp_fifo_error + // bit 5:1, rx_bitslipboundaryselectout + ADDR_PCS8G_RX_STATUS: begin + readdata <= (32'd0 | + {lane_rx_bitslipboundaryselectout, //bit 5-1 + lane_rx_phase_comp_fifo_error}); // bit 0 + end + + // offset + 2, read-only TX status bits + // bit 0, tx_phase_comp_fifo_error + ADDR_PCS8G_TX_STATUS: begin + readdata <= (32'd0 | lane_tx_phase_comp_fifo_error); // bit 0 + end + + // offset + 3, read/write TX control bits + // bit 0, tx_invpolarity + // bit 5:1, tx_bitslipboundaryselect + ADDR_PCS8G_TX_CONTROL: begin + readdata <= (32'd0 | {lane_tx_bitslipboundaryselect, lane_tx_invpolarity}); + if (write) + begin + reg_tx_invpolarity <= write_tx_invpolarity; + reg_tx_bitslipboundaryselect <= write_tx_bitslipboundaryselect; + end + end + + // offset + 4, read/write RX control bits + // bit 0, rx_invpolarity + ADDR_PCS8G_RX_CONTROL: begin + readdata <= (32'd0 | lane_rx_invpolarity); + if (write) reg_rx_invpolarity <= write_rx_invpolarity; + end + + // offset + 5, read/write RX WA control bits + // bit 0, rx_enapatternalign + // bit 1, rx_bitreversalenable + // bit 2, rx_bytereversalenable + // bit 3, rx_bitslip + // bit 4, rx_a1a2size + ADDR_PCS8G_RX_WA_CONTROL: begin + readdata <= (32'd0 | { lane_rx_a1a2size, // bit 4 + lane_rx_bitslip, // bit 3 + lane_rx_bytereversalenable, // bit 2 + lane_rx_bitreversalenable, // bit 1 + lane_rx_enapatternalign}); // bit 0 + if (write) + begin + reg_rx_enapatternalign <= write_rx_enapatternalign; + reg_rx_bitreversalenable <= write_rx_bitreversalenable; + reg_rx_bytereversalenable <= write_rx_bytereversalenable; + reg_rx_bitslip <= write_rx_bitslip; + reg_rx_a1a2size <= write_rx_a1a2size; + end + end + + // offset + 5, read RX WA status bits + // bit 3:0, rx_errdetect + // bit 7:4, rx_syncstatus + // bit 11:8, rx_disperr + // bit 15:12, rx_patterndetect + // bit 16, rlv + // bit 23:20, rx_a1a2sizeout + ADDR_PCS8G_RX_WA_STATUS: begin + readdata <= (32'd0 | {(4'b0 | lane_rx_a1a2sizeout), // bit 23:20 + (4'b0 | lane_rlv), // bit 16 + (4'b0 | lane_rx_patterndetect), // bit 15:12 + (4'b0 | lane_rx_disperr), // bit 11:8 + (4'b0 | lane_rx_syncstatus), // bit 7:4 + (4'b0 | lane_rx_errdetect)}); // bit 3:0 + end + + default: readdata <= ~ 32'd0; // use too many LEs? + endcase + end + end + + // synchronize TX controls to tx_clk before generating output + // sysclk-sync'ed input enters at [sync_stages], and tx_clk-sync'ed output exist at [1] + integer stage; + always @(posedge tx_clk) begin + sync_tx_invpolarity[sync_stages] <= reg_tx_invpolarity; + sync_tx_bitslipboundaryselect[sync_stages] <= reg_tx_bitslipboundaryselect; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sync_tx_invpolarity[stage-1] <= sync_tx_invpolarity[stage]; + sync_tx_bitslipboundaryselect[stage-1] <= sync_tx_bitslipboundaryselect[stage]; + end + end + assign csr_tx_invpolarity = sync_tx_invpolarity[1]; + assign csr_tx_bitslipboundaryselect = sync_tx_bitslipboundaryselect[1]; + + // synchronize RX controls to rx_clk before generating output + // sysclk-sync'ed input enters at [sync_stages], and rx_clk-sync'ed output exist at [1] + always @(posedge rx_clk) begin + sync_rx_invpolarity[sync_stages] <= reg_rx_invpolarity; + sync_rx_enapatternalign[sync_stages] <= reg_rx_enapatternalign; + sync_rx_bitreversalenable[sync_stages] <= reg_rx_bitreversalenable; + sync_rx_bytereversalenable[sync_stages] <= reg_rx_bytereversalenable; + sync_rx_bitslip[sync_stages] <= reg_rx_bitslip; + sync_rx_a1a2size[sync_stages] <= reg_rx_a1a2size; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sync_rx_invpolarity[stage-1] <= sync_rx_invpolarity[stage]; + sync_rx_enapatternalign[stage-1] <= sync_rx_enapatternalign[stage]; + sync_rx_bitreversalenable[stage-1] <= sync_rx_bitreversalenable[stage]; + sync_rx_bytereversalenable[stage-1] <= sync_rx_bytereversalenable[stage]; + sync_rx_bitslip[stage-1] <= sync_rx_bitslip[stage]; + sync_rx_a1a2size[stage-1] <= sync_rx_a1a2size[stage]; + end + end + assign csr_rx_invpolarity = sync_rx_invpolarity[1]; + assign csr_rx_enapatternalign = sync_rx_enapatternalign[1]; + assign csr_rx_bitreversalenable = sync_rx_bitreversalenable[1]; + assign csr_rx_bytereversalenable = sync_rx_bytereversalenable[1]; + assign csr_rx_bitslip = sync_rx_bitslip[1]; + assign csr_rx_a1a2size = sync_rx_a1a2size[1]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..09f65ef3aee3227b1f9f9ba7d3359a300eb092ac --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g_h.sv @@ -0,0 +1,33 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_xcvr_csr_pcs8g_h; + + import alt_xcvr_csr_common_h::*; + + // 8G PCS, a.k.a. "Standard PCS", control and status bits + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_STATUS = ADDR_PCS_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_TX_STATUS = ADDR_PCS_BASE + 8'd2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_TX_CONTROL = ADDR_PCS_BASE + 8'd3; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_CONTROL = ADDR_PCS_BASE + 8'd4; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_WA_CONTROL = ADDR_PCS_BASE + 8'd5; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_WA_STATUS = ADDR_PCS_BASE + 8'd6; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_selector.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_selector.sv new file mode 100644 index 0000000000000000000000000000000000000000..2e843e4597c8292f41a2d244cf5bb2dae2d70abc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_csr_selector.sv @@ -0,0 +1,116 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Select a sub-group from a wide register +// Useful for indirection indexing +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module csr_mux #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1 +) +( + input wire [groups*grp_size-1:0] in_wide, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow +); +// lpm_mux #(.lpm_size(groups), .lpm_width(grp_size), .lpm_widths(sel_size)) +// mux (.data(in_wide), .sel(sel), .result(out_narrow)); + wire [grp_size-1:0] in_groups [groups-1:0]; + + // a synthesizable mux, with a parameterized number of inputs + genvar i; + assign in_groups[0] = in_wide[grp_size-1:0] & {grp_size{sel == 0}}; + generate for (i=1; i<groups; i = i+1) begin: mux + assign in_groups[i] = in_groups[i-1] | in_wide[i*grp_size +: grp_size] & {grp_size{sel == i}}; + end + endgenerate + assign out_narrow = in_groups[groups-1]; +endmodule + +// +// write to a sub-group of a wide register +// Useful for indirection indexing on write +// +module csr_indexed_write_mux #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1, + parameter init_value = 0 +) +( + input wire [grp_size-1:0] in_narrow, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow, // for read back to mgmt interface + input wire [groups*grp_size-1:0] in_wide, // full-width control reg state + output wire [groups*grp_size-1:0] out_wide // to write to full-width control reg +); + wire [groups*grp_size-1:0] wire_wide [groups-1:0]; + + // in_narrow is output in the group position indicated by .sel() input + genvar i; + assign wire_wide[0] = (in_wide & {grp_size{sel != 0}}) | (in_narrow & {grp_size{sel == 0}}); + generate for (i=1; i<groups; i = i+1) begin: mux + assign wire_wide[i] = wire_wide[i-1] + | (in_wide & {{grp_size{sel != i}}, {(grp_size*i){1'b0}}}) + | ({in_narrow & {grp_size{sel == i}}, {(grp_size*i){1'b0}}}); + end + endgenerate + assign out_wide = wire_wide[groups-1]; + + // generate out_narrow as ordinary mux of in_wide + csr_mux #(.groups(groups), .grp_size(grp_size), .sel_size(sel_size)) + o_narrow(.in_wide(in_wide), .sel(sel), .out_narrow(out_narrow)); + +endmodule + +// +// read from a sub-group of a wide, async status input +// Creates synchronization logic to sample in local clock domain +// Useful for indirection indexing on read-only status bits +// +module csr_indexed_read_only_reg #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1, + parameter sync_stages = 2 +) +( + input wire clk, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow, // for read back to mgmt interface + input wire [groups*grp_size-1:0] async_in_wide // full-width async status inputs +); + // read-only status registers are synchronized forms of async status signals + // async inputs go to sreg [sync_stages], and come out synchronized at sreg [1] + reg [groups*grp_size-1:0] sreg [sync_stages:1]; + integer stage; + always @(posedge clk) begin + sreg[sync_stages] <= async_in_wide; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sreg[stage-1] <= sreg[stage]; + end + end + + // generate out_narrow as ordinary mux of out_wide + csr_mux #(.groups(groups), .grp_size(grp_size), .sel_size(sel_size)) + o_narrow(.in_wide(sreg[1]), .sel(sel), .out_narrow(out_narrow)); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_m2s.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_m2s.sv new file mode 100644 index 0000000000000000000000000000000000000000..143f8260ab27069cf2eb8fd54be2d08f84f018ec --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_m2s.sv @@ -0,0 +1,61 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Master-to-slave access fabric +// +// Combined with external multi-master arbitration, this block gates +// master read, write, address, and writedata outputs, and generates +// a waitrequest when arbiter indicates access is not granted + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_m2s #( + parameter width_addr = 3, + parameter width_data = 32 +) ( + input wire clock, + output wire req, // request to arbiter for slave access + input wire grant, + + // signals from/to master + input wire m_read, + input wire m_write, + input wire [width_addr-1:0] m_address, + input wire [width_data-1:0] m_writedata, + output wire [width_data-1:0] m_readdata, + output wire m_waitrequest, + + // signals from/to slave + output wire s_read, + output wire s_write, + output wire [width_addr-1:0] s_address, + output wire [width_data-1:0] s_writedata, + input wire [width_data-1:0] s_readdata, + input wire s_waitrequest +); + + // If master is requesting access, generate waitreq until granted + assign req = m_read | m_write; // master access requests + assign m_waitrequest = grant ? s_waitrequest : req; + + // gate outputs to slave with grant signal + assign s_read = m_read & grant; + assign s_write = m_write & grant; + assign s_address = m_address & {width_addr{grant}}; + assign s_writedata = m_writedata & {width_data{grant}}; + + // slave data outputs pass through directly + assign m_readdata = s_readdata; +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec.sv new file mode 100644 index 0000000000000000000000000000000000000000..93ce24e6bb50518c47c242026699fb45dcee975a --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec.sv @@ -0,0 +1,90 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Management interface address decoder for Altera Transceiver PHY +// +// Addresses common case of 2 modules to be stitched together: +// - 'top' PHY channel blocks (includes reset control, CSR, ...) +// - dynamic reconfiguration block + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_mgmt2dec ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [8:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to 'top' csr block + output wire [7:0] topcsr_address, + output wire topcsr_read, + input wire [31:0] topcsr_readdata, + input wire topcsr_waitrequest, + output wire topcsr_write, + + // internal interface to 'top' csr block + output wire [7:0] reconf_address, + output wire reconf_read, + input wire [31:0] reconf_readdata, + input wire reconf_waitrequest, + output wire reconf_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for top.CSR and 1 for reconfig) + localparam dec_count = 2; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + localparam dec_topcsr = 0; // uses 2 128-word address blocks + localparam dec_reconf = 1; // uses 1 128-word address block + + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; + assign r_decode = + (mgmt_address[8:width_swa+1] == dec_topcsr) ? (({dec_count-dec_topcsr{1'b0}} | 1'b1) << dec_topcsr) + : (mgmt_address[8:width_swa] == 2'd2) ? (({dec_count-dec_reconf{1'b0}} | 1'b1) << dec_reconf) + : {dec_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + if (r_decode[dec_topcsr] == 1'b1) begin + mgmt_readdata = topcsr_readdata; + mgmt_waitrequest = topcsr_waitrequest; + end else if (r_decode[dec_reconf] == 1'b1) begin + mgmt_readdata = reconf_readdata; + mgmt_waitrequest = reconf_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to 'top' csr block + assign topcsr_address = mgmt_address[width_swa:0]; // top.csr uses 2 128-word blocks + assign topcsr_read = mgmt_read & r_decode[dec_topcsr]; + assign topcsr_write = mgmt_write & r_decode[dec_topcsr]; + + // internal interface to 'top' csr block + assign reconf_address = mgmt_address[width_swa-1:0]; // reconfig uses 1 128-word block + assign reconf_read = mgmt_read & r_decode[dec_reconf]; + assign reconf_write = mgmt_write & r_decode[dec_reconf]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_phyreconfig.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_phyreconfig.sv new file mode 100644 index 0000000000000000000000000000000000000000..3993651a7280e45e8ed8823d62ce37b8836861c2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_phyreconfig.sv @@ -0,0 +1,110 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: Custom management interface address decoder for Altera +// transciever PHY. Addresses common case of 2 modules to be stitched together: +// - 'top' PHY channel blocks (includes reset control, CSR, ...) +// - dynamic reconfiguration block +// +// Authors: dunnikri 19-Aug-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +//`timescale 1 ns / 1 ns + +module alt_xcvr_mgmt2dec_phyreconfig ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [8:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to xaui phy block + output wire [7:0] sc_phy_address, + output wire sc_phy_read, + input wire [31:0] sc_phy_readdata, + input wire sc_phy_waitrequest, + output wire sc_phy_write, + + // internal interface to reconfig block + output wire [6:0] sc_reconf_address, + output wire sc_reconf_read, + input wire [31:0] sc_reconf_readdata, + input wire sc_reconf_waitrequest, + output wire sc_reconf_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for phy and 1 for reconfig) + localparam dec_count = 2; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + localparam dec_sc_phy = 0; // + localparam dec_reconf = 1; // + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; //1-hot encoding + + //-----------------------Memory Map Reference------------------------- + //-------------------------------------------------------------------- + //Block | Word Address | Byte Address | + //------------------------------------------------------------------- + //PHY Common | 0x0 | 0x0 | + //PMA Controller | 0x20 | 0x80 | + //Reset Controller | 0x40 | 0x100 | + //PMA Channel Controller | 0x60 | 0x180 | + //PCS | 0x80 | 0x200 | + //XCVR Reconfig | 0x100 | 0x400 | + //-------------------------------------------------------------------- + + //Decoding is based on memory map word address + assign r_decode = + (mgmt_address[8:width_swa+1] == dec_sc_phy) ? (({dec_count-dec_sc_phy{1'b0}} | 1'b1) << dec_sc_phy) + : (mgmt_address[8:width_swa] == 2'd2) ? (({dec_count-dec_reconf{1'b0}} | 1'b1) << dec_reconf) + : {dec_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + if (r_decode[dec_sc_phy] == 1'b1) begin + mgmt_readdata = sc_phy_readdata; + mgmt_waitrequest = sc_phy_waitrequest; + end else if (r_decode[dec_reconf] == 1'b1) begin + mgmt_readdata = sc_reconf_readdata; + mgmt_waitrequest = sc_reconf_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to 'top' phy block + assign sc_phy_address = mgmt_address[width_swa:0]; + assign sc_phy_read = mgmt_read & r_decode[dec_sc_phy]; + assign sc_phy_write = mgmt_write & r_decode[dec_sc_phy]; + + // internal interface to 'top' reconfig block + assign sc_reconf_address = mgmt_address[width_swa-1:0]; + assign sc_reconf_read = mgmt_read & r_decode[dec_reconf]; + assign sc_reconf_write = mgmt_write & r_decode[dec_reconf]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..5720dddc8ee64986827d0db95c96c90eec94cdf3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_xaui.sv @@ -0,0 +1,134 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: Custom management interface address decoder for Altera +// transciever PHY. Addresses common case of 3 modules to be stitched together: +// - CSR, Alt_PMA controller, Alt_PMA_Channel controller +// +// Authors: dunnikri 19-Aug-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module alt_xcvr_mgmt2dec_xaui ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [7:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to xaui pma channel controller + output wire [5:0] sc_pma_ch_controller_address, + output wire sc_pma_ch_controller_read, + input wire [31:0] sc_pma_ch_controller_readdata, + input wire sc_pma_ch_controller_waitrequest, + output wire sc_pma_ch_controller_write, + + // internal interface to pma controller block + output wire [1:0] sc_pma_controller_address, + output wire sc_pma_controller_read, + input wire [31:0] sc_pma_controller_readdata, + input wire sc_pma_controller_waitrequest, + output wire sc_pma_controller_write, + + // internal interface to hxaui csr block + output wire [4:0] sc_csr_address, + output wire sc_csr_read, + input wire [31:0] sc_csr_readdata, + input wire sc_csr_waitrequest, + output wire sc_csr_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for phy and 1 for reconfig) + localparam dec_count = 3; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + + localparam dec_pma_control = 0; + localparam dec_csr = 1; + localparam dec_pma_ch_control = 2; + + //-------------------------------------------------------------------- + //Block | Word Address | Byte Address | + //------------------------------------------------------------------- + //PHY Common | 0x0 | 0x0 | + //PMA Controller | 0x20 | 0x80 | + //Reset Controller | 0x40 | 0x100 | + //PMA Channel Controller | 0x60 | 0x180 | + //PCS | 0x80 | 0x200 | + //XCVR Reconfig | 0x100 | 0x400 | + //------------------------------------------------------------------- + + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; //1-hot encoding + + //PMA Controller - 0x20 (0010 0000) + //Reset Controller - 0x40 (0100 0000) + //Channel Controller - 0x60 (0110 0000) + //PCS - 0x80 (1000 0000) + //Consider first 3 MSBs for decoding + /*assign r_decode = + (mgmt_address[7:5] == 3'd1) ? (({dec_count-dec_pma_control{1'b0}} | 1'b1) << dec_pma_control) + : (mgmt_address[7:5] == 3'd4) ? (({dec_count-dec_csr{1'b0}} | 1'b1) << dec_csr) + : (mgmt_address[7:5] == 3'd3) ? (({dec_count-dec_pma_ch_control{1'b0}} | 1'b1) << dec_pma_ch_control) + : {dec_count{1'b0}};*/ + + assign r_decode[0] = (!mgmt_address[7]) & (!mgmt_address[6]) & (mgmt_address[5]); + assign r_decode[1] = (mgmt_address[7]) & (!mgmt_address[6]) & (!mgmt_address[5]); + assign r_decode[2] = (!mgmt_address[7]) & (mgmt_address[6]); + + + always @(*) begin + if (r_decode[dec_pma_ch_control] == 1'b1) begin + mgmt_readdata = sc_pma_ch_controller_readdata; + mgmt_waitrequest = sc_pma_ch_controller_waitrequest; + end else if (r_decode[dec_pma_control] == 1'b1) begin + mgmt_readdata = sc_pma_controller_readdata; + mgmt_waitrequest = sc_pma_controller_waitrequest; + end else if (r_decode[dec_csr] == 1'b1) begin + mgmt_readdata = sc_csr_readdata; + mgmt_waitrequest = sc_csr_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to alt_pma_ch_control block + assign sc_pma_ch_controller_address = mgmt_address[5:0]; //6 bit address + assign sc_pma_ch_controller_read = mgmt_read & r_decode[dec_pma_ch_control]; + assign sc_pma_ch_controller_write = mgmt_write & r_decode[dec_pma_ch_control]; + + // internal interface to pma_control block + assign sc_pma_controller_address = mgmt_address[1:0]; // 2 bit address + assign sc_pma_controller_read = mgmt_read & r_decode[dec_pma_control]; + assign sc_pma_controller_write = mgmt_write & r_decode[dec_pma_control]; + + // internal interface to csr block + assign sc_csr_address = mgmt_address[4:0]; // 5 bit address + assign sc_csr_read = mgmt_read & r_decode[dec_csr]; + assign sc_csr_write = mgmt_write & r_decode[dec_csr]; +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog.sv new file mode 100644 index 0000000000000000000000000000000000000000..c11f7aa371601873a1d6fc77d0c67623f66bff56 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog.sv @@ -0,0 +1,146 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_analog #( + parameter device_family = "Stratix V" +) +( + +input wire reconfig_clk, // this will be the reconfig clk +input wire reset, + +//avalon MM slave +input wire [2:0] analog_reconfig_address, // MM address +input wire [31:0] analog_reconfig_writedata, +input wire analog_reconfig_write, +input wire analog_reconfig_read, + +//output MM slave +output wire [31:0] analog_reconfig_readdata, // from MM +output wire analog_reconfig_waitrequest, +//output wire analog_reconfig_error, +output wire analog_reconfig_done, + +// input from base_reconfig +input wire analog_reconfig_irq_from_base, +input wire analog_reconfig_waitrequest_from_base, + + +// output to base_reconfig +// Avalon MM Master +output wire [2:0] analog_reconfig_address_base, // 3 bit MM +output wire [31:0] analog_reconfig_writedata_base, +output wire analog_reconfig_write_base, // start write to GXB +output wire analog_reconfig_read_base, // start read from GXB + +// input from base reconfig +input wire [31:0] analog_reconfig_readdata_base, // data from read command + output wire arb_req, + input wire arb_grant +); + +//parameter device_family = "StratixIV"; // or ArriaII + + +generate + //Deepak - added namespace support for CIVGX and AII GX + if((device_family == "Stratix IV") || (device_family == "Arria II") || (device_family == "Cyclone IV GX") || (device_family == "Arria II GX") || (device_family == "Arria II GZ") || (device_family == "HardCopy IV")) + begin + wire [4:0] w_tgx_analog_reconfig_address_base; + alt_xcvr_reconfig_analog_tgx reconfig_analog_tgx( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base) + ); + assign analog_reconfig_address_base = w_tgx_analog_reconfig_address_base[4:2]; + assign arb_req = 1'b0 & arb_grant; // not currently used in S4 architecture + end + else if(device_family == "Stratix V") + begin + alt_xcvr_reconfig_analog_sv reconfig_analog_sv( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base), + .arb_req(arb_req), + .arb_grant(arb_grant) + + ); + end + else if(device_family == "Arria V") + begin + alt_xcvr_reconfig_analog_av reconfig_analog_av( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base), + .arb_req(arb_req), + .arb_grant(arb_grant) + + ); + end + else + begin + assign analog_reconfig_readdata = 32'd0; + assign analog_reconfig_waitrequest = 1'd0; + assign analog_reconfig_done = 1'd1; + assign analog_reconfig_address_base = 3'd0; + assign analog_reconfig_writedata_base = 32'd0; + assign analog_reconfig_write_base = 1'd0; + assign analog_reconfig_read_base = 1'd0; + assign arb_req = 1'd0; + end + +endgenerate + + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..b124cab8495ba45a26198a9b5ab25318441e6f01 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog_tgx.v @@ -0,0 +1,763 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_analog_tgx ( + +input wire reconfig_clk, // this will be the reconfig clk +input wire reset, + +//avalon MM slave +input wire [2:0] analog_reconfig_address, // MM address +input wire [31:0] analog_reconfig_writedata, +input wire analog_reconfig_write, +input wire analog_reconfig_read, + +//output MM slave +output reg [31:0] analog_reconfig_readdata, // from MM +output wire analog_reconfig_waitrequest, +//output wire analog_reconfig_error, +output reg analog_reconfig_done, + +// input from base_reconfig + input wire analog_reconfig_irq_from_base, + input wire analog_reconfig_waitrequest_from_base, + + +// output to base_reconfig +// Avalon MM Master +output wire [4:0] analog_reconfig_address_base, // 3 bit MM +output wire [31:0] analog_reconfig_writedata_base, +output wire analog_reconfig_write_base, // start write to GXB +output wire analog_reconfig_read_base, // start read from GXB + +// input from base reconfig +input wire [31:0] analog_reconfig_readdata_base // data from read command +); + +parameter device_family = "Stratix IV"; // or ArriaII + +// new memory map -- register indirection +//---------------------------------------------- +// address data[15:0] +// 0 wr/rd logical_channel_address +// 1 rd physical_chnl +// 2 wr/rd control (write, read) / status (error, datavalid, busy) +// 3 wr/rd addr_offset +// 4 wr/rd data +// +// addr_offset ( upto 16 bits) +// 0-15 TX parameters : vod, preemph_0t, preemph_1t, preemph_2t +// 16-31 RX parameters : dcgain, eqctrl + +//local parameters +localparam ADDR_WIDTH = 3; + +localparam IDLE = 4'b0000; +localparam READ_FROM_GXB = 4'b0001; +localparam WRITE_TO_GXB = 4'b0010; +localparam SET_INFO_ON_BASIC = 4'b0011; +localparam WRITE_DONE = 4'b0100; +localparam READ_FROM_BASIC = 4'b0101; +localparam READ_FROM_BASIC_DONE = 4'b0110; +localparam READ_IDLE = 4'b0111; +localparam GET_MUTEX = 4'b1000; +localparam WRITE_CHL_ADDR = 4'b1001; +localparam READ_OR_SET_INFO = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 12'b111111111111; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +//reg [3:0] prev_state; +genvar i; +integer j; +wire [(2**ADDR_WIDTH)-1:0] address_decode; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [4:0] master_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_writedata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] chnl_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] phys_chnl_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg logical_chnl_addr_updated; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] status_reg; +reg [1:0] control_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] data_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg needs_second_access; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [4:0] addr_offset; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg needs_second_write_access; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_read_before_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg have_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_read_phys_chnl; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_write_chnl_addr; + +wire mutex_grant; +wire mutex_acq_waitrequest; +wire [31:0] mutex_acq_readdata; +wire accessing_rxeqctrl; +wire accessing_rxdcgain; +wire accessing_vod; +wire accessing_preemph1t; +wire accessing_preemph0t; +wire accessing_preemph2t; + +// Implement waitrequest to match previous implementation +altera_wait_generate wait_gen( + .rst(reset), + .clk(reconfig_clk), + .launch_signal(analog_reconfig_read), + .wait_req(analog_reconfig_waitrequest) +); + +// synopsys translate_off +initial begin + state <= 3'b000; + master_write <= 1'b0; + master_read <= 1'b0; + master_writedata <= 16'b0; + master_addr <= 5'b0; + status_reg <= 2'b0; + control_reg <= 2'b0; + data_reg <= 16'b0; + needs_second_access <= 1'b0; + do_read_before_write <= 1'b0; + addr_offset <= 5'b0; + set_addr <= 1'b0; + needs_second_write_access <= 1'b0; + have_mutex <= 0; + req_and_use_mutex <= 0; + do_read_phys_chnl <= 0; + do_write_chnl_addr <= 0; + logical_chnl_addr_updated <= 0; +end +// synopsys translate_on + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + state <= IDLE; + analog_reconfig_readdata[31:0] <= 32'b0; + analog_reconfig_done <= 1'b0; + status_reg <= 2'b0; + control_reg <= 2'b0; + needs_second_access <= 1'b0; + needs_second_write_access <= 1'b0; + set_addr <= 1'b0; + do_read_before_write <= 1'b0; + data_reg <= 16'b0; + have_mutex <= 0; + req_and_use_mutex <= 0; + do_read_phys_chnl <= 0; + do_write_chnl_addr <= 0; + logical_chnl_addr_updated <= 0; + end + else begin + have_mutex <= mutex_grant; + if (analog_reconfig_read == 1'b1) // allow status read during GX read + begin + if (analog_reconfig_address == 3'b000) // logical channel address + analog_reconfig_readdata <= {{16{1'b0}}, chnl_addr_reg}; + else if (analog_reconfig_address == 3'b001) // physical channel address + analog_reconfig_readdata <= {{20{1'b0}}, phys_chnl_addr_reg}; + else if (analog_reconfig_address == 3'b010) // status + analog_reconfig_readdata <= {{22{1'b0}}, status_reg[1:0], {8{1'b0}}}; + else if (analog_reconfig_address == 3'b011) // TX/RX offset + analog_reconfig_readdata <= {{16{1'b0}}, addr_offset}; + else if (analog_reconfig_address == 3'b100) // previously read data + analog_reconfig_readdata <= {{16{1'b0}}, data_reg}; + else + analog_reconfig_readdata <= {32{1'b0}}; + end + case (state) + IDLE: begin + needs_second_access <= 1'b0; + if (analog_reconfig_address == 3'b0) + begin + if (analog_reconfig_write == 1'b1) + begin + chnl_addr_reg <= {{4{1'b0}},analog_reconfig_writedata[11:0]}; // write logical_ch_addr + logical_chnl_addr_updated <= 1'b1; + end + end + else if (analog_reconfig_write == 1'b1 && analog_reconfig_address == 3'b011) // addr_offset + begin + addr_offset <= analog_reconfig_writedata[4:0]; + end + else if (analog_reconfig_address == 3'b100) // DPRIO write data + begin + if (analog_reconfig_write == 1'b1) + data_reg[15:0] <= analog_reconfig_writedata[15:0]; + end + else if (analog_reconfig_read == 1'b1 && analog_reconfig_address == 3'b001) // physical chnl + begin + if (logical_chnl_addr_updated == 1'b1) // if logical chnl addr was updated from the last time we read the phys addr, go do the read again + begin + status_reg <= {1'b0, 1'b1}; //set busy bit + if (have_mutex) + begin + state <= WRITE_CHL_ADDR; + do_read_phys_chnl <= 1; + end + else begin + state <= READ_IDLE; + req_and_use_mutex <= 1'b1; + do_write_chnl_addr <= 1'b1; + do_read_phys_chnl <= 1; + end + logical_chnl_addr_updated <= 1'b0; + end + end + else if (analog_reconfig_address == 3'b010 && analog_reconfig_write == 1'b1) // control reg bit 1 rd, bit 0 wr + begin + status_reg <= {1'b0, 1'b1}; // set busy bit + control_reg <= analog_reconfig_writedata[1:0]; + if (analog_reconfig_writedata[0] == 1'b1) + do_read_before_write <= 1'b1; + if (have_mutex) + begin + state <= WRITE_CHL_ADDR; + end + else begin + state <= READ_IDLE; + req_and_use_mutex <= 1'b1; + do_write_chnl_addr <= 1'b1; + status_reg <= {1'b0, 1'b1}; // set busy bit + end + end + else if (analog_reconfig_address > 3'b100 && analog_reconfig_write == 1'b1) // + begin + // synopsys translate_off + $display ("Illegal operation to reserved address %h", analog_reconfig_address); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + end + else if (have_mutex == 1'b1) + begin + // holding the mutex in idle state, release it + req_and_use_mutex <= 1'b0; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + end + end + WRITE_CHL_ADDR: begin + master_addr <= 5'b00100; + master_write <= 1'b1; + master_writedata <= chnl_addr_reg; + state <= READ_OR_SET_INFO; + end + READ_OR_SET_INFO: begin + if (analog_reconfig_waitrequest_from_base == 1'b1) + state <= READ_OR_SET_INFO; + else + begin + master_write <= 1'b0; + if (do_read_phys_chnl) + begin + state <= READ_FROM_BASIC; + // do_read_phys_chnl = 0; + end + else begin + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + master_read <= 0; + end + end + end + GET_MUTEX: + begin + if (have_mutex == 1'b1) + begin + if (do_write_chnl_addr == 1'b1) + state <= WRITE_CHL_ADDR; + else + state <= READ_OR_SET_INFO; + end + else + begin + state <= GET_MUTEX; + end + end + READ_FROM_BASIC: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + master_write <= 1'b0; + master_read <= 1'b1; + if (analog_reconfig_irq_from_base == 1'b1) // start read access to data reg. of base_reconfig + begin + master_addr <= 5'b10100; + end + else begin + master_addr <= 5'b01000; + end + state <= READ_IDLE; + end + else + begin + state <= READ_FROM_BASIC; + end + end + READ_IDLE: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + if (have_mutex) + state <= READ_FROM_BASIC_DONE; + else + begin + state <= GET_MUTEX; + end + end + else + begin + state <= READ_IDLE; + end + end + READ_FROM_BASIC_DONE: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + master_read <= 1'b0; + if (do_read_phys_chnl) + begin + do_read_phys_chnl <= 0; + phys_chnl_addr_reg <= mutex_acq_readdata[11:0]; + if (mutex_acq_readdata[11:0] == ILLEGAL_PHYSICAL_CHNL) + begin + //set error bit + status_reg <= {1'b1, 1'b0}; + // error message for sim + //$display(); + //$display(); + end + else begin + // unset busy bit + status_reg <= 2'b0; + end + state <= IDLE; + end + else if (accessing_vod) + begin + + // This is for Stratix IV only + ////////////////////////////////////////////////// + //Port | DPRIO CRAM bit value + //2..0 | 2..0 + ////////////////////////////////////////////////// + //000 | 000 + //001 | 001 + //010 | 010 + //011 | 110 + //100 | 011 + //101 | 111 + //110 | 100 + //111 | 101 + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) // this was a write cycle to begin with + begin + master_writedata[31:16] <= {16{1'b0}}; + master_writedata[15:13] <= {(data_reg[2] & data_reg[1]) | (data_reg[2] & data_reg[0]) | (data_reg[1] & data_reg[0]), data_reg[2] ^ data_reg[1], (~data_reg[1] & data_reg[0]) | (data_reg[2] & data_reg[0]) | (data_reg[2] & ~data_reg[1])}; + master_writedata[12:0] <= mutex_acq_readdata[12:0]; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + data_reg <= {{13{1'b0}}, (mutex_acq_readdata[15] & ~mutex_acq_readdata[14]) | (mutex_acq_readdata[14] & mutex_acq_readdata[13]), (mutex_acq_readdata[15] & ~mutex_acq_readdata[14]) | (mutex_acq_readdata[14] & ~mutex_acq_readdata[13]), (~mutex_acq_readdata[14] & mutex_acq_readdata[13]) | (mutex_acq_readdata[15] & mutex_acq_readdata[14])}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_rxdcgain) + begin + // This is for Stratix IV only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //2..0 | 10..7 + ////////////////////////////////////////////////// + //000 | 0000 + //001 | 0001 + //010 | 0011 + //011 | 0111 + //100 | 1111 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + + // This is for Arria II only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //1..0 | 10..7 + ////////////////////////////////////////////////// + //00 | 0000 + //01 | 0001 + //10 | 0011 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + if ((device_family == "Stratix IV") || (device_family == "HardCopy IV")) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:11], data_reg[2], data_reg[1] & data_reg[0] | data_reg[2], data_reg[2] | data_reg[1], data_reg[2] | data_reg[1] | data_reg[0], mutex_acq_readdata[6:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:11], 1'b0, 1'b0, data_reg[1], data_reg[1] | data_reg[0], mutex_acq_readdata[6:0]}; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if ((device_family == "Stratix IV") || (device_family == "HardCopy IV")) + data_reg <= {{13{1'b0}}, mutex_acq_readdata[10], ~mutex_acq_readdata[10] & mutex_acq_readdata[8], mutex_acq_readdata[10] ^ mutex_acq_readdata[9] ^ mutex_acq_readdata[8] ^ mutex_acq_readdata[7]}; + else + data_reg <= {{14{1'b0}}, mutex_acq_readdata[8], mutex_acq_readdata[7] ^ mutex_acq_readdata[8]}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_rxeqctrl) // encode/decode rx_eqctrl + begin + //////////////////////////////////////// + // Wiz A B C D V + // 3210 EDC BA9 876 543 210 + // 0000 000 000 000 000 000 + // L0 0001 011 000 000 000 111 + // L1 0010 100 000 000 000 000 + // L2 0011 111 000 000 000 000 + // L3 0100 111 000 000 000 111 + // L4 0101 101 101 000 000 000 + // M0 0110 111 111 000 000 000 + // M1 0111 111 111 000 000 100 + // M2 1000 111 111 000 000 111 + // M3 1001 111 111 111 000 001 + // M4 1010 111 111 111 000 100 + // H0 1011 111 111 111 111 000 + // H1 1100 111 111 111 111 001 + // H2 1101 111 111 111 111 100 + // H3 1110 111 111 111 111 110 + // H4 1111 111 111 111 111 111 + //////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + master_writedata[31:16] <= 0; + master_writedata[15] <= mutex_acq_readdata[15]; + if (data_reg[3:0] > 4'b1010) + master_writedata[14:3] <= {12{1'b1}}; + else if (data_reg[3:0] > 4'b0110) + master_writedata[14:3] <= {{3{1'b0}}, {9{1'b1}}}; + else if (data_reg[3:0] > 4'b0011) + master_writedata[14:3] <= {{6{1'b0}}, {6{1'b1}}}; + else if (data_reg[3:0] > 4'b0) + master_writedata[14:3] <= {{9{1'b0}}, {3{1'b1}}}; + else + master_writedata[14:3] <= {12{1'b0}}; + master_writedata[2] <= (data_reg[1] & ~data_reg[0]) | (~data_reg[3] & ~data_reg[2] & data_reg[1]) | (data_reg[2] & ~data_reg[1] & data_reg[0] | (data_reg[3] & data_reg[2] & data_reg[0]) | (data_reg[3] & ~data_reg[2] & ~data_reg[1])); + master_writedata[1] <= ( data_reg[1] & (data_reg[0] ^ (data_reg[2] ^ data_reg[3]))) | (data_reg[3] & data_reg[2] & ~data_reg[1] & ~data_reg[0]); + master_writedata[0] <= (~(data_reg[3] ^ data_reg[2]) & data_reg[1] & data_reg[0]) | (data_reg[2] & data_reg[1] & ~data_reg[0]) | (data_reg[3] & data_reg[1] & ~data_reg[0]) | (data_reg[3] & data_reg[2] & ~data_reg[0]) | (data_reg[3] & ~data_reg[2] & ~data_reg[1] & data_reg[0]); + + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (mutex_acq_readdata[14:12] == 3'b111) // > 10 + data_reg <= {{12{1'b0}}, 1'b1, (mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1]), (mutex_acq_readdata[2] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[0] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[2]), (~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0])}; + else if (mutex_acq_readdata[11:9] == 3'b111) // > 6 only + data_reg <= {{12{1'b0}}, mutex_acq_readdata[2], ~mutex_acq_readdata[2], (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]), (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & mutex_acq_readdata[0])}; + else if (mutex_acq_readdata[8:6] == 3'b111) // > 3 only + data_reg <= {{12{1'b0}}, 1'b0, 1'b1, mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0], mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]}; + else if (mutex_acq_readdata[5:3] == 3'b111) // > 0 only + data_reg <= {{12{1'b0}}, {2{1'b0}}, (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]), (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) }; + else + data_reg <= {16{1'b0}}; + + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_preemph1t) + begin + // This is for Arria II only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //4..0 | 15..11 + ////////////////////////////////////////////////// + //00000 | 00000 + //00001 | 00001 + //00010 | 00101 + //00011 | 01001 + //00100 | 01101 + //00101 | 10001 + //00110 | 10101 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + if (device_family == "Stratix IV") + master_writedata <= {{16{1'b0}}, data_reg[4:0], mutex_acq_readdata[10:0]}; + else + master_writedata <= {{16{1'b0}}, data_reg[2] & data_reg[1] ^ data_reg[0], data_reg[2] & ~data_reg[1] & ~data_reg[0] | (data_reg[1] & data_reg[0]), (data_reg[2] | data_reg[1]) & ~data_reg[0], 1'b0, (data_reg[2] | data_reg[1] | data_reg[0]), mutex_acq_readdata[10:0]}; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (device_family == "Stratix IV") + data_reg <= {{11{1'b0}}, mutex_acq_readdata[15:11]}; + else + data_reg <= {{11{1'b0}}, 1'b0, 1'b0, mutex_acq_readdata[15] | (mutex_acq_readdata[14] & mutex_acq_readdata[13]), mutex_acq_readdata[14] ^ mutex_acq_readdata[13], mutex_acq_readdata[13] ^ mutex_acq_readdata[11]}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_preemph0t || accessing_preemph2t) + begin + if (control_reg[0] == 1'b1) + begin + if (accessing_preemph0t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:5], ~data_reg[4], mutex_acq_readdata[3:0]}; + end + else begin + if (data_reg[4] == 1'b0) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], 4'b0000 - data_reg[3:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], data_reg[3:0]}; + end + end + else if (accessing_preemph2t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], ~data_reg[4], mutex_acq_readdata[2:0]}; + end + else begin + if (data_reg[4] == 1'b0) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:8], 4'b0000 - data_reg[3:0], mutex_acq_readdata[3:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:8], data_reg[3:0], mutex_acq_readdata[3:0]}; + end + end + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (needs_second_access) + begin + data_reg <= mutex_acq_readdata[15:0]; + master_write <= 1'b1; // start access for INV bit + master_addr <= 5'b10000; + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; // DPRIO register address + state <= SET_INFO_ON_BASIC; + needs_second_access <= 1'b0; + end + else begin + if (accessing_preemph0t) + begin + if (mutex_acq_readdata[4] == 1'b1) + data_reg <= {{11{1'b0}}, 1'b0, 4'b0000 - data_reg[3:0]}; + else + data_reg <= {{11{1'b0}}, 1'b1, data_reg[3:0]}; + end + else begin + if (mutex_acq_readdata[3] == 1'b1) + data_reg <= {{11{1'b0}}, 1'b0, 4'b0000 - data_reg[7:4]}; + else + data_reg <= {{11{1'b0}}, 1'b1, data_reg[7:4]}; + end + // unset busy + status_reg <= 2'b0; + state <= IDLE; + end + end + end + else begin // dont know what the operation is + analog_reconfig_readdata <= mutex_acq_readdata; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else + begin + state <= READ_FROM_BASIC_DONE; + end + end + SET_INFO_ON_BASIC: begin + if (set_addr) + begin + master_addr <= 5'b10000; + master_write <= 1'b1; + if (accessing_vod) + begin + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {10{1'b0}}}; + end + else if (accessing_preemph1t) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b01}; + else if (accessing_rxdcgain) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b11, 10'b0}; + else if (accessing_rxeqctrl) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b11, 10'b0000001011}; + else if (accessing_preemph0t || accessing_preemph2t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; + end + else + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b10}; + if (control_reg[1] == 1'b1) // pure read cycle + needs_second_access <= 1'b1; + end + set_addr <= 1'b0; + end + else if (control_reg[1] == 1'b1 || (control_reg[0] == 1'b1 & do_read_before_write)) // read + begin + master_addr <= 5'b01100; + master_write <= 1'b1; + master_writedata <= {{16{1'b0}}, {14{1'b0}}, 2'b10}; // initiate read first + state <= READ_FROM_GXB; + do_read_before_write <= 1'b0; + end + else if (control_reg[0] == 1'b1) // actual write + begin + master_write <= 1'b1; + master_addr <= 5'b01100; + master_writedata <= {{16{1'b0}}, {14{1'b0}}, 2'b01}; // issue write to basic's control_reg to start WRITE + state <= WRITE_TO_GXB; + end + end + READ_FROM_GXB: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + state <= READ_FROM_BASIC; + end + WRITE_TO_GXB: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + begin + //start access to clear interrupt control reg. on slave + master_addr <= 5'b01100; + master_write <= 1'b1; + master_writedata <= {{16{1'b0}}, {13{1'b0}}, 3'b000}; + state <= WRITE_DONE; + end + end + WRITE_DONE: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + begin + if ((accessing_preemph0t || accessing_preemph2t) & (!needs_second_write_access)) + begin + needs_second_write_access <= 1'b1; + do_read_before_write <= 1'b1; + master_write <= 1'b1; + master_addr <= 5'b10000; + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; // DPRIO register address for INV + state <= SET_INFO_ON_BASIC; + end + else begin + needs_second_write_access <= 1'b0; + status_reg <= {1'b0, 1'b0}; // unset busy + analog_reconfig_done <= 1'b1; // send INTERRUPT + state <= IDLE; + end + end + end + default:begin + state <= IDLE; + end + endcase + end +// prev_state <= state; +end + +assign accessing_vod = (addr_offset[4:0] == 5'b0); +assign accessing_preemph0t = (addr_offset[4:0] == 5'b00001); +assign accessing_preemph1t = (addr_offset[4:0] == 5'b00010); +assign accessing_preemph2t = (addr_offset[4:0] == 5'b00011); +assign accessing_rxdcgain = (addr_offset[4] == 1'b1 && addr_offset[3:0] == 4'b0000); +assign accessing_rxeqctrl = (addr_offset[4] == 1'b1 && addr_offset[3:0] == 4'b0001); + +//assign analog_reconfig_address_base = master_addr; +//assign analog_reconfig_write_base = master_write; +//assign analog_reconfig_read_base = master_read; +//assign analog_reconfig_writedata_base = master_writedata; + + + alt_mutex_acq #( + .mutex_wait_time(101), + .addr_width(5), + .data_width(32) + ) + mutex_inst ( + .clk(reconfig_clk), + .reset(reset), + // inputs to the base that should be routed through the mutex + .address(master_addr), + .writedata(master_writedata), + .write(master_write), + .read(master_read), + // output from the mutex which is processed form of output from base + .waitrequest(mutex_acq_waitrequest), + .readdata(mutex_acq_readdata), + + + // outputs from mutex to be routed to the base + .master_address(analog_reconfig_address_base), + .master_writedata(analog_reconfig_writedata_base), + .master_write(analog_reconfig_write_base), + .master_read(analog_reconfig_read_base), + + // these ports are from the base routed to the mutex + .master_waitrequest(analog_reconfig_waitrequest_from_base), + .master_readdata(analog_reconfig_readdata_base), // from MM + + //request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), + // output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) + ); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_basic_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_basic_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..2c4f79b384db04a1ab6911dc33951886c61d0f08 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_basic_tgx.v @@ -0,0 +1,367 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +(* ALTERA_ATTRIBUTE = {"{-to address_pres_reg[11]} DPRIO_CHANNEL_NUM=11;{-to address_pres_reg[10]} DPRIO_CHANNEL_NUM=10;{-to address_pres_reg[9]} DPRIO_CHANNEL_NUM=9;{-to address_pres_reg[8]} DPRIO_CHANNEL_NUM=8;{-to address_pres_reg[7]} DPRIO_CHANNEL_NUM=7;{-to address_pres_reg[6]} DPRIO_CHANNEL_NUM=6;{-to address_pres_reg[5]} DPRIO_CHANNEL_NUM=5;{-to address_pres_reg[4]} DPRIO_CHANNEL_NUM=4;{-to address_pres_reg[3]} DPRIO_CHANNEL_NUM=3;{-to address_pres_reg[2]} DPRIO_CHANNEL_NUM=2;{-to address_pres_reg[1]} DPRIO_CHANNEL_NUM=1;{-to address_pres_reg[0]} DPRIO_CHANNEL_NUM=0; disable_da_rule=\"S102,R102\""} *) +module alt_xcvr_reconfig_basic_tgx +#( parameter number_of_reconfig_interfaces = 1 + ) +( + // avalon clock interface + input wire reconfig_clk, + input wire reset, + + // avalon MM + input wire basic_reconfig_write, + input wire basic_reconfig_read, + input wire [31:0] basic_reconfig_writedata, + input wire [2:0] basic_reconfig_address, // address to MM described below + + output reg [31:0] basic_reconfig_readdata, // reconfig_fromgxb_data + output wire basic_reconfig_waitrequest, + + // avalon MM +// output wire reconfig_write_base, +// output wire reconfig_read_base, +// output wire [31:0] reconfig_writedata_base, +// output wire [4:0] reconfig_address_base, // address to MM described below + +// input wire [31:0] reconfig_readdata_base, // reconfig_fromgxb_data +// input wire reconfig_waitrequest_from_base, + + + // avalon ST interface + input wire [number_of_reconfig_interfaces*17 - 1 : 0] basic_reconfig_fromgxb_data, // dprioout, testbus from altgx : (17+4 bits/quad) + input wire [number_of_reconfig_interfaces*8 - 1 : 0] aeq_fromgxb_data, // for ADCE dprio + output wire [3:0] basic_reconfig_togxb_data, // busy, dprioin, dprioload, dpriodisable and aeq to altgx + output reg [number_of_reconfig_interfaces*24 - 1 : 0] aeq_togxb_data, // for ADCE + output reg [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data, + + output reg basic_reconfig_irq // interrupt to Master +); + +//assign reconfig_write_base = 1'b0; +//assign reconfig_read_base = 1'b0; +//assign reconfig_writedata_base = 32'd0; +//assign reconfig_address_base = 5'd0; + + +// Memeory Map for register indirection +// addr wr/rd description +// ------------------------------------------------------ +// 0 wr/rd mutex : bit[0] +// 1 wr/rd logical_ch_addr (10 bits) +// 2 rd physical_chnl_map +// 3 rd/wr status/control -- bit 0 busy/bit 1 read, bit 0 write, bit 3 = absolute addressing +// 4 wr/rd DPRIO addr_offset +// 5 wr/rd DPRIO data +// 6 rd/wr adce_standby, adce_capture, testbussel : bit 7 -- adcedone, bit 6 -- adcetestbus, bits 5,4 -- adce, 3 to 0 -- bussel +// 7 rd/wr offset cancellation busy output to GX bit 0 + +//local parameters +localparam quad_address_width = 9; +localparam IDLE_STATE = 2'b00; +localparam WRITE_STATE = 2'b01; +localparam READ_STATE = 2'b10; + + +(* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON"} *) +reg [11:0] address_pres_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] logical_channel_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [14:0] dprio_table_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] pma_pcs_id_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg dprio_busy_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] data_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [5:0] testbussel; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] adce_testdata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] control_reg; +// mutex +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_value; +//reg [15:0] mutex_owner; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [10:0] mutex_timeout_cntr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg offset_cancellation_busy; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg basic_reconfig_absolute_addressing; + + +wire [quad_address_width-1:0] quad_address; +wire [quad_address_width-1:0] dprio_quad_address; + +wire busy_state; +wire [15:0] dprio_address; +wire dprio_busy; +wire [15:0] dprio_datain; +wire [15:0] dprio_dataout; +wire dprio_dprioout; +wire dprio_rden; +wire dprio_pulse; +wire rd_pulse; +wire read_done; +wire read_word_done; +wire reset_system; +wire dprio_wren; +wire write_done; +wire wr_pulse; +wire read_data_valid; +wire write_word_done; + +integer i,j; + + +assign basic_reconfig_waitrequest = 1'b0; + +// synopsys translate_off +initial +begin + state = 2'b00; + basic_reconfig_irq = 1'b0; + logical_channel_addr_reg = 12'b0; + testbussel = 0; + control_reg = 0; + mutex_value = 0; + mutex_timeout_cntr = 0; + offset_cancellation_busy = 0; + data_reg = 16'h0000; + dprio_table_addr_reg = 15'h0000; + pma_pcs_id_reg = 2'b00; + +end +// synopsys translate_on + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset === 1'b1) + adce_testdata <= 0; + else begin + for (i=0; i<=1; i=i+1) + begin + adce_testdata[i] <= aeq_fromgxb_data[(logical_channel_addr_reg) + i]; + end + end +end + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset === 1'b1) + begin + state <= IDLE_STATE; + basic_reconfig_readdata <= 16'b0; + basic_reconfig_irq <= 1'b0; + testbussel <= 0; + control_reg <= 0; + // mutex registers + mutex_value <= 0; + mutex_timeout_cntr <= 0; + end + else begin + // mutex + if (basic_reconfig_address == 3'b0) + begin + //read first only update the mutex_value + if (basic_reconfig_read == 1'b1) + begin + basic_reconfig_readdata <= {{31{1'b0}}, mutex_value}; + // if (basic_reconfig_waitrequest == 1'b0) + if (mutex_value == 1'b0) // mutex is free, so grant access + mutex_value <= 1'b1; + end + else if (basic_reconfig_write == 1'b1) + begin + mutex_value <= 0; + end + end + + case (state) + IDLE_STATE: begin + if (basic_reconfig_write == 1'b1) + begin + mutex_timeout_cntr <= 0; // reset the mutex_timeout counter + if (basic_reconfig_address == 3'b001) + begin + logical_channel_addr_reg <= basic_reconfig_writedata[11:0]; + address_pres_reg <= {basic_reconfig_writedata[10:2], 1'b0, basic_reconfig_writedata[1:0]}; //chestan + end + else if (basic_reconfig_address == 3'b100) + begin + dprio_table_addr_reg <= basic_reconfig_writedata[14:0]; + pma_pcs_id_reg <= basic_reconfig_writedata[11:10]; + end + else if (basic_reconfig_address == 3'b011) // control reg + begin + if (basic_reconfig_writedata[0] == 1'b1) // write + begin + state <= WRITE_STATE; + control_reg <= 2'b01; + end + else if (basic_reconfig_writedata[1] == 1'b1) // read + begin + state <= READ_STATE; + control_reg <= 2'b10; + end + if (basic_reconfig_writedata[2] == 1'b0) begin + basic_reconfig_irq <= 1'b0; // clear WRITE interrupt + end + basic_reconfig_absolute_addressing <= basic_reconfig_writedata[3]; // use the full address from dprio_addr, not the derived address + end + else if (basic_reconfig_address == 3'b101) // data + begin + data_reg <= basic_reconfig_writedata[15:0]; + end + else if (basic_reconfig_address == 3'b110) // testbussel + testbussel <= basic_reconfig_writedata[5:0]; + else if (basic_reconfig_address == 3'b111) + offset_cancellation_busy <= basic_reconfig_writedata[0]; + begin + end + end + else if (basic_reconfig_read == 1'b1) + begin + if (basic_reconfig_address == 3'b001) + basic_reconfig_readdata <= {{20{1'b0}}, logical_channel_addr_reg}; + else if (basic_reconfig_address == 3'b010) // physical chnl + basic_reconfig_readdata <= {{21{1'b0}}, address_pres_reg[11:3], address_pres_reg[1:0]}; // check this + else if (basic_reconfig_address == 3'b011) // status + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + else if (basic_reconfig_address == 3'b100) + basic_reconfig_readdata <= {{20{1'b0}}, pma_pcs_id_reg, dprio_table_addr_reg}; + else if (basic_reconfig_address == 3'b101) // DPRIO readdata + begin + basic_reconfig_readdata <= {{16{1'b0}}, data_reg}; + basic_reconfig_irq <= 1'b0; // clear READ interrupt + end + else if (basic_reconfig_address == 3'b110) + basic_reconfig_readdata <= {{24{1'b0}}, adce_testdata, testbussel}; + else if (basic_reconfig_address == 3'b111) // testbusdata + basic_reconfig_readdata <= {{31{1'b0}}, offset_cancellation_busy}; + if (basic_reconfig_address != 3'b0) // if not reading the mutex val + mutex_timeout_cntr <= 0; // reset the mutex counter + end + else if (mutex_value == 1'b1) // if mutex was granted to someone + if (mutex_timeout_cntr == 10'd1000) // and they didnt do anything for 1000 cycles + begin + mutex_value <= 1'b0; // reset the mutex and give it to the next person + mutex_timeout_cntr <= 0; + end + else + mutex_timeout_cntr <= mutex_timeout_cntr[9:0] + 10'b1; // count up the timer from the last access + end + WRITE_STATE: begin + if (write_done) + begin + state <= IDLE_STATE; + basic_reconfig_irq <= 1'b1; // send interrupt to master + end + // allow status_register read here + if (basic_reconfig_read == 1'b1 && basic_reconfig_address == 3'b011) + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + end + READ_STATE: begin + if (read_word_done == 1'b1) + begin + data_reg <= dprio_dataout; + basic_reconfig_irq <= 1'b1; // send interrupt + state <= IDLE_STATE; + end + // allow status_register read here + if (basic_reconfig_read == 1'b1 && basic_reconfig_address == 3'b011) + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + end + default: begin + state <= IDLE_STATE; + end + endcase + end +end + +// synopsys translate_off +initial address_pres_reg = 0; +// synopsys translate_on + +assign quad_address = logical_channel_addr_reg[10:2]; +assign dprio_address[15:0] = basic_reconfig_absolute_addressing ? {1'b0, dprio_table_addr_reg[14:0]} : {1'b0, address_pres_reg[2], address_pres_reg[1:0], pma_pcs_id_reg[1:0], dprio_table_addr_reg[9:0]}; +assign dprio_quad_address = address_pres_reg[11:3]; +assign dprio_dprioout = basic_reconfig_fromgxb_data[quad_address * 17]; +assign dprio_datain = data_reg; +assign dprio_rden = rd_pulse; +assign rd_pulse = (state == READ_STATE) & ~dprio_pulse & ~read_done; +assign read_done = read_word_done | reset_system; +assign read_word_done = (state == READ_STATE) & read_data_valid; +assign read_data_valid = (state == READ_STATE) & dprio_pulse; +assign dprio_wren = wr_pulse; +assign wr_pulse = (state == WRITE_STATE) & ~dprio_pulse & ~write_done; +assign write_done = write_word_done | reset_system; +assign write_word_done = (state == WRITE_STATE) & dprio_pulse; +assign reset_system = reset; +assign busy_state = (state == READ_STATE) | (state == WRITE_STATE); + +// synopsys translate_off +initial dprio_busy_reg = 0; +// synopsys translate_on + +always @(posedge reconfig_clk) dprio_busy_reg <= dprio_busy; +assign dprio_pulse = (dprio_busy_reg ^ dprio_busy) & (~dprio_busy); + +alt_dprio inst_alt_dprio (.dpclk(reconfig_clk), + .datain(dprio_datain), + .address(dprio_address), + .wren(dprio_wren), + .rden(dprio_rden), +// .rdinc(), + .wren_data(1'b0), + .dprioout(dprio_dprioout), // reconfig_fromgxb_data[0] + .quad_address(dprio_quad_address), + // outputs + .dprioin(basic_reconfig_togxb_data[0]), + .dpriodisable(basic_reconfig_togxb_data[1]), + .dprioload(basic_reconfig_togxb_data[2]), + .dataout(dprio_dataout), + .busy(dprio_busy) + ); + +//assign testbus_data = (testbussel == 3'b110) ? basic_reconfig_fromgxb_data : 'b0; + +assign basic_reconfig_togxb_data[3] = offset_cancellation_busy; + +always @(basic_reconfig_fromgxb_data) +begin + for (i=0; i<number_of_reconfig_interfaces; i=i+1) + begin + for (j=0; j<= 15; j=j+1) + begin + testbus_data[(i*16) + j] = basic_reconfig_fromgxb_data[(i*17) + j+1]; + end + end +end + +always @(logical_channel_addr_reg or testbussel) +begin + aeq_togxb_data = {number_of_reconfig_interfaces*24{1'b0}}; + for (i=0; i<=5; i=i+1) + begin + aeq_togxb_data[(logical_channel_addr_reg*6) + i] = testbussel[i]; + end +end +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_dfe_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_dfe_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..107fa27aa9d4e7684eaf3ff65891450a40db21ac --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_dfe_tgx.sv @@ -0,0 +1,502 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_dfe_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] dfe_address, // Check this! fix me! + input wire [31:0] dfe_writedata, + input wire dfe_write, + input wire dfe_read, + + //output MM slave + output wire [31:0] dfe_readdata, // from MM + output wire dfe_waitrequest, // from MM + + output reg dfe_irq, + + // input from base_reconfig + input wire dfe_irq_from_base, + input wire dfe_waitrequest_from_base, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] dfe_address_base, // 3 bit MM + output wire [31:0] dfe_writedata_base, + output wire dfe_write_base, // start write to GXB + output wire dfe_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] dfe_readdata_base // data from read command + +); + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; +wire [4:0] dfe_addr_all; // 5 bit MM + +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_dfe instantiation +wire alt_dfe_busy; +wire [15:0] alt_dfe_dprio_dataout; +wire alt_dfe_dprio_wren; +wire alt_dfe_dprio_rden; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_dfe_error; + +wire [15:0] alt_dfe_dprio_addr; +wire [8:0] alt_dfe_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_dfe_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_dfe_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_dfe_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_dfe_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// synopsys translate_off +initial begin + state = 3'b000; + alt_dfe_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + alt_dfe_dprio_datain = 16'h0000; + alt_dfe_dprio_busy = 1'b0; + alt_dfe_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + dfe_irq = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_dfe_dprio_busy_int<= 1'b0; + dfe_irq <= 1'b0; + end + else begin + if(alt_dfe_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + dfe_irq <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_dfe_remap_addr <= 12'h000; + + //alt_dfe will not assert wren and rden at the same time + if((alt_dfe_dprio_wren == 1'b1) || (alt_dfe_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_dfe_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_dfe_quad_addr, alt_dfe_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_dfe_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_dfe_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{20{1'b0}}, alt_dfe_dprio_addr[11:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_dfe_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio + master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_dfe_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(dfe_irq_from_base == 1'b1) + begin + alt_dfe_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_dfe_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(dfe_irq_from_base == 1'b1) + begin + if(alt_dfe_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_dfe_dprio_busy_int <= master_read_data[8]; + alt_dfe_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_dfe_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_dfe_dprio_busy <= 1'b0; + dfe_irq <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(dfe_irq_from_base == 1'b1) + begin + if(alt_dfe_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_dfe_dprio_busy_int <= master_read_data[8]; + alt_dfe_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_dfe_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + dfe_irq <= 1'b1; // read done + alt_dfe_dprio_datain <= master_read_data[15:0]; + alt_dfe_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + +alt_dfe #( + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)), + .avmm_slave_addr_width (3), + .avmm_slave_rdata_width ( 32), + .avmm_slave_wdata_width (32) +)alt_dfe_inst( + .i_resetn (~reset), + .i_avmm_clk (reconfig_clk), + .i_avmm_saddress (dfe_address), + .i_avmm_sread (dfe_read), + .i_avmm_swrite (dfe_write), + .i_avmm_swritedata (dfe_writedata), +//. i_avmm_sbegintransfer, + .o_avmm_sreaddata (dfe_readdata), + .o_avmm_swaitrequest (dfe_waitrequest), + + .i_remap_address (alt_dfe_remap_addr), + .o_quad_address (alt_dfe_quad_addr), + .o_reconfig_busy (alt_dfe_busy), + + .i_dprio_busy (alt_dfe_dprio_busy), + .i_dprio_in (alt_dfe_dprio_datain), + .o_dprio_wren (alt_dfe_dprio_wren), + .o_dprio_rden (alt_dfe_dprio_rden), + .o_dprio_addr (alt_dfe_dprio_addr), + .o_dprio_data (alt_dfe_dprio_dataout) +); +alt_mutex_acq #( + .mutex_wait_time(103), //analog uses 101, offset cancellation uses 102 + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address(dfe_addr_all), + .master_writedata(dfe_writedata_base), + .master_write(dfe_write_base), + .master_read(dfe_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(dfe_waitrequest_from_base), + .master_readdata(dfe_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); +assign dfe_address_base = dfe_addr_all[ 4:2]; +//wire assignment +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_eyemon_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_eyemon_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..cb0a8d147058a8522ece5c1f9b7c0eb17b8ec6bc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_eyemon_tgx.sv @@ -0,0 +1,504 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_eyemon_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] eyemon_address, // Check this! fix me! + input wire [31:0] eyemon_writedata, + input wire eyemon_write, + input wire eyemon_read, + + //output MM slave + output wire [31:0] eyemon_readdata, // from MM + output wire eyemon_waitrequest, // from MM + + output reg eyemon_irq, + + // input from base_reconfig + input wire eyemon_irq_from_base, + input wire eyemon_waitrequest_from_base, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] eyemon_address_base, // 3 bit MM + output wire [31:0] eyemon_writedata_base, + output wire eyemon_write_base, // start write to GXB + output wire eyemon_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] eyemon_readdata_base // data from read command + +); + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; + +wire [4:0] eyemon_address_all; // 5 bit MM +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_eyemon instantiation +wire alt_eyemon_busy; +wire [15:0] alt_eyemon_dprio_dataout; +wire alt_eyemon_dprio_wren; +wire alt_eyemon_dprio_rden; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_eyemon_error; + +wire [15:0] alt_eyemon_dprio_addr; +wire [8:0] alt_eyemon_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_eyemon_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_eyemon_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_eyemon_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_eyemon_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// synopsys translate_off +initial begin + state = 3'b000; + alt_eyemon_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + alt_eyemon_dprio_datain = 16'h0000; + alt_eyemon_dprio_busy = 1'b0; + alt_eyemon_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + eyemon_irq = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_eyemon_dprio_busy_int<= 1'b0; + eyemon_irq <= 1'b0; + end + else begin + if(alt_eyemon_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + eyemon_irq <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_eyemon_remap_addr <= 12'h000; + + //alt_eyemon will not assert wren and rden at the same time + if((alt_eyemon_dprio_wren == 1'b1) || (alt_eyemon_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_eyemon_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_eyemon_quad_addr, alt_eyemon_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_eyemon_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_eyemon_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{20{1'b0}}, alt_eyemon_dprio_addr[11:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_eyemon_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio + master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_eyemon_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(eyemon_irq_from_base == 1'b1) + begin + alt_eyemon_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_eyemon_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(eyemon_irq_from_base == 1'b1) + begin + if(alt_eyemon_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_eyemon_dprio_busy_int <= master_read_data[8]; + alt_eyemon_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_eyemon_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_eyemon_dprio_busy <= 1'b0; + eyemon_irq <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(eyemon_irq_from_base == 1'b1) + begin + if(alt_eyemon_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_eyemon_dprio_busy_int <= master_read_data[8]; + alt_eyemon_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_eyemon_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + eyemon_irq <= 1'b1; // read done + alt_eyemon_dprio_datain <= master_read_data[15:0]; + alt_eyemon_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + +alt_eyemon #( + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)), + .avmm_slave_addr_width (3), + .avmm_slave_rdata_width ( 32), + .avmm_slave_wdata_width (32) +)alt_eyemon_inst( + .i_resetn (~reset), + .i_avmm_clk (reconfig_clk), + .i_avmm_saddress (eyemon_address), + .i_avmm_sread (eyemon_read), + .i_avmm_swrite (eyemon_write), + .i_avmm_swritedata (eyemon_writedata), +//. i_avmm_sbegintransfer, + .o_avmm_sreaddata (eyemon_readdata), + .o_avmm_swaitrequest (eyemon_waitrequest), + + .i_remap_phase (1'b1), // need to figure out best way to control this - for now, always remap - fix me! + .i_remap_address (alt_eyemon_remap_addr), + .o_quad_address (alt_eyemon_quad_addr), + .o_reconfig_busy (alt_eyemon_busy), + + .i_dprio_busy (alt_eyemon_dprio_busy), + .i_dprio_in (alt_eyemon_dprio_datain), + .o_dprio_wren (alt_eyemon_dprio_wren), + .o_dprio_rden (alt_eyemon_dprio_rden), + .o_dprio_addr (alt_eyemon_dprio_addr), + .o_dprio_data (alt_eyemon_dprio_dataout) +); +alt_mutex_acq #( + .mutex_wait_time(103), //analog uses 101, offset cancellation uses 102 + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address({eyemon_address_all}), + .master_writedata(eyemon_writedata_base), + .master_write(eyemon_write_base), + .master_read(eyemon_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(eyemon_waitrequest_from_base), + .master_readdata(eyemon_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); + +//wire assignment +assign eyemon_address_base = eyemon_address_all[4:2]; +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..102856f4162133620df696f7e6ccb75bc2dca7f4 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_h.sv @@ -0,0 +1,266 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Top-level definitions for transceiver reconfig IP +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_xcvr_reconfig_h; + + //////////////////////////////////////////////////////// + // Top-level register map for transceiver reconfig IP + //////////////////////////////////////////////////////// + localparam W_XR_ADDR = 7; // address width on mgmt interface + localparam W_XR_FEATURE_LADDR = 3; // address width of standard feature block, and basic logical interface + typedef bit [W_XR_ADDR -1:0] t_xreconf_addr; + typedef bit [W_XR_FEATURE_LADDR-1:0] t_xr_feature_addr; + + // Feature block indices (used for address decoding for each block) + localparam INDEX_XR_OFFSET = 0; + localparam INDEX_XR_ANALOG = 1; + localparam INDEX_XR_EYEMON = 2; + localparam INDEX_XR_DFE = 3; + localparam INDEX_XR_DIRECT = 4; + localparam INDEX_XR_ADCE = 5; + localparam INDEX_XR_LC = 6; + localparam INDEX_XR_MIF = 7; + localparam INDEX_XR_PLL = 8; + localparam INDEX_XR_DCD = 9; + localparam INDEX_XR_END = 10; // must always mark end of address space + + // Each feature block is allocated an 8-word address range + localparam [W_XR_ADDR-1:0] ADDR_XR_OFFSET_BASE = t_xreconf_addr'(INDEX_XR_OFFSET << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_BASE = t_xreconf_addr'(INDEX_XR_ANALOG << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_BASE = t_xreconf_addr'(INDEX_XR_EYEMON << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_BASE = t_xreconf_addr'(INDEX_XR_DFE << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_BASE = t_xreconf_addr'(INDEX_XR_DIRECT << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_BASE = t_xreconf_addr'(INDEX_XR_ADCE << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_LC_BASE = t_xreconf_addr'(INDEX_XR_LC << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_BASE = t_xreconf_addr'(INDEX_XR_MIF << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_BASE = t_xreconf_addr'(INDEX_XR_PLL << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_BASE = t_xreconf_addr'(INDEX_XR_DCD << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_END_BASE = t_xreconf_addr'(INDEX_XR_END << W_XR_FEATURE_LADDR); // must always mark end of address space + + localparam [W_XR_FEATURE_LADDR-1:0] XR_STATUS_OFST = t_xr_feature_addr'(2); + localparam XR_STATUS_OFST_COMB_BUSY = 8; + + //////////////////////////////////////////////////////// + // Offset Cancellation block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_OFFSET_STATUS = t_xreconf_addr'(ADDR_XR_OFFSET_BASE + 2); + + + //////////////////////////////////////////////////////// + // Analog block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_LCH = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_PCH = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_STATUS = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_OFFSET = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_DATA = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 4); + + // Analog internal register offsets + // These are to be written to the analog offset address register, ADDR_XR_ANALOG_OFFSET + localparam XR_ANALOG_OFFSET_VOD = 0; + localparam XR_ANALOG_OFFSET_PREEMPH0T = 1; + localparam XR_ANALOG_OFFSET_PREEMPH1T = 2; + localparam XR_ANALOG_OFFSET_PREEMPH2T = 3; + localparam XR_ANALOG_OFFSET_RXDCGAIN = 16; + localparam XR_ANALOG_OFFSET_RXEQCTRL = 17; + localparam XR_ANALOG_OFFSET_PRECDRLPBK = 32; + localparam XR_ANALOG_OFFSET_POSTCDRLPBK = 33; + + //////////////////////////////////////////////////////// + // DFE block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_LCH = t_xreconf_addr'(ADDR_XR_DFE_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_PCH = t_xreconf_addr'(ADDR_XR_DFE_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_STATUS = t_xreconf_addr'(ADDR_XR_DFE_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_OFFSET = t_xreconf_addr'(ADDR_XR_DFE_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_DATA = t_xreconf_addr'(ADDR_XR_DFE_BASE + 4); + + // DFE internal register offsets + // These are to be written to the DFE offset address register, ADDR_XR_DFE_OFFSET + localparam XR_DFE_OFFSET_CTRL = 0; + localparam XR_DFE_OFFSET_TAP1 = 1; + localparam XR_DFE_OFFSET_TAP2 = 2; + localparam XR_DFE_OFFSET_TAP3 = 3; + localparam XR_DFE_OFFSET_TAP4 = 4; + localparam XR_DFE_OFFSET_TAP5 = 5; + localparam XR_DFE_OFFSET_REF = 6; + localparam XR_DFE_OFFSET_STEP = 7; + localparam XR_DFE_OFFSET_DFE12 = 18; + localparam XR_DFE_OFFSET_DFE13 = 19; + localparam XR_DFE_OFFSET_DFE14 = 20; + localparam XR_DFE_OFFSET_DFE15 = 21; + localparam XR_DFE_OFFSET_CAL_PLL = 22; + localparam XR_DFE_OFFSET_CAL_TBUS = 23; + localparam XR_DFE_OFFSET_CAL_SAMPL = 24; + //////////////////////////////////////////////////////// + // Eyemon block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_LCH = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_PCH = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_STATUS = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_OFFSET = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_DATA = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 4); + + // EYEMON internal register offsets + // These are to be written to the EYEMON offset address register, ADDR_XR_EYEMON_OFFSET + localparam XR_EYEMON_OFFSET_CTRL = 0; + localparam XR_EYEMON_OFFSET_HPHASE = 1; + localparam XR_EYEMON_OFFSET_VHEIGHT = 2; + localparam XR_EYEMON_OFFSET_EYEMON16 = 3; + localparam XR_EYEMON_OFFSET_EYEMON17 = 4; + + //////////////////////////////////////////////////////// + // ADCE block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_LCH = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 0); // Logical channel number + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_PCH = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 1); // Physical channel number + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_STATUS = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_OFFSET = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_DATA = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 4); + + // ADCE internal register offsets + // These are to be written to the ADCE offset address register, ADDR_XR_ADCE_OFFSET + localparam XR_ADCE_OFFSET_CTRL = 0; + localparam XR_ADCE_OFFSET_RESULTS = 1; // Manual setting equivalent to ADCE results + localparam XR_ADCE_OFFSET_RADCE_ATT_0 = 9; // radce_att[15: 0] + localparam XR_ADCE_OFFSET_RADCE_ATT_1 = 10; // radce_att[31:15] + localparam XR_ADCE_OFFSET_RADCE_ATT_2 = 11; // radce_att[47:32] + localparam XR_ADCE_OFFSET_RADCE_ATT_3 = 12; // radce_att[63:48] + localparam XR_ADCE_OFFSET_RADCE_ATT_4 = 13; // radce_att[79:64] + localparam XR_ADCE_OFFSET_RADCE_ATT_5 = 14; // radce_att[95:80] + localparam XR_ADCE_OFFSET_RADCE_ATT_6 = 15; // radce_att[111:96] + + //////////////////////////////////////////////////////// + // DCD block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_LCH = t_xreconf_addr'(ADDR_XR_DCD_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_PCH = t_xreconf_addr'(ADDR_XR_DCD_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_STATUS = t_xreconf_addr'(ADDR_XR_DCD_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_OFFSET = t_xreconf_addr'(ADDR_XR_DCD_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_DATA = t_xreconf_addr'(ADDR_XR_DCD_BASE + 4); + + // DCD internal register offsets + // These are to be written to the DFE offset address register, ADDR_XR_DCD_OFFSET + localparam XR_DCD_OFFSET_CTRL = 0; + + //////////////////////////////////////////////////////// + // MIF block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_LCH = t_xreconf_addr'(ADDR_XR_MIF_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_PCH = t_xreconf_addr'(ADDR_XR_MIF_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_STATUS = t_xreconf_addr'(ADDR_XR_MIF_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_OFFSET = t_xreconf_addr'(ADDR_XR_MIF_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_DATA = t_xreconf_addr'(ADDR_XR_MIF_BASE + 4); + + //////////////////////////////////////////////////////// + // PLL block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_LCH = t_xreconf_addr'(ADDR_XR_PLL_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_PCH = t_xreconf_addr'(ADDR_XR_PLL_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_STATUS = t_xreconf_addr'(ADDR_XR_PLL_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_OFFSET = t_xreconf_addr'(ADDR_XR_PLL_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_DATA = t_xreconf_addr'(ADDR_XR_PLL_BASE + 4); + + + + + //////////////////////////////////////////////////////// + // Basic block addresses (internal, private addresses) + //////////////////////////////////////////////////////// + // The 'basic' interface block is the switch that routes requests to + // an appropriate physical reconfiguration interface. A logical channel + // number acts as a channel ID, which allows the basic block to find + // the corresponding physical reconfiguration interface, and a physical + // channel index within a physical interface. + // + // The Basic (B) block features are also available via the direct access block. + // All users of the basic block, including the direct access block, must + // acquire appropriate semaphores before using an interface, and release + // the semaphores when done, to avoid locking out other feature blocks. + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_MUTEX = t_xr_feature_addr'(0); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_LOGICAL_CHANNEL = t_xr_feature_addr'(1); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_PHYSICAL_CHANNEL = t_xr_feature_addr'(2); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_CONTROL = t_xr_feature_addr'(3); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_OFFSET_ADDR = t_xr_feature_addr'(4); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_DATA = t_xr_feature_addr'(5); + + + //////////////////////////////////////////////////////// + // Direct Access & Basic block addresses + //////////////////////////////////////////////////////// + // + // The minimum steps to read & write a reconfiguration word are the following: + // Step 1 - acquire basic arbiter lock (write 1 to ADDR_XR_DIRECT_ARB_ACQ) + // Step 2 - write logical channel number to ADDR_XR_DIRECT_LCH + // Step 3 - acquire channel lock + // 3a - request channel lock (write XR_DIRECT_CONTROL_PHYS_LOCK_SET to ADDR_XR_DIRECT_CONTROL) + // 3b - confirm channel lock (read ADDR_XR_DIRECT_CONTROL, mask with XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_GRANTED) + // -- repeat step 3b until result after applying mask is != 0 + // Step 4 - write channel offset address to ADDR_XR_DIRECT_OFFSET + // Step 5 - read existing value from reconfig space, modify, then write back + // 5a (read cycle part 1) - write XR_DIRECT_CONTROL_RECONF_READ to ADDR_XR_DIRECT_CONTROL + // 5b (read cycle part 2) - read data from ADDR_XR_DIRECT_DATA + // 5c (write cycle part 1) - write modified value to ADDR_XR_DIRECT_DATA + // 5d (write cycle part 2) - write XR_DIRECT_CONTROL_RECONF_WRITE to ADDR_XR_DIRECT_CONTROL + // -- addtional read-modify-write cycles, repeat from step 2 or 4 (can skip step 3a) + // Step 6 - release channel lock (write XR_DIRECT_CONTROL_PHYS_LOCK_CLEAR to ADDR_XR_DIRECT_CONTROL) + // Step 7 - release basic arbiter lock (write 0 to ADDR_XR_DIRECT_ARB_ACQ) + // + // Direct/Basic register bitmap --------------------------------------------------------- + // word addr wr/rd description + // ------------------------------------------------------ + // 0 wr basic arbiter, 1 to request access, 0 to release lock + // 1 wr/rd logical channel number + // 2 rd physical channel number. When lower 3 bits are 3'b111, means ch is not present + // 3 wr/rd status/control -- see XR_DIRECT_CONTROL_* opcodes and XR_DIRECT_STATUS_* bitfield definitions + // 4 wr/rd offset_addr -- for opcode-based reads & writes + // 5 wr/rd data -- for opcode-based reads & writes + // 6 -- reserved + // 7 -- reserved + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_ARB_ACQ = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + 0); // write 1 to request B access, 0 to release + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_LCH = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_LOGICAL_CHANNEL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_PCH = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_PHYSICAL_CHANNEL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_CONTROL = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_CONTROL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_OFFSET = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_OFFSET_ADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_DATA = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_DATA); + + // Opcode values for writes to control word, ADDR_XR_DIRECT_CONTROL + localparam XR_DIRECT_CONTROL_RECONF_WRITE = 32'b0000; // reconfig space: write current DATA to OFFSET addr (as physical addr or ch offset addr) + localparam XR_DIRECT_CONTROL_RECONF_READ = 32'b0001; // reconfig space: read from OFFSET_ADDR, save result in DATA + localparam XR_DIRECT_CONTROL_LADDR_SET = 32'b0010; // interpret OFFSET_ADDR as logical addr, with automatic ch addr offset + localparam XR_DIRECT_CONTROL_PADDR_SET = 32'b0011; // interpret OFFSET_ADDR as physical addr, with no automatic addr offset + localparam XR_DIRECT_CONTROL_PHYS_LOCK_CLEAR = 32'b0100; // clear lock request for current channel + localparam XR_DIRECT_CONTROL_PHYS_LOCK_SET = 32'b0101; // set lock request for current channel + localparam XR_DIRECT_CONTROL_ADDR_AUTO_INCR_CLEAR = 32'b0110; // clear auto-write-and-address-increment mode for data writes + localparam XR_DIRECT_CONTROL_ADDR_AUTO_INCR_SET = 32'b0111; // set auto-write-and-address-increment mode for data writes + localparam XR_DIRECT_CONTROL_INTERNAL_WRITE = 32'b1000; // Internal registers, mainly testbus control + //localparam XR_DIRECT_CONTROL_INTERNAL_READ = 32'b1001; // internal reg space: read from OFFSET_ADDR, save result in DATA + localparam XR_DIRECT_CONTROL_TABLE_READ = 32'b1011; // ROM table lookup, especially for PLL and clock mux remapping + + // Read of control/status reg returns this bitfield data + localparam XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_GRANTED = 32'b0001; // on read, bit 0 is grant status + localparam XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_REQUESTED = 32'b0010; // on read, bit 1 is physical lock request flag + localparam XR_DIRECT_STATUS_BITMASK_USING_PHYS_ADDR = 32'b0100; // on read, bit 2 is physical addr mode indicator (0 mean logical addr) + localparam XR_DIRECT_STATUS_BITMASK_USING_ADDR_AUTO_INCR = 32'b1000; // on read, bit 3 is auto-write-and-addr-incr mode indicator + + // Internal register addresses, for read/write via these opcodes: XR_DIRECT_CONTROL_INTERNAL_* + localparam XR_DIRECT_OFFSET_TESTBUS_SEL = 2'd0; // internal register for testbus sel + + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation.sv new file mode 100644 index 0000000000000000000000000000000000000000..0dd5517bb661acbd542861fa584fe89ae3aa336c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation.sv @@ -0,0 +1,174 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_offset_cancellation +#( + parameter device_family = "StratixV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] offset_cancellation_address, // MM address + input wire [31:0] offset_cancellation_writedata, + input wire offset_cancellation_write, + input wire offset_cancellation_read, + + //output MM slave + output wire [31:0] offset_cancellation_readdata, // from MM + + output wire offset_cancellation_done, + + // input from base_reconfig + input wire offset_cancellation_irq_from_base, + input wire offset_cancellation_waitrequest_from_base, + output wire offset_cancellation_waitrequest, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] offset_cancellation_address_base, // 3 bit MM + output wire [31:0] offset_cancellation_writedata_base, + output wire offset_cancellation_write_base, // start write to GXB + output wire offset_cancellation_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] offset_cancellation_readdata_base, // data from read command + + // Avalon ST + input wire [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data, + + // external connect to switch fabric: request basic access from arbiter + output wire arb_req, + input wire arb_grant + +); + +import altera_xcvr_functions::*; +localparam is_s4 = has_s4_style_hssi(device_family); +localparam is_s5 = has_s5_style_hssi(device_family); +localparam is_a5 = has_a5_style_hssi(device_family); + + +generate + //Deepak - Namespace support for AII GX and CIVGX + if(is_s4) + begin + wire [4:0] w_tgx_offset_cancellation_address_base; + alt_xcvr_reconfig_offset_cancellation_tgx + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_tgx + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(w_tgx_offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data) + ); + assign offset_cancellation_address_base = w_tgx_offset_cancellation_address_base[4:2]; + assign arb_req = 1'b0 & arb_grant; // not currently used in S4 architecture + end + else if (is_s5) + begin + alt_xcvr_reconfig_offset_cancellation_sv + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_sv + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data[7:0]), // testbus data is now provided on a per-channel basis from the 'B' - only need the lower 8 bits + .arb_req(arb_req), + .arb_grant(arb_grant) + ); + end + else if (is_a5) + begin + alt_xcvr_reconfig_offset_cancellation_av + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_av + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data[7:0]), // testbus data is now provided on a per-channel basis from the 'B' - only need the lower 8 bits + .arb_req(arb_req), + .arb_grant(arb_grant) + ); + end + else + begin + assign offset_cancellation_readdata = 32'd0; + assign offset_cancellation_done = 1'd0; + assign offset_cancellation_waitrequest = 1'd0; + assign offset_cancellation_address_base = 3'd0; + assign offset_cancellation_writedata_base = 32'd0; + assign offset_cancellation_write_base = 1'd0; + assign offset_cancellation_read_base = 1'd0; + assign arb_req = 1'd0; + end + + + +endgenerate + +endmodule + + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..01ee1edc16393c8b5f525ce12734b754321f57b7 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation_tgx.v @@ -0,0 +1,581 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_offset_cancellation_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire offset_cancellation_address, // MM address + input wire [31:0] offset_cancellation_writedata, + input wire offset_cancellation_write, + input wire offset_cancellation_read, + + //output MM slave + output reg [31:0] offset_cancellation_readdata, // from MM + + output reg offset_cancellation_done, + + // input from base_reconfig + input wire offset_cancellation_irq_from_base, + input wire offset_cancellation_waitrequest_from_base, + + output wire offset_cancellation_waitrequest, + + // output to base_reconfig + // Avalon MM Master + output wire [4:0] offset_cancellation_address_base, // 3 bit MM + output wire [31:0] offset_cancellation_writedata_base, + output wire offset_cancellation_write_base, // start write to GXB + output wire offset_cancellation_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] offset_cancellation_readdata_base, // data from read command + + // Avalon ST + input wire [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data +); + +/////////////////////////////////////////////////////////////////// +// Memory map | wr/rd | Description +//---------------------------------------------------------------- +// 0 | wr/rd | [31:10] Reserved +// | | [9] Error +// | | [8] Busy +// | | [7:1] Reserved +// | | [0] Start (hidden for QII 9.1) +/////////////////////////////////////////////////////////////////// + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; + +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_error_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_cal instantiation +wire alt_cal_busy; +wire [15:0] alt_cal_dprio_dataout; +wire alt_cal_dprio_wren; +wire alt_cal_dprio_rden; +wire alt_cal_retain_addr; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_cal_error; + +wire [number_of_reconfig_interfaces*16 - 1 : 0] alt_cal_testbuses; +wire [15:0] alt_cal_dprio_addr; +wire [8:0] alt_cal_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_cal_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_cal_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// Implement waitrequest to match previous implementation +altera_wait_generate wait_gen( + .rst(reset), + .clk(reconfig_clk), + .launch_signal(offset_cancellation_read), + .wait_req(offset_cancellation_waitrequest) +); + +// synopsys translate_off +initial begin + state = 3'b000; + alt_cal_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + // alt_cal_testbuses = 0; + alt_cal_dprio_datain = 16'h0000; + alt_cal_dprio_busy = 1'b0; + alt_cal_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + alt_cal_error_reg = 1'b0; + offset_cancellation_done = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + offset_cancellation_readdata[31:0] <= {32{1'b0}}; + alt_cal_error_reg <= 1'b0; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_cal_dprio_busy_int<= 1'b0; + offset_cancellation_done <= 1'b0; + end + else begin + if(offset_cancellation_read == 1'b1) + begin + if(offset_cancellation_address == 1'b0) + begin + offset_cancellation_readdata <= {{22{1'b0}}, alt_cal_error_reg, alt_cal_busy , {8{1'b0}}}; + end + end + + for(count=0; count < (number_of_reconfig_interfaces*4); count=count+1) + begin + alt_cal_error_reg = alt_cal_error[count] | alt_cal_error_reg; + end + + if(alt_cal_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + offset_cancellation_done <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_cal_remap_addr <= 12'h000; + + //al_cal will not assert wren and rden at the same time + if((alt_cal_dprio_wren == 1'b1) || (alt_cal_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_cal_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else if(alt_cal_retain_addr == 1'b1) + begin + if(mutex_grant == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_cal_quad_addr, alt_cal_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_cal_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_cal_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{17{1'b0}}, alt_cal_dprio_addr[14:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_cal_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio +// master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write_data <= {{27{1'b0}}, 1'b1, 1'b0, (~write_read_control), write_read_control}; // use absolute addressing + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_cal_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + alt_cal_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_cal_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + if(alt_cal_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_cal_dprio_busy_int <= master_read_data[8]; + alt_cal_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_cal_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_cal_dprio_busy <= 1'b0; + offset_cancellation_done <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + if(alt_cal_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_cal_dprio_busy_int <= master_read_data[8]; + alt_cal_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_cal_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + offset_cancellation_done <= 1'b1; // read done + alt_cal_dprio_datain <= master_read_data[15:0]; + alt_cal_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + + + +generate + +//Deepak Use alt_cal_mm for SIV and AIIGX +if((device_family=="Stratix IV") || (device_family=="Arria II GX") || (device_family=="Arria II GZ") || (device_family=="HardCopy IV")) +begin + alt_cal_mm #( + .number_of_channels (number_of_reconfig_interfaces*4), + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)) + )alt_cal_inst + ( + .clock(reconfig_clk), + .reset(reset), + .start(), + .busy(alt_cal_busy), + .dprio_addr(alt_cal_dprio_addr), // only extract the logical channel address + .quad_addr(alt_cal_quad_addr), + .dprio_dataout(alt_cal_dprio_dataout), + .dprio_datain(alt_cal_dprio_datain), + .dprio_wren(alt_cal_dprio_wren), + .dprio_rden(alt_cal_dprio_rden), + .dprio_busy(alt_cal_dprio_busy), + .retain_addr(alt_cal_retain_addr), + .remap_addr(alt_cal_remap_addr), + .testbuses(alt_cal_testbuses), + .cal_error(alt_cal_error) + ); +end +//Deepak: Use alt_cal_c3gxb for Cyclone IV GX +if(device_family=="Cyclone IV GX") +begin + alt_cal_c3gxb alt_cal_inst + ( + .clock(reconfig_clk), + .reset(reset), + .start(), + .busy(alt_cal_busy), + .dprio_addr(alt_cal_dprio_addr), // only extract the logical channel address + .quad_addr(alt_cal_quad_addr), + .dprio_dataout(alt_cal_dprio_dataout), + .dprio_datain(alt_cal_dprio_datain), + .dprio_wren(alt_cal_dprio_wren), + .dprio_rden(alt_cal_dprio_rden), + .dprio_busy(alt_cal_dprio_busy), + .retain_addr(alt_cal_retain_addr), + .remap_addr(alt_cal_remap_addr), + .testbuses(alt_cal_testbuses), + .cal_error(alt_cal_error) + ); +defparam + alt_cal_inst.number_of_channels = number_of_reconfig_interfaces*4, + alt_cal_inst.channel_address_width = CLogB2(number_of_reconfig_interfaces*4); +end +endgenerate + +alt_mutex_acq #( + .mutex_wait_time(102), ///Thia: pick a different mutex wait time to avoid collision. Say 102. (since analog is already using 101) + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address(offset_cancellation_address_base), + .master_writedata(offset_cancellation_writedata_base), + .master_write(offset_cancellation_write_base), + .master_read(offset_cancellation_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(offset_cancellation_waitrequest_from_base), + .master_readdata(offset_cancellation_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); + +//wire assignment +assign alt_cal_testbuses = testbus_data; +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_siv.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_siv.sv new file mode 100644 index 0000000000000000000000000000000000000000..727be748a008f29b2f9649fef902f9787b9b1f47 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_reconfig_siv.sv @@ -0,0 +1,441 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Transceiver Reconfiguration Module for Stratix IV architectures +// +// Includes many function-specific sub-modules, such as: +// - analog reconfig (alt_xcvr_reconfig_analog) +// - offset cancellation (alt_xcvr_reconfig_offset_cancellation) +// - ... + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_reconfig_siv #( + parameter number_of_reconfig_interfaces = 1 +) ( + input wire mgmt_clk_clk, // mgmt_clk.clk + input wire mgmt_rst_reset, // mgmt_rst.reset + + // user reconfiguration management interface + input wire [6:0] reconfig_mgmt_address, // reconfig_mgmt.address + output reg reconfig_mgmt_waitrequest = 0, // .waitrequest + input wire reconfig_mgmt_read, // .read + output reg [31:0] reconfig_mgmt_readdata = ~0, // .readdata + input wire reconfig_mgmt_write, // .write + input wire [31:0] reconfig_mgmt_writedata, // .writedata + output wire reconfig_done, // reconfig_done.export + + output wire [3:0] reconfig_togxb, // reconfig_togxb_data.data + input wire [number_of_reconfig_interfaces*17 - 1 : 0] reconfig_fromgxb // dprioout, testbus from altgx : (17+4 bits/quad) +); + + // master interface to basic reconfiguration block that interfaces to the transceiver channel + wire [2:0] basic_address; // basic.address // master interface must include 2 lower addr bits + wire basic_waitrequest; // .waitrequest + wire basic_irq; // .irq + wire basic_read; // .read + wire [31:0] basic_readdata; // .readdata + wire basic_write; // .write + wire [31:0] basic_writedata; // .writedata + + // native testbus input + wire [15:0] testbus_data; + + localparam l_dev_family = "Stratix IV"; + localparam width_awa = 3; // word address width of interface to analog reconfig block + localparam width_bwa = 3; // word address width of interface to basic reconfig block + localparam arb_count = 4; // count of the total number of sub-components that can act + // as slaves to the mgmt interface, and masters to the 'basic' block + localparam arb_offset = 0; + localparam arb_analog = 1; + localparam arb_eyemon = 2; + localparam arb_dfe = 3; + + // Analog controls output ports, mgmt facing + wire [31:0] sc_analog_readdata; + wire sc_analog_waitrequest; + + // Offset cancellation output ports, mgmt facing + wire [31:0] sc_offset_readdata; + wire sc_offset_waitrequest; + + // Eyemon output ports, mgmt facing + wire [31:0] sc_eyemon_readdata; + wire sc_eyemon_waitrequest; + + // DFE output ports, mgmt facing + wire [31:0] sc_dfe_readdata; + wire sc_dfe_waitrequest; + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [arb_count-1:0] r_decode; + assign r_decode = + (reconfig_mgmt_address[6:width_awa] == arb_offset) ? (({arb_count-arb_offset{1'b0}} | 1'b1) << arb_offset) + : (reconfig_mgmt_address[6:width_awa] == arb_analog) ? (({arb_count-arb_analog{1'b0}} | 1'b1) << arb_analog) + : (reconfig_mgmt_address[6:width_awa] == arb_eyemon) ? (({arb_count-arb_eyemon{1'b0}} | 1'b1) << arb_eyemon) + : (reconfig_mgmt_address[6:width_awa] == arb_dfe) ? (({arb_count-arb_dfe{1'b0}} | 1'b1) << arb_dfe) + : {arb_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + case (reconfig_mgmt_address[6:width_awa]) + arb_offset: begin + reconfig_mgmt_readdata = sc_offset_readdata; + reconfig_mgmt_waitrequest = sc_offset_waitrequest; + end + arb_analog: begin + reconfig_mgmt_readdata = sc_analog_readdata; + reconfig_mgmt_waitrequest = sc_analog_waitrequest; + end + arb_eyemon: begin + reconfig_mgmt_readdata = sc_eyemon_readdata; + reconfig_mgmt_waitrequest = sc_eyemon_waitrequest; + end + arb_dfe: begin + reconfig_mgmt_readdata = sc_dfe_readdata; + reconfig_mgmt_waitrequest = sc_dfe_waitrequest; + end + default: begin + reconfig_mgmt_readdata = -1; + reconfig_mgmt_waitrequest = 1'b0; + end + endcase + end + + /////////////////////////////////////////////////////////////////////// + // Arbiter for multiple masters accessing 'basic' reconfig slave port + /////////////////////////////////////////////////////////////////////// + wire [arb_count-1:0] req; // req[0] is highest priority when current grantee is done + wire [arb_count-1:0] grant; + + alt_xcvr_arbiter #( + .width(arb_count) // count total number of sub-components that act as masters to 'basic' + ) arbiter ( + .clock(mgmt_clk_clk), + .req(req), + .grant(grant) + ); + + //////////////////////////////////// + // Sub-component: analog controls + // word address offset: +0 + //////////////////////////////////// + + // Analog controls output ports, mgmt facing + wire analog_reconfig_done; + // Analog controls output ports, basic slave facing + wire [width_bwa+1:0] scs_analog_address; + wire [31:0] scs_analog_writedata; + wire scs_analog_write; + wire scs_analog_read; + + // Analog controls master-to-slave access fabric outputs + tri0 m2s_analog_read; + tri0 m2s_analog_write; + tri0 [width_bwa-1:0] m2s_analog_address; + tri0 [31:0] m2s_analog_writedata; + wire [31:0] m2s_analog_readdata; + wire m2s_analog_waitrequest; + + alt_xcvr_reconfig_analog_tgx sc_analog ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .analog_reconfig_address(reconfig_mgmt_address[width_awa-1:0]), + .analog_reconfig_writedata(reconfig_mgmt_writedata), + .analog_reconfig_write(reconfig_mgmt_write & r_decode[arb_analog]), + .analog_reconfig_read(reconfig_mgmt_read & r_decode[arb_analog]), + .analog_reconfig_readdata(sc_analog_readdata), + .analog_reconfig_waitrequest(sc_analog_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + // master-to-slave fabric facing, to basic reconfig + .analog_reconfig_irq_from_base(basic_irq), + .analog_reconfig_waitrequest_from_base(m2s_analog_waitrequest), + .analog_reconfig_readdata_base(m2s_analog_readdata), + .analog_reconfig_address_base(scs_analog_address), + .analog_reconfig_writedata_base(scs_analog_writedata), + .analog_reconfig_write_base(scs_analog_write), + .analog_reconfig_read_base(scs_analog_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_analog ( + .clock(mgmt_clk_clk), + .req(req[arb_analog]), + .grant(grant[arb_analog]), + // master ports, facing basic reconfig block + .m_read(scs_analog_read), + .m_write(scs_analog_write), + .m_address(scs_analog_address[2 +: width_bwa]), // drop 2 lower addr bits + .m_writedata(scs_analog_writedata), + .m_readdata(m2s_analog_readdata), + .m_waitrequest(m2s_analog_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_analog_read), + .s_write(m2s_analog_write), + .s_address(m2s_analog_address), + .s_writedata(m2s_analog_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: offset cancellation + // word address offset: +8 (0x20 in bytes) + /////////////////////////////////////////// + + // Offset cancellation output ports: + wire offset_cancellation_done; + wire [width_bwa-1:0] scs_offset_address; + wire [31:0] scs_offset_writedata; + wire scs_offset_write; + wire scs_offset_read; + + // Offset cancellation master-to-slave access fabric outputs + tri0 m2s_offset_read; + tri0 m2s_offset_write; + tri0 [width_bwa-1:0] m2s_offset_address; + tri0 [31:0] m2s_offset_writedata; + wire [31:0] m2s_offset_readdata; + wire m2s_offset_waitrequest; + + alt_xcvr_reconfig_offset_cancellation #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_offset ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .offset_cancellation_address(reconfig_mgmt_address[0]), // slave uses a single address bit + .offset_cancellation_writedata(reconfig_mgmt_writedata), + .offset_cancellation_write(reconfig_mgmt_write & r_decode[arb_offset]), + .offset_cancellation_read(reconfig_mgmt_read & r_decode[arb_offset]), + .offset_cancellation_readdata(sc_offset_readdata), + .offset_cancellation_waitrequest(sc_offset_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .offset_cancellation_irq_from_base(basic_irq), + .offset_cancellation_waitrequest_from_base(m2s_offset_waitrequest), + .offset_cancellation_readdata_base(m2s_offset_readdata), + .testbus_data(testbus_data), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_address_base(scs_offset_address), + .offset_cancellation_writedata_base(scs_offset_writedata), + .offset_cancellation_write_base(scs_offset_write), + .offset_cancellation_read_base(scs_offset_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_offset ( + .clock(mgmt_clk_clk), + .req(req[arb_offset]), + .grant(grant[arb_offset]), + // master ports, facing basic reconfig block + .m_read(scs_offset_read), + .m_write(scs_offset_write), + .m_address(scs_offset_address), // drop 2 lower addr bits + .m_writedata(scs_offset_writedata), + .m_readdata(m2s_offset_readdata), + .m_waitrequest(m2s_offset_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_offset_read), + .s_write(m2s_offset_write), + .s_address(m2s_offset_address), + .s_writedata(m2s_offset_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: "EyeQ" eye monitor + // word address offset: +16 (0x40 in bytes) + /////////////////////////////////////////// + + // Eyemon output ports: + wire eyemon_done; + wire [width_bwa+1:0] scs_eyemon_address; + wire [31:0] scs_eyemon_writedata; + wire scs_eyemon_write; + wire scs_eyemon_read; + + // eyemon master-to-slave access fabric outputs + tri0 m2s_eyemon_read; + tri0 m2s_eyemon_write; + tri0 [width_bwa-1:0] m2s_eyemon_address; + tri0 [31:0] m2s_eyemon_writedata; + wire [31:0] m2s_eyemon_readdata; + wire m2s_eyemon_waitrequest; + + alt_xcvr_reconfig_eyemon_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_eyemon ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .eyemon_address(reconfig_mgmt_address[width_awa-1:0]), // slave uses a 3-bit address + .eyemon_writedata(reconfig_mgmt_writedata), + .eyemon_write(reconfig_mgmt_write & r_decode[arb_eyemon]), + .eyemon_read(reconfig_mgmt_read & r_decode[arb_eyemon]), + .eyemon_readdata(sc_eyemon_readdata), + .eyemon_waitrequest(sc_eyemon_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .eyemon_irq_from_base(basic_irq), + .eyemon_waitrequest_from_base(m2s_eyemon_waitrequest), + .eyemon_readdata_base(m2s_eyemon_readdata), + .eyemon_irq(eyemon_done), + .eyemon_address_base(scs_eyemon_address), + .eyemon_writedata_base(scs_eyemon_writedata), + .eyemon_write_base(scs_eyemon_write), + .eyemon_read_base(scs_eyemon_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_eyemon ( + .clock(mgmt_clk_clk), + .req(req[arb_eyemon]), + .grant(grant[arb_eyemon]), + // master ports, facing basic reconfig block + .m_read(scs_eyemon_read), + .m_write(scs_eyemon_write), + .m_address(scs_eyemon_address[2 +: width_bwa]), // drop 2 lower addr bits + .m_writedata(scs_eyemon_writedata), + .m_readdata(m2s_eyemon_readdata), + .m_waitrequest(m2s_eyemon_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_eyemon_read), + .s_write(m2s_eyemon_write), + .s_address(m2s_eyemon_address), + .s_writedata(m2s_eyemon_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: DFE + // word address offset: +24 (0x60 in bytes) + /////////////////////////////////////////// + + // DFE output ports: + wire dfe_done; + wire [width_bwa-1:0] scs_dfe_address; + wire [31:0] scs_dfe_writedata; + wire scs_dfe_write; + wire scs_dfe_read; + + // dfe master-to-slave access fabric outputs + tri0 m2s_dfe_read; + tri0 m2s_dfe_write; + tri0 [width_bwa-1:0] m2s_dfe_address; + tri0 [31:0] m2s_dfe_writedata; + wire [31:0] m2s_dfe_readdata; + wire m2s_dfe_waitrequest; + + alt_xcvr_reconfig_dfe_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_dfe ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .dfe_address(reconfig_mgmt_address[width_awa-1:0]), // slave uses a 3-bit address + .dfe_writedata(reconfig_mgmt_writedata), + .dfe_write(reconfig_mgmt_write & r_decode[arb_dfe]), + .dfe_read(reconfig_mgmt_read & r_decode[arb_dfe]), + .dfe_readdata(sc_dfe_readdata), + .dfe_waitrequest(sc_dfe_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .dfe_irq_from_base(basic_irq), + .dfe_waitrequest_from_base(m2s_dfe_waitrequest), + .dfe_readdata_base(m2s_dfe_readdata), + .dfe_irq(dfe_done), + .dfe_address_base(scs_dfe_address), + .dfe_writedata_base(scs_dfe_writedata), + .dfe_write_base(scs_dfe_write), + .dfe_read_base(scs_dfe_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_dfe ( + .clock(mgmt_clk_clk), + .req(req[arb_dfe]), + .grant(grant[arb_dfe]), + // master ports, facing basic reconfig block + .m_read(scs_dfe_read), + .m_write(scs_dfe_write), + .m_address(scs_dfe_address), + .m_writedata(scs_dfe_writedata), + .m_readdata(m2s_dfe_readdata), + .m_waitrequest(m2s_dfe_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_dfe_read), + .s_write(m2s_dfe_write), + .s_address(m2s_dfe_address), + .s_writedata(m2s_dfe_writedata) + ); + + + /////////////////////////////////////////// + // Outputs to basic block + /////////////////////////////////////////// + assign basic_address = m2s_analog_address | m2s_offset_address | m2s_eyemon_address | m2s_dfe_address; + assign basic_read = m2s_analog_read | m2s_offset_read | m2s_eyemon_read | m2s_dfe_read; + assign basic_write = m2s_analog_write | m2s_offset_write | m2s_eyemon_write | m2s_dfe_write; + assign basic_writedata = m2s_analog_writedata | m2s_offset_writedata | m2s_eyemon_writedata | m2s_dfe_writedata; + + wire [number_of_reconfig_interfaces*8 - 1 : 0] aeq_fromgxb_data=0; + wire [number_of_reconfig_interfaces*24 - 1 : 0] aeq_togxb_data; + + alt_xcvr_reconfig_basic_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) sc_basic ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + .basic_reconfig_write(basic_write), + .basic_reconfig_read(basic_read), + .basic_reconfig_writedata(basic_writedata), + .basic_reconfig_address(basic_address), + .basic_reconfig_fromgxb_data(reconfig_fromgxb), + .aeq_fromgxb_data(aeq_fromgxb_data), + .basic_reconfig_readdata(basic_readdata), + .basic_reconfig_waitrequest(basic_waitrequest), + .basic_reconfig_togxb_data(reconfig_togxb), + .aeq_togxb_data(aeq_togxb_data), + .testbus_data(testbus_data), + .basic_reconfig_irq(basic_irq) + ); + + + /////////////////////////////////////////// + // Status to external mgmt interface + /////////////////////////////////////////// + assign reconfig_done = analog_reconfig_done | offset_cancellation_done | eyemon_done | dfe_done; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_resync.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_resync.sv new file mode 100644 index 0000000000000000000000000000000000000000..5c723372b22da2640f7283962c5acdd598a91dfa --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/alt_xcvr_resync.sv @@ -0,0 +1,98 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Module: alt_xcvr_resync +// +// Description: +// A general purpose resynchronization module. +// +// Parameters: +// SYNC_CHAIN_LENGTH +// - Specifies the length of the synchronizer chain for metastability +// retiming. +// WIDTH +// - Specifies the number of bits you want to synchronize. Controls the width of the +// d and q ports. +// SLOW_CLOCK - USE WITH CAUTION. +// - Leaving this setting at its default will create a standard resynch circuit that +// merely passes the input data through a chain of flip-flops. This setting assumes +// that the input data has a pulse width longer than one clock cycle sufficient to +// satisfy setup and hold requirements on at least one clock edge. +// - By setting this to 1 (USE CAUTION) you are creating an asynchronous +// circuit that will capture the input data regardless of the pulse width and +// its relationship to the clock. However it is more difficult to apply static +// timing constraints as it ties the data input to the clock input of the flop. +// This implementation assumes the data rate is slow enough +// INIT_VALUE +// - Specifies the initial values of the synchronization registers. +// +// Apply embedded false path timing constraint +(* altera_attribute = "-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_resync*sync_r[0]]\"" *) + +`timescale 1ps/1ps + +module alt_xcvr_resync #( + parameter SYNC_CHAIN_LENGTH = 2, // Number of flip-flops for retiming + parameter WIDTH = 1, // Number of bits to resync + parameter SLOW_CLOCK = 0, // See description above + parameter INIT_VALUE = 0 + ) ( + input wire clk, + input wire reset, + input wire [WIDTH-1:0] d, + output wire [WIDTH-1:0] q + ); + +localparam INT_LEN = (SYNC_CHAIN_LENGTH > 0) ? SYNC_CHAIN_LENGTH : 1; +localparam [INT_LEN-1:0] L_INIT_VALUE = (INIT_VALUE == 1) ? {INT_LEN{1'b1}} : {INT_LEN{1'b0}}; + +genvar ig; + +// Generate a synchronizer chain for each bit +generate begin + for(ig=0;ig<WIDTH;ig=ig+1) begin : resync_chains + wire d_in; // Input to sychronization chain. + reg [INT_LEN-1:0] sync_r = L_INIT_VALUE; + wire [INT_LEN :0] next_r; // One larger than real chain + + assign q[ig] = sync_r[INT_LEN-1]; // Output signal + assign next_r = {sync_r,d_in}; + + always @(posedge clk or posedge reset) + if(reset) + sync_r <= L_INIT_VALUE; + else + sync_r <= next_r[INT_LEN-1:0]; + + // Generate asynchronous capture circuit if specified. + if(SLOW_CLOCK == 0) begin + assign d_in = d[ig]; + end else begin + wire d_clk; + reg d_r = L_INIT_VALUE[0]; + wire clr_n; + + assign d_clk = d[ig]; + assign d_in = d_r; + assign clr_n = ~q[ig] | d_clk; // Clear when output is logic 1 and input is logic 0 + + // Asynchronously latch the input signal. + always @(posedge d_clk or negedge clr_n) + if(!clr_n) d_r <= 1'b0; + else if(d_clk) d_r <= 1'b1; + end // SLOW_CLOCK + end // for loop +end // generate +endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/altera_wait_generate.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/altera_wait_generate.v new file mode 100644 index 0000000000000000000000000000000000000000..d11ec7e154387185d9a1d14b39c0410608a6c6ed --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/altera_wait_generate.v @@ -0,0 +1,38 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module altera_wait_generate +//#( +//This code only works when wait_cycle =1, if need other case, you need to modify this code. +//please set wait_cycle default value as 1 to keep old design works. +// parameter wait_cycle =1 +// ) +( + input wire rst, + input wire clk, + input wire launch_signal, + output wire wait_req + ); +reg launch_reg = 0; +reg wait_reg = 0; +always @ (posedge clk, posedge rst) begin + if(rst) launch_reg <= 1'b0; + else launch_reg <= launch_signal; +end +always @ (posedge clk, posedge rst) begin + if(rst) wait_reg <= 1'b0; + else wait_reg <= launch_signal & launch_reg & (! wait_reg & !wait_req); +end +assign wait_req = (launch_signal & ~launch_reg) | (wait_reg & launch_signal ) ; +endmodule \ No newline at end of file diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/altera_xcvr_functions.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/altera_xcvr_functions.sv new file mode 100644 index 0000000000000000000000000000000000000000..5ef175a54cc3ce30e9dded8e494731600eb6ffda --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/altera_xcvr_functions.sv @@ -0,0 +1,746 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common functions for transceiver PHY IP +// +// $Header$ +// +// PACKAGE DECLARATION +package altera_xcvr_functions; + localparam integer MAX_CHARS = 32; + localparam integer MAX_STRS = 16; + localparam integer MAX_XCVR_CHANNELS = 64; + + // Reconfiguration bundle widths per family + localparam integer W_S5_RECONFIG_BUNDLE_TO_XCVR = 70; + localparam integer W_S5_RECONFIG_BUNDLE_FROM_XCVR = 46; + localparam integer W_A5_RECONFIG_BUNDLE_TO_XCVR = 70; + localparam integer W_A5_RECONFIG_BUNDLE_FROM_XCVR = 46; + localparam integer W_S4_RECONFIG_BUNDLE_TO_XCVR = 4; + localparam integer W_S4_RECONFIG_BUNDLE_FROM_XCVR = 17; + localparam integer W_C4_RECONFIG_BUNDLE_TO_XCVR = 4; + localparam integer W_C4_RECONFIG_BUNDLE_FROM_XCVR = 17; + + // Reconfiguration bundle widths per family + localparam integer W_S5_RECONFIG_BUNDLE_TO_GXB = W_S5_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_S5_RECONFIG_BUNDLE_FROM_GXB = W_S5_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_A5_RECONFIG_BUNDLE_TO_GXB = W_A5_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_A5_RECONFIG_BUNDLE_FROM_GXB = W_A5_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_S4_RECONFIG_BUNDLE_TO_GXB = W_S4_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_S4_RECONFIG_BUNDLE_FROM_GXB = W_S4_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_C4_RECONFIG_BUNDLE_TO_GXB = W_C4_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_C4_RECONFIG_BUNDLE_FROM_GXB = W_C4_RECONFIG_BUNDLE_FROM_XCVR; + + // convert frequency string into integer Hz. Fractional Hz are truncated + // Must remain a constant function - can't use string.atoi(). + function time str2hz ( + input [8*MAX_CHARS:1] s + ); + + integer i; + integer c; // temp char storage for frequency conversion + integer unit_tens; // assume already Hz + integer is_numeric; + integer saw_dot; + + reg [8:1] c_dot; // = "."; + reg [8:1] c_space; // = " "; + reg [8:1] c_a; // = 8'h61; //"a"; + reg [8:1] c_z; // = 8'h7a; //"z"; + reg [8*4:1] s_unit; + reg [8*MAX_CHARS:1] s_shift; + + begin + // frequency ratio calculations + str2hz = 0; + unit_tens = 0; // assume already Hz + is_numeric = 1; + saw_dot = 0; + s_unit = ""; + + // Modelsim optimizer bug forces us to initialize these non-statically + c_dot = "."; + c_space = " "; + c_a = "a"; + c_z = "z"; + for (i=(MAX_CHARS-1); i>=0; i=i-1) begin + s_shift = (s >> (i*8)); + c = s_shift[8:1] & 8'hff; + if (c > 0) begin + //$display("[%d] => '%1s',", i, c); + if (c >= 8'h30 && c <= 8'h39 && is_numeric) begin + str2hz = (str2hz * 10) + (c & 8'h0f); + if (saw_dot) unit_tens = unit_tens - 1; // count digits after decimal point + end else if (c == c_dot) saw_dot = 1; + else if (c != c_space) begin + is_numeric = 0; // stop accepting new numeric digits in value + // if it's a-z, convert to upper case A-Z + if (c >= c_a && c <= c_z) c = (c & 8'h5f); // convert a-z (lower) to A-Z (upper) + s_unit = (s_unit << 8) | c; + end + end + end + //$display("numeric = %d x 10**(%2d), unit = '%0s'", str2hz, unit_tens, s_unit); + + // account for frequency unit + if (s_unit == "GHZ" || s_unit == "GBPS") unit_tens = unit_tens + 9; // 10**9 + else if (s_unit == "MHZ" || s_unit == "MBPS") unit_tens = unit_tens + 6; // 10**6 + else if (s_unit == "KHZ" || s_unit == "KBPS") unit_tens = unit_tens + 3; // 10**3 + else if (s_unit != "HZ" && s_unit != "BPS") begin + $display("Invalid frequency unit '%0s', assuming %d x 10**(%2d) 'Hz'", s_unit, str2hz, unit_tens); + end + //$display("numeric in Hz = %d x 10**(%2d)", str2hz, unit_tens); + + // align numeric to Hz + if (unit_tens < 0) begin + //str2hz = str2hz / (10**(-unit_tens)); + for (i=0; i>unit_tens; i=i-1) begin + str2hz = str2hz / 10; + end + end else begin + //str2hz = str2hz * (10**unit_tens); + for (i=0; i<unit_tens; i=i+1) begin + str2hz = str2hz * 10; + end + end + //$display("%d Hz", str2hz); + end + endfunction + + // convert integer Hz to a frequency string + // integer Hz as type time, and the frequency string will use MHz units + // Must remain a constant function - can't use $sformat or string.itoa(). + function [MAX_CHARS*8-1:0] hz2str ( + input time hz + ); + integer pos; + integer f_unit; // 10**f_unit is offset from Hz for larger unit + time hz_mod_10; + begin + hz2str = "0.000000 MHz"; // minimum string value + f_unit = 6; // MHz offsets Hz value by 6 decimal digits + + // convert time back to string with frequency units + // char positions 3 to 0 are used by " MHz", so start with digits at pos 4 + for (pos = 4; pos < MAX_CHARS && hz > 0; pos = pos + 1) begin + if (f_unit == 0) begin + hz2str[pos*8 +: 8] = 8'h2e; // add "." character + pos = pos + 1; + end + f_unit = f_unit - 1; + hz_mod_10 = (hz % 10); + hz2str[pos*8 +: 8] = hz_mod_10[7:0] | 8'h30; + hz = hz / 10; + //$display("hz2str() => so far '%s', pos (%d), f_unit(%d) ", hz2str, pos, f_unit); + end + //$display("hz2str() returns '%s'", hz2str); + end + endfunction + + // Convert a string to an integer + // Uses pre-existing str2hz function + function integer str2int( + input [MAX_CHARS*8-1:0] instring + ); + time temp; + temp = str2hz({instring,"Hz"}); + str2int = temp[31:0]; + endfunction + + + // Convert an integer to a string + function [MAX_CHARS*8-1:0] int2str( + input integer in_int + ); + integer i; + integer this_char; + i = 0; + int2str = ""; + do + begin + this_char = (in_int % 10) + 48; + int2str[i*8+:8] = this_char[7:0]; + i=i+1; + in_int = in_int / 10; + end + while(in_int > 0); + endfunction + + // function to convert at most 40-bit long string to binary + function [39 : 0] m_str_to_bin; + input [40*8 : 1] s; + reg [40*8 : 1] reg_s; + reg [40:1] res; + + integer m; + begin + + reg_s = s; + for (m = 40; m > 0; m = m-1 ) + begin + res[m] = reg_s[313]; + reg_s = reg_s << 8; + end + + m_str_to_bin = res; + end + endfunction + + //////////////////////////////////////////////////////////////////// + // Verify that the string value is contained in the legal set. + // + // The 'set' can consist of a single string with no delimiters, e.g. "individual", + // or multiple values, separated by commas, and surrounded by parens, e.g. "(one,two,three,four,five)" + // + // Returns 1 if the value is in the set, and 0 otherwise + function integer is_in_legal_set( + input [MAX_CHARS*8-1:0] value, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + if (value == "<auto_any>") + is_in_legal_set = 1; + else if (value == "<auto_single>") + is_in_legal_set = (set[7:0] == 8'h29) ? 0 : 1; // 8'h29 is closing parenthesis char + else if (value == set) + is_in_legal_set = 1; // value matches single value in set + else begin + // check value against each in set + integer close_pos; // end of string marker can be comma or closing paren + integer open_pos; // open paren is start of set, if appropriate + reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr; + + is_in_legal_set = 0; + open_pos = MAX_STRS*MAX_CHARS-1; + // Remove closing parenthesis if exists + if(set[7:0] == 8'h29) begin + set = (set >> 8); + set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00; + end + // look for first non-null and non open paren character + while (open_pos > 0 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null + open_pos = open_pos - 1; + + while (is_in_legal_set == 0 && open_pos >= 0) begin + close_pos = open_pos; + while (close_pos > 0 + && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c) + close_pos = close_pos - 1; + if (close_pos >= 0) begin + close_pos = close_pos == 0 ? 0 : close_pos + 1; + legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8); + if (value == legalstr) + is_in_legal_set = 1; + end + open_pos = close_pos-2; // prepare to look for next legal string + end + end + //$display("is_in_legal_set(): returns %d", is_in_legal_set); + endfunction + + + // Accepts a string list of comma seperated numbers and returns a binary + // field where each bit indicates whether the index corresponding to that bit + // was found in the legal set. + // + // @param count - The number of integer indexes to check for in the set + // or the highest integer minus 1. + // @param set - The list containing the integer values to search for + // @return - A bitfield where each bit indicates whether the corresponding + // integer was found in the legal set. + function [MAX_XCVR_CHANNELS-1:0] map_numerical_is_in_legal_set( + input integer count, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + integer index; + reg [MAX_XCVR_CHANNELS-1:0] retval; + + // Validate count parameter + if(count > MAX_XCVR_CHANNELS) + $display("Error: [map_numerical_is_in_legal_set]: Invalid value for count: %0d",count); + + map_numerical_is_in_legal_set = {MAX_XCVR_CHANNELS{1'b0}}; + retval = {MAX_XCVR_CHANNELS{1'b0}}; + for(index = 0; index < count; index = index + 1) begin + if(is_in_legal_set(int2str(index),set)) + retval = retval | (({MAX_XCVR_CHANNELS{1'b0}} | 1'b1) << index); + end + map_numerical_is_in_legal_set = retval; + endfunction + + + // Accepts a string list of comma seperated numbers and returns a binary + // field where each byte contains the corresponding number found in the + // list. + // + // @param count - The number of elements in the list. + // @param set - The list containing the integer values. + // @return - A bitfield where each byte contains the corresponding number found + // at that location in the list. + function [MAX_XCVR_CHANNELS*8-1:0] map_numerical_legal_set( + input integer count, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + integer index; + reg [MAX_XCVR_CHANNELS-1:0] retval; + reg [MAX_CHARS*8-1:0] str_val; + reg [7:0] int_val; + + // Validate count parameter + if(count > MAX_XCVR_CHANNELS || count > 256) + $display("Error: [map_numerical_legal_set]: Invalid value for count: %0d",count); + + map_numerical_legal_set = {MAX_XCVR_CHANNELS{8'd0}}; + retval = {MAX_XCVR_CHANNELS{8'd0}}; + for(index = 0; index < count; index = index + 1) begin + str_val = get_value_at_index(index,set); + if(str_val != "NA") begin + int_val = str2int(str_val); + if(int_val > 255) + $display("Error: [map_numerical_legal_set]: Invalid string contains non-numerical item or value:%0d",int_val); + else begin + retval = retval | ( ( {MAX_XCVR_CHANNELS{8'd0}} | int_val ) << (index * 8)); + end + end + end + map_numerical_legal_set = retval; + endfunction + + + // Accepts a comma separated list of string values and returns the element + // found at the specified index. If the index is invalid, "NA" is returned + // + // @param index - The index of the value to return within "set" + // @param set - A comma separated list of string values. The entire list may + // be surrounded by parenthesis("(item0,item1,item2)") + function [MAX_CHARS*8-1:0] get_value_at_index( + input integer index, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + // check value against each in set + integer close_pos; // end of string marker can be comma or closing paren + integer open_pos; // open paren is start of set, if appropriate + reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr; + integer cur_index = 0; + + get_value_at_index = ""; + legalstr = "NA"; + cur_index = 0; + open_pos = MAX_STRS*MAX_CHARS-1; + // Remove closing parenthesis if exists + if(set[7:0] == 8'h29) begin + set = (set >> 8); + set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00; + end + // Find the start of the string + while (open_pos >= 1 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null + open_pos = open_pos - 1; + + // Iterate through list until the string is found or we've reached the end of the list + while (legalstr == "NA" && open_pos >= 0 && cur_index <= index) begin + close_pos = open_pos; + // Move the close iterator to the end of the current value (or end of string) + while (close_pos > 0 + && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c) + close_pos = close_pos - 1; + if (close_pos >= 0) begin + close_pos = close_pos == 0 ? 0 : close_pos + 1; + if(index == cur_index) begin + legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8); + end + open_pos = close_pos-2; // prepare to look for next legal string + end + cur_index = cur_index + 1; + end + + cur_index = 0; + while(legalstr[cur_index*8+:8] != 0) begin + get_value_at_index[cur_index*8+:8] = legalstr[cur_index*8+:8]; + cur_index = cur_index + 1; + end + + //$display("is_in_legal_set(): returns %d", is_in_legal_set); + endfunction + + + //////////////////////////////////////////////////////////////////////// + // Calculate the minimum number of bits needed to hold an integer value + // + // Returns ceil_log2() value + localparam integer MAX_PRECISION = 32; // VCS requires this declaration outside the function + function integer ceil_log2; + input [MAX_PRECISION-1:0] input_num; + integer i; + reg [MAX_PRECISION-1:0] try_result; + begin + i = 0; + try_result = 1; + while ((try_result << i) < input_num && i < MAX_PRECISION) + i = i + 1; + ceil_log2 = i; + end + endfunction + + //////////////////////////////////////////////////////////////////// + // Return current device family string for display purposes + `ifndef XCVR_DEV_FAM + `ifdef ALTERA_RESERVED_QIS_FAMILY + `define XCVR_DEV_FAM `ALTERA_RESERVED_QIS_FAMILY // synthesis: use QIS-defined value + `else + `define XCVR_DEV_FAM device_family // simulation: use passed-in value + `endif + `endif + function [MAX_CHARS*8-1:0] current_device_family ( + input [MAX_CHARS*8-1:0] device_family + ); + current_device_family = `XCVR_DEV_FAM; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_s4_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_s4_style_hssi = ( (`XCVR_DEV_FAM == "Stratix IV") + || (`XCVR_DEV_FAM == "Arria II") + || (`XCVR_DEV_FAM == "Cyclone IV GX") // not exact, but close enough + || (`XCVR_DEV_FAM == "Arria II GX") + || (`XCVR_DEV_FAM == "Arria II GZ") + || (`XCVR_DEV_FAM == "HardCopy IV") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_s5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_s5_style_hssi = ( (`XCVR_DEV_FAM == "Stratix V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_a5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_a5_style_hssi = ( (`XCVR_DEV_FAM == "Arria V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_c5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_c5_style_hssi = ( (`XCVR_DEV_FAM == "Cyclone V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_c4_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_c4_style_hssi = ( (`XCVR_DEV_FAM == "Cyclone IV GX") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_gxb bundle width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_to_gxb bundle for that family + function integer get_reconfig_to_gxb_width ( + input [MAX_CHARS*8-1:0] device_family + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_S5_RECONFIG_BUNDLE_TO_XCVR; + else if (has_s4_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_S4_RECONFIG_BUNDLE_TO_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_C4_RECONFIG_BUNDLE_TO_XCVR; + else + get_reconfig_to_gxb_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_gxb bundle width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_gxb bundle for that family + function integer get_reconfig_from_gxb_width ( + input [MAX_CHARS*8-1:0] device_family + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_S5_RECONFIG_BUNDLE_FROM_XCVR; + else if (has_s4_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_S4_RECONFIG_BUNDLE_FROM_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_C4_RECONFIG_BUNDLE_FROM_XCVR; + else + get_reconfig_from_gxb_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_to_xcvr port for that family + function integer get_reconfig_to_width ( + input [MAX_CHARS*8-1:0] device_family, + input integer reconfig_interfaces + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + else if (has_s4_style_hssi(device_family)) + get_reconfig_to_width = W_S4_RECONFIG_BUNDLE_TO_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_to_width = W_C4_RECONFIG_BUNDLE_TO_XCVR; + else + get_reconfig_to_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Stratix V device family + // + function integer get_s5_reconfig_to_width ( + input integer reconfig_interfaces + ); + get_s5_reconfig_to_width = reconfig_interfaces * get_reconfig_to_gxb_width("Stratix V"); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_reconfig_from_width ( + input [MAX_CHARS*8-1:0] device_family, + input integer reconfig_interfaces + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else if (has_s4_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else if (has_c4_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else + get_reconfig_from_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Stratix V device family + // + function integer get_s5_reconfig_from_width ( + input integer reconfig_interfaces + ); + get_s5_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width("Stratix V"); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get number of reconfig interfaces for Custom PHY + // NOTE - !!Has since been used by other PHY IP!! + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of channels + // @param plls - Number of TX plls (per channel) + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function by overloading for ATT support + // - Carry on the abuse + // + // @return 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_interfaces( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = 0; + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin + // ATT specific calculations + if( data_path_type == "ATT" ) begin + if((operation_mode == "RX_ONLY") || (operation_mode == "rx_only") || (operation_mode == "Rx") || (operation_mode == "RX") || (operation_mode == "rx")) begin + reconfig_interfaces = lanes; + end else if((operation_mode == "TX_ONLY") || (operation_mode == "tx_only") || (operation_mode == "Tx") || (operation_mode == "TX") || (operation_mode == "tx")) begin + reconfig_interfaces = 2*lanes; + end else begin + reconfig_interfaces = 3*lanes; + end + end else begin + // Custom PHY calculations + if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + reconfig_interfaces = lanes; + else begin + bonded_group_size = (bonded_mode == "fb_compensation") ? 1 : bonded_group_size; + reconfig_interfaces = lanes+(plls*(lanes/bonded_group_size)); + end + end + end + get_custom_reconfig_interfaces = reconfig_interfaces; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // @param plls - Number of plls per bonded group + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function to support ATT + // + // @return - 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_to_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode ); + get_custom_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // @param plls - Number of plls per bonded group + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function to support ATT + // + // @return - 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_from_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode); + get_custom_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces); + endfunction + + + //////////////////////////////////////////////////////////////////// + // Start Interlaken Specific functions for calculating reconfig interfaces + // and reconfig_to_gxb, reconfig_from_gxb widths + + //////////////////////////////////////////////////////////////////// + // Get number of reconfig interfaces for Interlaken PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_interfaces( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + integer xslices; + integer xremain; + integer totalplls; + + reconfig_interfaces = 0; + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin + if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + reconfig_interfaces = lanes; + else begin + xslices = lanes/bonded_group_size; + xremain = lanes % bonded_group_size; + + if (xremain >0) + totalplls = xslices +1; + else + totalplls = xslices; + reconfig_interfaces = lanes+totalplls; + end // else: !if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + end // if (has_s5_style_hssi(device_family)) + get_interlaken_reconfig_interfaces = reconfig_interfaces; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Interlaken PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_to_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes); + get_interlaken_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_from_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes); + get_interlaken_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces); + endfunction + + // End Interlaken specific functions + //////////////////////////////////////////////////////////////////// + + + + + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/altera_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/altera_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..f5731672ef25f5db829bfa5138a5ea5bee0aec5c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/altera_xcvr_xaui.sv @@ -0,0 +1,510 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: alt_xaui static verilog top level +// +// Authors: bauyeung 7-Sep-2010 +// Modified: ishimony 13-Dec-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +// have separate generate statements for each component + +`timescale 1 ps / 1 ps +import altera_xcvr_functions::*; +(* altera_attribute = "-name IP_TOOL_NAME __ACDS_IP_CORE_NAME__; -name IP_TOOL_VERSION __ACDS_VERSION_SHORT__" *) +module altera_xcvr_xaui #( + parameter device_family = "Stratix IV", // default Stratix IV + parameter starting_channel_number = 0, // only applies to SIV + parameter interface_type = "Hard XAUI", + parameter data_rate = "3125 Mbps", + parameter xaui_pll_type = "CMU", + parameter BASE_DATA_RATE = "3125 Mbps", + parameter use_control_and_status_ports = 0, + parameter external_pma_ctrl_reconf = 0, + parameter recovered_clk_out = 0, + parameter number_of_interfaces = 1, + parameter reconfig_interfaces = 1, + parameter use_rx_rate_match = 0, + parameter tx_termination = "OCT_150_OHMS", + parameter tx_vod_selection = 1, + parameter tx_preemp_pretap = 0, + parameter tx_preemp_pretap_inv = 0, + parameter tx_preemp_tap_1 = 5, + parameter tx_preemp_tap_2 = 0, + parameter tx_preemp_tap_2_inv = 0, + parameter rx_common_mode = "0.82v", + parameter rx_termination = "OCT_150_OHMS", + parameter rx_eq_dc_gain = 0, + parameter rx_eq_ctrl = 14, + parameter mgmt_clk_in_mhz = 50 +) ( + input wire pll_ref_clk, + input wire xgmii_tx_clk, + output wire xgmii_rx_clk, + output wire tx_clk312_5, // dxaui: pma tx out clock, 312.5Mhz + input wire phy_mgmt_clk, + input wire phy_mgmt_clk_reset, + input wire [8:0] phy_mgmt_address, + output wire phy_mgmt_waitrequest, + input wire phy_mgmt_read, + output wire [31:0] phy_mgmt_readdata, + input wire phy_mgmt_write, + input wire [31:0] phy_mgmt_writedata, + input wire [71:0] xgmii_tx_dc, + output wire [71:0] xgmii_rx_dc, + output wire [3:0] xaui_tx_serial_data, + input wire [3:0] xaui_rx_serial_data, + output wire rx_ready, + output wire tx_ready, + output wire [3:0] rx_recovered_clk, // rx recovered clock from cdr + +// only used if use_control_and_status_ports is set + input tri0 rx_analogreset, + input tri0 rx_digitalreset, + input tri0 tx_digitalreset, + output tri0 rx_channelaligned, + input tri0 [3:0] rx_invpolarity, + input tri0 [3:0] rx_set_locktodata, + input tri0 [3:0] rx_set_locktoref, + input tri0 [3:0] rx_seriallpbken, + input tri0 [3:0] tx_invpolarity, + output tri1 [3:0] rx_is_lockedtodata, + output tri0 [3:0] rx_phase_comp_fifo_error, + output tri1 [3:0] rx_is_lockedtoref, + output tri0 [3:0] rx_rlv, + output tri0 [3:0] rx_rmfifoempty, + output tri0 [3:0] rx_rmfifofull, + output tri0 [3:0] tx_phase_comp_fifo_error, + output tri0 [7:0] rx_disperr, + output tri0 [7:0] rx_errdetect, + output tri0 [7:0] rx_patterndetect, + output tri0 [7:0] rx_rmfifodatadeleted, + output tri0 [7:0] rx_rmfifodatainserted, + output tri0 [7:0] rx_runningdisp, + output tri0 [7:0] rx_syncstatus, + + +// only used if external_pma_ctrl_reconf is set + output tri0 [altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces)-1:0] reconfig_from_xcvr, + input tri0 [altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces) -1:0] reconfig_to_xcvr, + output tri0 pll_locked, + input tri0 cal_blk_powerdown, + input tri0 gxb_powerdown, + input tri0 pll_powerdown +// need to add all possible port/param combinations +// these should be set to tri0/1 where possible, so unused ports don't need to be terminated by the user +); + +import altera_xcvr_functions::*; + +localparam reconfig_out_width = altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces); +localparam reconfig_in_width = altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces); + + wire [reconfig_out_width -1:0] l_reconfig_from_xcvr; // local reconfig_from_xcvr + wire [reconfig_in_width -1:0] l_reconfig_to_xcvr; // local reconfig_to_xcvr + + wire [7:0] sc_phy_address; // mgmt.address + wire sc_phy_waitrequest; // .waitrequest + wire sc_phy_read; // .read + wire [31:0] sc_phy_readdata; // .readdata + wire sc_phy_write; // .write + + wire [6:0] sc_reconf_address; // mgmt.address + wire sc_reconf_waitrequest; // .waitrequest + wire sc_reconf_read; // .read + wire [31:0] sc_reconf_readdata; // .readdata + wire sc_reconf_write; // .write + +/////////////////////////////////////////////////////////////////////// +// Custom decoder for multiple slaves of phy-reconfig interface +/////////////////////////////////////////////////////////////////////// +// should be consistent across all device families + alt_xcvr_mgmt2dec_phyreconfig mgmtdec_phyreconfig ( + .mgmt_clk_reset (phy_mgmt_clk_reset), + .mgmt_clk (phy_mgmt_clk), + + .mgmt_address (phy_mgmt_address), + .mgmt_read (phy_mgmt_read), + .mgmt_write (phy_mgmt_write), + .mgmt_readdata (phy_mgmt_readdata), + .mgmt_waitrequest (phy_mgmt_waitrequest), + + // internal interface to 'top' phy block + .sc_phy_readdata (sc_phy_readdata), + .sc_phy_waitrequest (sc_phy_waitrequest), + .sc_phy_address (sc_phy_address), + .sc_phy_read (sc_phy_read), + .sc_phy_write (sc_phy_write), + + // internal interface to 'top' reconfig block + .sc_reconf_readdata (sc_reconf_readdata), + .sc_reconf_waitrequest (sc_reconf_waitrequest), + .sc_reconf_address (sc_reconf_address), + .sc_reconf_read (sc_reconf_read), + .sc_reconf_write (sc_reconf_write) + ); + + +/////////////////////////////////////////////////////////////////////// +// alt_xcvr_reconfig +/////////////////////////////////////////////////////////////////////// +// add generate statement for this +// need to account for external pma reconfig + generate + if (external_pma_ctrl_reconf == 1) begin + assign l_reconfig_to_xcvr = reconfig_to_xcvr; + assign reconfig_from_xcvr = l_reconfig_from_xcvr; + end else if ((interface_type == "Hard XAUI") && ((device_family == "Stratix IV") || (device_family == "HardCopy IV") || (device_family == "Arria II GX") || (device_family == "Arria II GX") || (device_family == "Arria II GZ"))) begin + alt_xcvr_reconfig_siv #( + .number_of_reconfig_interfaces (1) + ) alt_xcvr_reconfig_0 ( + .mgmt_clk_clk (phy_mgmt_clk), + .mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr[16:0]) + ); + end else if ((interface_type == "Soft XAUI") && ((device_family == "Stratix IV") || (device_family == "HardCopy IV"))) begin // Arria II GX/GZ don't support soft xaui + alt_xcvr_reconfig_siv #( + .number_of_reconfig_interfaces (4) + ) alt_xcvr_reconfig_0 ( + .mgmt_clk_clk (phy_mgmt_clk), + .mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr) + ); + end else if ((interface_type == "DDR XAUI") && (device_family == "Stratix IV")) begin + // stub for now - nothing here + end else if (device_family == "Stratix V") begin + // stub for now - nothing here + assign l_reconfig_to_xcvr = reconfig_to_xcvr; + assign reconfig_from_xcvr = l_reconfig_from_xcvr; + end else if (device_family == "Cyclone IV GX") begin + alt_xcvr_reconfig_civ #( + .number_of_reconfig_interfaces (1) + ) alt_xcvr_reconfig_0 ( + .reconfig_mgmt_clk_clk (phy_mgmt_clk), + .reconfig_mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr[16:0]) + ); + end + endgenerate + +/////////////////////////////////////////////////////////////////////// +// alt_xaui_phy - Integrates hxaui (i/f to hxaui_alt_c3gxb), csr, pma +// controller and pma channel controller +/////////////////////////////////////////////////////////////////////// + generate + if (device_family == "Stratix V") begin + sv_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .data_rate (data_rate), + .xaui_pll_type (xaui_pll_type), + .BASE_DATA_RATE (BASE_DATA_RATE), + .use_control_and_status_ports (use_control_and_status_ports), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode), + .bonded_group_size (4), /// allowed values 1=> non-bonded 4=> bonded + .bonded_mode ("xN") /// allowed values "xN" and "fb_compensation" + ) alt_xaui_phy ( + .pll_ref_clk (pll_ref_clk), // refclk.clk + .xgmii_tx_clk (xgmii_tx_clk), // xgmii_tx_clk.clk + .xgmii_rx_clk (xgmii_rx_clk), // xgmii_rx_clk.clk + .phy_mgmt_clk (phy_mgmt_clk), // mgmt_clk.clk + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), // mgmt_clk_rst.reset_n + .phy_mgmt_address (sc_phy_address), // phy_mgmt.address + .phy_mgmt_waitrequest (sc_phy_waitrequest), // .waitrequest + .phy_mgmt_read (sc_phy_read), // .read + .phy_mgmt_readdata (sc_phy_readdata), // .readdata + .phy_mgmt_write (sc_phy_write), // .write + .phy_mgmt_writedata (phy_mgmt_writedata), // .writedata + .xgmii_tx_dc (xgmii_tx_dc), // xgmii_tx_dc.data + .xgmii_rx_dc (xgmii_rx_dc), // xgmii_rx_dc.data + .xaui_tx_serial_data (xaui_tx_serial_data), // xaui_tx_serial.export + .xaui_rx_serial_data (xaui_rx_serial_data), // xaui_rx_serial.export + .rx_digitalreset (rx_digitalreset), // rx_digitalreset.data + .tx_digitalreset (tx_digitalreset), // tx_digitalreset.data + .rx_channelaligned (rx_channelaligned), // rx_channelaligned.data + .rx_syncstatus (rx_syncstatus), // rx_syncstatus.data + .rx_disperr (rx_disperr), // rx_disperr.data + .rx_errdetect (rx_errdetect), // rx_errdetect.data + .rx_ready (rx_ready), // rx_pma_ready.data + .tx_ready (tx_ready), // tx_pma_ready.data + .reconfig_to_xcvr (l_reconfig_to_xcvr), + .reconfig_from_xcvr (l_reconfig_from_xcvr), + .rx_recovered_clk (rx_recovered_clk) + ); + end else if ((interface_type == "DDR XAUI") && (device_family == "Stratix IV")) begin + dxaui_siv #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .tx_vod_selection (tx_vod_selection), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .rx_common_mode (rx_common_mode), + .rx_termination (rx_termination), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .use_rx_rate_match (use_rx_rate_match) +) dxaui_siv ( + .pll_ref_clk (pll_ref_clk), // i + .xgmii_tx_clk (xgmii_tx_clk), // i + .xgmii_rx_clk (xgmii_rx_clk), // o + .tx_clk312_5 (tx_clk312_5), // o + .phy_mgmt_clk (phy_mgmt_clk), // i + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), // i + .phy_mgmt_address (sc_phy_address), // i + .phy_mgmt_waitrequest (sc_phy_waitrequest), // o + .phy_mgmt_read (sc_phy_read), // i + .phy_mgmt_readdata (sc_phy_readdata), // o + .phy_mgmt_write (sc_phy_write), // i + .phy_mgmt_writedata (phy_mgmt_writedata), // i + .xgmii_tx_dc (xgmii_tx_dc), // i + .xgmii_rx_dc (xgmii_rx_dc), // o + .xaui_tx_serial_data (xaui_tx_serial_data), // o + .xaui_rx_serial_data (xaui_rx_serial_data), // i + .rx_ready (rx_ready), // o + .tx_ready (tx_ready), // o + .rx_recovered_clk (rx_recovered_clk), // o + .reconfig_from_xcvr (l_reconfig_from_xcvr), // o + .reconfig_to_xcvr (l_reconfig_to_xcvr), // i + .rx_analogreset (rx_analogreset), // i + .rx_digitalreset (rx_digitalreset), // i + .tx_digitalreset (tx_digitalreset), // i + .rx_channelaligned (rx_channelaligned), // o + .rx_invpolarity (rx_invpolarity), // i + .rx_set_locktodata (rx_set_locktodata), // i + .rx_set_locktoref (rx_set_locktoref), // i + .rx_seriallpbken (rx_seriallpbken), // i + .tx_invpolarity (tx_invpolarity), // i + .rx_is_lockedtodata (rx_is_lockedtodata), // o + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // o + .rx_is_lockedtoref (rx_is_lockedtoref), // o + .rx_rlv (rx_rlv), // o + .rx_rmfifoempty (rx_rmfifoempty), // o + .rx_rmfifofull (rx_rmfifofull), // o + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // o + .rx_disperr (rx_disperr), // o + .rx_errdetect (rx_errdetect), // o + .rx_patterndetect (rx_patterndetect), // o + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // o + .rx_rmfifodatainserted (rx_rmfifodatainserted), // o + .rx_runningdisp (rx_runningdisp), // o + .rx_syncstatus (rx_syncstatus), // o + .pll_locked (pll_locked), // o + .cal_blk_powerdown (cal_blk_powerdown), // i + .gxb_powerdown (gxb_powerdown), // i + .pll_powerdown (pll_powerdown) // i +); // module dxaui_siv + end else if ((device_family == "Stratix IV") || (device_family == "HardCopy IV") ||(device_family == "Arria II GX") || (device_family == "Arria II GZ")) begin + siv_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) xaui_phy ( + .pll_ref_clk (pll_ref_clk), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_tx_clk (xgmii_tx_clk), + .phy_mgmt_clk (phy_mgmt_clk), + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), + .phy_mgmt_address (sc_phy_address), + .phy_mgmt_read (sc_phy_read), + .phy_mgmt_readdata (sc_phy_readdata), + .phy_mgmt_waitrequest (sc_phy_waitrequest), + .phy_mgmt_write (sc_phy_write), + .phy_mgmt_writedata (phy_mgmt_writedata), + .xaui_rx_serial_data (xaui_rx_serial_data), + .xaui_tx_serial_data (xaui_tx_serial_data), + .xgmii_rx_dc (xgmii_rx_dc), + .xgmii_tx_dc (xgmii_tx_dc), + .rx_ready (rx_ready), + .tx_ready (tx_ready), + .rx_recovered_clk (rx_recovered_clk), //o + .reconfig_from_xcvr (l_reconfig_from_xcvr), + .reconfig_to_xcvr (l_reconfig_to_xcvr), + +// optional control and status ports - will be terminated by tri0 if unconnected + .rx_analogreset (rx_analogreset), // input wire + .rx_digitalreset (rx_digitalreset), // input wire [3:0] + .tx_digitalreset (tx_digitalreset), // input wire [3:0] + .rx_channelaligned (rx_channelaligned), // output wire + .rx_invpolarity (rx_invpolarity), // input wire [3:0] + .rx_set_locktodata (rx_set_locktodata), // input wire [3:0] + .rx_set_locktoref (rx_set_locktoref), // input wire [3:0] + .rx_seriallpbken (rx_seriallpbken), // input wire [3:0] + .tx_invpolarity (tx_invpolarity), // input wire [3:0] + .rx_is_lockedtodata (rx_is_lockedtodata), // output wire [3:0] + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // output wire [3:0] + .rx_is_lockedtoref (rx_is_lockedtoref), // output wire [3:0] + .rx_rlv (rx_rlv), // output wire [3:0] + .rx_rmfifoempty (rx_rmfifoempty), // output wire [3:0] + .rx_rmfifofull (rx_rmfifofull), // output wire [3:0] + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // output wire [3:0] + .rx_disperr (rx_disperr), // output wire [7:0] + .rx_errdetect (rx_errdetect), // output wire [7:0] + .rx_patterndetect (rx_patterndetect), // output wire [7:0] + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // output wire [7:0] + .rx_rmfifodatainserted (rx_rmfifodatainserted), // output wire [7:0] + .rx_runningdisp (rx_runningdisp), // output wire [7:0] + .rx_syncstatus (rx_syncstatus), // output wire [7:0] + +// external_pma_ctrl_reconf + .pll_locked (pll_locked), // output wire + .cal_blk_powerdown (cal_blk_powerdown), // input wire + .gxb_powerdown (gxb_powerdown), // input wire + .pll_powerdown (pll_powerdown) // input wire + ); + end else if (device_family == "Cyclone IV GX") begin +// need to add the extra optional ports for c&s and ext_pma + civ_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) xaui_phy ( + .pll_ref_clk (pll_ref_clk), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_tx_clk (xgmii_tx_clk), + .phy_mgmt_clk (phy_mgmt_clk), + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), + .phy_mgmt_address (sc_phy_address), + .phy_mgmt_read (sc_phy_read), + .phy_mgmt_readdata (sc_phy_readdata), + .phy_mgmt_waitrequest (sc_phy_waitrequest), + .phy_mgmt_write (sc_phy_write), + .phy_mgmt_writedata (phy_mgmt_writedata), + .xaui_rx_serial_data (xaui_rx_serial_data), + .xaui_tx_serial_data (xaui_tx_serial_data), + .xgmii_rx_dc (xgmii_rx_dc), + .xgmii_tx_dc (xgmii_tx_dc), + .rx_ready (rx_ready), + .tx_ready (tx_ready), + .rx_recovered_clk (rx_recovered_clk), //o + .reconfig_from_xcvr (l_reconfig_from_xcvr[16:0]), + .reconfig_to_xcvr (l_reconfig_to_xcvr), + +// optional control and status ports - will be terminated by tri0 if unconnected + .rx_analogreset (rx_analogreset), // input wire + .rx_digitalreset (rx_digitalreset), // input wire [3:0] + .tx_digitalreset (tx_digitalreset), // input wire [3:0] + .rx_channelaligned (rx_channelaligned), // output wire + .rx_invpolarity (rx_invpolarity), // input wire [3:0] + .rx_set_locktodata (rx_set_locktodata), // input wire [3:0] + .rx_set_locktoref (rx_set_locktoref), // input wire [3:0] + .rx_seriallpbken (rx_seriallpbken), // input wire [3:0] + .tx_invpolarity (tx_invpolarity), // input wire [3:0] + .rx_is_lockedtodata (rx_is_lockedtodata), // output wire [3:0] + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // output wire [3:0] + .rx_is_lockedtoref (rx_is_lockedtoref), // output wire [3:0] + .rx_rlv (rx_rlv), // output wire [3:0] + .rx_rmfifoempty (rx_rmfifoempty), // output wire [3:0] + .rx_rmfifofull (rx_rmfifofull), // output wire [3:0] + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // output wire [3:0] + .rx_disperr (rx_disperr), // output wire [7:0] + .rx_errdetect (rx_errdetect), // output wire [7:0] + .rx_patterndetect (rx_patterndetect), // output wire [7:0] + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // output wire [7:0] + .rx_rmfifodatainserted (rx_rmfifodatainserted), // output wire [7:0] + .rx_runningdisp (rx_runningdisp), // output wire [7:0] + .rx_syncstatus (rx_syncstatus), // output wire [7:0] + +// external_pma_ctrl_reconf + .pll_locked (pll_locked), // output wire + .cal_blk_powerdown (cal_blk_powerdown), // input wire + .gxb_powerdown (gxb_powerdown), // input wire + .pll_powerdown (pll_powerdown) // input wire + ); + end + endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/cadence_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/cadence_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..96175539fe10e847160e3bb13c7980081beae5b3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/cadence_files.txt @@ -0,0 +1,34 @@ +./altera_xcvr_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./hxaui_alt4gxb.v +./hxaui.v +./siv_xcvr_xaui.sv +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui.v new file mode 100644 index 0000000000000000000000000000000000000000..de12fe1dceb673e679f042133e3e4c48d0c3e778 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui.v @@ -0,0 +1,499 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: hxaui - instantiates hard xaui and shim layer +// +// Authors: ishimony 14-Jan-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +// // +//----------------------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module hxaui( + xgmii_tx_clk, refclk, xgmii_tx_dc, xgmii_rx_clk, xgmii_rx_dc, xaui_rx_serial, + xaui_tx_serial, rx_analogreset, rx_digitalreset, + tx_digitalreset, rx_channelaligned, rx_invpolarity, rx_set_locktodata, + rx_set_locktoref, rx_seriallpbken, tx_invpolarity, rx_is_lockedtodata, + rx_phase_comp_fifo_error, rx_is_lockedtoref, rx_rlv, rx_rmfifoempty, + rx_rmfifofull, tx_phase_comp_fifo_error, rx_disperr, rx_errdetect, + rx_patterndetect, rx_rmfifodatadeleted, rx_rmfifodatainserted, rx_recovered_clk, + rx_runningdisp, rx_syncstatus, reconfig_togxb, reconfig_fromgxb, + reconfig_clk, cal_blk_clk, cal_blk_powerdown, + gxb_powerdown, pll_powerdown, pll_locked, r_cal_blk_powerdown, + r_gxb_powerdown, r_pll_powerdown, r_rx_set_locktodata, r_rx_set_locktoref, + r_rx_seriallpbken, r_rx_analogreset, r_rx_digitalreset, r_tx_digitalreset, + r_rx_invpolarity, r_tx_invpolarity +); // module hxaui + +// parameters -------------------------------------------------------------- +parameter starting_channel_number = 0; +parameter xaui_pll_type = "CMU"; // values: CMU/LCTANK +parameter use_control_and_status_ports = "true"; +parameter device_family = "Stratix IV"; +parameter external_pma_ctrl_reconf = "true"; + + //analog parameters +parameter tx_termination = "OCT_100_OHMS"; //Valid values for SIV/AII - OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + //Valid values for CIV - OCT_100_OHMS,OCT_150_OHMS +parameter rx_termination = "OCT_100_OHMS"; //Valid values for SIV/AII - OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + //Valid values for CIV - OCT_100_OHMS,OCT_150_OHMS +parameter rx_common_mode = "0.82v"; + +parameter tx_preemp_pretap = 0;//0-7 +parameter tx_preemp_pretap_inv = "FALSE";//TRUE or FALSE. +parameter tx_preemp_tap_1 = 5; //Valid values for SIV/AII - 0-15 + //Valid values for CIV - 0-31 +parameter tx_preemp_tap_2 = 0; //0-7 +parameter tx_preemp_tap_2_inv = "FALSE";//TRUE or FALSE. +parameter tx_vod_selection = 1;//0-7 + +parameter rx_eq_dc_gain = 0; //Valid values for SIV/AII - 0-4 + //Valid values for CIV - 0-3 +parameter rx_eq_ctrl = 14;//0-16 + + +localparam rx_term = ((rx_termination == "OCT_85_OHMS") ? "OCT 85 OHMS" : ((rx_termination == "OCT_100_OHMS") ? "OCT 100 OHMS" : + ((rx_termination == "OCT_120_OHMS") ? "OCT 120 OHMS" : ((rx_termination == "OCT_150_OHMS") ? "OCT 150 OHMS" : "NONE")))); +localparam tx_term = ((tx_termination == "OCT_85_OHMS") ? "OCT 85 OHMS" : ((tx_termination == "OCT_100_OHMS") ? "OCT 100 OHMS" : + ((tx_termination == "OCT_120_OHMS") ? "OCT 120 OHMS" : ((tx_termination == "OCT_150_OHMS") ? "OCT 150 OHMS" : "NONE")))); +localparam tx_preemp_ptinv = (tx_preemp_pretap_inv == 1) ? "TRUE" : "FALSE"; +localparam tx_preemp_t2inv = (tx_preemp_tap_2_inv == 1) ? "TRUE" : "FALSE"; + +/*Equalization settings*/ +/*Deepak - Found an SPR (287703) that says there is a difference in legality check between SIV and AII. Arria II has a restricted equalization and preemphasis setting. In the case of equalization, EQA, B,C, D and V can all be 0 or 1 whereas on TGX they can all be 0 to 7. Recheck with Brian on whether the following code is the correct way to change it*/ + +// Arria II GZ uses SIV EQ settings, so don't add it to this if statement +localparam rx_eqa_ctrl = (device_family=="Arria II GX") ? ((rx_eq_ctrl >10)? 1 :0) : ((rx_eq_ctrl >10)? 7 :0); +localparam rx_eqb_ctrl = (device_family=="Arria II GX") ? ((rx_eq_ctrl >6) ? 1 :0) : ((rx_eq_ctrl >6) ? 7 :0); +localparam rx_eqc_ctrl = (device_family=="Arria II GX") ? ((rx_eq_ctrl >3) ? 1 :0) : ((rx_eq_ctrl >3) ? 7 :0); +localparam rx_eqd_ctrl = (device_family=="Arria II GX") ? ((rx_eq_ctrl >0) ? 1 :0) : ((rx_eq_ctrl >0) ? 7 :0); +localparam rx_eqv_ctrl = (device_family=="Arria II GX") ? (((rx_eq_ctrl==2 | rx_eq_ctrl==5 | rx_eq_ctrl==8 | rx_eq_ctrl==13)? 1 : + ((rx_eq_ctrl==3 | rx_eq_ctrl==6 | rx_eq_ctrl==10 | rx_eq_ctrl==15)? 1 : + ((rx_eq_ctrl==9 | rx_eq_ctrl==14 )? 1 : + (rx_eq_ctrl==12)? 1 : 0)))): + (((rx_eq_ctrl==2 | rx_eq_ctrl==5 | rx_eq_ctrl==8 | rx_eq_ctrl==13)? 4 : + ((rx_eq_ctrl==3 | rx_eq_ctrl==6 | rx_eq_ctrl==10 | rx_eq_ctrl==15)? 7 : + ((rx_eq_ctrl==9 | rx_eq_ctrl==14 )? 5 : + (rx_eq_ctrl==12)? 3 : 0)))); + +//Deepak:Cyclone IV GX reconfig_fromgxb_width = 4. But we keep it as 16 for backward compatibility with Stratix IV +localparam RECONFIG_FROMGXB_WIDTH = 16; + +// ports ------------------------------------------------------------------- + +// xgmii +input xgmii_tx_clk; +input refclk; +input [71:0] xgmii_tx_dc; +output xgmii_rx_clk; +output [71:0] xgmii_rx_dc; + +// xaui +input [3:0] xaui_rx_serial; +output [3:0] xaui_tx_serial; + +// clock_reset +input rx_analogreset; +input [3:0] rx_digitalreset; //SPR 346070 +input [3:0] tx_digitalreset; //SPR 346070 + +// ctrl_stat: control and status +output rx_channelaligned; +input [3:0] rx_invpolarity; +input [3:0] rx_set_locktodata; // should be [3:0] +input [3:0] rx_set_locktoref; // should be [3:0] +input [3:0] rx_seriallpbken; // should be [3:0] +input [3:0] tx_invpolarity; +output [3:0] rx_is_lockedtodata; // should be [3:0] +output [3:0] rx_phase_comp_fifo_error; +output [3:0] rx_is_lockedtoref; // should be [3:0] +output [3:0] rx_rlv; +output [3:0] rx_rmfifoempty; +output [3:0] rx_rmfifofull; +output [3:0] tx_phase_comp_fifo_error; +output [7:0] rx_disperr; +output [7:0] rx_errdetect; +output [7:0] rx_patterndetect; +output [7:0] rx_rmfifodatadeleted; +output [7:0] rx_rmfifodatainserted; +output [7:0] rx_runningdisp; +output [7:0] rx_syncstatus; +output [3:0] rx_recovered_clk; + +// reconfig +input [3:0] reconfig_togxb; +output [RECONFIG_FROMGXB_WIDTH:0] reconfig_fromgxb; +input reconfig_clk; + +// pma control +input cal_blk_clk; +input gxb_powerdown; +input cal_blk_powerdown; +input pll_powerdown; +output pll_locked; + +input r_gxb_powerdown; +input r_cal_blk_powerdown; +input r_pll_powerdown; +input [3:0] r_rx_set_locktodata; // should be [3:0] +input [3:0] r_rx_set_locktoref; // should be [3:0] +input [3:0] r_rx_seriallpbken; // should be [3:0] +input [3:0] r_rx_analogreset; // should be width 1 +input r_rx_digitalreset; +input r_tx_digitalreset; +input [3:0] r_rx_invpolarity; +input [3:0] r_tx_invpolarity; + + +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + +// ports ------------------------------------------------------------------- + +wire xgmii_tx_clk; +wire [71:0] xgmii_tx_dc; +wire xgmii_rx_clk; +wire [71:0] xgmii_rx_dc; +wire [3:0] xaui_rx_serial; +wire [3:0] xaui_tx_serial; +wire [3:0] rx_cruclk; +wire rx_analogreset; +wire [3:0] rx_digitalreset; //SPR 346070 +wire [3:0] tx_digitalreset; //SPR 346070 +wire rx_channelaligned; +wire [3:0] rx_invpolarity; +wire [3:0] rx_set_locktodata; +wire [3:0] rx_set_locktoref; +wire [3:0] rx_seriallpbken; +wire [3:0] tx_invpolarity; +wire [3:0] rx_is_lockedtodata; +wire [3:0] rx_phase_comp_fifo_error; +wire [3:0] rx_is_lockedtoref; +wire [3:0] rx_rlv; +wire [3:0] rx_rmfifoempty; +wire [3:0] rx_rmfifofull; +wire [3:0] tx_phase_comp_fifo_error; +wire [7:0] rx_disperr; +wire [7:0] rx_errdetect; +wire [7:0] rx_patterndetect; +wire [7:0] rx_rmfifodatadeleted; +wire [7:0] rx_rmfifodatainserted; +wire [7:0] rx_runningdisp; +wire [7:0] rx_syncstatus; +wire [3:0] reconfig_togxb; +wire [RECONFIG_FROMGXB_WIDTH:0] reconfig_fromgxb; +wire reconfig_clk; +wire cal_blk_clk; +wire cal_blk_powerdown; +wire gxb_powerdown; +wire pll_powerdown; +wire pll_locked; + + +// locals ------------------------------------------------------------------ +wire [7:0] xgmii_tx_c; +wire [63:0] xgmii_tx_d; +wire [7:0] xgmii_rx_c; +wire [63:0] xgmii_rx_d; + +// local version +wire l_cal_blk_powerdown; +wire l_gxb_powerdown; +wire l_rx_analogreset; +wire l_rx_digitalreset; +wire l_tx_digitalreset; +wire l_pll_powerdown; +wire [3:0] l_rx_invpolarity; +wire [3:0] l_rx_set_locktodata; +wire [3:0] l_rx_set_locktoref; +wire [3:0] l_rx_seriallpbken; +wire [3:0] l_tx_invpolarity; + +// register file version +wire r_gxb_powerdown; +wire r_cal_blk_powerdown; +wire [3:0] r_rx_analogreset; +wire r_rx_digitalreset; +wire r_tx_digitalreset; +wire r_pll_powerdown; +wire [3:0] r_rx_invpolarity; +wire [3:0] r_rx_set_locktodata; +wire [3:0] r_rx_set_locktoref; +wire [3:0] r_rx_seriallpbken; +wire [3:0] r_tx_invpolarity; + + +// hard xaui signals ------------------------------------------------------- +wire coreclkout; +wire pll_inclk; +wire [7:0] tx_ctrlenable; +wire [63:0] tx_datain; +wire [7:0] rx_ctrldetect; +wire [63:0] rx_dataout; +wire [3:0] rx_datain; +wire [3:0] tx_dataout; +wire [3:0] tx_coreclk; + +// body -------------------------------------------------------------------- + +// Convert to/from Avalon Streaming Interface single bus to data + control +genvar g; +generate + for (g = 0; g < 8; g = g + 1) begin : st_to_dc_b + assign xgmii_tx_d [g*8 +: 8] = xgmii_tx_dc[g*9 +: 8]; + assign xgmii_tx_c [g] = xgmii_tx_dc[g*9 + 8]; + assign xgmii_rx_dc[g*9 +: 8] = xgmii_rx_d [g*8 +: 8]; + assign xgmii_rx_dc[g*9 + 8] = xgmii_rx_c [g]; + end +endgenerate + +// Default values in case ports are not and without control/status registers +generate + if (use_control_and_status_ports == "true" & external_pma_ctrl_reconf == "false" ) begin: use_cs_ports_true + assign l_cal_blk_powerdown = cal_blk_powerdown | r_cal_blk_powerdown; + assign l_gxb_powerdown = gxb_powerdown | r_gxb_powerdown; + assign l_pll_powerdown = pll_powerdown | r_pll_powerdown; + assign l_rx_analogreset = rx_analogreset | r_rx_analogreset[0]; + assign l_rx_digitalreset = |rx_digitalreset | r_rx_digitalreset; //SPR 346070 + assign l_rx_invpolarity = rx_invpolarity | r_rx_invpolarity; + assign l_rx_set_locktodata = rx_set_locktodata[3:0] | + r_rx_set_locktodata[3:0]; + assign l_rx_set_locktoref = rx_set_locktoref[3:0] | + r_rx_set_locktoref[3:0]; + assign l_rx_seriallpbken = rx_seriallpbken[3:0] | + r_rx_seriallpbken[3:0]; + assign l_tx_digitalreset = |tx_digitalreset | r_tx_digitalreset; //SPR 346070 + assign l_tx_invpolarity = tx_invpolarity | r_tx_invpolarity; + end + else if (external_pma_ctrl_reconf == "true" ) begin: use_extern_ctrl_true + assign l_cal_blk_powerdown = cal_blk_powerdown ; + assign l_gxb_powerdown = gxb_powerdown ; + assign l_pll_powerdown = pll_powerdown ; + assign l_rx_analogreset = rx_analogreset | r_rx_analogreset[0]; + assign l_rx_digitalreset = |rx_digitalreset | r_rx_digitalreset; //SPR 346070 + assign l_rx_invpolarity = rx_invpolarity | r_rx_invpolarity; + assign l_rx_set_locktodata = rx_set_locktodata[3:0] | + r_rx_set_locktodata[3:0]; + assign l_rx_set_locktoref = rx_set_locktoref[3:0] | + r_rx_set_locktoref[3:0]; + assign l_rx_seriallpbken = rx_seriallpbken[3:0] | + r_rx_seriallpbken[3:0]; + assign l_tx_digitalreset = |tx_digitalreset | r_tx_digitalreset; //SPR 346070 + assign l_tx_invpolarity = tx_invpolarity | r_tx_invpolarity; + end + else begin: use_cs_ports_false + assign l_cal_blk_powerdown = r_cal_blk_powerdown; + assign l_gxb_powerdown = r_gxb_powerdown; + assign l_pll_powerdown = r_pll_powerdown; + assign l_rx_analogreset = r_rx_analogreset[0]; + assign l_rx_digitalreset = r_rx_digitalreset | |rx_digitalreset; + assign l_rx_invpolarity = r_rx_invpolarity; + assign l_rx_set_locktodata = r_rx_set_locktodata[3:0]; + assign l_rx_set_locktoref = r_rx_set_locktoref[3:0]; + assign l_rx_seriallpbken = r_rx_seriallpbken[3:0]; + assign l_tx_digitalreset = r_tx_digitalreset | |tx_digitalreset; + assign l_tx_invpolarity = r_tx_invpolarity; + end +endgenerate + +// hard xaui -------------------------------------------------------------- + +// interleave shim +assign tx_datain[ 0 +: 8] = xgmii_tx_d[ 0 +: 8]; +assign tx_datain[ 8 +: 8] = xgmii_tx_d[32 +: 8]; +assign tx_datain[16 +: 8] = xgmii_tx_d[ 8 +: 8]; +assign tx_datain[24 +: 8] = xgmii_tx_d[40 +: 8]; +assign tx_datain[32 +: 8] = xgmii_tx_d[16 +: 8]; +assign tx_datain[40 +: 8] = xgmii_tx_d[48 +: 8]; +assign tx_datain[48 +: 8] = xgmii_tx_d[24 +: 8]; +assign tx_datain[56 +: 8] = xgmii_tx_d[56 +: 8]; + +assign tx_ctrlenable[0] = xgmii_tx_c[0]; +assign tx_ctrlenable[1] = xgmii_tx_c[4]; +assign tx_ctrlenable[2] = xgmii_tx_c[1]; +assign tx_ctrlenable[3] = xgmii_tx_c[5]; +assign tx_ctrlenable[4] = xgmii_tx_c[2]; +assign tx_ctrlenable[5] = xgmii_tx_c[6]; +assign tx_ctrlenable[6] = xgmii_tx_c[3]; +assign tx_ctrlenable[7] = xgmii_tx_c[7]; + +assign xgmii_rx_d[ 0 +: 8] = rx_dataout[ 0 +: 8]; +assign xgmii_rx_d[ 8 +: 8] = rx_dataout[16 +: 8]; +assign xgmii_rx_d[16 +: 8] = rx_dataout[32 +: 8]; +assign xgmii_rx_d[24 +: 8] = rx_dataout[48 +: 8]; +assign xgmii_rx_d[32 +: 8] = rx_dataout[ 8 +: 8]; +assign xgmii_rx_d[40 +: 8] = rx_dataout[24 +: 8]; +assign xgmii_rx_d[48 +: 8] = rx_dataout[40 +: 8]; +assign xgmii_rx_d[56 +: 8] = rx_dataout[56 +: 8]; + +assign xgmii_rx_c[0] = rx_ctrldetect[0]; +assign xgmii_rx_c[1] = rx_ctrldetect[2]; +assign xgmii_rx_c[2] = rx_ctrldetect[4]; +assign xgmii_rx_c[3] = rx_ctrldetect[6]; +assign xgmii_rx_c[4] = rx_ctrldetect[1]; +assign xgmii_rx_c[5] = rx_ctrldetect[3]; +assign xgmii_rx_c[6] = rx_ctrldetect[5]; +assign xgmii_rx_c[7] = rx_ctrldetect[7]; + +// translate signal names +assign pll_inclk = refclk; +assign xgmii_rx_clk = coreclkout; +assign rx_datain = xaui_rx_serial; +assign xaui_tx_serial = tx_dataout; +assign rx_cruclk = {4{refclk}}; +assign tx_coreclk = {4{xgmii_tx_clk}}; + +// hard pcs instantiation +generate +if((device_family=="Stratix IV") || (device_family=="HardCopy IV") || (device_family=="Arria II GX") || (device_family=="Arria II GZ")) begin: use_device_family_siv_sv +hxaui_alt4gxb #( + .starting_channel_number(starting_channel_number), + .receiver_termination(rx_term), + .transmitter_termination(tx_term), + .preemphasis_ctrl_pretap_setting(tx_preemp_pretap), + .preemphasis_ctrl_pretap_inv_setting(tx_preemp_ptinv), + .preemphasis_ctrl_1stposttap_setting(tx_preemp_tap_1), + .preemphasis_ctrl_2ndposttap_setting(tx_preemp_tap_2), + .preemphasis_ctrl_2ndposttap_inv_setting(tx_preemp_t2inv), + .vod_ctrl_setting(tx_vod_selection), + .rx_common_mode(rx_common_mode), + .equalizer_ctrl_a_setting(rx_eqa_ctrl), + .equalizer_ctrl_b_setting(rx_eqb_ctrl), + .equalizer_ctrl_c_setting(rx_eqc_ctrl), + .equalizer_ctrl_d_setting(rx_eqd_ctrl), + .equalizer_ctrl_v_setting(rx_eqv_ctrl), + .equalizer_dcgain_setting(rx_eq_dc_gain) +)hxaui_alt4gxb( + .cal_blk_clk (cal_blk_clk), // i + .cal_blk_powerdown (l_cal_blk_powerdown), // i + .gxb_powerdown (l_gxb_powerdown), // i + .pll_inclk (pll_inclk), // i + .pll_powerdown (l_pll_powerdown), // i + .reconfig_clk (reconfig_clk), // i + .reconfig_togxb (reconfig_togxb), // i + .rx_analogreset (l_rx_analogreset), // i + .rx_cruclk (rx_cruclk), + .rx_datain (rx_datain), // i + .rx_digitalreset (l_rx_digitalreset), // i + .rx_invpolarity (l_rx_invpolarity), // i + .rx_locktodata (l_rx_set_locktodata), // i + .rx_locktorefclk (l_rx_set_locktoref), // i + .rx_seriallpbken (l_rx_seriallpbken), // i + .tx_coreclk (tx_coreclk), // i - user should tie this to xgmii_rx_clk at top level, if not used + .tx_ctrlenable (tx_ctrlenable), + .tx_datain (tx_datain), // i + .tx_digitalreset (l_tx_digitalreset), // i + .tx_invpolarity (l_tx_invpolarity), // i + .coreclkout (coreclkout), // o + .pll_locked (pll_locked), // o + .reconfig_fromgxb (reconfig_fromgxb), // o + .rx_channelaligned (rx_channelaligned), // o + .rx_ctrldetect (rx_ctrldetect), // o + .rx_dataout (rx_dataout), // o + .rx_disperr (rx_disperr), // o + .rx_errdetect (rx_errdetect), // o + .rx_freqlocked (rx_is_lockedtodata[3:0]), // o + .rx_patterndetect (rx_patterndetect), // o + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // o + .rx_pll_locked (rx_is_lockedtoref[3:0]), // o + .rx_rlv (rx_rlv), // o + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // o + .rx_rmfifodatainserted (rx_rmfifodatainserted), // o + .rx_rmfifoempty (rx_rmfifoempty), // o + .rx_rmfifofull (rx_rmfifofull), // o + .rx_runningdisp (rx_runningdisp), // o + .rx_recovered_clk (rx_recovered_clk), // o + .rx_syncstatus (rx_syncstatus), // o + .tx_dataout (tx_dataout), // o + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error) // o +); +defparam + hxaui_alt4gxb.starting_channel_number = starting_channel_number; +end + +if((device_family=="Cyclone IV GX")) begin: use_device_family_civ + +//Deepak : from Mei Yin Tan, PN +//Cyclone IV GX: +//if you are using duplex design, both the tx and rx is sharing the same ALTPLL, so the pll_locked will determine whether the pll is locked. +//assign rx_is_lockedtoref[3:0] = {4{pll_locked}}; +assign rx_is_lockedtoref[3:0] = rx_is_lockedtodata[3:0]; + + +hxaui_alt_c3gxb #( + .starting_channel_number(starting_channel_number), + .receiver_termination(rx_term), + .transmitter_termination(tx_term), + .preemphasis_ctrl_1stposttap_setting(tx_preemp_tap_1), + .vod_ctrl_setting(tx_vod_selection), + .rx_common_mode(rx_common_mode), + .equalizer_dcgain_setting(rx_eq_dc_gain) +)hxaui_alt_c3gxb ( + + .cal_blk_clk (cal_blk_clk), // i + .cal_blk_powerdown (l_cal_blk_powerdown), // i + .gxb_powerdown (l_gxb_powerdown), // i + .pll_inclk (pll_inclk), // i + .pll_powerdown (l_pll_powerdown), // i + .reconfig_clk (reconfig_clk), // i + .reconfig_togxb (reconfig_togxb), // i + .rx_analogreset (l_rx_analogreset), // i + .rx_datain (rx_datain), // i + .rx_digitalreset (l_rx_digitalreset), // i + .rx_invpolarity (l_rx_invpolarity), // i + .rx_locktodata (l_rx_set_locktodata), // i + .rx_locktorefclk (l_rx_set_locktoref), // i + + .tx_coreclk (tx_coreclk), // i - user should tie this to xgmii_rx_clk at top level, if not used + .tx_ctrlenable (tx_ctrlenable), + .tx_datain (tx_datain), // i + .tx_digitalreset (l_tx_digitalreset), // i + .tx_invpolarity (l_tx_invpolarity), // i + .coreclkout (coreclkout), // o + .pll_locked (pll_locked), // o + .reconfig_fromgxb (reconfig_fromgxb[4:0]), // o - alt3gxb uses only lower 5 bits of 17 bits from Stratix IV + .rx_channelaligned (rx_channelaligned), // o + .rx_ctrldetect (rx_ctrldetect), // o + .rx_dataout (rx_dataout), // o + .rx_disperr (rx_disperr), // o + .rx_errdetect (rx_errdetect), // o + .rx_freqlocked (rx_is_lockedtodata[3:0]), // o + .rx_patterndetect (rx_patterndetect), // o + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // o + .rx_rlv (rx_rlv), // o + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // o + .rx_rmfifodatainserted (rx_rmfifodatainserted), // o + .rx_rmfifoempty (rx_rmfifoempty), // o + .rx_rmfifofull (rx_rmfifofull), // o + .rx_recovered_clk (rx_recovered_clk), // o + .rx_runningdisp (rx_runningdisp), // o + .rx_syncstatus (rx_syncstatus), // o + .tx_dataout (tx_dataout), // o + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error) // o +); +defparam + hxaui_alt_c3gxb.starting_channel_number = starting_channel_number; + +assign reconfig_fromgxb[16:5] = 12'b0; +end +endgenerate + +endmodule // alt_xaui + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui_alt4gxb.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui_alt4gxb.v new file mode 100644 index 0000000000000000000000000000000000000000..3c73ae92cf771e2eb4711d03be66a8166501ab4d --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui_alt4gxb.v @@ -0,0 +1,4048 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// megafunction wizard: %ALTGX% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: alt4gxb + +// ============================================================ +// File Name: hxaui_alt4gxb.v +// Megafunction Name(s): +// alt4gxb +// +// Simulation Library Files(s): +// stratixiv_hssi +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 9.1 Build 301 01/06/2010 SP 1 SJ Full Version +// ************************************************************ + + +//Copyright (C) 1991-2010 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +//alt4gxb CBX_AUTO_BLACKBOX="ALL" coreclkout_control_width=1 device_family="Stratix IV" effective_data_rate="3125 Mbps" enable_lc_tx_pll="false" equalizer_ctrl_a_setting=0 equalizer_ctrl_b_setting=0 equalizer_ctrl_c_setting=0 equalizer_ctrl_d_setting=0 equalizer_ctrl_v_setting=0 equalizer_dcgain_setting=0 gen_reconfig_pll="false" gx_channel_type="auto" gxb_analog_power="AUTO" gxb_powerdown_width=1 input_clock_frequency="156.25 MHz" intended_device_speed_grade="2" intended_device_variant="GX" loopback_mode="slb" number_of_channels=4 number_of_quads=1 operation_mode="duplex" pll_control_width=1 pll_pfd_fb_mode="internal" preemphasis_ctrl_1stposttap_setting=0 preemphasis_ctrl_2ndposttap_inv_setting="false" preemphasis_ctrl_2ndposttap_setting=0 preemphasis_ctrl_pretap_inv_setting="false" preemphasis_ctrl_pretap_setting=0 protocol="xaui" receiver_termination="OCT_100_OHMS" reconfig_calibration="true" reconfig_dprio_mode=0 reconfig_fromgxb_port_width=17 reconfig_togxb_port_width=4 rx_8b_10b_mode="normal" rx_align_pattern="0101111100" rx_align_pattern_length=10 rx_allow_align_polarity_inversion="true" rx_allow_pipe_polarity_inversion="false" rx_bitslip_enable="false" rx_byte_ordering_mode="none" rx_channel_bonding="x4" rx_channel_width=16 rx_common_mode="0.82v" rx_cru_bandwidth_type="auto" rx_cru_inclock0_period=6400 rx_cru_m_divider=10 rx_cru_n_divider=1 rx_cru_vco_post_scale_divider=2 rx_data_rate=3125 rx_data_rate_remainder=0 rx_datapath_protocol="xaui" rx_digitalreset_port_width=1 rx_dwidth_factor=2 rx_enable_bit_reversal="false" rx_enable_lock_to_data_sig="true" rx_enable_lock_to_refclk_sig="true" rx_enable_self_test_mode="false" rx_force_signal_detect="true" rx_ppmselect=32 rx_rate_match_fifo_mode="normal" rx_rate_match_pattern1="1101000011" rx_rate_match_pattern2="0010111100" rx_rate_match_pattern_size=10 rx_run_length=40 rx_run_length_enable="true" rx_signal_detect_loss_threshold=1 rx_signal_detect_threshold=2 rx_signal_detect_valid_threshold=1 rx_use_align_state_machine="true" rx_use_clkout="false" rx_use_coreclk="false" rx_use_cruclk="true" rx_use_deserializer_double_data_mode="false" rx_use_deskew_fifo="true" rx_use_double_data_mode="true" rx_use_external_termination="false" rx_use_rate_match_pattern1_only="false" rx_word_aligner_num_byte=1 starting_channel_number=0 transmitter_termination="OCT_100_OHMS" tx_8b_10b_mode="normal" tx_allow_polarity_inversion="true" tx_analog_power="AUTO" tx_channel_bonding="x4" tx_channel_width=16 tx_clkout_width=4 tx_common_mode="0.65v" tx_data_rate=3125 tx_data_rate_remainder=0 tx_digitalreset_port_width=1 tx_dwidth_factor=2 tx_enable_bit_reversal="false" tx_enable_self_test_mode="false" tx_pll_bandwidth_type="auto" tx_pll_clock_post_divider=1 tx_pll_inclk0_period=6400 tx_pll_m_divider=10 tx_pll_n_divider=1 tx_pll_type="CMU" tx_pll_vco_post_scale_divider=2 tx_slew_rate="low" tx_transmit_protocol="xaui" tx_use_coreclk="true" tx_use_double_data_mode="true" tx_use_external_termination="false" tx_use_serializer_double_data_mode="false" use_calibration_block="true" vod_ctrl_setting=4 cal_blk_clk cal_blk_powerdown coreclkout gxb_powerdown pll_inclk pll_locked pll_powerdown reconfig_clk reconfig_fromgxb reconfig_togxb rx_analogreset rx_channelaligned rx_cruclk rx_ctrldetect rx_datain rx_dataout rx_digitalreset rx_disperr rx_errdetect rx_freqlocked rx_invpolarity rx_locktodata rx_locktorefclk rx_patterndetect rx_phase_comp_fifo_error rx_pll_locked rx_rlv rx_rmfifodatadeleted rx_rmfifodatainserted rx_rmfifoempty rx_rmfifofull rx_runningdisp rx_seriallpbken rx_syncstatus tx_coreclk tx_ctrlenable tx_datain tx_dataout tx_digitalreset tx_invpolarity tx_phase_comp_fifo_error +//VERSION_BEGIN 9.1SP1 cbx_alt4gxb 2010:01:06:21:12:59:SJ cbx_mgl 2010:01:06:21:19:19:SJ cbx_tgx 2010:01:06:21:12:59:SJ VERSION_END +// synthesis VERILOG_INPUT_VERSION VERILOG_2001 +// altera message_off 10463 + + +//synthesis_resources = reg 6 stratixiv_hssi_calibration_block 1 stratixiv_hssi_clock_divider 1 stratixiv_hssi_cmu 1 stratixiv_hssi_pll 5 stratixiv_hssi_rx_pcs 4 stratixiv_hssi_rx_pma 4 stratixiv_hssi_tx_pcs 4 stratixiv_hssi_tx_pma 4 +//synopsys translate_off +`timescale 1 ps / 1 ps +//synopsys translate_on +module hxaui_alt4gxb_alt4gxb_dksa + ( + cal_blk_clk, + cal_blk_powerdown, + coreclkout, + gxb_powerdown, + pll_inclk, + pll_locked, + pll_powerdown, + reconfig_clk, + reconfig_fromgxb, + reconfig_togxb, + rx_analogreset, + rx_channelaligned, + rx_coreclk, + rx_cruclk, + rx_ctrldetect, + rx_datain, + rx_dataout, + rx_digitalreset, + rx_disperr, + rx_errdetect, + rx_freqlocked, + rx_invpolarity, + rx_locktodata, + rx_locktorefclk, + rx_patterndetect, + rx_phase_comp_fifo_error, + rx_pll_locked, + rx_rlv, + rx_rmfifodatadeleted, + rx_rmfifodatainserted, + rx_rmfifoempty, + rx_rmfifofull, + rx_runningdisp, + rx_seriallpbken, + rx_syncstatus, + rx_recovered_clk, + tx_coreclk, + tx_ctrlenable, + tx_datain, + tx_dataout, + tx_digitalreset, + tx_invpolarity, + tx_phase_comp_fifo_error) ; + input cal_blk_clk; + input cal_blk_powerdown; + output [0:0] coreclkout; + input [0:0] gxb_powerdown; + input pll_inclk; + output [0:0] pll_locked; + input [0:0] pll_powerdown; + input reconfig_clk; + output [16:0] reconfig_fromgxb; + input [3:0] reconfig_togxb; + input [0:0] rx_analogreset; + output [0:0] rx_channelaligned; + input [3:0] rx_coreclk; + input [3:0] rx_cruclk; + output [7:0] rx_ctrldetect; + input [3:0] rx_datain; + output [63:0] rx_dataout; + input [0:0] rx_digitalreset; + output [7:0] rx_disperr; + output [7:0] rx_errdetect; + output [3:0] rx_freqlocked; + input [3:0] rx_invpolarity; + input [3:0] rx_locktodata; + input [3:0] rx_locktorefclk; + output [7:0] rx_patterndetect; + output [3:0] rx_phase_comp_fifo_error; + output [3:0] rx_pll_locked; + output [3:0] rx_rlv; + output [7:0] rx_rmfifodatadeleted; + output [7:0] rx_rmfifodatainserted; + output [3:0] rx_rmfifoempty; + output [3:0] rx_rmfifofull; + output [7:0] rx_runningdisp; + input [3:0] rx_seriallpbken; + output [7:0] rx_syncstatus; + input [3:0] tx_coreclk; + input [7:0] tx_ctrlenable; + input [63:0] tx_datain; + output [3:0] tx_dataout; + input [0:0] tx_digitalreset; + input [3:0] tx_invpolarity; + output [3:0] tx_phase_comp_fifo_error; + output [3:0] rx_recovered_clk; + + wire wire_receive_pcs0_clkout; + wire wire_receive_pcs1_clkout; + wire wire_receive_pcs2_clkout; + wire wire_receive_pcs3_clkout; + assign rx_recovered_clk = {wire_receive_pcs0_clkout,wire_receive_pcs1_clkout,wire_receive_pcs2_clkout,wire_receive_pcs3_clkout}; + +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri0 cal_blk_clk; + tri0 cal_blk_powerdown; + tri0 [0:0] gxb_powerdown; + tri0 pll_inclk; + tri0 [0:0] pll_powerdown; + tri0 reconfig_clk; + tri0 [0:0] rx_analogreset; + tri0 [3:0] rx_coreclk; + tri0 [3:0] rx_cruclk; + tri0 [0:0] rx_digitalreset; + tri0 [3:0] rx_invpolarity; + tri0 [3:0] rx_locktodata; + tri0 [3:0] rx_locktorefclk; + tri0 [3:0] rx_seriallpbken; + tri0 [3:0] tx_coreclk; + tri0 [7:0] tx_ctrlenable; + tri0 [63:0] tx_datain; + tri0 [0:0] tx_digitalreset; + tri0 [3:0] tx_invpolarity; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + + parameter starting_channel_number = 0; + parameter transmitter_termination = "OCT 100 OHMS";//OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter receiver_termination = "OCT 100 OHMS"; //OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter rx_common_mode = "0.82v"; + + parameter preemphasis_ctrl_pretap_setting = 0;//0-7 + parameter preemphasis_ctrl_pretap_inv_setting = "FALSE";//TRUE or FALSE. + parameter preemphasis_ctrl_1stposttap_setting = 5;//0-15 + parameter preemphasis_ctrl_2ndposttap_setting = 0;//0-7 + parameter preemphasis_ctrl_2ndposttap_inv_setting = "FALSE";//TRUE or FALSE. + parameter vod_ctrl_setting = 1;//0-7 + + parameter equalizer_dcgain_setting = 0; //0-4 + parameter equalizer_ctrl_a_setting = 0; + parameter equalizer_ctrl_b_setting = 0; + parameter equalizer_ctrl_c_setting = 0; + parameter equalizer_ctrl_d_setting = 0; + parameter equalizer_ctrl_v_setting = 0; + + + wire [2:0] wire_rx_digitalreset_reg0c_d; + reg [2:0] rx_digitalreset_reg0c; + wire [2:0] wire_rx_digitalreset_reg0c_clk; + wire [2:0] wire_tx_digitalreset_reg0c_d; + reg [2:0] tx_digitalreset_reg0c; + wire [2:0] wire_tx_digitalreset_reg0c_clk; + wire wire_cal_blk0_nonusertocmu; + wire [1:0] wire_central_clk_div0_analogfastrefclkout; + wire [1:0] wire_central_clk_div0_analogrefclkout; + wire wire_central_clk_div0_analogrefclkpulse; + wire wire_central_clk_div0_coreclkout; + wire [99:0] wire_central_clk_div0_dprioout; + wire wire_central_clk_div0_rateswitchdone; + wire wire_central_clk_div0_refclkout; + wire wire_cent_unit0_alignstatus; + wire [1:0] wire_cent_unit0_clkdivpowerdn; + wire [599:0] wire_cent_unit0_cmudividerdprioout; + wire [1799:0] wire_cent_unit0_cmuplldprioout; + wire wire_cent_unit0_dpriodisableout; + wire wire_cent_unit0_dprioout; + wire wire_cent_unit0_enabledeskew; + wire wire_cent_unit0_fiforesetrd; + wire [1:0] wire_cent_unit0_pllpowerdn; + wire [1:0] wire_cent_unit0_pllresetout; + wire wire_cent_unit0_quadresetout; + wire [5:0] wire_cent_unit0_rxanalogresetout; + wire [5:0] wire_cent_unit0_rxcrupowerdown; + wire [5:0] wire_cent_unit0_rxcruresetout; + wire [3:0] wire_cent_unit0_rxctrlout; + wire [31:0] wire_cent_unit0_rxdataout; + wire [3:0] wire_cent_unit0_rxdigitalresetout; + wire [5:0] wire_cent_unit0_rxibpowerdown; + wire [1599:0] wire_cent_unit0_rxpcsdprioout; + wire wire_cent_unit0_rxphfifox4byteselout; + wire wire_cent_unit0_rxphfifox4rdenableout; + wire wire_cent_unit0_rxphfifox4wrclkout; + wire wire_cent_unit0_rxphfifox4wrenableout; + wire [1799:0] wire_cent_unit0_rxpmadprioout; + wire [5:0] wire_cent_unit0_txanalogresetout; + wire [3:0] wire_cent_unit0_txctrlout; + wire [31:0] wire_cent_unit0_txdataout; + wire [5:0] wire_cent_unit0_txdetectrxpowerdown; + wire [3:0] wire_cent_unit0_txdigitalresetout; + wire [5:0] wire_cent_unit0_txobpowerdown; + wire [599:0] wire_cent_unit0_txpcsdprioout; + wire wire_cent_unit0_txphfifox4byteselout; + wire wire_cent_unit0_txphfifox4rdclkout; + wire wire_cent_unit0_txphfifox4rdenableout; + wire wire_cent_unit0_txphfifox4wrenableout; + wire [1799:0] wire_cent_unit0_txpmadprioout; + wire [3:0] wire_rx_cdr_pll0_clk; + wire [1:0] wire_rx_cdr_pll0_dataout; + wire [299:0] wire_rx_cdr_pll0_dprioout; + wire wire_rx_cdr_pll0_freqlocked; + wire wire_rx_cdr_pll0_locked; + wire wire_rx_cdr_pll0_pfdrefclkout; + wire [3:0] wire_rx_cdr_pll1_clk; + wire [1:0] wire_rx_cdr_pll1_dataout; + wire [299:0] wire_rx_cdr_pll1_dprioout; + wire wire_rx_cdr_pll1_freqlocked; + wire wire_rx_cdr_pll1_locked; + wire wire_rx_cdr_pll1_pfdrefclkout; + wire [3:0] wire_rx_cdr_pll2_clk; + wire [1:0] wire_rx_cdr_pll2_dataout; + wire [299:0] wire_rx_cdr_pll2_dprioout; + wire wire_rx_cdr_pll2_freqlocked; + wire wire_rx_cdr_pll2_locked; + wire wire_rx_cdr_pll2_pfdrefclkout; + wire [3:0] wire_rx_cdr_pll3_clk; + wire [1:0] wire_rx_cdr_pll3_dataout; + wire [299:0] wire_rx_cdr_pll3_dprioout; + wire wire_rx_cdr_pll3_freqlocked; + wire wire_rx_cdr_pll3_locked; + wire wire_rx_cdr_pll3_pfdrefclkout; + wire [3:0] wire_tx_pll0_clk; + wire [299:0] wire_tx_pll0_dprioout; + wire wire_tx_pll0_locked; + wire wire_receive_pcs0_adetectdeskew; + wire wire_receive_pcs0_alignstatussyncout; + wire wire_receive_pcs0_cdrctrllocktorefclkout; + wire wire_receive_pcs0_coreclkout; + wire [3:0] wire_receive_pcs0_ctrldetect; + wire [39:0] wire_receive_pcs0_dataout; + wire wire_receive_pcs0_disablefifordout; + wire wire_receive_pcs0_disablefifowrout; + wire [3:0] wire_receive_pcs0_disperr; + wire [399:0] wire_receive_pcs0_dprioout; + wire [3:0] wire_receive_pcs0_errdetect; + wire wire_receive_pcs0_fifordout; + wire [3:0] wire_receive_pcs0_patterndetect; + wire wire_receive_pcs0_phfifobyteserdisableout; + wire wire_receive_pcs0_phfifooverflow; + wire wire_receive_pcs0_phfifoptrsresetout; + wire wire_receive_pcs0_phfifordenableout; + wire wire_receive_pcs0_phfiforesetout; + wire wire_receive_pcs0_phfifounderflow; + wire wire_receive_pcs0_phfifowrdisableout; + wire wire_receive_pcs0_rateswitchout; + wire wire_receive_pcs0_rdalign; + wire wire_receive_pcs0_rlv; + wire [3:0] wire_receive_pcs0_rmfifodatadeleted; + wire [3:0] wire_receive_pcs0_rmfifodatainserted; + wire wire_receive_pcs0_rmfifoempty; + wire wire_receive_pcs0_rmfifofull; + wire [3:0] wire_receive_pcs0_runningdisp; + wire [3:0] wire_receive_pcs0_syncstatus; + wire wire_receive_pcs0_syncstatusdeskew; + wire wire_receive_pcs0_xgmctrldet; + wire [7:0] wire_receive_pcs0_xgmdataout; + wire wire_receive_pcs0_xgmdatavalid; + wire wire_receive_pcs0_xgmrunningdisp; + wire wire_receive_pcs1_adetectdeskew; + wire wire_receive_pcs1_alignstatussyncout; + wire wire_receive_pcs1_cdrctrllocktorefclkout; + wire wire_receive_pcs1_coreclkout; + wire [3:0] wire_receive_pcs1_ctrldetect; + wire [39:0] wire_receive_pcs1_dataout; + wire wire_receive_pcs1_disablefifordout; + wire wire_receive_pcs1_disablefifowrout; + wire [3:0] wire_receive_pcs1_disperr; + wire [399:0] wire_receive_pcs1_dprioout; + wire [3:0] wire_receive_pcs1_errdetect; + wire wire_receive_pcs1_fifordout; + wire [3:0] wire_receive_pcs1_patterndetect; + wire wire_receive_pcs1_phfifobyteserdisableout; + wire wire_receive_pcs1_phfifooverflow; + wire wire_receive_pcs1_phfifoptrsresetout; + wire wire_receive_pcs1_phfifordenableout; + wire wire_receive_pcs1_phfiforesetout; + wire wire_receive_pcs1_phfifounderflow; + wire wire_receive_pcs1_phfifowrdisableout; + wire wire_receive_pcs1_rateswitchout; + wire wire_receive_pcs1_rdalign; + wire wire_receive_pcs1_rlv; + wire [3:0] wire_receive_pcs1_rmfifodatadeleted; + wire [3:0] wire_receive_pcs1_rmfifodatainserted; + wire wire_receive_pcs1_rmfifoempty; + wire wire_receive_pcs1_rmfifofull; + wire [3:0] wire_receive_pcs1_runningdisp; + wire [3:0] wire_receive_pcs1_syncstatus; + wire wire_receive_pcs1_syncstatusdeskew; + wire wire_receive_pcs1_xgmctrldet; + wire [7:0] wire_receive_pcs1_xgmdataout; + wire wire_receive_pcs1_xgmdatavalid; + wire wire_receive_pcs1_xgmrunningdisp; + wire wire_receive_pcs2_adetectdeskew; + wire wire_receive_pcs2_alignstatussyncout; + wire wire_receive_pcs2_cdrctrllocktorefclkout; + wire wire_receive_pcs2_coreclkout; + wire [3:0] wire_receive_pcs2_ctrldetect; + wire [39:0] wire_receive_pcs2_dataout; + wire wire_receive_pcs2_disablefifordout; + wire wire_receive_pcs2_disablefifowrout; + wire [3:0] wire_receive_pcs2_disperr; + wire [399:0] wire_receive_pcs2_dprioout; + wire [3:0] wire_receive_pcs2_errdetect; + wire wire_receive_pcs2_fifordout; + wire [3:0] wire_receive_pcs2_patterndetect; + wire wire_receive_pcs2_phfifobyteserdisableout; + wire wire_receive_pcs2_phfifooverflow; + wire wire_receive_pcs2_phfifoptrsresetout; + wire wire_receive_pcs2_phfifordenableout; + wire wire_receive_pcs2_phfiforesetout; + wire wire_receive_pcs2_phfifounderflow; + wire wire_receive_pcs2_phfifowrdisableout; + wire wire_receive_pcs2_rateswitchout; + wire wire_receive_pcs2_rdalign; + wire wire_receive_pcs2_rlv; + wire [3:0] wire_receive_pcs2_rmfifodatadeleted; + wire [3:0] wire_receive_pcs2_rmfifodatainserted; + wire wire_receive_pcs2_rmfifoempty; + wire wire_receive_pcs2_rmfifofull; + wire [3:0] wire_receive_pcs2_runningdisp; + wire [3:0] wire_receive_pcs2_syncstatus; + wire wire_receive_pcs2_syncstatusdeskew; + wire wire_receive_pcs2_xgmctrldet; + wire [7:0] wire_receive_pcs2_xgmdataout; + wire wire_receive_pcs2_xgmdatavalid; + wire wire_receive_pcs2_xgmrunningdisp; + wire wire_receive_pcs3_adetectdeskew; + wire wire_receive_pcs3_alignstatussyncout; + wire wire_receive_pcs3_cdrctrllocktorefclkout; + wire wire_receive_pcs3_coreclkout; + wire [3:0] wire_receive_pcs3_ctrldetect; + wire [39:0] wire_receive_pcs3_dataout; + wire wire_receive_pcs3_disablefifordout; + wire wire_receive_pcs3_disablefifowrout; + wire [3:0] wire_receive_pcs3_disperr; + wire [399:0] wire_receive_pcs3_dprioout; + wire [3:0] wire_receive_pcs3_errdetect; + wire wire_receive_pcs3_fifordout; + wire [3:0] wire_receive_pcs3_patterndetect; + wire wire_receive_pcs3_phfifobyteserdisableout; + wire wire_receive_pcs3_phfifooverflow; + wire wire_receive_pcs3_phfifoptrsresetout; + wire wire_receive_pcs3_phfifordenableout; + wire wire_receive_pcs3_phfiforesetout; + wire wire_receive_pcs3_phfifounderflow; + wire wire_receive_pcs3_phfifowrdisableout; + wire wire_receive_pcs3_rateswitchout; + wire wire_receive_pcs3_rdalign; + wire wire_receive_pcs3_rlv; + wire [3:0] wire_receive_pcs3_rmfifodatadeleted; + wire [3:0] wire_receive_pcs3_rmfifodatainserted; + wire wire_receive_pcs3_rmfifoempty; + wire wire_receive_pcs3_rmfifofull; + wire [3:0] wire_receive_pcs3_runningdisp; + wire [3:0] wire_receive_pcs3_syncstatus; + wire wire_receive_pcs3_syncstatusdeskew; + wire wire_receive_pcs3_xgmctrldet; + wire [7:0] wire_receive_pcs3_xgmdataout; + wire wire_receive_pcs3_xgmdatavalid; + wire wire_receive_pcs3_xgmrunningdisp; + wire [7:0] wire_receive_pma0_analogtestbus; + wire wire_receive_pma0_clockout; + wire wire_receive_pma0_dataout; + wire [299:0] wire_receive_pma0_dprioout; + wire wire_receive_pma0_locktorefout; + wire [63:0] wire_receive_pma0_recoverdataout; + wire wire_receive_pma0_signaldetect; + wire [7:0] wire_receive_pma1_analogtestbus; + wire wire_receive_pma1_clockout; + wire wire_receive_pma1_dataout; + wire [299:0] wire_receive_pma1_dprioout; + wire wire_receive_pma1_locktorefout; + wire [63:0] wire_receive_pma1_recoverdataout; + wire wire_receive_pma1_signaldetect; + wire [7:0] wire_receive_pma2_analogtestbus; + wire wire_receive_pma2_clockout; + wire wire_receive_pma2_dataout; + wire [299:0] wire_receive_pma2_dprioout; + wire wire_receive_pma2_locktorefout; + wire [63:0] wire_receive_pma2_recoverdataout; + wire wire_receive_pma2_signaldetect; + wire [7:0] wire_receive_pma3_analogtestbus; + wire wire_receive_pma3_clockout; + wire wire_receive_pma3_dataout; + wire [299:0] wire_receive_pma3_dprioout; + wire wire_receive_pma3_locktorefout; + wire [63:0] wire_receive_pma3_recoverdataout; + wire wire_receive_pma3_signaldetect; + wire wire_transmit_pcs0_coreclkout; + wire [19:0] wire_transmit_pcs0_dataout; + wire [149:0] wire_transmit_pcs0_dprioout; + wire wire_transmit_pcs0_forceelecidleout; + wire wire_transmit_pcs0_phfifooverflow; + wire wire_transmit_pcs0_phfiforddisableout; + wire wire_transmit_pcs0_phfiforesetout; + wire wire_transmit_pcs0_phfifounderflow; + wire wire_transmit_pcs0_phfifowrenableout; + wire wire_transmit_pcs0_rdenablesync; + wire wire_transmit_pcs0_txdetectrx; + wire wire_transmit_pcs0_xgmctrlenable; + wire [7:0] wire_transmit_pcs0_xgmdataout; + wire wire_transmit_pcs1_coreclkout; + wire [19:0] wire_transmit_pcs1_dataout; + wire [149:0] wire_transmit_pcs1_dprioout; + wire wire_transmit_pcs1_forceelecidleout; + wire wire_transmit_pcs1_phfifooverflow; + wire wire_transmit_pcs1_phfiforddisableout; + wire wire_transmit_pcs1_phfiforesetout; + wire wire_transmit_pcs1_phfifounderflow; + wire wire_transmit_pcs1_phfifowrenableout; + wire wire_transmit_pcs1_rdenablesync; + wire wire_transmit_pcs1_txdetectrx; + wire wire_transmit_pcs1_xgmctrlenable; + wire [7:0] wire_transmit_pcs1_xgmdataout; + wire wire_transmit_pcs2_coreclkout; + wire [19:0] wire_transmit_pcs2_dataout; + wire [149:0] wire_transmit_pcs2_dprioout; + wire wire_transmit_pcs2_forceelecidleout; + wire wire_transmit_pcs2_phfifooverflow; + wire wire_transmit_pcs2_phfiforddisableout; + wire wire_transmit_pcs2_phfiforesetout; + wire wire_transmit_pcs2_phfifounderflow; + wire wire_transmit_pcs2_phfifowrenableout; + wire wire_transmit_pcs2_rdenablesync; + wire wire_transmit_pcs2_txdetectrx; + wire wire_transmit_pcs2_xgmctrlenable; + wire [7:0] wire_transmit_pcs2_xgmdataout; + wire wire_transmit_pcs3_coreclkout; + wire [19:0] wire_transmit_pcs3_dataout; + wire [149:0] wire_transmit_pcs3_dprioout; + wire wire_transmit_pcs3_forceelecidleout; + wire wire_transmit_pcs3_phfifooverflow; + wire wire_transmit_pcs3_phfiforddisableout; + wire wire_transmit_pcs3_phfiforesetout; + wire wire_transmit_pcs3_phfifounderflow; + wire wire_transmit_pcs3_phfifowrenableout; + wire wire_transmit_pcs3_rdenablesync; + wire wire_transmit_pcs3_txdetectrx; + wire wire_transmit_pcs3_xgmctrlenable; + wire [7:0] wire_transmit_pcs3_xgmdataout; + wire wire_transmit_pma0_clockout; + wire wire_transmit_pma0_dataout; + wire [299:0] wire_transmit_pma0_dprioout; + wire wire_transmit_pma0_seriallpbkout; + wire wire_transmit_pma1_clockout; + wire wire_transmit_pma1_dataout; + wire [299:0] wire_transmit_pma1_dprioout; + wire wire_transmit_pma1_seriallpbkout; + wire wire_transmit_pma2_clockout; + wire wire_transmit_pma2_dataout; + wire [299:0] wire_transmit_pma2_dprioout; + wire wire_transmit_pma2_seriallpbkout; + wire wire_transmit_pma3_clockout; + wire wire_transmit_pma3_dataout; + wire [299:0] wire_transmit_pma3_dprioout; + wire wire_transmit_pma3_seriallpbkout; + wire [0:0] cent_unit_clkdivpowerdn; + wire [599:0] cent_unit_cmudividerdprioout; + wire [1799:0] cent_unit_cmuplldprioout; + wire [1:0] cent_unit_pllpowerdn; + wire [1:0] cent_unit_pllresetout; + wire [0:0] cent_unit_quadresetout; + wire [0:0] cent_unit_rdenablesync; + wire [3:0] cent_unit_rx_ctrl; + wire [31:0] cent_unit_rx_xgmdataout; + wire [5:0] cent_unit_rxcrupowerdn; + wire [5:0] cent_unit_rxibpowerdn; + wire [1599:0] cent_unit_rxpcsdprioin; + wire [1599:0] cent_unit_rxpcsdprioout; + wire [1799:0] cent_unit_rxpmadprioin; + wire [1799:0] cent_unit_rxpmadprioout; + wire [1199:0] cent_unit_tx_dprioin; + wire [31:0] cent_unit_tx_xgmdataout; + wire [3:0] cent_unit_txctrlout; + wire [5:0] cent_unit_txdetectrxpowerdn; + wire [599:0] cent_unit_txdprioout; + wire [5:0] cent_unit_txobpowerdn; + wire [1799:0] cent_unit_txpmadprioin; + wire [1799:0] cent_unit_txpmadprioout; + wire [3:0] clk_div_clk0in; + wire [599:0] clk_div_cmudividerdprioin; + wire [1:0] cmu_analogfastrefclkout; + wire [1:0] cmu_analogrefclkout; + wire [0:0] cmu_analogrefclkpulse; + wire [0:0] coreclkout_wire; + wire [0:0] enabledeskew; + wire [5:0] fixedclk_to_cmu; + wire [0:0] int_hiprateswtichdone; + wire [3:0] int_rx_coreclkout; + wire [0:0] int_rx_digitalreset_reg; + wire [3:0] int_rx_phfifobyteserdisable; + wire [3:0] int_rx_phfifoptrsresetout; + wire [3:0] int_rx_phfifordenableout; + wire [3:0] int_rx_phfiforesetout; + wire [3:0] int_rx_phfifowrdisableout; + wire [11:0] int_rx_phfifoxnbytesel; + wire [11:0] int_rx_phfifoxnrdenable; + wire [11:0] int_rx_phfifoxnwrclk; + wire [11:0] int_rx_phfifoxnwrenable; + wire [0:0] int_rxcoreclk; + wire [0:0] int_rxphfifordenable; + wire [0:0] int_rxphfiforeset; + wire [0:0] int_rxphfifox4byteselout; + wire [0:0] int_rxphfifox4rdenableout; + wire [0:0] int_rxphfifox4wrclkout; + wire [0:0] int_rxphfifox4wrenableout; + wire [3:0] int_tx_coreclkout; + wire [0:0] int_tx_digitalreset_reg; + wire [3:0] int_tx_phfiforddisableout; + wire [3:0] int_tx_phfiforesetout; + wire [3:0] int_tx_phfifowrenableout; + wire [11:0] int_tx_phfifoxnbytesel; + wire [11:0] int_tx_phfifoxnrdclk; + wire [11:0] int_tx_phfifoxnrdenable; + wire [11:0] int_tx_phfifoxnwrenable; + wire [0:0] int_txcoreclk; + wire [0:0] int_txphfiforddisable; + wire [0:0] int_txphfiforeset; + wire [0:0] int_txphfifowrenable; + wire [0:0] int_txphfifox4byteselout; + wire [0:0] int_txphfifox4rdclkout; + wire [0:0] int_txphfifox4rdenableout; + wire [0:0] int_txphfifox4wrenableout; + wire [0:0] nonusertocmu_out; + wire [9:0] pll0_clkin; + wire [299:0] pll0_dprioin; + wire [299:0] pll0_dprioout; + wire [3:0] pll0_out; + wire [7:0] pll_ch_dataout_wire; + wire [1199:0] pll_ch_dprioout; + wire [1799:0] pll_cmuplldprioout; + wire [0:0] pll_inclk_wire; + wire [0:0] pll_locked_out; + wire [1:0] pllpowerdn_in; + wire [1:0] pllreset_in; + wire [0:0] reconfig_togxb_busy; + wire [0:0] reconfig_togxb_disable; + wire [0:0] reconfig_togxb_in; + wire [0:0] reconfig_togxb_load; + wire [0:0] refclk_pma; + wire [3:0] rx_adetectdeskew; + wire [0:0] rx_alignstatussync; + wire [3:0] rx_alignstatussyncout; + wire [5:0] rx_analogreset_in; + wire [5:0] rx_analogreset_out; + wire [0:0] rx_channelaligned_in; + wire [3:0] rx_coreclk_in; + wire [39:0] rx_cruclk_in; + wire [15:0] rx_deserclock_in; + wire [3:0] rx_digitalreset_in; + wire [3:0] rx_digitalreset_out; + wire [0:0] rx_disablefifordin_in; + wire [3:0] rx_disablefifordout_wire; + wire [0:0] rx_disablefifowrin_in; + wire [3:0] rx_disablefifowrout_wire; + wire [3:0] rx_enapatternalign; + wire [0:0] rx_fifordin_in; + wire [3:0] rx_fifordout_wire; + wire [0:0] rx_fiforesetrd; + wire [3:0] rx_freqlocked_wire; + wire [3:0] rx_locktodata_wire; + wire [3:0] rx_locktorefclk_wire; + wire [0:0] rx_masterclk; + wire [63:0] rx_out_wire; + wire [1599:0] rx_pcsdprioin_wire; + wire [1599:0] rx_pcsdprioout; + wire [3:0] rx_phfifooverflowout; + wire [3:0] rx_phfifordenable; + wire [3:0] rx_phfiforeset; + wire [3:0] rx_phfifounderflowout; + wire [3:0] rx_phfifowrdisable; + wire [3:0] rx_pldcruclk_in; + wire [15:0] rx_pll_clkout; + wire [3:0] rx_pll_pfdrefclkout_wire; + wire [3:0] rx_plllocked_wire; + wire [67:0] rx_pma_analogtestbus; + wire [3:0] rx_pma_clockout; + wire [3:0] rx_pma_dataout; + wire [3:0] rx_pma_locktorefout; + wire [79:0] rx_pma_recoverdataout_wire; + wire [1799:0] rx_pmadprioin_wire; + wire [1799:0] rx_pmadprioout; + wire [3:0] rx_powerdown; + wire [5:0] rx_powerdown_in; + wire [3:0] rx_prbscidenable; + wire [3:0] rx_rdalign; + wire [3:0] rx_rmfiforeset; + wire [5:0] rx_rxcruresetout; + wire [3:0] rx_syncstatusdeskew; + wire [3:0] rx_xgmctrlin; + wire [31:0] rx_xgmdataout; + wire [3:0] rx_xgmdatavalid; + wire [3:0] rx_xgmrunningdisp; + wire [0:0] rxphfifowrdisable; + wire [1799:0] rxpll_dprioin; + wire [5:0] tx_analogreset_out; + wire [3:0] tx_clkout_int_wire; + wire [3:0] tx_coreclk_in; + wire [63:0] tx_datain_wire; + wire [79:0] tx_dataout_pcs_to_pma; + wire [3:0] tx_digitalreset_in; + wire [3:0] tx_digitalreset_out; + wire [1199:0] tx_dprioin_wire; + wire [7:0] tx_forcedisp_wire; + wire [3:0] tx_localrefclk; + wire [3:0] tx_phfifooverflowout; + wire [3:0] tx_phfiforeset; + wire [3:0] tx_phfifounderflowout; + wire [1799:0] tx_pmadprioin_wire; + wire [1799:0] tx_pmadprioout; + wire [3:0] tx_rdenablesync; + wire [3:0] tx_serialloopbackout; + wire [599:0] tx_txdprioout; + wire [3:0] tx_xgmctrlenable; + wire [31:0] tx_xgmdataout; + wire [3:0] txdetectrxout; + wire [0:0] w_cent_unit_dpriodisableout1w; + + // These are synchronized forms digital reset + // async inputs go to *digitalreset_reg0c, synced with data path clock + // synopsys translate_off + initial + rx_digitalreset_reg0c[0:0] = 0; + // synopsys translate_on + always @ ( posedge wire_rx_digitalreset_reg0c_clk[0:0]) + rx_digitalreset_reg0c[0:0] <= wire_rx_digitalreset_reg0c_d[0:0]; + // synopsys translate_off + initial + rx_digitalreset_reg0c[1:1] = 0; + // synopsys translate_on + always @ ( posedge wire_rx_digitalreset_reg0c_clk[1:1]) + rx_digitalreset_reg0c[1:1] <= wire_rx_digitalreset_reg0c_d[1:1]; + // synopsys translate_off + initial + rx_digitalreset_reg0c[2:2] = 0; + // synopsys translate_on + always @ ( posedge wire_rx_digitalreset_reg0c_clk[2:2]) + rx_digitalreset_reg0c[2:2] <= wire_rx_digitalreset_reg0c_d[2:2]; + assign + wire_rx_digitalreset_reg0c_d = {rx_digitalreset_reg0c[1:0], rx_digitalreset[0]}; + assign + wire_rx_digitalreset_reg0c_clk = {3{coreclkout_wire[0]}}; + // synopsys translate_off + initial + tx_digitalreset_reg0c[0:0] = 0; + // synopsys translate_on + always @ ( posedge wire_tx_digitalreset_reg0c_clk[0:0]) + tx_digitalreset_reg0c[0:0] <= wire_tx_digitalreset_reg0c_d[0:0]; + // synopsys translate_off + initial + tx_digitalreset_reg0c[1:1] = 0; + // synopsys translate_on + always @ ( posedge wire_tx_digitalreset_reg0c_clk[1:1]) + tx_digitalreset_reg0c[1:1] <= wire_tx_digitalreset_reg0c_d[1:1]; + // synopsys translate_off + initial + tx_digitalreset_reg0c[2:2] = 0; + // synopsys translate_on + always @ ( posedge wire_tx_digitalreset_reg0c_clk[2:2]) + tx_digitalreset_reg0c[2:2] <= wire_tx_digitalreset_reg0c_d[2:2]; + assign + wire_tx_digitalreset_reg0c_d = {tx_digitalreset_reg0c[1:0], tx_digitalreset[0]}; + assign + wire_tx_digitalreset_reg0c_clk = {3{coreclkout_wire[0]}}; + + // Parameter strings for embedded timing constraints + localparam TX_DIGITALRESET_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to {*xaui_phy*tx_digitalreset_reg0c[0]};\""}; + localparam RX_DIGITALRESET_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to {*xaui_phy*rx_digitalreset_reg0c[0]};\""}; + localparam SDC_CONSTRAINTS = {TX_DIGITALRESET_CONSTRAINT,";",RX_DIGITALRESET_CONSTRAINT}; + (* altera_attribute = SDC_CONSTRAINTS *) // Apply timing constraints (does not matter which node) + + stratixiv_hssi_calibration_block cal_blk0 + ( + .calibrationstatus(), + .clk(cal_blk_clk), + .enabletestbus(1'b1), + .nonusertocmu(wire_cal_blk0_nonusertocmu), + .powerdn(cal_blk_powerdown) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .testctrl(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + stratixiv_hssi_clock_divider central_clk_div0 + ( + .analogfastrefclkout(wire_central_clk_div0_analogfastrefclkout), + .analogfastrefclkoutshifted(), + .analogrefclkout(wire_central_clk_div0_analogrefclkout), + .analogrefclkoutshifted(), + .analogrefclkpulse(wire_central_clk_div0_analogrefclkpulse), + .analogrefclkpulseshifted(), + .clk0in(clk_div_clk0in[3:0]), + .coreclkout(wire_central_clk_div0_coreclkout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(cent_unit_cmudividerdprioout[499:400]), + .dprioout(wire_central_clk_div0_dprioout), + .powerdn(cent_unit_clkdivpowerdn[0]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchbaseclock(), + .rateswitchdone(wire_central_clk_div0_rateswitchdone), + .rateswitchout(), + .refclkout(wire_central_clk_div0_refclkout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .clk1in({4{1'b0}}), + .rateswitch(1'b0), + .rateswitchbaseclkin({2{1'b0}}), + .rateswitchdonein({2{1'b0}}), + .refclkdig(1'b0), + .refclkin({2{1'b0}}), + .vcobypassin(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + central_clk_div0.divide_by = 5, + central_clk_div0.divider_type = "CENTRAL_ENHANCED", + central_clk_div0.effective_data_rate = "3125 Mbps", + central_clk_div0.enable_dynamic_divider = "false", + central_clk_div0.enable_refclk_out = "true", + central_clk_div0.inclk_select = 0, + central_clk_div0.logical_channel_address = 0, + central_clk_div0.pre_divide_by = 1, + central_clk_div0.refclkin_select = 0, + central_clk_div0.select_local_rate_switch_base_clock = "true", + central_clk_div0.select_local_refclk = "true", + central_clk_div0.sim_analogfastrefclkout_phase_shift = 0, + central_clk_div0.sim_analogrefclkout_phase_shift = 0, + central_clk_div0.sim_coreclkout_phase_shift = 0, + central_clk_div0.sim_refclkout_phase_shift = 0, + central_clk_div0.use_coreclk_out_post_divider = "true", + central_clk_div0.use_refclk_post_divider = "false", + central_clk_div0.use_vco_bypass = "false", + central_clk_div0.lpm_type = "stratixiv_hssi_clock_divider"; + stratixiv_hssi_cmu cent_unit0 + ( + .adet(rx_adetectdeskew[3:0]), + .alignstatus(wire_cent_unit0_alignstatus), + .autospdx4configsel(), + .autospdx4rateswitchout(), + .autospdx4spdchg(), + .clkdivpowerdn(wire_cent_unit0_clkdivpowerdn), + .cmudividerdprioin({clk_div_cmudividerdprioin[599:0]}), + .cmudividerdprioout(wire_cent_unit0_cmudividerdprioout), + .cmuplldprioin(pll_cmuplldprioout[1799:0]), + .cmuplldprioout(wire_cent_unit0_cmuplldprioout), + .digitaltestout(), + .dpclk(reconfig_clk), + .dpriodisable(reconfig_togxb_disable), + .dpriodisableout(wire_cent_unit0_dpriodisableout), + .dprioin(reconfig_togxb_in), + .dprioload(reconfig_togxb_load), + .dpriooe(), + .dprioout(wire_cent_unit0_dprioout), + .enabledeskew(wire_cent_unit0_enabledeskew), + .extra10gout(), + .fiforesetrd(wire_cent_unit0_fiforesetrd), + .fixedclk({{2{1'b0}}, fixedclk_to_cmu[3:0]}), + .lccmutestbus(), + .nonuserfromcal(nonusertocmu_out[0]), + .phfifiox4ptrsreset(), + .pllpowerdn(wire_cent_unit0_pllpowerdn), + .pllresetout(wire_cent_unit0_pllresetout), + .quadreset(gxb_powerdown[0]), + .quadresetout(wire_cent_unit0_quadresetout), + .rateswitchdonein(int_hiprateswtichdone[0]), + .rdalign(rx_rdalign[3:0]), + .rdenablesync(cent_unit_rdenablesync[0]), + .recovclk(rx_masterclk[0]), + .refclkdividerdprioin({2{1'b0}}), + .refclkdividerdprioout(), + .rxadcepowerdown(), + .rxadceresetout(), + .rxanalogreset({{2{1'b0}}, rx_analogreset_in[3:0]}), + .rxanalogresetout(wire_cent_unit0_rxanalogresetout), + .rxclk(refclk_pma[0]), + .rxcoreclk(int_rxcoreclk[0]), + .rxcrupowerdown(wire_cent_unit0_rxcrupowerdown), + .rxcruresetout(wire_cent_unit0_rxcruresetout), + .rxctrl(cent_unit_rx_ctrl[3:0]), + .rxctrlout(wire_cent_unit0_rxctrlout), + .rxdatain(rx_xgmdataout[31:0]), + .rxdataout(wire_cent_unit0_rxdataout), + .rxdatavalid(rx_xgmdatavalid[3:0]), + .rxdigitalreset({rx_digitalreset_in[3:0]}), + .rxdigitalresetout(wire_cent_unit0_rxdigitalresetout), + .rxibpowerdown(wire_cent_unit0_rxibpowerdown), + .rxpcsdprioin({cent_unit_rxpcsdprioin[1599:0]}), + .rxpcsdprioout(wire_cent_unit0_rxpcsdprioout), + .rxphfifordenable(int_rxphfifordenable[0]), + .rxphfiforeset(int_rxphfiforeset[0]), + .rxphfifowrdisable(rxphfifowrdisable[0]), + .rxphfifox4byteselout(wire_cent_unit0_rxphfifox4byteselout), + .rxphfifox4rdenableout(wire_cent_unit0_rxphfifox4rdenableout), + .rxphfifox4wrclkout(wire_cent_unit0_rxphfifox4wrclkout), + .rxphfifox4wrenableout(wire_cent_unit0_rxphfifox4wrenableout), + .rxpmadprioin({cent_unit_rxpmadprioin[1799:0]}), + .rxpmadprioout(wire_cent_unit0_rxpmadprioout), + .rxpowerdown({{2{1'b0}}, rx_powerdown_in[3:0]}), + .rxrunningdisp(rx_xgmrunningdisp[3:0]), + .scanout(), + .syncstatus(rx_syncstatusdeskew[3:0]), + .testout(), + .txanalogresetout(wire_cent_unit0_txanalogresetout), + .txclk(refclk_pma[0]), + .txcoreclk(int_txcoreclk[0]), + .txctrl(tx_xgmctrlenable[3:0]), + .txctrlout(wire_cent_unit0_txctrlout), + .txdatain(tx_xgmdataout[31:0]), + .txdataout(wire_cent_unit0_txdataout), + .txdetectrxpowerdown(wire_cent_unit0_txdetectrxpowerdown), + .txdigitalreset({tx_digitalreset_in[3:0]}), + .txdigitalresetout(wire_cent_unit0_txdigitalresetout), + .txdividerpowerdown(), + .txobpowerdown(wire_cent_unit0_txobpowerdown), + .txpcsdprioin({cent_unit_tx_dprioin[599:0]}), + .txpcsdprioout(wire_cent_unit0_txpcsdprioout), + .txphfiforddisable(int_txphfiforddisable[0]), + .txphfiforeset(int_txphfiforeset[0]), + .txphfifowrenable(int_txphfifowrenable[0]), + .txphfifox4byteselout(wire_cent_unit0_txphfifox4byteselout), + .txphfifox4rdclkout(wire_cent_unit0_txphfifox4rdclkout), + .txphfifox4rdenableout(wire_cent_unit0_txphfifox4rdenableout), + .txphfifox4wrenableout(wire_cent_unit0_txphfifox4wrenableout), + .txpllreset({{1{1'b0}}, pll_powerdown[0]}), + .txpmadprioin({cent_unit_txpmadprioin[1799:0]}), + .txpmadprioout(wire_cent_unit0_txpmadprioout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .extra10gin({7{1'b0}}), + .lccmurtestbussel({3{1'b0}}), + .pmacramtest(1'b0), + .rateswitch(1'b0), + .scanclk(1'b0), + .scanin({23{1'b0}}), + .scanmode(1'b0), + .scanshift(1'b0), + .testin({10000{1'b0}}) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + cent_unit0.auto_spd_deassert_ph_fifo_rst_count = 8, + cent_unit0.auto_spd_phystatus_notify_count = 0, + cent_unit0.bonded_quad_mode = "none", + cent_unit0.devaddr = ((((starting_channel_number / 4) + 0) % 32) + 1), + cent_unit0.in_xaui_mode = "true", + cent_unit0.offset_all_errors_align = "false", + cent_unit0.pipe_auto_speed_nego_enable = "false", + cent_unit0.pipe_freq_scale_mode = "Frequency", + cent_unit0.pma_done_count = 249950, + cent_unit0.portaddr = (((starting_channel_number + 0) / 128) + 1), + cent_unit0.rx0_auto_spd_self_switch_enable = "false", + cent_unit0.rx0_channel_bonding = "x4", + cent_unit0.rx0_clk1_mux_select = "master clock", + cent_unit0.rx0_clk2_mux_select = "digital reference clock", + cent_unit0.rx0_ph_fifo_reg_mode = "false", + cent_unit0.rx0_rd_clk_mux_select = "core clock", + cent_unit0.rx0_recovered_clk_mux_select = "recovered clock", + cent_unit0.rx0_reset_clock_output_during_digital_reset = "false", + cent_unit0.rx0_use_double_data_mode = "true", + cent_unit0.tx0_auto_spd_self_switch_enable = "false", + cent_unit0.tx0_channel_bonding = "x4", + cent_unit0.tx0_ph_fifo_reg_mode = "false", + cent_unit0.tx0_rd_clk_mux_select = "cmu_clock_divider", + cent_unit0.tx0_use_double_data_mode = "true", + cent_unit0.tx0_wr_clk_mux_select = "core_clk", + cent_unit0.use_deskew_fifo = "true", + cent_unit0.vcceh_voltage = "Auto", + cent_unit0.lpm_type = "stratixiv_hssi_cmu"; + stratixiv_hssi_pll rx_cdr_pll0 + ( + .areset(rx_rxcruresetout[0]), + .clk(wire_rx_cdr_pll0_clk), + .datain(rx_pma_dataout[0]), + .dataout(wire_rx_cdr_pll0_dataout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rxpll_dprioin[299:0]), + .dprioout(wire_rx_cdr_pll0_dprioout), + .freqlocked(wire_rx_cdr_pll0_freqlocked), + .inclk({rx_cruclk_in[9:0]}), + .locked(wire_rx_cdr_pll0_locked), + .locktorefclk(rx_pma_locktorefout[0]), + .pfdfbclkout(), + .pfdrefclkout(wire_rx_cdr_pll0_pfdrefclkout), + .powerdown(cent_unit_rxcrupowerdn[0]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + rx_cdr_pll0.bandwidth_type = "Auto", + rx_cdr_pll0.channel_num = ((starting_channel_number + 0) % 4), + rx_cdr_pll0.dprio_config_mode = 6'h00, + rx_cdr_pll0.effective_data_rate = "3125 Mbps", + rx_cdr_pll0.enable_dynamic_divider = "false", + rx_cdr_pll0.fast_lock_control = "false", + rx_cdr_pll0.inclk0_input_period = 6400, + rx_cdr_pll0.input_clock_frequency = "156.25 MHz", + rx_cdr_pll0.m = 10, + rx_cdr_pll0.n = 1, + rx_cdr_pll0.pfd_clk_select = 0, + rx_cdr_pll0.pll_type = "RX CDR", + rx_cdr_pll0.use_refclk_pin = "false", + rx_cdr_pll0.vco_post_scale = 2, + rx_cdr_pll0.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_pll rx_cdr_pll1 + ( + .areset(rx_rxcruresetout[1]), + .clk(wire_rx_cdr_pll1_clk), + .datain(rx_pma_dataout[1]), + .dataout(wire_rx_cdr_pll1_dataout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rxpll_dprioin[599:300]), + .dprioout(wire_rx_cdr_pll1_dprioout), + .freqlocked(wire_rx_cdr_pll1_freqlocked), + .inclk({rx_cruclk_in[19:10]}), + .locked(wire_rx_cdr_pll1_locked), + .locktorefclk(rx_pma_locktorefout[1]), + .pfdfbclkout(), + .pfdrefclkout(wire_rx_cdr_pll1_pfdrefclkout), + .powerdown(cent_unit_rxcrupowerdn[1]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + rx_cdr_pll1.bandwidth_type = "Auto", + rx_cdr_pll1.channel_num = ((starting_channel_number + 1) % 4), + rx_cdr_pll1.dprio_config_mode = 6'h00, + rx_cdr_pll1.effective_data_rate = "3125 Mbps", + rx_cdr_pll1.enable_dynamic_divider = "false", + rx_cdr_pll1.fast_lock_control = "false", + rx_cdr_pll1.inclk0_input_period = 6400, + rx_cdr_pll1.input_clock_frequency = "156.25 MHz", + rx_cdr_pll1.m = 10, + rx_cdr_pll1.n = 1, + rx_cdr_pll1.pfd_clk_select = 0, + rx_cdr_pll1.pll_type = "RX CDR", + rx_cdr_pll1.use_refclk_pin = "false", + rx_cdr_pll1.vco_post_scale = 2, + rx_cdr_pll1.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_pll rx_cdr_pll2 + ( + .areset(rx_rxcruresetout[2]), + .clk(wire_rx_cdr_pll2_clk), + .datain(rx_pma_dataout[2]), + .dataout(wire_rx_cdr_pll2_dataout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rxpll_dprioin[899:600]), + .dprioout(wire_rx_cdr_pll2_dprioout), + .freqlocked(wire_rx_cdr_pll2_freqlocked), + .inclk({rx_cruclk_in[29:20]}), + .locked(wire_rx_cdr_pll2_locked), + .locktorefclk(rx_pma_locktorefout[2]), + .pfdfbclkout(), + .pfdrefclkout(wire_rx_cdr_pll2_pfdrefclkout), + .powerdown(cent_unit_rxcrupowerdn[2]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + rx_cdr_pll2.bandwidth_type = "Auto", + rx_cdr_pll2.channel_num = ((starting_channel_number + 2) % 4), + rx_cdr_pll2.dprio_config_mode = 6'h00, + rx_cdr_pll2.effective_data_rate = "3125 Mbps", + rx_cdr_pll2.enable_dynamic_divider = "false", + rx_cdr_pll2.fast_lock_control = "false", + rx_cdr_pll2.inclk0_input_period = 6400, + rx_cdr_pll2.input_clock_frequency = "156.25 MHz", + rx_cdr_pll2.m = 10, + rx_cdr_pll2.n = 1, + rx_cdr_pll2.pfd_clk_select = 0, + rx_cdr_pll2.pll_type = "RX CDR", + rx_cdr_pll2.use_refclk_pin = "false", + rx_cdr_pll2.vco_post_scale = 2, + rx_cdr_pll2.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_pll rx_cdr_pll3 + ( + .areset(rx_rxcruresetout[3]), + .clk(wire_rx_cdr_pll3_clk), + .datain(rx_pma_dataout[3]), + .dataout(wire_rx_cdr_pll3_dataout), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rxpll_dprioin[1199:900]), + .dprioout(wire_rx_cdr_pll3_dprioout), + .freqlocked(wire_rx_cdr_pll3_freqlocked), + .inclk({rx_cruclk_in[39:30]}), + .locked(wire_rx_cdr_pll3_locked), + .locktorefclk(rx_pma_locktorefout[3]), + .pfdfbclkout(), + .pfdrefclkout(wire_rx_cdr_pll3_pfdrefclkout), + .powerdown(cent_unit_rxcrupowerdn[3]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + rx_cdr_pll3.bandwidth_type = "Auto", + rx_cdr_pll3.channel_num = ((starting_channel_number + 3) % 4), + rx_cdr_pll3.dprio_config_mode = 6'h00, + rx_cdr_pll3.effective_data_rate = "3125 Mbps", + rx_cdr_pll3.enable_dynamic_divider = "false", + rx_cdr_pll3.fast_lock_control = "false", + rx_cdr_pll3.inclk0_input_period = 6400, + rx_cdr_pll3.input_clock_frequency = "156.25 MHz", + rx_cdr_pll3.m = 10, + rx_cdr_pll3.n = 1, + rx_cdr_pll3.pfd_clk_select = 0, + rx_cdr_pll3.pll_type = "RX CDR", + rx_cdr_pll3.use_refclk_pin = "false", + rx_cdr_pll3.vco_post_scale = 2, + rx_cdr_pll3.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_pll tx_pll0 + ( + .areset(pllreset_in[0]), + .clk(wire_tx_pll0_clk), + .dataout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(pll0_dprioin[299:0]), + .dprioout(wire_tx_pll0_dprioout), + .freqlocked(), + .inclk({pll0_clkin[9:0]}), + .locked(wire_tx_pll0_locked), + .pfdfbclkout(), + .pfdrefclkout(), + .powerdown(pllpowerdn_in[0]), + .vcobypassout() + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datain(1'b0), + .earlyeios(1'b0), + .extra10gin({6{1'b0}}), + .locktorefclk(1'b1), + .pfdfbclk(1'b0), + .rateswitch(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + tx_pll0.bandwidth_type = "Auto", + tx_pll0.channel_num = 4, + tx_pll0.dprio_config_mode = 6'h00, + tx_pll0.inclk0_input_period = 6400, + tx_pll0.input_clock_frequency = "156.25 MHz", + tx_pll0.logical_tx_pll_number = 0, + tx_pll0.m = 10, + tx_pll0.n = 1, + tx_pll0.pfd_clk_select = 0, + tx_pll0.pfd_fb_select = "internal", + tx_pll0.pll_type = "CMU", + tx_pll0.use_refclk_pin = "false", + tx_pll0.vco_post_scale = 2, + tx_pll0.lpm_type = "stratixiv_hssi_pll"; + stratixiv_hssi_rx_pcs receive_pcs0 + ( + .a1a2size(1'b0), + .a1a2sizeout(), + .a1detect(), + .a2detect(), + .adetectdeskew(wire_receive_pcs0_adetectdeskew), + .alignstatus(rx_channelaligned_in[0]), + .alignstatussync(rx_alignstatussync[0]), + .alignstatussyncout(wire_receive_pcs0_alignstatussyncout), + .autospdrateswitchout(), + .autospdspdchgout(), + .bistdone(), + .bisterr(), + .bitslipboundaryselectout(), + .byteorderalignstatus(), + .cdrctrlearlyeios(), + .cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[0])), + .cdrctrllocktorefclkout(wire_receive_pcs0_cdrctrllocktorefclkout), + .clkout(wire_receive_pcs0_clkout), + .coreclk(rx_coreclk_in[0]), + .coreclkout(wire_receive_pcs0_coreclkout), + .ctrldetect(wire_receive_pcs0_ctrldetect), + .datain(rx_pma_recoverdataout_wire[19:0]), + .dataout(wire_receive_pcs0_dataout), + .dataoutfull(), + .digitalreset(rx_digitalreset_out[0]), + .digitaltestout(), + .disablefifordin(rx_disablefifordin_in[0]), + .disablefifordout(wire_receive_pcs0_disablefifordout), + .disablefifowrin(rx_disablefifowrin_in[0]), + .disablefifowrout(wire_receive_pcs0_disablefifowrout), + .disperr(wire_receive_pcs0_disperr), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pcsdprioin_wire[399:0]), + .dprioout(wire_receive_pcs0_dprioout), + .enabledeskew(enabledeskew[0]), + .enabyteord(1'b0), + .enapatternalign(rx_enapatternalign[0]), + .errdetect(wire_receive_pcs0_errdetect), + .fifordin(rx_fifordin_in[0]), + .fifordout(wire_receive_pcs0_fifordout), + .fiforesetrd(rx_fiforesetrd[0]), + .hipdataout(), + .hipdatavalid(), + .hipelecidle(), + .hipphydonestatus(), + .hipstatus(), + .invpol(rx_invpolarity[0]), + .iqpphfifobyteselout(), + .iqpphfifoptrsresetout(), + .iqpphfifordenableout(), + .iqpphfifowrclkout(), + .iqpphfifowrenableout(), + .k1detect(), + .k2detect(), + .localrefclk(1'b0), + .masterclk(rx_masterclk[0]), + .parallelfdbk({20{1'b0}}), + .patterndetect(wire_receive_pcs0_patterndetect), + .phfifobyteselout(), + .phfifobyteserdisableout(wire_receive_pcs0_phfifobyteserdisableout), + .phfifooverflow(wire_receive_pcs0_phfifooverflow), + .phfifoptrsresetout(wire_receive_pcs0_phfifoptrsresetout), + .phfifordenable(rx_phfifordenable[0]), + .phfifordenableout(wire_receive_pcs0_phfifordenableout), + .phfiforeset(rx_phfiforeset[0]), + .phfiforesetout(wire_receive_pcs0_phfiforesetout), + .phfifounderflow(wire_receive_pcs0_phfifounderflow), + .phfifowrclkout(), + .phfifowrdisable(rx_phfifowrdisable[0]), + .phfifowrdisableout(wire_receive_pcs0_phfifowrdisableout), + .phfifowrenableout(), + .phfifoxnbytesel(int_rx_phfifoxnbytesel[2:0]), + .phfifoxnrdenable(int_rx_phfifoxnrdenable[2:0]), + .phfifoxnwrclk(int_rx_phfifoxnwrclk[2:0]), + .phfifoxnwrenable(int_rx_phfifoxnwrenable[2:0]), + .pipebufferstat(), + .pipedatavalid(), + .pipeelecidle(), + .pipephydonestatus(), + .pipepowerdown({2{1'b0}}), + .pipepowerstate({4{1'b0}}), + .pipestatetransdoneout(), + .pipestatus(), + .prbscidenable(rx_prbscidenable[0]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(wire_receive_pcs0_rateswitchout), + .rateswitchxndone(int_hiprateswtichdone[0]), + .rdalign(wire_receive_pcs0_rdalign), + .recoveredclk(rx_pma_clockout[0]), + .refclk(refclk_pma[0]), + .revbitorderwa(1'b0), + .revbyteorderwa(1'b0), + .revparallelfdbkdata(), + .rlv(wire_receive_pcs0_rlv), + .rmfifoalmostempty(), + .rmfifoalmostfull(), + .rmfifodatadeleted(wire_receive_pcs0_rmfifodatadeleted), + .rmfifodatainserted(wire_receive_pcs0_rmfifodatainserted), + .rmfifoempty(wire_receive_pcs0_rmfifoempty), + .rmfifofull(wire_receive_pcs0_rmfifofull), + .rmfifordena(1'b0), + .rmfiforeset(rx_rmfiforeset[0]), + .rmfifowrena(1'b0), + .runningdisp(wire_receive_pcs0_runningdisp), + .rxdetectvalid(1'b0), + .rxfound({2{1'b0}}), + .signaldetect(), + .syncstatus(wire_receive_pcs0_syncstatus), + .syncstatusdeskew(wire_receive_pcs0_syncstatusdeskew), + .xauidelcondmetout(), + .xauififoovrout(), + .xauiinsertincompleteout(), + .xauilatencycompout(), + .xgmctrldet(wire_receive_pcs0_xgmctrldet), + .xgmctrlin(rx_xgmctrlin[0]), + .xgmdatain(cent_unit_rx_xgmdataout[7:0]), + .xgmdataout(wire_receive_pcs0_xgmdataout), + .xgmdatavalid(wire_receive_pcs0_xgmdatavalid), + .xgmrunningdisp(wire_receive_pcs0_xgmrunningdisp) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .autospdxnconfigsel({3{1'b0}}), + .autospdxnspdchg({3{1'b0}}), + .bitslip(1'b0), + .elecidleinfersel({3{1'b0}}), + .grayelecidleinferselfromtx({3{1'b0}}), + .hip8b10binvpolarity(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hippowerdown({2{1'b0}}), + .hiprateswitch(1'b0), + .iqpautospdxnspgchg({2{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnptrsreset({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrclk({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrclk(1'b0), + .phfifox4wrenable(1'b0), + .phfifox8bytesel(1'b0), + .phfifox8rdenable(1'b0), + .phfifox8wrclk(1'b0), + .phfifox8wrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .pipe8b10binvpolarity(1'b0), + .pipeenrevparallellpbkfromtx(1'b0), + .pmatestbusin({8{1'b0}}), + .powerdn({2{1'b0}}), + .ppmdetectdividedclk(1'b0), + .ppmdetectrefclk(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rxelecidlerateswitch(1'b0), + .signaldetected(1'b0), + .wareset(1'b0), + .xauidelcondmet(1'b0), + .xauififoovr(1'b0), + .xauiinsertincomplete(1'b0), + .xauilatencycomp(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pcs0.align_pattern = "0101111100", + receive_pcs0.align_pattern_length = 10, + receive_pcs0.align_to_deskew_pattern_pos_disp_only = "false", + receive_pcs0.allow_align_polarity_inversion = "true", + receive_pcs0.allow_pipe_polarity_inversion = "false", + receive_pcs0.auto_spd_deassert_ph_fifo_rst_count = 8, + receive_pcs0.auto_spd_phystatus_notify_count = 0, + receive_pcs0.auto_spd_self_switch_enable = "false", + receive_pcs0.bit_slip_enable = "false", + receive_pcs0.byte_order_double_data_mode_mask_enable = "false", + receive_pcs0.byte_order_mode = "none", + receive_pcs0.byte_order_pad_pattern = "0", + receive_pcs0.byte_order_pattern = "0", + receive_pcs0.byte_order_pld_ctrl_enable = "false", + receive_pcs0.cdrctrl_bypass_ppm_detector_cycle = 1000, + receive_pcs0.cdrctrl_enable = "false", + receive_pcs0.cdrctrl_mask_cycle = 800, + receive_pcs0.cdrctrl_min_lock_to_ref_cycle = 63, + receive_pcs0.cdrctrl_rxvalid_mask = "false", + receive_pcs0.channel_bonding = "x4", + receive_pcs0.channel_number = ((starting_channel_number + 0) % 4), + receive_pcs0.channel_width = 16, + receive_pcs0.clk1_mux_select = "master clock", + receive_pcs0.clk2_mux_select = "digital reference clock", + receive_pcs0.core_clock_0ppm = "true", + receive_pcs0.datapath_low_latency_mode = "false", + receive_pcs0.datapath_protocol = "xaui", + receive_pcs0.dec_8b_10b_compatibility_mode = "true", + receive_pcs0.dec_8b_10b_mode = "normal", + receive_pcs0.dec_8b_10b_polarity_inv_enable = "false", + receive_pcs0.deskew_pattern = "0011000011", + receive_pcs0.disable_auto_idle_insertion = "true", + receive_pcs0.disable_running_disp_in_word_align = "false", + receive_pcs0.disallow_kchar_after_pattern_ordered_set = "false", + receive_pcs0.dprio_config_mode = 6'h01, + receive_pcs0.elec_idle_infer_enable = "false", + receive_pcs0.elec_idle_num_com_detect = 3, + receive_pcs0.enable_bit_reversal = "false", + receive_pcs0.enable_deep_align = "false", + receive_pcs0.enable_deep_align_byte_swap = "false", + receive_pcs0.enable_self_test_mode = "false", + receive_pcs0.enable_true_complement_match_in_word_align = "false", + receive_pcs0.force_signal_detect_dig = "true", + receive_pcs0.hip_enable = "false", + receive_pcs0.infiniband_invalid_code = 0, + receive_pcs0.insert_pad_on_underflow = "false", + receive_pcs0.logical_channel_address = (starting_channel_number + 0), + receive_pcs0.num_align_code_groups_in_ordered_set = 0, + receive_pcs0.num_align_cons_good_data = 4, + receive_pcs0.num_align_cons_pat = 4, + receive_pcs0.num_align_loss_sync_error = 4, + receive_pcs0.ph_fifo_low_latency_enable = "true", + receive_pcs0.ph_fifo_reg_mode = "false", + receive_pcs0.ph_fifo_xn_mapping0 = "none", + receive_pcs0.ph_fifo_xn_mapping1 = "none", + receive_pcs0.ph_fifo_xn_mapping2 = "central", + receive_pcs0.ph_fifo_xn_select = 2, + receive_pcs0.pipe_auto_speed_nego_enable = "false", + receive_pcs0.pipe_freq_scale_mode = "Frequency", + receive_pcs0.pma_done_count = 249950, + receive_pcs0.protocol_hint = "xaui", + receive_pcs0.rate_match_almost_empty_threshold = 11, + receive_pcs0.rate_match_almost_full_threshold = 13, + receive_pcs0.rate_match_back_to_back = "true", + receive_pcs0.rate_match_delete_threshold = 13, + receive_pcs0.rate_match_empty_threshold = 5, + receive_pcs0.rate_match_fifo_mode = "true", + receive_pcs0.rate_match_full_threshold = 20, + receive_pcs0.rate_match_insert_threshold = 11, + receive_pcs0.rate_match_ordered_set_based = "false", + receive_pcs0.rate_match_pattern1 = "1101000011", + receive_pcs0.rate_match_pattern2 = "0010111100", + receive_pcs0.rate_match_pattern_size = 10, + receive_pcs0.rate_match_reset_enable = "false", + receive_pcs0.rate_match_skip_set_based = "false", + receive_pcs0.rate_match_start_threshold = 7, + receive_pcs0.rd_clk_mux_select = "core clock", + receive_pcs0.recovered_clk_mux_select = "recovered clock", + receive_pcs0.run_length = 40, + receive_pcs0.run_length_enable = "true", + receive_pcs0.rx_detect_bypass = "false", + receive_pcs0.rx_phfifo_wait_cnt = 15, + receive_pcs0.rxstatus_error_report_mode = 0, + receive_pcs0.self_test_mode = "incremental", + receive_pcs0.use_alignment_state_machine = "true", + receive_pcs0.use_deserializer_double_data_mode = "false", + receive_pcs0.use_deskew_fifo = "true", + receive_pcs0.use_double_data_mode = "true", + receive_pcs0.use_parallel_loopback = "false", + receive_pcs0.use_rising_edge_triggered_pattern_align = "false", + receive_pcs0.lpm_type = "stratixiv_hssi_rx_pcs"; + stratixiv_hssi_rx_pcs receive_pcs1 + ( + .a1a2size(1'b0), + .a1a2sizeout(), + .a1detect(), + .a2detect(), + .adetectdeskew(wire_receive_pcs1_adetectdeskew), + .alignstatus(rx_channelaligned_in[0]), + .alignstatussync(rx_alignstatussync[0]), + .alignstatussyncout(wire_receive_pcs1_alignstatussyncout), + .autospdrateswitchout(), + .autospdspdchgout(), + .bistdone(), + .bisterr(), + .bitslipboundaryselectout(), + .byteorderalignstatus(), + .cdrctrlearlyeios(), + .cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[1])), + .cdrctrllocktorefclkout(wire_receive_pcs1_cdrctrllocktorefclkout), + .clkout(wire_receive_pcs1_clkout), + .coreclk(rx_coreclk_in[1]), + .coreclkout(wire_receive_pcs1_coreclkout), + .ctrldetect(wire_receive_pcs1_ctrldetect), + .datain(rx_pma_recoverdataout_wire[39:20]), + .dataout(wire_receive_pcs1_dataout), + .dataoutfull(), + .digitalreset(rx_digitalreset_out[1]), + .digitaltestout(), + .disablefifordin(rx_disablefifordin_in[0]), + .disablefifordout(wire_receive_pcs1_disablefifordout), + .disablefifowrin(rx_disablefifowrin_in[0]), + .disablefifowrout(wire_receive_pcs1_disablefifowrout), + .disperr(wire_receive_pcs1_disperr), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pcsdprioin_wire[799:400]), + .dprioout(wire_receive_pcs1_dprioout), + .enabledeskew(enabledeskew[0]), + .enabyteord(1'b0), + .enapatternalign(rx_enapatternalign[1]), + .errdetect(wire_receive_pcs1_errdetect), + .fifordin(rx_fifordin_in[0]), + .fifordout(wire_receive_pcs1_fifordout), + .fiforesetrd(rx_fiforesetrd[0]), + .hipdataout(), + .hipdatavalid(), + .hipelecidle(), + .hipphydonestatus(), + .hipstatus(), + .invpol(rx_invpolarity[1]), + .iqpphfifobyteselout(), + .iqpphfifoptrsresetout(), + .iqpphfifordenableout(), + .iqpphfifowrclkout(), + .iqpphfifowrenableout(), + .k1detect(), + .k2detect(), + .localrefclk(1'b0), + .masterclk(rx_masterclk[0]), + .parallelfdbk({20{1'b0}}), + .patterndetect(wire_receive_pcs1_patterndetect), + .phfifobyteselout(), + .phfifobyteserdisableout(wire_receive_pcs1_phfifobyteserdisableout), + .phfifooverflow(wire_receive_pcs1_phfifooverflow), + .phfifoptrsresetout(wire_receive_pcs1_phfifoptrsresetout), + .phfifordenable(rx_phfifordenable[1]), + .phfifordenableout(wire_receive_pcs1_phfifordenableout), + .phfiforeset(rx_phfiforeset[1]), + .phfiforesetout(wire_receive_pcs1_phfiforesetout), + .phfifounderflow(wire_receive_pcs1_phfifounderflow), + .phfifowrclkout(), + .phfifowrdisable(rx_phfifowrdisable[1]), + .phfifowrdisableout(wire_receive_pcs1_phfifowrdisableout), + .phfifowrenableout(), + .phfifoxnbytesel(int_rx_phfifoxnbytesel[5:3]), + .phfifoxnrdenable(int_rx_phfifoxnrdenable[5:3]), + .phfifoxnwrclk(int_rx_phfifoxnwrclk[5:3]), + .phfifoxnwrenable(int_rx_phfifoxnwrenable[5:3]), + .pipebufferstat(), + .pipedatavalid(), + .pipeelecidle(), + .pipephydonestatus(), + .pipepowerdown({2{1'b0}}), + .pipepowerstate({4{1'b0}}), + .pipestatetransdoneout(), + .pipestatus(), + .prbscidenable(rx_prbscidenable[1]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(wire_receive_pcs1_rateswitchout), + .rateswitchxndone(int_hiprateswtichdone[0]), + .rdalign(wire_receive_pcs1_rdalign), + .recoveredclk(rx_pma_clockout[1]), + .refclk(refclk_pma[0]), + .revbitorderwa(1'b0), + .revbyteorderwa(1'b0), + .revparallelfdbkdata(), + .rlv(wire_receive_pcs1_rlv), + .rmfifoalmostempty(), + .rmfifoalmostfull(), + .rmfifodatadeleted(wire_receive_pcs1_rmfifodatadeleted), + .rmfifodatainserted(wire_receive_pcs1_rmfifodatainserted), + .rmfifoempty(wire_receive_pcs1_rmfifoempty), + .rmfifofull(wire_receive_pcs1_rmfifofull), + .rmfifordena(1'b0), + .rmfiforeset(rx_rmfiforeset[1]), + .rmfifowrena(1'b0), + .runningdisp(wire_receive_pcs1_runningdisp), + .rxdetectvalid(1'b0), + .rxfound({2{1'b0}}), + .signaldetect(), + .syncstatus(wire_receive_pcs1_syncstatus), + .syncstatusdeskew(wire_receive_pcs1_syncstatusdeskew), + .xauidelcondmetout(), + .xauififoovrout(), + .xauiinsertincompleteout(), + .xauilatencycompout(), + .xgmctrldet(wire_receive_pcs1_xgmctrldet), + .xgmctrlin(rx_xgmctrlin[1]), + .xgmdatain(cent_unit_rx_xgmdataout[15:8]), + .xgmdataout(wire_receive_pcs1_xgmdataout), + .xgmdatavalid(wire_receive_pcs1_xgmdatavalid), + .xgmrunningdisp(wire_receive_pcs1_xgmrunningdisp) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .autospdxnconfigsel({3{1'b0}}), + .autospdxnspdchg({3{1'b0}}), + .bitslip(1'b0), + .elecidleinfersel({3{1'b0}}), + .grayelecidleinferselfromtx({3{1'b0}}), + .hip8b10binvpolarity(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hippowerdown({2{1'b0}}), + .hiprateswitch(1'b0), + .iqpautospdxnspgchg({2{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnptrsreset({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrclk({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrclk(1'b0), + .phfifox4wrenable(1'b0), + .phfifox8bytesel(1'b0), + .phfifox8rdenable(1'b0), + .phfifox8wrclk(1'b0), + .phfifox8wrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .pipe8b10binvpolarity(1'b0), + .pipeenrevparallellpbkfromtx(1'b0), + .pmatestbusin({8{1'b0}}), + .powerdn({2{1'b0}}), + .ppmdetectdividedclk(1'b0), + .ppmdetectrefclk(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rxelecidlerateswitch(1'b0), + .signaldetected(1'b0), + .wareset(1'b0), + .xauidelcondmet(1'b0), + .xauififoovr(1'b0), + .xauiinsertincomplete(1'b0), + .xauilatencycomp(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pcs1.align_pattern = "0101111100", + receive_pcs1.align_pattern_length = 10, + receive_pcs1.align_to_deskew_pattern_pos_disp_only = "false", + receive_pcs1.allow_align_polarity_inversion = "true", + receive_pcs1.allow_pipe_polarity_inversion = "false", + receive_pcs1.auto_spd_deassert_ph_fifo_rst_count = 8, + receive_pcs1.auto_spd_phystatus_notify_count = 0, + receive_pcs1.auto_spd_self_switch_enable = "false", + receive_pcs1.bit_slip_enable = "false", + receive_pcs1.byte_order_double_data_mode_mask_enable = "false", + receive_pcs1.byte_order_mode = "none", + receive_pcs1.byte_order_pad_pattern = "0", + receive_pcs1.byte_order_pattern = "0", + receive_pcs1.byte_order_pld_ctrl_enable = "false", + receive_pcs1.cdrctrl_bypass_ppm_detector_cycle = 1000, + receive_pcs1.cdrctrl_enable = "false", + receive_pcs1.cdrctrl_mask_cycle = 800, + receive_pcs1.cdrctrl_min_lock_to_ref_cycle = 63, + receive_pcs1.cdrctrl_rxvalid_mask = "false", + receive_pcs1.channel_bonding = "x4", + receive_pcs1.channel_number = ((starting_channel_number + 1) % 4), + receive_pcs1.channel_width = 16, + receive_pcs1.clk1_mux_select = "master clock", + receive_pcs1.clk2_mux_select = "digital reference clock", + receive_pcs1.core_clock_0ppm = "true", + receive_pcs1.datapath_low_latency_mode = "false", + receive_pcs1.datapath_protocol = "xaui", + receive_pcs1.dec_8b_10b_compatibility_mode = "true", + receive_pcs1.dec_8b_10b_mode = "normal", + receive_pcs1.dec_8b_10b_polarity_inv_enable = "false", + receive_pcs1.deskew_pattern = "0011000011", + receive_pcs1.disable_auto_idle_insertion = "true", + receive_pcs1.disable_running_disp_in_word_align = "false", + receive_pcs1.disallow_kchar_after_pattern_ordered_set = "false", + receive_pcs1.dprio_config_mode = 6'h01, + receive_pcs1.elec_idle_infer_enable = "false", + receive_pcs1.elec_idle_num_com_detect = 3, + receive_pcs1.enable_bit_reversal = "false", + receive_pcs1.enable_deep_align = "false", + receive_pcs1.enable_deep_align_byte_swap = "false", + receive_pcs1.enable_self_test_mode = "false", + receive_pcs1.enable_true_complement_match_in_word_align = "false", + receive_pcs1.force_signal_detect_dig = "true", + receive_pcs1.hip_enable = "false", + receive_pcs1.infiniband_invalid_code = 0, + receive_pcs1.insert_pad_on_underflow = "false", + receive_pcs1.logical_channel_address = (starting_channel_number + 1), + receive_pcs1.num_align_code_groups_in_ordered_set = 0, + receive_pcs1.num_align_cons_good_data = 4, + receive_pcs1.num_align_cons_pat = 4, + receive_pcs1.num_align_loss_sync_error = 4, + receive_pcs1.ph_fifo_low_latency_enable = "true", + receive_pcs1.ph_fifo_reg_mode = "false", + receive_pcs1.ph_fifo_xn_mapping0 = "none", + receive_pcs1.ph_fifo_xn_mapping1 = "none", + receive_pcs1.ph_fifo_xn_mapping2 = "central", + receive_pcs1.ph_fifo_xn_select = 2, + receive_pcs1.pipe_auto_speed_nego_enable = "false", + receive_pcs1.pipe_freq_scale_mode = "Frequency", + receive_pcs1.pma_done_count = 249950, + receive_pcs1.protocol_hint = "xaui", + receive_pcs1.rate_match_almost_empty_threshold = 11, + receive_pcs1.rate_match_almost_full_threshold = 13, + receive_pcs1.rate_match_back_to_back = "true", + receive_pcs1.rate_match_delete_threshold = 13, + receive_pcs1.rate_match_empty_threshold = 5, + receive_pcs1.rate_match_fifo_mode = "true", + receive_pcs1.rate_match_full_threshold = 20, + receive_pcs1.rate_match_insert_threshold = 11, + receive_pcs1.rate_match_ordered_set_based = "false", + receive_pcs1.rate_match_pattern1 = "1101000011", + receive_pcs1.rate_match_pattern2 = "0010111100", + receive_pcs1.rate_match_pattern_size = 10, + receive_pcs1.rate_match_reset_enable = "false", + receive_pcs1.rate_match_skip_set_based = "false", + receive_pcs1.rate_match_start_threshold = 7, + receive_pcs1.rd_clk_mux_select = "core clock", + receive_pcs1.recovered_clk_mux_select = "recovered clock", + receive_pcs1.run_length = 40, + receive_pcs1.run_length_enable = "true", + receive_pcs1.rx_detect_bypass = "false", + receive_pcs1.rx_phfifo_wait_cnt = 15, + receive_pcs1.rxstatus_error_report_mode = 0, + receive_pcs1.self_test_mode = "incremental", + receive_pcs1.use_alignment_state_machine = "true", + receive_pcs1.use_deserializer_double_data_mode = "false", + receive_pcs1.use_deskew_fifo = "true", + receive_pcs1.use_double_data_mode = "true", + receive_pcs1.use_parallel_loopback = "false", + receive_pcs1.use_rising_edge_triggered_pattern_align = "false", + receive_pcs1.lpm_type = "stratixiv_hssi_rx_pcs"; + stratixiv_hssi_rx_pcs receive_pcs2 + ( + .a1a2size(1'b0), + .a1a2sizeout(), + .a1detect(), + .a2detect(), + .adetectdeskew(wire_receive_pcs2_adetectdeskew), + .alignstatus(rx_channelaligned_in[0]), + .alignstatussync(rx_alignstatussync[0]), + .alignstatussyncout(wire_receive_pcs2_alignstatussyncout), + .autospdrateswitchout(), + .autospdspdchgout(), + .bistdone(), + .bisterr(), + .bitslipboundaryselectout(), + .byteorderalignstatus(), + .cdrctrlearlyeios(), + .cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[2])), + .cdrctrllocktorefclkout(wire_receive_pcs2_cdrctrllocktorefclkout), + .clkout(wire_receive_pcs2_clkout), + .coreclk(rx_coreclk_in[2]), + .coreclkout(wire_receive_pcs2_coreclkout), + .ctrldetect(wire_receive_pcs2_ctrldetect), + .datain(rx_pma_recoverdataout_wire[59:40]), + .dataout(wire_receive_pcs2_dataout), + .dataoutfull(), + .digitalreset(rx_digitalreset_out[2]), + .digitaltestout(), + .disablefifordin(rx_disablefifordin_in[0]), + .disablefifordout(wire_receive_pcs2_disablefifordout), + .disablefifowrin(rx_disablefifowrin_in[0]), + .disablefifowrout(wire_receive_pcs2_disablefifowrout), + .disperr(wire_receive_pcs2_disperr), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pcsdprioin_wire[1199:800]), + .dprioout(wire_receive_pcs2_dprioout), + .enabledeskew(enabledeskew[0]), + .enabyteord(1'b0), + .enapatternalign(rx_enapatternalign[2]), + .errdetect(wire_receive_pcs2_errdetect), + .fifordin(rx_fifordin_in[0]), + .fifordout(wire_receive_pcs2_fifordout), + .fiforesetrd(rx_fiforesetrd[0]), + .hipdataout(), + .hipdatavalid(), + .hipelecidle(), + .hipphydonestatus(), + .hipstatus(), + .invpol(rx_invpolarity[2]), + .iqpphfifobyteselout(), + .iqpphfifoptrsresetout(), + .iqpphfifordenableout(), + .iqpphfifowrclkout(), + .iqpphfifowrenableout(), + .k1detect(), + .k2detect(), + .localrefclk(1'b0), + .masterclk(rx_masterclk[0]), + .parallelfdbk({20{1'b0}}), + .patterndetect(wire_receive_pcs2_patterndetect), + .phfifobyteselout(), + .phfifobyteserdisableout(wire_receive_pcs2_phfifobyteserdisableout), + .phfifooverflow(wire_receive_pcs2_phfifooverflow), + .phfifoptrsresetout(wire_receive_pcs2_phfifoptrsresetout), + .phfifordenable(rx_phfifordenable[2]), + .phfifordenableout(wire_receive_pcs2_phfifordenableout), + .phfiforeset(rx_phfiforeset[2]), + .phfiforesetout(wire_receive_pcs2_phfiforesetout), + .phfifounderflow(wire_receive_pcs2_phfifounderflow), + .phfifowrclkout(), + .phfifowrdisable(rx_phfifowrdisable[2]), + .phfifowrdisableout(wire_receive_pcs2_phfifowrdisableout), + .phfifowrenableout(), + .phfifoxnbytesel(int_rx_phfifoxnbytesel[8:6]), + .phfifoxnrdenable(int_rx_phfifoxnrdenable[8:6]), + .phfifoxnwrclk(int_rx_phfifoxnwrclk[8:6]), + .phfifoxnwrenable(int_rx_phfifoxnwrenable[8:6]), + .pipebufferstat(), + .pipedatavalid(), + .pipeelecidle(), + .pipephydonestatus(), + .pipepowerdown({2{1'b0}}), + .pipepowerstate({4{1'b0}}), + .pipestatetransdoneout(), + .pipestatus(), + .prbscidenable(rx_prbscidenable[2]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(wire_receive_pcs2_rateswitchout), + .rateswitchxndone(int_hiprateswtichdone[0]), + .rdalign(wire_receive_pcs2_rdalign), + .recoveredclk(rx_pma_clockout[2]), + .refclk(refclk_pma[0]), + .revbitorderwa(1'b0), + .revbyteorderwa(1'b0), + .revparallelfdbkdata(), + .rlv(wire_receive_pcs2_rlv), + .rmfifoalmostempty(), + .rmfifoalmostfull(), + .rmfifodatadeleted(wire_receive_pcs2_rmfifodatadeleted), + .rmfifodatainserted(wire_receive_pcs2_rmfifodatainserted), + .rmfifoempty(wire_receive_pcs2_rmfifoempty), + .rmfifofull(wire_receive_pcs2_rmfifofull), + .rmfifordena(1'b0), + .rmfiforeset(rx_rmfiforeset[2]), + .rmfifowrena(1'b0), + .runningdisp(wire_receive_pcs2_runningdisp), + .rxdetectvalid(1'b0), + .rxfound({2{1'b0}}), + .signaldetect(), + .syncstatus(wire_receive_pcs2_syncstatus), + .syncstatusdeskew(wire_receive_pcs2_syncstatusdeskew), + .xauidelcondmetout(), + .xauififoovrout(), + .xauiinsertincompleteout(), + .xauilatencycompout(), + .xgmctrldet(wire_receive_pcs2_xgmctrldet), + .xgmctrlin(rx_xgmctrlin[2]), + .xgmdatain(cent_unit_rx_xgmdataout[23:16]), + .xgmdataout(wire_receive_pcs2_xgmdataout), + .xgmdatavalid(wire_receive_pcs2_xgmdatavalid), + .xgmrunningdisp(wire_receive_pcs2_xgmrunningdisp) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .autospdxnconfigsel({3{1'b0}}), + .autospdxnspdchg({3{1'b0}}), + .bitslip(1'b0), + .elecidleinfersel({3{1'b0}}), + .grayelecidleinferselfromtx({3{1'b0}}), + .hip8b10binvpolarity(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hippowerdown({2{1'b0}}), + .hiprateswitch(1'b0), + .iqpautospdxnspgchg({2{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnptrsreset({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrclk({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrclk(1'b0), + .phfifox4wrenable(1'b0), + .phfifox8bytesel(1'b0), + .phfifox8rdenable(1'b0), + .phfifox8wrclk(1'b0), + .phfifox8wrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .pipe8b10binvpolarity(1'b0), + .pipeenrevparallellpbkfromtx(1'b0), + .pmatestbusin({8{1'b0}}), + .powerdn({2{1'b0}}), + .ppmdetectdividedclk(1'b0), + .ppmdetectrefclk(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rxelecidlerateswitch(1'b0), + .signaldetected(1'b0), + .wareset(1'b0), + .xauidelcondmet(1'b0), + .xauififoovr(1'b0), + .xauiinsertincomplete(1'b0), + .xauilatencycomp(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pcs2.align_pattern = "0101111100", + receive_pcs2.align_pattern_length = 10, + receive_pcs2.align_to_deskew_pattern_pos_disp_only = "false", + receive_pcs2.allow_align_polarity_inversion = "true", + receive_pcs2.allow_pipe_polarity_inversion = "false", + receive_pcs2.auto_spd_deassert_ph_fifo_rst_count = 8, + receive_pcs2.auto_spd_phystatus_notify_count = 0, + receive_pcs2.auto_spd_self_switch_enable = "false", + receive_pcs2.bit_slip_enable = "false", + receive_pcs2.byte_order_double_data_mode_mask_enable = "false", + receive_pcs2.byte_order_mode = "none", + receive_pcs2.byte_order_pad_pattern = "0", + receive_pcs2.byte_order_pattern = "0", + receive_pcs2.byte_order_pld_ctrl_enable = "false", + receive_pcs2.cdrctrl_bypass_ppm_detector_cycle = 1000, + receive_pcs2.cdrctrl_enable = "false", + receive_pcs2.cdrctrl_mask_cycle = 800, + receive_pcs2.cdrctrl_min_lock_to_ref_cycle = 63, + receive_pcs2.cdrctrl_rxvalid_mask = "false", + receive_pcs2.channel_bonding = "x4", + receive_pcs2.channel_number = ((starting_channel_number + 2) % 4), + receive_pcs2.channel_width = 16, + receive_pcs2.clk1_mux_select = "master clock", + receive_pcs2.clk2_mux_select = "digital reference clock", + receive_pcs2.core_clock_0ppm = "true", + receive_pcs2.datapath_low_latency_mode = "false", + receive_pcs2.datapath_protocol = "xaui", + receive_pcs2.dec_8b_10b_compatibility_mode = "true", + receive_pcs2.dec_8b_10b_mode = "normal", + receive_pcs2.dec_8b_10b_polarity_inv_enable = "false", + receive_pcs2.deskew_pattern = "0011000011", + receive_pcs2.disable_auto_idle_insertion = "true", + receive_pcs2.disable_running_disp_in_word_align = "false", + receive_pcs2.disallow_kchar_after_pattern_ordered_set = "false", + receive_pcs2.dprio_config_mode = 6'h01, + receive_pcs2.elec_idle_infer_enable = "false", + receive_pcs2.elec_idle_num_com_detect = 3, + receive_pcs2.enable_bit_reversal = "false", + receive_pcs2.enable_deep_align = "false", + receive_pcs2.enable_deep_align_byte_swap = "false", + receive_pcs2.enable_self_test_mode = "false", + receive_pcs2.enable_true_complement_match_in_word_align = "false", + receive_pcs2.force_signal_detect_dig = "true", + receive_pcs2.hip_enable = "false", + receive_pcs2.infiniband_invalid_code = 0, + receive_pcs2.insert_pad_on_underflow = "false", + receive_pcs2.logical_channel_address = (starting_channel_number + 2), + receive_pcs2.num_align_code_groups_in_ordered_set = 0, + receive_pcs2.num_align_cons_good_data = 4, + receive_pcs2.num_align_cons_pat = 4, + receive_pcs2.num_align_loss_sync_error = 4, + receive_pcs2.ph_fifo_low_latency_enable = "true", + receive_pcs2.ph_fifo_reg_mode = "false", + receive_pcs2.ph_fifo_xn_mapping0 = "none", + receive_pcs2.ph_fifo_xn_mapping1 = "none", + receive_pcs2.ph_fifo_xn_mapping2 = "central", + receive_pcs2.ph_fifo_xn_select = 2, + receive_pcs2.pipe_auto_speed_nego_enable = "false", + receive_pcs2.pipe_freq_scale_mode = "Frequency", + receive_pcs2.pma_done_count = 249950, + receive_pcs2.protocol_hint = "xaui", + receive_pcs2.rate_match_almost_empty_threshold = 11, + receive_pcs2.rate_match_almost_full_threshold = 13, + receive_pcs2.rate_match_back_to_back = "true", + receive_pcs2.rate_match_delete_threshold = 13, + receive_pcs2.rate_match_empty_threshold = 5, + receive_pcs2.rate_match_fifo_mode = "true", + receive_pcs2.rate_match_full_threshold = 20, + receive_pcs2.rate_match_insert_threshold = 11, + receive_pcs2.rate_match_ordered_set_based = "false", + receive_pcs2.rate_match_pattern1 = "1101000011", + receive_pcs2.rate_match_pattern2 = "0010111100", + receive_pcs2.rate_match_pattern_size = 10, + receive_pcs2.rate_match_reset_enable = "false", + receive_pcs2.rate_match_skip_set_based = "false", + receive_pcs2.rate_match_start_threshold = 7, + receive_pcs2.rd_clk_mux_select = "core clock", + receive_pcs2.recovered_clk_mux_select = "recovered clock", + receive_pcs2.run_length = 40, + receive_pcs2.run_length_enable = "true", + receive_pcs2.rx_detect_bypass = "false", + receive_pcs2.rx_phfifo_wait_cnt = 15, + receive_pcs2.rxstatus_error_report_mode = 0, + receive_pcs2.self_test_mode = "incremental", + receive_pcs2.use_alignment_state_machine = "true", + receive_pcs2.use_deserializer_double_data_mode = "false", + receive_pcs2.use_deskew_fifo = "true", + receive_pcs2.use_double_data_mode = "true", + receive_pcs2.use_parallel_loopback = "false", + receive_pcs2.use_rising_edge_triggered_pattern_align = "false", + receive_pcs2.lpm_type = "stratixiv_hssi_rx_pcs"; + stratixiv_hssi_rx_pcs receive_pcs3 + ( + .a1a2size(1'b0), + .a1a2sizeout(), + .a1detect(), + .a2detect(), + .adetectdeskew(wire_receive_pcs3_adetectdeskew), + .alignstatus(rx_channelaligned_in[0]), + .alignstatussync(rx_alignstatussync[0]), + .alignstatussyncout(wire_receive_pcs3_alignstatussyncout), + .autospdrateswitchout(), + .autospdspdchgout(), + .bistdone(), + .bisterr(), + .bitslipboundaryselectout(), + .byteorderalignstatus(), + .cdrctrlearlyeios(), + .cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[3])), + .cdrctrllocktorefclkout(wire_receive_pcs3_cdrctrllocktorefclkout), + .clkout(wire_receive_pcs3_clkout), + .coreclk(rx_coreclk_in[3]), + .coreclkout(wire_receive_pcs3_coreclkout), + .ctrldetect(wire_receive_pcs3_ctrldetect), + .datain(rx_pma_recoverdataout_wire[79:60]), + .dataout(wire_receive_pcs3_dataout), + .dataoutfull(), + .digitalreset(rx_digitalreset_out[3]), + .digitaltestout(), + .disablefifordin(rx_disablefifordin_in[0]), + .disablefifordout(wire_receive_pcs3_disablefifordout), + .disablefifowrin(rx_disablefifowrin_in[0]), + .disablefifowrout(wire_receive_pcs3_disablefifowrout), + .disperr(wire_receive_pcs3_disperr), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pcsdprioin_wire[1599:1200]), + .dprioout(wire_receive_pcs3_dprioout), + .enabledeskew(enabledeskew[0]), + .enabyteord(1'b0), + .enapatternalign(rx_enapatternalign[3]), + .errdetect(wire_receive_pcs3_errdetect), + .fifordin(rx_fifordin_in[0]), + .fifordout(wire_receive_pcs3_fifordout), + .fiforesetrd(rx_fiforesetrd[0]), + .hipdataout(), + .hipdatavalid(), + .hipelecidle(), + .hipphydonestatus(), + .hipstatus(), + .invpol(rx_invpolarity[3]), + .iqpphfifobyteselout(), + .iqpphfifoptrsresetout(), + .iqpphfifordenableout(), + .iqpphfifowrclkout(), + .iqpphfifowrenableout(), + .k1detect(), + .k2detect(), + .localrefclk(1'b0), + .masterclk(rx_masterclk[0]), + .parallelfdbk({20{1'b0}}), + .patterndetect(wire_receive_pcs3_patterndetect), + .phfifobyteselout(), + .phfifobyteserdisableout(wire_receive_pcs3_phfifobyteserdisableout), + .phfifooverflow(wire_receive_pcs3_phfifooverflow), + .phfifoptrsresetout(wire_receive_pcs3_phfifoptrsresetout), + .phfifordenable(rx_phfifordenable[3]), + .phfifordenableout(wire_receive_pcs3_phfifordenableout), + .phfiforeset(rx_phfiforeset[3]), + .phfiforesetout(wire_receive_pcs3_phfiforesetout), + .phfifounderflow(wire_receive_pcs3_phfifounderflow), + .phfifowrclkout(), + .phfifowrdisable(rx_phfifowrdisable[3]), + .phfifowrdisableout(wire_receive_pcs3_phfifowrdisableout), + .phfifowrenableout(), + .phfifoxnbytesel(int_rx_phfifoxnbytesel[11:9]), + .phfifoxnrdenable(int_rx_phfifoxnrdenable[11:9]), + .phfifoxnwrclk(int_rx_phfifoxnwrclk[11:9]), + .phfifoxnwrenable(int_rx_phfifoxnwrenable[11:9]), + .pipebufferstat(), + .pipedatavalid(), + .pipeelecidle(), + .pipephydonestatus(), + .pipepowerdown({2{1'b0}}), + .pipepowerstate({4{1'b0}}), + .pipestatetransdoneout(), + .pipestatus(), + .prbscidenable(rx_prbscidenable[3]), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(wire_receive_pcs3_rateswitchout), + .rateswitchxndone(int_hiprateswtichdone[0]), + .rdalign(wire_receive_pcs3_rdalign), + .recoveredclk(rx_pma_clockout[3]), + .refclk(refclk_pma[0]), + .revbitorderwa(1'b0), + .revbyteorderwa(1'b0), + .revparallelfdbkdata(), + .rlv(wire_receive_pcs3_rlv), + .rmfifoalmostempty(), + .rmfifoalmostfull(), + .rmfifodatadeleted(wire_receive_pcs3_rmfifodatadeleted), + .rmfifodatainserted(wire_receive_pcs3_rmfifodatainserted), + .rmfifoempty(wire_receive_pcs3_rmfifoempty), + .rmfifofull(wire_receive_pcs3_rmfifofull), + .rmfifordena(1'b0), + .rmfiforeset(rx_rmfiforeset[3]), + .rmfifowrena(1'b0), + .runningdisp(wire_receive_pcs3_runningdisp), + .rxdetectvalid(1'b0), + .rxfound({2{1'b0}}), + .signaldetect(), + .syncstatus(wire_receive_pcs3_syncstatus), + .syncstatusdeskew(wire_receive_pcs3_syncstatusdeskew), + .xauidelcondmetout(), + .xauififoovrout(), + .xauiinsertincompleteout(), + .xauilatencycompout(), + .xgmctrldet(wire_receive_pcs3_xgmctrldet), + .xgmctrlin(rx_xgmctrlin[3]), + .xgmdatain(cent_unit_rx_xgmdataout[31:24]), + .xgmdataout(wire_receive_pcs3_xgmdataout), + .xgmdatavalid(wire_receive_pcs3_xgmdatavalid), + .xgmrunningdisp(wire_receive_pcs3_xgmrunningdisp) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .autospdxnconfigsel({3{1'b0}}), + .autospdxnspdchg({3{1'b0}}), + .bitslip(1'b0), + .elecidleinfersel({3{1'b0}}), + .grayelecidleinferselfromtx({3{1'b0}}), + .hip8b10binvpolarity(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hippowerdown({2{1'b0}}), + .hiprateswitch(1'b0), + .iqpautospdxnspgchg({2{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnptrsreset({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrclk({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrclk(1'b0), + .phfifox4wrenable(1'b0), + .phfifox8bytesel(1'b0), + .phfifox8rdenable(1'b0), + .phfifox8wrclk(1'b0), + .phfifox8wrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .pipe8b10binvpolarity(1'b0), + .pipeenrevparallellpbkfromtx(1'b0), + .pmatestbusin({8{1'b0}}), + .powerdn({2{1'b0}}), + .ppmdetectdividedclk(1'b0), + .ppmdetectrefclk(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rxelecidlerateswitch(1'b0), + .signaldetected(1'b0), + .wareset(1'b0), + .xauidelcondmet(1'b0), + .xauififoovr(1'b0), + .xauiinsertincomplete(1'b0), + .xauilatencycomp(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pcs3.align_pattern = "0101111100", + receive_pcs3.align_pattern_length = 10, + receive_pcs3.align_to_deskew_pattern_pos_disp_only = "false", + receive_pcs3.allow_align_polarity_inversion = "true", + receive_pcs3.allow_pipe_polarity_inversion = "false", + receive_pcs3.auto_spd_deassert_ph_fifo_rst_count = 8, + receive_pcs3.auto_spd_phystatus_notify_count = 0, + receive_pcs3.auto_spd_self_switch_enable = "false", + receive_pcs3.bit_slip_enable = "false", + receive_pcs3.byte_order_double_data_mode_mask_enable = "false", + receive_pcs3.byte_order_mode = "none", + receive_pcs3.byte_order_pad_pattern = "0", + receive_pcs3.byte_order_pattern = "0", + receive_pcs3.byte_order_pld_ctrl_enable = "false", + receive_pcs3.cdrctrl_bypass_ppm_detector_cycle = 1000, + receive_pcs3.cdrctrl_enable = "false", + receive_pcs3.cdrctrl_mask_cycle = 800, + receive_pcs3.cdrctrl_min_lock_to_ref_cycle = 63, + receive_pcs3.cdrctrl_rxvalid_mask = "false", + receive_pcs3.channel_bonding = "x4", + receive_pcs3.channel_number = ((starting_channel_number + 3) % 4), + receive_pcs3.channel_width = 16, + receive_pcs3.clk1_mux_select = "master clock", + receive_pcs3.clk2_mux_select = "digital reference clock", + receive_pcs3.core_clock_0ppm = "true", + receive_pcs3.datapath_low_latency_mode = "false", + receive_pcs3.datapath_protocol = "xaui", + receive_pcs3.dec_8b_10b_compatibility_mode = "true", + receive_pcs3.dec_8b_10b_mode = "normal", + receive_pcs3.dec_8b_10b_polarity_inv_enable = "false", + receive_pcs3.deskew_pattern = "0011000011", + receive_pcs3.disable_auto_idle_insertion = "true", + receive_pcs3.disable_running_disp_in_word_align = "false", + receive_pcs3.disallow_kchar_after_pattern_ordered_set = "false", + receive_pcs3.dprio_config_mode = 6'h01, + receive_pcs3.elec_idle_infer_enable = "false", + receive_pcs3.elec_idle_num_com_detect = 3, + receive_pcs3.enable_bit_reversal = "false", + receive_pcs3.enable_deep_align = "false", + receive_pcs3.enable_deep_align_byte_swap = "false", + receive_pcs3.enable_self_test_mode = "false", + receive_pcs3.enable_true_complement_match_in_word_align = "false", + receive_pcs3.force_signal_detect_dig = "true", + receive_pcs3.hip_enable = "false", + receive_pcs3.infiniband_invalid_code = 0, + receive_pcs3.insert_pad_on_underflow = "false", + receive_pcs3.logical_channel_address = (starting_channel_number + 3), + receive_pcs3.num_align_code_groups_in_ordered_set = 0, + receive_pcs3.num_align_cons_good_data = 4, + receive_pcs3.num_align_cons_pat = 4, + receive_pcs3.num_align_loss_sync_error = 4, + receive_pcs3.ph_fifo_low_latency_enable = "true", + receive_pcs3.ph_fifo_reg_mode = "false", + receive_pcs3.ph_fifo_xn_mapping0 = "none", + receive_pcs3.ph_fifo_xn_mapping1 = "none", + receive_pcs3.ph_fifo_xn_mapping2 = "central", + receive_pcs3.ph_fifo_xn_select = 2, + receive_pcs3.pipe_auto_speed_nego_enable = "false", + receive_pcs3.pipe_freq_scale_mode = "Frequency", + receive_pcs3.pma_done_count = 249950, + receive_pcs3.protocol_hint = "xaui", + receive_pcs3.rate_match_almost_empty_threshold = 11, + receive_pcs3.rate_match_almost_full_threshold = 13, + receive_pcs3.rate_match_back_to_back = "true", + receive_pcs3.rate_match_delete_threshold = 13, + receive_pcs3.rate_match_empty_threshold = 5, + receive_pcs3.rate_match_fifo_mode = "true", + receive_pcs3.rate_match_full_threshold = 20, + receive_pcs3.rate_match_insert_threshold = 11, + receive_pcs3.rate_match_ordered_set_based = "false", + receive_pcs3.rate_match_pattern1 = "1101000011", + receive_pcs3.rate_match_pattern2 = "0010111100", + receive_pcs3.rate_match_pattern_size = 10, + receive_pcs3.rate_match_reset_enable = "false", + receive_pcs3.rate_match_skip_set_based = "false", + receive_pcs3.rate_match_start_threshold = 7, + receive_pcs3.rd_clk_mux_select = "core clock", + receive_pcs3.recovered_clk_mux_select = "recovered clock", + receive_pcs3.run_length = 40, + receive_pcs3.run_length_enable = "true", + receive_pcs3.rx_detect_bypass = "false", + receive_pcs3.rx_phfifo_wait_cnt = 15, + receive_pcs3.rxstatus_error_report_mode = 0, + receive_pcs3.self_test_mode = "incremental", + receive_pcs3.use_alignment_state_machine = "true", + receive_pcs3.use_deserializer_double_data_mode = "false", + receive_pcs3.use_deskew_fifo = "true", + receive_pcs3.use_double_data_mode = "true", + receive_pcs3.use_parallel_loopback = "false", + receive_pcs3.use_rising_edge_triggered_pattern_align = "false", + receive_pcs3.lpm_type = "stratixiv_hssi_rx_pcs"; + stratixiv_hssi_rx_pma receive_pma0 + ( + .adaptdone(), + .analogtestbus(wire_receive_pma0_analogtestbus), + .clockout(wire_receive_pma0_clockout), + .datain(rx_datain[0]), + .dataout(wire_receive_pma0_dataout), + .dataoutfull(), + .deserclock(rx_deserclock_in[3:0]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pmadprioin_wire[299:0]), + .dprioout(wire_receive_pma0_dprioout), + .freqlock(1'b0), + .ignorephslck(1'b0), + .locktodata(rx_locktodata_wire[0]), + .locktoref(rx_locktorefclk_wire[0]), + .locktorefout(wire_receive_pma0_locktorefout), + .offsetcancellationen(1'b0), + .plllocked(rx_plllocked_wire[0]), + .powerdn(cent_unit_rxibpowerdn[0]), + .ppmdetectclkrel(), + .ppmdetectrefclk(rx_pll_pfdrefclkout_wire[0]), + .recoverdatain(pll_ch_dataout_wire[1:0]), + .recoverdataout(wire_receive_pma0_recoverdataout), + .reverselpbkout(), + .revserialfdbkout(), + .rxpmareset(rx_analogreset_out[0]), + .seriallpbken(rx_seriallpbken[0]), + .seriallpbkin(tx_serialloopbackout[0]), + .signaldetect(wire_receive_pma0_signaldetect), + .testbussel(4'b0110) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .adaptcapture(1'b0), + .adcepowerdn(1'b0), + .adcereset(1'b0), + .adcestandby(1'b0), + .extra10gin({38{1'b0}}), + .ppmdetectdividedclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pma0.adaptive_equalization_mode = "none", + receive_pma0.allow_serial_loopback = "true", + receive_pma0.channel_number = ((starting_channel_number + 0) % 4), + receive_pma0.channel_type = "auto", + receive_pma0.common_mode = rx_common_mode, + receive_pma0.deserialization_factor = 10, + receive_pma0.dprio_config_mode = 6'h01, + receive_pma0.enable_ltd = "true", + receive_pma0.enable_ltr = "true", + receive_pma0.eq_dc_gain = equalizer_dcgain_setting, + receive_pma0.eqa_ctrl = equalizer_ctrl_a_setting, + receive_pma0.eqb_ctrl = equalizer_ctrl_b_setting, + receive_pma0.eqc_ctrl = equalizer_ctrl_c_setting, + receive_pma0.eqd_ctrl = equalizer_ctrl_d_setting, + receive_pma0.eqv_ctrl = equalizer_ctrl_v_setting, + receive_pma0.eyemon_bandwidth = 0, + receive_pma0.force_signal_detect = "true", + receive_pma0.logical_channel_address = (starting_channel_number + 0), + receive_pma0.low_speed_test_select = 0, + receive_pma0.offset_cancellation = 1, + receive_pma0.ppmselect = 32, + receive_pma0.protocol_hint = "xaui", + receive_pma0.send_direct_reverse_serial_loopback = "None", + receive_pma0.signal_detect_hysteresis = 2, + receive_pma0.signal_detect_hysteresis_valid_threshold = 1, + receive_pma0.signal_detect_loss_threshold = 1, + receive_pma0.termination = receiver_termination, + receive_pma0.use_deser_double_data_width = "false", + receive_pma0.use_external_termination = "false", + receive_pma0.use_pma_direct = "false", + receive_pma0.lpm_type = "stratixiv_hssi_rx_pma"; + stratixiv_hssi_rx_pma receive_pma1 + ( + .adaptdone(), + .analogtestbus(wire_receive_pma1_analogtestbus), + .clockout(wire_receive_pma1_clockout), + .datain(rx_datain[1]), + .dataout(wire_receive_pma1_dataout), + .dataoutfull(), + .deserclock(rx_deserclock_in[7:4]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pmadprioin_wire[599:300]), + .dprioout(wire_receive_pma1_dprioout), + .freqlock(1'b0), + .ignorephslck(1'b0), + .locktodata(rx_locktodata_wire[1]), + .locktoref(rx_locktorefclk_wire[1]), + .locktorefout(wire_receive_pma1_locktorefout), + .offsetcancellationen(1'b0), + .plllocked(rx_plllocked_wire[1]), + .powerdn(cent_unit_rxibpowerdn[1]), + .ppmdetectclkrel(), + .ppmdetectrefclk(rx_pll_pfdrefclkout_wire[1]), + .recoverdatain(pll_ch_dataout_wire[3:2]), + .recoverdataout(wire_receive_pma1_recoverdataout), + .reverselpbkout(), + .revserialfdbkout(), + .rxpmareset(rx_analogreset_out[1]), + .seriallpbken(rx_seriallpbken[1]), + .seriallpbkin(tx_serialloopbackout[1]), + .signaldetect(wire_receive_pma1_signaldetect), + .testbussel(4'b0110) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .adaptcapture(1'b0), + .adcepowerdn(1'b0), + .adcereset(1'b0), + .adcestandby(1'b0), + .extra10gin({38{1'b0}}), + .ppmdetectdividedclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pma1.adaptive_equalization_mode = "none", + receive_pma1.allow_serial_loopback = "true", + receive_pma1.channel_number = ((starting_channel_number + 1) % 4), + receive_pma1.channel_type = "auto", + receive_pma1.common_mode = rx_common_mode, + receive_pma1.deserialization_factor = 10, + receive_pma1.dprio_config_mode = 6'h01, + receive_pma1.enable_ltd = "true", + receive_pma1.enable_ltr = "true", + receive_pma1.eq_dc_gain = equalizer_dcgain_setting, + receive_pma1.eqa_ctrl = equalizer_ctrl_a_setting, + receive_pma1.eqb_ctrl = equalizer_ctrl_b_setting, + receive_pma1.eqc_ctrl = equalizer_ctrl_c_setting, + receive_pma1.eqd_ctrl = equalizer_ctrl_d_setting, + receive_pma1.eqv_ctrl = equalizer_ctrl_v_setting, + receive_pma1.eyemon_bandwidth = 0, + receive_pma1.force_signal_detect = "true", + receive_pma1.logical_channel_address = (starting_channel_number + 1), + receive_pma1.low_speed_test_select = 0, + receive_pma1.offset_cancellation = 1, + receive_pma1.ppmselect = 32, + receive_pma1.protocol_hint = "xaui", + receive_pma1.send_direct_reverse_serial_loopback = "None", + receive_pma1.signal_detect_hysteresis = 2, + receive_pma1.signal_detect_hysteresis_valid_threshold = 1, + receive_pma1.signal_detect_loss_threshold = 1, + receive_pma1.termination = receiver_termination, + receive_pma1.use_deser_double_data_width = "false", + receive_pma1.use_external_termination = "false", + receive_pma1.use_pma_direct = "false", + receive_pma1.lpm_type = "stratixiv_hssi_rx_pma"; + stratixiv_hssi_rx_pma receive_pma2 + ( + .adaptdone(), + .analogtestbus(wire_receive_pma2_analogtestbus), + .clockout(wire_receive_pma2_clockout), + .datain(rx_datain[2]), + .dataout(wire_receive_pma2_dataout), + .dataoutfull(), + .deserclock(rx_deserclock_in[11:8]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pmadprioin_wire[899:600]), + .dprioout(wire_receive_pma2_dprioout), + .freqlock(1'b0), + .ignorephslck(1'b0), + .locktodata(rx_locktodata_wire[2]), + .locktoref(rx_locktorefclk_wire[2]), + .locktorefout(wire_receive_pma2_locktorefout), + .offsetcancellationen(1'b0), + .plllocked(rx_plllocked_wire[2]), + .powerdn(cent_unit_rxibpowerdn[2]), + .ppmdetectclkrel(), + .ppmdetectrefclk(rx_pll_pfdrefclkout_wire[2]), + .recoverdatain(pll_ch_dataout_wire[5:4]), + .recoverdataout(wire_receive_pma2_recoverdataout), + .reverselpbkout(), + .revserialfdbkout(), + .rxpmareset(rx_analogreset_out[2]), + .seriallpbken(rx_seriallpbken[2]), + .seriallpbkin(tx_serialloopbackout[2]), + .signaldetect(wire_receive_pma2_signaldetect), + .testbussel(4'b0110) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .adaptcapture(1'b0), + .adcepowerdn(1'b0), + .adcereset(1'b0), + .adcestandby(1'b0), + .extra10gin({38{1'b0}}), + .ppmdetectdividedclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pma2.adaptive_equalization_mode = "none", + receive_pma2.allow_serial_loopback = "true", + receive_pma2.channel_number = ((starting_channel_number + 2) % 4), + receive_pma2.channel_type = "auto", + receive_pma2.common_mode = rx_common_mode, + receive_pma2.deserialization_factor = 10, + receive_pma2.dprio_config_mode = 6'h01, + receive_pma2.enable_ltd = "true", + receive_pma2.enable_ltr = "true", + receive_pma2.eq_dc_gain = equalizer_dcgain_setting, + receive_pma2.eqa_ctrl = equalizer_ctrl_a_setting, + receive_pma2.eqb_ctrl = equalizer_ctrl_b_setting, + receive_pma2.eqc_ctrl = equalizer_ctrl_c_setting, + receive_pma2.eqd_ctrl = equalizer_ctrl_d_setting, + receive_pma2.eqv_ctrl = equalizer_ctrl_v_setting, + receive_pma2.eyemon_bandwidth = 0, + receive_pma2.force_signal_detect = "true", + receive_pma2.logical_channel_address = (starting_channel_number + 2), + receive_pma2.low_speed_test_select = 0, + receive_pma2.offset_cancellation = 1, + receive_pma2.ppmselect = 32, + receive_pma2.protocol_hint = "xaui", + receive_pma2.send_direct_reverse_serial_loopback = "None", + receive_pma2.signal_detect_hysteresis = 2, + receive_pma2.signal_detect_hysteresis_valid_threshold = 1, + receive_pma2.signal_detect_loss_threshold = 1, + receive_pma2.termination = receiver_termination, + receive_pma2.use_deser_double_data_width = "false", + receive_pma2.use_external_termination = "false", + receive_pma2.use_pma_direct = "false", + receive_pma2.lpm_type = "stratixiv_hssi_rx_pma"; + stratixiv_hssi_rx_pma receive_pma3 + ( + .adaptdone(), + .analogtestbus(wire_receive_pma3_analogtestbus), + .clockout(wire_receive_pma3_clockout), + .datain(rx_datain[3]), + .dataout(wire_receive_pma3_dataout), + .dataoutfull(), + .deserclock(rx_deserclock_in[15:12]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(rx_pmadprioin_wire[1199:900]), + .dprioout(wire_receive_pma3_dprioout), + .freqlock(1'b0), + .ignorephslck(1'b0), + .locktodata(rx_locktodata_wire[3]), + .locktoref(rx_locktorefclk_wire[3]), + .locktorefout(wire_receive_pma3_locktorefout), + .offsetcancellationen(1'b0), + .plllocked(rx_plllocked_wire[3]), + .powerdn(cent_unit_rxibpowerdn[3]), + .ppmdetectclkrel(), + .ppmdetectrefclk(rx_pll_pfdrefclkout_wire[3]), + .recoverdatain(pll_ch_dataout_wire[7:6]), + .recoverdataout(wire_receive_pma3_recoverdataout), + .reverselpbkout(), + .revserialfdbkout(), + .rxpmareset(rx_analogreset_out[3]), + .seriallpbken(rx_seriallpbken[3]), + .seriallpbkin(tx_serialloopbackout[3]), + .signaldetect(wire_receive_pma3_signaldetect), + .testbussel(4'b0110) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .adaptcapture(1'b0), + .adcepowerdn(1'b0), + .adcereset(1'b0), + .adcestandby(1'b0), + .extra10gin({38{1'b0}}), + .ppmdetectdividedclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + receive_pma3.adaptive_equalization_mode = "none", + receive_pma3.allow_serial_loopback = "true", + receive_pma3.channel_number = ((starting_channel_number + 3) % 4), + receive_pma3.channel_type = "auto", + receive_pma3.common_mode = rx_common_mode, + receive_pma3.deserialization_factor = 10, + receive_pma3.dprio_config_mode = 6'h01, + receive_pma3.enable_ltd = "true", + receive_pma3.enable_ltr = "true", + receive_pma3.eq_dc_gain = equalizer_dcgain_setting, + receive_pma3.eqa_ctrl = equalizer_ctrl_a_setting, + receive_pma3.eqb_ctrl = equalizer_ctrl_b_setting, + receive_pma3.eqc_ctrl = equalizer_ctrl_c_setting, + receive_pma3.eqd_ctrl = equalizer_ctrl_d_setting, + receive_pma3.eqv_ctrl = equalizer_ctrl_v_setting, + receive_pma3.eyemon_bandwidth = 0, + receive_pma3.force_signal_detect = "true", + receive_pma3.logical_channel_address = (starting_channel_number + 3), + receive_pma3.low_speed_test_select = 0, + receive_pma3.offset_cancellation = 1, + receive_pma3.ppmselect = 32, + receive_pma3.protocol_hint = "xaui", + receive_pma3.send_direct_reverse_serial_loopback = "None", + receive_pma3.signal_detect_hysteresis = 2, + receive_pma3.signal_detect_hysteresis_valid_threshold = 1, + receive_pma3.signal_detect_loss_threshold = 1, + receive_pma3.termination = receiver_termination, + receive_pma3.use_deser_double_data_width = "false", + receive_pma3.use_external_termination = "false", + receive_pma3.use_pma_direct = "false", + receive_pma3.lpm_type = "stratixiv_hssi_rx_pma"; + stratixiv_hssi_tx_pcs transmit_pcs0 + ( + .clkout(), + .coreclk(tx_coreclk_in[0]), + .coreclkout(wire_transmit_pcs0_coreclkout), + .ctrlenable({{2{1'b0}}, tx_ctrlenable[1:0]}), + .datain({{24{1'b0}}, tx_datain_wire[15:0]}), + .datainfull({44{1'b0}}), + .dataout(wire_transmit_pcs0_dataout), + .detectrxloop(1'b0), + .digitalreset(tx_digitalreset_out[0]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_dprioin_wire[149:0]), + .dprioout(wire_transmit_pcs0_dprioout), + .enrevparallellpbk(1'b0), + .forcedisp({{2{1'b0}}, tx_forcedisp_wire[1:0]}), + .forcedispcompliance(1'b0), + .forceelecidleout(wire_transmit_pcs0_forceelecidleout), + .grayelecidleinferselout(), + .hiptxclkout(), + .invpol(tx_invpolarity[0]), + .iqpphfifobyteselout(), + .iqpphfifordclkout(), + .iqpphfifordenableout(), + .iqpphfifowrenableout(), + .localrefclk(tx_localrefclk[0]), + .parallelfdbkout(), + .phfifobyteselout(), + .phfifobyteserdisable(int_rx_phfifobyteserdisable[0]), + .phfifooverflow(wire_transmit_pcs0_phfifooverflow), + .phfifoptrsreset(int_rx_phfifoptrsresetout[0]), + .phfifordclkout(), + .phfiforddisable(1'b0), + .phfiforddisableout(wire_transmit_pcs0_phfiforddisableout), + .phfifordenableout(), + .phfiforeset(tx_phfiforeset[0]), + .phfiforesetout(wire_transmit_pcs0_phfiforesetout), + .phfifounderflow(wire_transmit_pcs0_phfifounderflow), + .phfifowrenable(1'b1), + .phfifowrenableout(wire_transmit_pcs0_phfifowrenableout), + .phfifoxnbytesel(int_tx_phfifoxnbytesel[2:0]), + .phfifoxnrdclk(int_tx_phfifoxnrdclk[2:0]), + .phfifoxnrdenable(int_tx_phfifoxnrdenable[2:0]), + .phfifoxnwrenable(int_tx_phfifoxnwrenable[2:0]), + .pipeenrevparallellpbkout(), + .pipepowerdownout(), + .pipepowerstateout(), + .pipestatetransdone(1'b0), + .powerdn({2{1'b0}}), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(), + .rdenablesync(wire_transmit_pcs0_rdenablesync), + .refclk(refclk_pma[0]), + .revparallelfdbk({20{1'b0}}), + .txdetectrx(wire_transmit_pcs0_txdetectrx), + .xgmctrl(cent_unit_txctrlout[0]), + .xgmctrlenable(wire_transmit_pcs0_xgmctrlenable), + .xgmdatain(cent_unit_tx_xgmdataout[7:0]), + .xgmdataout(wire_transmit_pcs0_xgmdataout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .bitslipboundaryselect({5{1'b0}}), + .dispval({4{1'b0}}), + .elecidleinfersel({3{1'b0}}), + .forceelecidle(1'b0), + .freezptr(1'b0), + .hipdatain({10{1'b0}}), + .hipdetectrxloop(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hipforceelecidle(1'b0), + .hippowerdn({2{1'b0}}), + .hiptxdeemph(1'b0), + .hiptxmargin({3{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnrdclk({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdclk(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrenable(1'b0), + .phfifoxnbottombytesel(1'b0), + .phfifoxnbottomrdclk(1'b0), + .phfifoxnbottomrdenable(1'b0), + .phfifoxnbottomwrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .phfifoxntopbytesel(1'b0), + .phfifoxntoprdclk(1'b0), + .phfifoxntoprdenable(1'b0), + .phfifoxntopwrenable(1'b0), + .pipetxdeemph(1'b0), + .pipetxmargin({3{1'b0}}), + .pipetxswing(1'b0), + .prbscidenable(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rateswitchxndone(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pcs0.allow_polarity_inversion = "true", + transmit_pcs0.auto_spd_self_switch_enable = "false", + transmit_pcs0.bitslip_enable = "false", + transmit_pcs0.channel_bonding = "x4", + transmit_pcs0.channel_number = ((starting_channel_number + 0) % 4), + transmit_pcs0.channel_width = 16, + transmit_pcs0.core_clock_0ppm = "true", + transmit_pcs0.datapath_low_latency_mode = "false", + transmit_pcs0.datapath_protocol = "xaui", + transmit_pcs0.disable_ph_low_latency_mode = "false", + transmit_pcs0.disparity_mode = "none", + transmit_pcs0.dprio_config_mode = 6'h01, + transmit_pcs0.elec_idle_delay = 6, + transmit_pcs0.enable_bit_reversal = "false", + transmit_pcs0.enable_idle_selection = "false", + transmit_pcs0.enable_reverse_parallel_loopback = "false", + transmit_pcs0.enable_self_test_mode = "false", + transmit_pcs0.enable_symbol_swap = "false", + transmit_pcs0.enc_8b_10b_compatibility_mode = "true", + transmit_pcs0.enc_8b_10b_mode = "normal", + transmit_pcs0.force_echar = "false", + transmit_pcs0.force_kchar = "false", + transmit_pcs0.hip_enable = "false", + transmit_pcs0.logical_channel_address = (starting_channel_number + 0), + transmit_pcs0.ph_fifo_reg_mode = "false", + transmit_pcs0.ph_fifo_xn_mapping0 = "none", + transmit_pcs0.ph_fifo_xn_mapping1 = "none", + transmit_pcs0.ph_fifo_xn_mapping2 = "central", + transmit_pcs0.ph_fifo_xn_select = 2, + transmit_pcs0.pipe_auto_speed_nego_enable = "false", + transmit_pcs0.pipe_freq_scale_mode = "Frequency", + transmit_pcs0.prbs_cid_pattern = "false", + transmit_pcs0.protocol_hint = "xaui", + transmit_pcs0.refclk_select = "cmu_clock_divider", + transmit_pcs0.self_test_mode = "incremental", + transmit_pcs0.use_double_data_mode = "true", + transmit_pcs0.use_serializer_double_data_mode = "false", + transmit_pcs0.wr_clk_mux_select = "core_clk", + transmit_pcs0.lpm_type = "stratixiv_hssi_tx_pcs"; + stratixiv_hssi_tx_pcs transmit_pcs1 + ( + .clkout(), + .coreclk(tx_coreclk_in[1]), + .coreclkout(wire_transmit_pcs1_coreclkout), + .ctrlenable({{2{1'b0}}, tx_ctrlenable[3:2]}), + .datain({{24{1'b0}}, tx_datain_wire[31:16]}), + .datainfull({44{1'b0}}), + .dataout(wire_transmit_pcs1_dataout), + .detectrxloop(1'b0), + .digitalreset(tx_digitalreset_out[1]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_dprioin_wire[299:150]), + .dprioout(wire_transmit_pcs1_dprioout), + .enrevparallellpbk(1'b0), + .forcedisp({{2{1'b0}}, tx_forcedisp_wire[3:2]}), + .forcedispcompliance(1'b0), + .forceelecidleout(wire_transmit_pcs1_forceelecidleout), + .grayelecidleinferselout(), + .hiptxclkout(), + .invpol(tx_invpolarity[1]), + .iqpphfifobyteselout(), + .iqpphfifordclkout(), + .iqpphfifordenableout(), + .iqpphfifowrenableout(), + .localrefclk(tx_localrefclk[1]), + .parallelfdbkout(), + .phfifobyteselout(), + .phfifobyteserdisable(int_rx_phfifobyteserdisable[1]), + .phfifooverflow(wire_transmit_pcs1_phfifooverflow), + .phfifoptrsreset(int_rx_phfifoptrsresetout[1]), + .phfifordclkout(), + .phfiforddisable(1'b0), + .phfiforddisableout(wire_transmit_pcs1_phfiforddisableout), + .phfifordenableout(), + .phfiforeset(tx_phfiforeset[1]), + .phfiforesetout(wire_transmit_pcs1_phfiforesetout), + .phfifounderflow(wire_transmit_pcs1_phfifounderflow), + .phfifowrenable(1'b1), + .phfifowrenableout(wire_transmit_pcs1_phfifowrenableout), + .phfifoxnbytesel(int_tx_phfifoxnbytesel[5:3]), + .phfifoxnrdclk(int_tx_phfifoxnrdclk[5:3]), + .phfifoxnrdenable(int_tx_phfifoxnrdenable[5:3]), + .phfifoxnwrenable(int_tx_phfifoxnwrenable[5:3]), + .pipeenrevparallellpbkout(), + .pipepowerdownout(), + .pipepowerstateout(), + .pipestatetransdone(1'b0), + .powerdn({2{1'b0}}), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(), + .rdenablesync(wire_transmit_pcs1_rdenablesync), + .refclk(refclk_pma[0]), + .revparallelfdbk({20{1'b0}}), + .txdetectrx(wire_transmit_pcs1_txdetectrx), + .xgmctrl(cent_unit_txctrlout[1]), + .xgmctrlenable(wire_transmit_pcs1_xgmctrlenable), + .xgmdatain(cent_unit_tx_xgmdataout[15:8]), + .xgmdataout(wire_transmit_pcs1_xgmdataout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .bitslipboundaryselect({5{1'b0}}), + .dispval({4{1'b0}}), + .elecidleinfersel({3{1'b0}}), + .forceelecidle(1'b0), + .freezptr(1'b0), + .hipdatain({10{1'b0}}), + .hipdetectrxloop(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hipforceelecidle(1'b0), + .hippowerdn({2{1'b0}}), + .hiptxdeemph(1'b0), + .hiptxmargin({3{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnrdclk({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdclk(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrenable(1'b0), + .phfifoxnbottombytesel(1'b0), + .phfifoxnbottomrdclk(1'b0), + .phfifoxnbottomrdenable(1'b0), + .phfifoxnbottomwrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .phfifoxntopbytesel(1'b0), + .phfifoxntoprdclk(1'b0), + .phfifoxntoprdenable(1'b0), + .phfifoxntopwrenable(1'b0), + .pipetxdeemph(1'b0), + .pipetxmargin({3{1'b0}}), + .pipetxswing(1'b0), + .prbscidenable(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rateswitchxndone(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pcs1.allow_polarity_inversion = "true", + transmit_pcs1.auto_spd_self_switch_enable = "false", + transmit_pcs1.bitslip_enable = "false", + transmit_pcs1.channel_bonding = "x4", + transmit_pcs1.channel_number = ((starting_channel_number + 1) % 4), + transmit_pcs1.channel_width = 16, + transmit_pcs1.core_clock_0ppm = "true", + transmit_pcs1.datapath_low_latency_mode = "false", + transmit_pcs1.datapath_protocol = "xaui", + transmit_pcs1.disable_ph_low_latency_mode = "false", + transmit_pcs1.disparity_mode = "none", + transmit_pcs1.dprio_config_mode = 6'h01, + transmit_pcs1.elec_idle_delay = 6, + transmit_pcs1.enable_bit_reversal = "false", + transmit_pcs1.enable_idle_selection = "false", + transmit_pcs1.enable_reverse_parallel_loopback = "false", + transmit_pcs1.enable_self_test_mode = "false", + transmit_pcs1.enable_symbol_swap = "false", + transmit_pcs1.enc_8b_10b_compatibility_mode = "true", + transmit_pcs1.enc_8b_10b_mode = "normal", + transmit_pcs1.force_echar = "false", + transmit_pcs1.force_kchar = "false", + transmit_pcs1.hip_enable = "false", + transmit_pcs1.logical_channel_address = (starting_channel_number + 1), + transmit_pcs1.ph_fifo_reg_mode = "false", + transmit_pcs1.ph_fifo_xn_mapping0 = "none", + transmit_pcs1.ph_fifo_xn_mapping1 = "none", + transmit_pcs1.ph_fifo_xn_mapping2 = "central", + transmit_pcs1.ph_fifo_xn_select = 2, + transmit_pcs1.pipe_auto_speed_nego_enable = "false", + transmit_pcs1.pipe_freq_scale_mode = "Frequency", + transmit_pcs1.prbs_cid_pattern = "false", + transmit_pcs1.protocol_hint = "xaui", + transmit_pcs1.refclk_select = "cmu_clock_divider", + transmit_pcs1.self_test_mode = "incremental", + transmit_pcs1.use_double_data_mode = "true", + transmit_pcs1.use_serializer_double_data_mode = "false", + transmit_pcs1.wr_clk_mux_select = "core_clk", + transmit_pcs1.lpm_type = "stratixiv_hssi_tx_pcs"; + stratixiv_hssi_tx_pcs transmit_pcs2 + ( + .clkout(), + .coreclk(tx_coreclk_in[2]), + .coreclkout(wire_transmit_pcs2_coreclkout), + .ctrlenable({{2{1'b0}}, tx_ctrlenable[5:4]}), + .datain({{24{1'b0}}, tx_datain_wire[47:32]}), + .datainfull({44{1'b0}}), + .dataout(wire_transmit_pcs2_dataout), + .detectrxloop(1'b0), + .digitalreset(tx_digitalreset_out[2]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_dprioin_wire[449:300]), + .dprioout(wire_transmit_pcs2_dprioout), + .enrevparallellpbk(1'b0), + .forcedisp({{2{1'b0}}, tx_forcedisp_wire[5:4]}), + .forcedispcompliance(1'b0), + .forceelecidleout(wire_transmit_pcs2_forceelecidleout), + .grayelecidleinferselout(), + .hiptxclkout(), + .invpol(tx_invpolarity[2]), + .iqpphfifobyteselout(), + .iqpphfifordclkout(), + .iqpphfifordenableout(), + .iqpphfifowrenableout(), + .localrefclk(tx_localrefclk[2]), + .parallelfdbkout(), + .phfifobyteselout(), + .phfifobyteserdisable(int_rx_phfifobyteserdisable[2]), + .phfifooverflow(wire_transmit_pcs2_phfifooverflow), + .phfifoptrsreset(int_rx_phfifoptrsresetout[2]), + .phfifordclkout(), + .phfiforddisable(1'b0), + .phfiforddisableout(wire_transmit_pcs2_phfiforddisableout), + .phfifordenableout(), + .phfiforeset(tx_phfiforeset[2]), + .phfiforesetout(wire_transmit_pcs2_phfiforesetout), + .phfifounderflow(wire_transmit_pcs2_phfifounderflow), + .phfifowrenable(1'b1), + .phfifowrenableout(wire_transmit_pcs2_phfifowrenableout), + .phfifoxnbytesel(int_tx_phfifoxnbytesel[8:6]), + .phfifoxnrdclk(int_tx_phfifoxnrdclk[8:6]), + .phfifoxnrdenable(int_tx_phfifoxnrdenable[8:6]), + .phfifoxnwrenable(int_tx_phfifoxnwrenable[8:6]), + .pipeenrevparallellpbkout(), + .pipepowerdownout(), + .pipepowerstateout(), + .pipestatetransdone(1'b0), + .powerdn({2{1'b0}}), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(), + .rdenablesync(wire_transmit_pcs2_rdenablesync), + .refclk(refclk_pma[0]), + .revparallelfdbk({20{1'b0}}), + .txdetectrx(wire_transmit_pcs2_txdetectrx), + .xgmctrl(cent_unit_txctrlout[2]), + .xgmctrlenable(wire_transmit_pcs2_xgmctrlenable), + .xgmdatain(cent_unit_tx_xgmdataout[23:16]), + .xgmdataout(wire_transmit_pcs2_xgmdataout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .bitslipboundaryselect({5{1'b0}}), + .dispval({4{1'b0}}), + .elecidleinfersel({3{1'b0}}), + .forceelecidle(1'b0), + .freezptr(1'b0), + .hipdatain({10{1'b0}}), + .hipdetectrxloop(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hipforceelecidle(1'b0), + .hippowerdn({2{1'b0}}), + .hiptxdeemph(1'b0), + .hiptxmargin({3{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnrdclk({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdclk(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrenable(1'b0), + .phfifoxnbottombytesel(1'b0), + .phfifoxnbottomrdclk(1'b0), + .phfifoxnbottomrdenable(1'b0), + .phfifoxnbottomwrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .phfifoxntopbytesel(1'b0), + .phfifoxntoprdclk(1'b0), + .phfifoxntoprdenable(1'b0), + .phfifoxntopwrenable(1'b0), + .pipetxdeemph(1'b0), + .pipetxmargin({3{1'b0}}), + .pipetxswing(1'b0), + .prbscidenable(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rateswitchxndone(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pcs2.allow_polarity_inversion = "true", + transmit_pcs2.auto_spd_self_switch_enable = "false", + transmit_pcs2.bitslip_enable = "false", + transmit_pcs2.channel_bonding = "x4", + transmit_pcs2.channel_number = ((starting_channel_number + 2) % 4), + transmit_pcs2.channel_width = 16, + transmit_pcs2.core_clock_0ppm = "true", + transmit_pcs2.datapath_low_latency_mode = "false", + transmit_pcs2.datapath_protocol = "xaui", + transmit_pcs2.disable_ph_low_latency_mode = "false", + transmit_pcs2.disparity_mode = "none", + transmit_pcs2.dprio_config_mode = 6'h01, + transmit_pcs2.elec_idle_delay = 6, + transmit_pcs2.enable_bit_reversal = "false", + transmit_pcs2.enable_idle_selection = "false", + transmit_pcs2.enable_reverse_parallel_loopback = "false", + transmit_pcs2.enable_self_test_mode = "false", + transmit_pcs2.enable_symbol_swap = "false", + transmit_pcs2.enc_8b_10b_compatibility_mode = "true", + transmit_pcs2.enc_8b_10b_mode = "normal", + transmit_pcs2.force_echar = "false", + transmit_pcs2.force_kchar = "false", + transmit_pcs2.hip_enable = "false", + transmit_pcs2.logical_channel_address = (starting_channel_number + 2), + transmit_pcs2.ph_fifo_reg_mode = "false", + transmit_pcs2.ph_fifo_xn_mapping0 = "none", + transmit_pcs2.ph_fifo_xn_mapping1 = "none", + transmit_pcs2.ph_fifo_xn_mapping2 = "central", + transmit_pcs2.ph_fifo_xn_select = 2, + transmit_pcs2.pipe_auto_speed_nego_enable = "false", + transmit_pcs2.pipe_freq_scale_mode = "Frequency", + transmit_pcs2.prbs_cid_pattern = "false", + transmit_pcs2.protocol_hint = "xaui", + transmit_pcs2.refclk_select = "cmu_clock_divider", + transmit_pcs2.self_test_mode = "incremental", + transmit_pcs2.use_double_data_mode = "true", + transmit_pcs2.use_serializer_double_data_mode = "false", + transmit_pcs2.wr_clk_mux_select = "core_clk", + transmit_pcs2.lpm_type = "stratixiv_hssi_tx_pcs"; + stratixiv_hssi_tx_pcs transmit_pcs3 + ( + .clkout(), + .coreclk(tx_coreclk_in[3]), + .coreclkout(wire_transmit_pcs3_coreclkout), + .ctrlenable({{2{1'b0}}, tx_ctrlenable[7:6]}), + .datain({{24{1'b0}}, tx_datain_wire[63:48]}), + .datainfull({44{1'b0}}), + .dataout(wire_transmit_pcs3_dataout), + .detectrxloop(1'b0), + .digitalreset(tx_digitalreset_out[3]), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_dprioin_wire[599:450]), + .dprioout(wire_transmit_pcs3_dprioout), + .enrevparallellpbk(1'b0), + .forcedisp({{2{1'b0}}, tx_forcedisp_wire[7:6]}), + .forcedispcompliance(1'b0), + .forceelecidleout(wire_transmit_pcs3_forceelecidleout), + .grayelecidleinferselout(), + .hiptxclkout(), + .invpol(tx_invpolarity[3]), + .iqpphfifobyteselout(), + .iqpphfifordclkout(), + .iqpphfifordenableout(), + .iqpphfifowrenableout(), + .localrefclk(tx_localrefclk[3]), + .parallelfdbkout(), + .phfifobyteselout(), + .phfifobyteserdisable(int_rx_phfifobyteserdisable[3]), + .phfifooverflow(wire_transmit_pcs3_phfifooverflow), + .phfifoptrsreset(int_rx_phfifoptrsresetout[3]), + .phfifordclkout(), + .phfiforddisable(1'b0), + .phfiforddisableout(wire_transmit_pcs3_phfiforddisableout), + .phfifordenableout(), + .phfiforeset(tx_phfiforeset[3]), + .phfiforesetout(wire_transmit_pcs3_phfiforesetout), + .phfifounderflow(wire_transmit_pcs3_phfifounderflow), + .phfifowrenable(1'b1), + .phfifowrenableout(wire_transmit_pcs3_phfifowrenableout), + .phfifoxnbytesel(int_tx_phfifoxnbytesel[11:9]), + .phfifoxnrdclk(int_tx_phfifoxnrdclk[11:9]), + .phfifoxnrdenable(int_tx_phfifoxnrdenable[11:9]), + .phfifoxnwrenable(int_tx_phfifoxnwrenable[11:9]), + .pipeenrevparallellpbkout(), + .pipepowerdownout(), + .pipepowerstateout(), + .pipestatetransdone(1'b0), + .powerdn({2{1'b0}}), + .quadreset(cent_unit_quadresetout[0]), + .rateswitchout(), + .rdenablesync(wire_transmit_pcs3_rdenablesync), + .refclk(refclk_pma[0]), + .revparallelfdbk({20{1'b0}}), + .txdetectrx(wire_transmit_pcs3_txdetectrx), + .xgmctrl(cent_unit_txctrlout[3]), + .xgmctrlenable(wire_transmit_pcs3_xgmctrlenable), + .xgmdatain(cent_unit_tx_xgmdataout[31:24]), + .xgmdataout(wire_transmit_pcs3_xgmdataout) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .bitslipboundaryselect({5{1'b0}}), + .dispval({4{1'b0}}), + .elecidleinfersel({3{1'b0}}), + .forceelecidle(1'b0), + .freezptr(1'b0), + .hipdatain({10{1'b0}}), + .hipdetectrxloop(1'b0), + .hipelecidleinfersel({3{1'b0}}), + .hipforceelecidle(1'b0), + .hippowerdn({2{1'b0}}), + .hiptxdeemph(1'b0), + .hiptxmargin({3{1'b0}}), + .iqpphfifoxnbytesel({2{1'b0}}), + .iqpphfifoxnrdclk({2{1'b0}}), + .iqpphfifoxnrdenable({2{1'b0}}), + .iqpphfifoxnwrenable({2{1'b0}}), + .phfifox4bytesel(1'b0), + .phfifox4rdclk(1'b0), + .phfifox4rdenable(1'b0), + .phfifox4wrenable(1'b0), + .phfifoxnbottombytesel(1'b0), + .phfifoxnbottomrdclk(1'b0), + .phfifoxnbottomrdenable(1'b0), + .phfifoxnbottomwrenable(1'b0), + .phfifoxnptrsreset({3{1'b0}}), + .phfifoxntopbytesel(1'b0), + .phfifoxntoprdclk(1'b0), + .phfifoxntoprdenable(1'b0), + .phfifoxntopwrenable(1'b0), + .pipetxdeemph(1'b0), + .pipetxmargin({3{1'b0}}), + .pipetxswing(1'b0), + .prbscidenable(1'b0), + .rateswitch(1'b0), + .rateswitchisdone(1'b0), + .rateswitchxndone(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pcs3.allow_polarity_inversion = "true", + transmit_pcs3.auto_spd_self_switch_enable = "false", + transmit_pcs3.bitslip_enable = "false", + transmit_pcs3.channel_bonding = "x4", + transmit_pcs3.channel_number = ((starting_channel_number + 3) % 4), + transmit_pcs3.channel_width = 16, + transmit_pcs3.core_clock_0ppm = "true", + transmit_pcs3.datapath_low_latency_mode = "false", + transmit_pcs3.datapath_protocol = "xaui", + transmit_pcs3.disable_ph_low_latency_mode = "false", + transmit_pcs3.disparity_mode = "none", + transmit_pcs3.dprio_config_mode = 6'h01, + transmit_pcs3.elec_idle_delay = 6, + transmit_pcs3.enable_bit_reversal = "false", + transmit_pcs3.enable_idle_selection = "false", + transmit_pcs3.enable_reverse_parallel_loopback = "false", + transmit_pcs3.enable_self_test_mode = "false", + transmit_pcs3.enable_symbol_swap = "false", + transmit_pcs3.enc_8b_10b_compatibility_mode = "true", + transmit_pcs3.enc_8b_10b_mode = "normal", + transmit_pcs3.force_echar = "false", + transmit_pcs3.force_kchar = "false", + transmit_pcs3.hip_enable = "false", + transmit_pcs3.logical_channel_address = (starting_channel_number + 3), + transmit_pcs3.ph_fifo_reg_mode = "false", + transmit_pcs3.ph_fifo_xn_mapping0 = "none", + transmit_pcs3.ph_fifo_xn_mapping1 = "none", + transmit_pcs3.ph_fifo_xn_mapping2 = "central", + transmit_pcs3.ph_fifo_xn_select = 2, + transmit_pcs3.pipe_auto_speed_nego_enable = "false", + transmit_pcs3.pipe_freq_scale_mode = "Frequency", + transmit_pcs3.prbs_cid_pattern = "false", + transmit_pcs3.protocol_hint = "xaui", + transmit_pcs3.refclk_select = "cmu_clock_divider", + transmit_pcs3.self_test_mode = "incremental", + transmit_pcs3.use_double_data_mode = "true", + transmit_pcs3.use_serializer_double_data_mode = "false", + transmit_pcs3.wr_clk_mux_select = "core_clk", + transmit_pcs3.lpm_type = "stratixiv_hssi_tx_pcs"; + stratixiv_hssi_tx_pma transmit_pma0 + ( + .clockout(wire_transmit_pma0_clockout), + .datain({{44{1'b0}}, tx_dataout_pcs_to_pma[19:0]}), + .dataout(wire_transmit_pma0_dataout), + .detectrxpowerdown(cent_unit_txdetectrxpowerdn[0]), + .dftout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_pmadprioin_wire[299:0]), + .dprioout(wire_transmit_pma0_dprioout), + .fastrefclk0in({2{1'b0}}), + .fastrefclk1in(cmu_analogfastrefclkout[1:0]), + .fastrefclk2in({2{1'b0}}), + .fastrefclk4in({2{1'b0}}), + .forceelecidle(1'b0), + .powerdn(cent_unit_txobpowerdn[0]), + .refclk0in({2{1'b0}}), + .refclk0inpulse(1'b0), + .refclk1in(cmu_analogrefclkout[1:0]), + .refclk1inpulse(cmu_analogrefclkpulse[0]), + .refclk2in({2{1'b0}}), + .refclk2inpulse(1'b0), + .refclk4in({2{1'b0}}), + .refclk4inpulse(1'b0), + .revserialfdbk(1'b0), + .rxdetecten(txdetectrxout[0]), + .rxdetectvalidout(), + .rxfoundout(), + .seriallpbkout(wire_transmit_pma0_seriallpbkout), + .txpmareset(tx_analogreset_out[0]) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datainfull({20{1'b0}}), + .extra10gin({11{1'b0}}), + .fastrefclk3in({2{1'b0}}), + .pclk({5{1'b0}}), + .refclk3in({2{1'b0}}), + .refclk3inpulse(1'b0), + .rxdetectclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pma0.analog_power = "auto", + transmit_pma0.channel_number = ((starting_channel_number + 0) % 4), + transmit_pma0.channel_type = "auto", + transmit_pma0.clkin_select = 1, + transmit_pma0.clkmux_delay = "false", + transmit_pma0.common_mode = "0.65V", + transmit_pma0.dprio_config_mode = 6'h01, + transmit_pma0.enable_reverse_serial_loopback = "false", + transmit_pma0.logical_channel_address = (starting_channel_number + 0), + transmit_pma0.logical_protocol_hint_0 = "xaui", + transmit_pma0.low_speed_test_select = 0, + transmit_pma0.physical_clkin1_mapping = "x4", + transmit_pma0.preemp_pretap = preemphasis_ctrl_pretap_setting, + transmit_pma0.preemp_pretap_inv = preemphasis_ctrl_pretap_inv_setting, + transmit_pma0.preemp_tap_1 = preemphasis_ctrl_1stposttap_setting, + transmit_pma0.preemp_tap_2 = preemphasis_ctrl_2ndposttap_setting, + transmit_pma0.preemp_tap_2_inv = preemphasis_ctrl_2ndposttap_inv_setting, + transmit_pma0.protocol_hint = "xaui", + transmit_pma0.rx_detect = 0, + transmit_pma0.serialization_factor = 10, + transmit_pma0.slew_rate = "low", + transmit_pma0.termination = transmitter_termination, + transmit_pma0.use_external_termination = "false", + transmit_pma0.use_pma_direct = "false", + transmit_pma0.use_ser_double_data_mode = "false", + transmit_pma0.vod_selection = vod_ctrl_setting, + transmit_pma0.lpm_type = "stratixiv_hssi_tx_pma"; + stratixiv_hssi_tx_pma transmit_pma1 + ( + .clockout(wire_transmit_pma1_clockout), + .datain({{44{1'b0}}, tx_dataout_pcs_to_pma[39:20]}), + .dataout(wire_transmit_pma1_dataout), + .detectrxpowerdown(cent_unit_txdetectrxpowerdn[1]), + .dftout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_pmadprioin_wire[599:300]), + .dprioout(wire_transmit_pma1_dprioout), + .fastrefclk0in({2{1'b0}}), + .fastrefclk1in(cmu_analogfastrefclkout[1:0]), + .fastrefclk2in({2{1'b0}}), + .fastrefclk4in({2{1'b0}}), + .forceelecidle(1'b0), + .powerdn(cent_unit_txobpowerdn[1]), + .refclk0in({2{1'b0}}), + .refclk0inpulse(1'b0), + .refclk1in(cmu_analogrefclkout[1:0]), + .refclk1inpulse(cmu_analogrefclkpulse[0]), + .refclk2in({2{1'b0}}), + .refclk2inpulse(1'b0), + .refclk4in({2{1'b0}}), + .refclk4inpulse(1'b0), + .revserialfdbk(1'b0), + .rxdetecten(txdetectrxout[1]), + .rxdetectvalidout(), + .rxfoundout(), + .seriallpbkout(wire_transmit_pma1_seriallpbkout), + .txpmareset(tx_analogreset_out[1]) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datainfull({20{1'b0}}), + .extra10gin({11{1'b0}}), + .fastrefclk3in({2{1'b0}}), + .pclk({5{1'b0}}), + .refclk3in({2{1'b0}}), + .refclk3inpulse(1'b0), + .rxdetectclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pma1.analog_power = "auto", + transmit_pma1.channel_number = ((starting_channel_number + 1) % 4), + transmit_pma1.channel_type = "auto", + transmit_pma1.clkin_select = 1, + transmit_pma1.clkmux_delay = "false", + transmit_pma1.common_mode = "0.65V", + transmit_pma1.dprio_config_mode = 6'h01, + transmit_pma1.enable_reverse_serial_loopback = "false", + transmit_pma1.logical_channel_address = (starting_channel_number + 1), + transmit_pma1.logical_protocol_hint_0 = "xaui", + transmit_pma1.low_speed_test_select = 0, + transmit_pma1.physical_clkin1_mapping = "x4", + transmit_pma1.preemp_pretap = preemphasis_ctrl_pretap_setting, + transmit_pma1.preemp_pretap_inv = preemphasis_ctrl_pretap_inv_setting, + transmit_pma1.preemp_tap_1 = preemphasis_ctrl_1stposttap_setting, + transmit_pma1.preemp_tap_2 = preemphasis_ctrl_2ndposttap_setting, + transmit_pma1.preemp_tap_2_inv = preemphasis_ctrl_2ndposttap_inv_setting, + transmit_pma1.protocol_hint = "xaui", + transmit_pma1.rx_detect = 0, + transmit_pma1.serialization_factor = 10, + transmit_pma1.slew_rate = "low", + transmit_pma1.termination = transmitter_termination, + transmit_pma1.use_external_termination = "false", + transmit_pma1.use_pma_direct = "false", + transmit_pma1.use_ser_double_data_mode = "false", + transmit_pma1.vod_selection = vod_ctrl_setting, + transmit_pma1.lpm_type = "stratixiv_hssi_tx_pma"; + stratixiv_hssi_tx_pma transmit_pma2 + ( + .clockout(wire_transmit_pma2_clockout), + .datain({{44{1'b0}}, tx_dataout_pcs_to_pma[59:40]}), + .dataout(wire_transmit_pma2_dataout), + .detectrxpowerdown(cent_unit_txdetectrxpowerdn[2]), + .dftout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_pmadprioin_wire[899:600]), + .dprioout(wire_transmit_pma2_dprioout), + .fastrefclk0in({2{1'b0}}), + .fastrefclk1in(cmu_analogfastrefclkout[1:0]), + .fastrefclk2in({2{1'b0}}), + .fastrefclk4in({2{1'b0}}), + .forceelecidle(1'b0), + .powerdn(cent_unit_txobpowerdn[2]), + .refclk0in({2{1'b0}}), + .refclk0inpulse(1'b0), + .refclk1in(cmu_analogrefclkout[1:0]), + .refclk1inpulse(cmu_analogrefclkpulse[0]), + .refclk2in({2{1'b0}}), + .refclk2inpulse(1'b0), + .refclk4in({2{1'b0}}), + .refclk4inpulse(1'b0), + .revserialfdbk(1'b0), + .rxdetecten(txdetectrxout[2]), + .rxdetectvalidout(), + .rxfoundout(), + .seriallpbkout(wire_transmit_pma2_seriallpbkout), + .txpmareset(tx_analogreset_out[2]) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datainfull({20{1'b0}}), + .extra10gin({11{1'b0}}), + .fastrefclk3in({2{1'b0}}), + .pclk({5{1'b0}}), + .refclk3in({2{1'b0}}), + .refclk3inpulse(1'b0), + .rxdetectclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pma2.analog_power = "auto", + transmit_pma2.channel_number = ((starting_channel_number + 2) % 4), + transmit_pma2.channel_type = "auto", + transmit_pma2.clkin_select = 1, + transmit_pma2.clkmux_delay = "false", + transmit_pma2.common_mode = "0.65V", + transmit_pma2.dprio_config_mode = 6'h01, + transmit_pma2.enable_reverse_serial_loopback = "false", + transmit_pma2.logical_channel_address = (starting_channel_number + 2), + transmit_pma2.logical_protocol_hint_0 = "xaui", + transmit_pma2.low_speed_test_select = 0, + transmit_pma2.physical_clkin1_mapping = "x4", + transmit_pma2.preemp_pretap = preemphasis_ctrl_pretap_setting, + transmit_pma2.preemp_pretap_inv = preemphasis_ctrl_pretap_inv_setting, + transmit_pma2.preemp_tap_1 = preemphasis_ctrl_1stposttap_setting, + transmit_pma2.preemp_tap_2 = preemphasis_ctrl_2ndposttap_setting, + transmit_pma2.preemp_tap_2_inv = preemphasis_ctrl_2ndposttap_inv_setting, + transmit_pma2.protocol_hint = "xaui", + transmit_pma2.rx_detect = 0, + transmit_pma2.serialization_factor = 10, + transmit_pma2.slew_rate = "low", + transmit_pma2.termination = transmitter_termination, + transmit_pma2.use_external_termination = "false", + transmit_pma2.use_pma_direct = "false", + transmit_pma2.use_ser_double_data_mode = "false", + transmit_pma2.vod_selection = vod_ctrl_setting, + transmit_pma2.lpm_type = "stratixiv_hssi_tx_pma"; + stratixiv_hssi_tx_pma transmit_pma3 + ( + .clockout(wire_transmit_pma3_clockout), + .datain({{44{1'b0}}, tx_dataout_pcs_to_pma[79:60]}), + .dataout(wire_transmit_pma3_dataout), + .detectrxpowerdown(cent_unit_txdetectrxpowerdn[3]), + .dftout(), + .dpriodisable(w_cent_unit_dpriodisableout1w[0]), + .dprioin(tx_pmadprioin_wire[1199:900]), + .dprioout(wire_transmit_pma3_dprioout), + .fastrefclk0in({2{1'b0}}), + .fastrefclk1in(cmu_analogfastrefclkout[1:0]), + .fastrefclk2in({2{1'b0}}), + .fastrefclk4in({2{1'b0}}), + .forceelecidle(1'b0), + .powerdn(cent_unit_txobpowerdn[3]), + .refclk0in({2{1'b0}}), + .refclk0inpulse(1'b0), + .refclk1in(cmu_analogrefclkout[1:0]), + .refclk1inpulse(cmu_analogrefclkpulse[0]), + .refclk2in({2{1'b0}}), + .refclk2inpulse(1'b0), + .refclk4in({2{1'b0}}), + .refclk4inpulse(1'b0), + .revserialfdbk(1'b0), + .rxdetecten(txdetectrxout[3]), + .rxdetectvalidout(), + .rxfoundout(), + .seriallpbkout(wire_transmit_pma3_seriallpbkout), + .txpmareset(tx_analogreset_out[3]) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .datainfull({20{1'b0}}), + .extra10gin({11{1'b0}}), + .fastrefclk3in({2{1'b0}}), + .pclk({5{1'b0}}), + .refclk3in({2{1'b0}}), + .refclk3inpulse(1'b0), + .rxdetectclk(1'b0) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + defparam + transmit_pma3.analog_power = "auto", + transmit_pma3.channel_number = ((starting_channel_number + 3) % 4), + transmit_pma3.channel_type = "auto", + transmit_pma3.clkin_select = 1, + transmit_pma3.clkmux_delay = "false", + transmit_pma3.common_mode = "0.65V", + transmit_pma3.dprio_config_mode = 6'h01, + transmit_pma3.enable_reverse_serial_loopback = "false", + transmit_pma3.logical_channel_address = (starting_channel_number + 3), + transmit_pma3.logical_protocol_hint_0 = "xaui", + transmit_pma3.low_speed_test_select = 0, + transmit_pma3.physical_clkin1_mapping = "x4", + transmit_pma3.preemp_pretap = preemphasis_ctrl_pretap_setting, + transmit_pma3.preemp_pretap_inv = preemphasis_ctrl_pretap_inv_setting, + transmit_pma3.preemp_tap_1 = preemphasis_ctrl_1stposttap_setting, + transmit_pma3.preemp_tap_2 = preemphasis_ctrl_2ndposttap_setting, + transmit_pma3.preemp_tap_2_inv = preemphasis_ctrl_2ndposttap_inv_setting, + transmit_pma3.protocol_hint = "xaui", + transmit_pma3.rx_detect = 0, + transmit_pma3.serialization_factor = 10, + transmit_pma3.slew_rate = "low", + transmit_pma3.termination = transmitter_termination, + transmit_pma3.use_external_termination = "false", + transmit_pma3.use_pma_direct = "false", + transmit_pma3.use_ser_double_data_mode = "false", + transmit_pma3.vod_selection = vod_ctrl_setting, + transmit_pma3.lpm_type = "stratixiv_hssi_tx_pma"; + assign + cent_unit_clkdivpowerdn = {wire_cent_unit0_clkdivpowerdn[0]}, + cent_unit_cmudividerdprioout = {wire_cent_unit0_cmudividerdprioout}, + cent_unit_cmuplldprioout = {wire_cent_unit0_cmuplldprioout}, + cent_unit_pllpowerdn = {wire_cent_unit0_pllpowerdn[1:0]}, + cent_unit_pllresetout = {wire_cent_unit0_pllresetout[1:0]}, + cent_unit_quadresetout = {wire_cent_unit0_quadresetout}, + cent_unit_rdenablesync = {tx_rdenablesync[0]}, + cent_unit_rx_ctrl = {wire_receive_pcs3_xgmctrldet, wire_receive_pcs2_xgmctrldet, wire_receive_pcs1_xgmctrldet, wire_receive_pcs0_xgmctrldet}, + cent_unit_rx_xgmdataout = {wire_cent_unit0_rxdataout}, + cent_unit_rxcrupowerdn = {wire_cent_unit0_rxcrupowerdown[5:0]}, + cent_unit_rxibpowerdn = {wire_cent_unit0_rxibpowerdown[5:0]}, + cent_unit_rxpcsdprioin = {rx_pcsdprioout[1599:0]}, + cent_unit_rxpcsdprioout = {wire_cent_unit0_rxpcsdprioout[1599:0]}, + cent_unit_rxpmadprioin = {{2{{300{1'b0}}}}, rx_pmadprioout[1199:0]}, + cent_unit_rxpmadprioout = {wire_cent_unit0_rxpmadprioout[1799:0]}, + cent_unit_tx_dprioin = {{600{1'b0}}, tx_txdprioout[599:0]}, + cent_unit_tx_xgmdataout = {wire_cent_unit0_txdataout[31:0]}, + cent_unit_txctrlout = {wire_cent_unit0_txctrlout}, + cent_unit_txdetectrxpowerdn = {wire_cent_unit0_txdetectrxpowerdown[5:0]}, + cent_unit_txdprioout = {wire_cent_unit0_txpcsdprioout[599:0]}, + cent_unit_txobpowerdn = {wire_cent_unit0_txobpowerdown[5:0]}, + cent_unit_txpmadprioin = {{2{{300{1'b0}}}}, tx_pmadprioout[1199:0]}, + cent_unit_txpmadprioout = {wire_cent_unit0_txpmadprioout[1799:0]}, + clk_div_clk0in = {pll0_out[3:0]}, + clk_div_cmudividerdprioin = {{100{1'b0}}, wire_central_clk_div0_dprioout, {400{1'b0}}}, + cmu_analogfastrefclkout = {wire_central_clk_div0_analogfastrefclkout}, + cmu_analogrefclkout = {wire_central_clk_div0_analogrefclkout}, + cmu_analogrefclkpulse = {wire_central_clk_div0_analogrefclkpulse}, + coreclkout = {coreclkout_wire[0]}, + coreclkout_wire = {wire_central_clk_div0_coreclkout}, + enabledeskew = {wire_cent_unit0_enabledeskew}, + fixedclk_to_cmu = {6{reconfig_clk}}, + int_hiprateswtichdone = {wire_central_clk_div0_rateswitchdone}, + int_rx_coreclkout = {wire_receive_pcs3_coreclkout, wire_receive_pcs2_coreclkout, wire_receive_pcs1_coreclkout, wire_receive_pcs0_coreclkout}, + int_rx_digitalreset_reg = {rx_digitalreset_reg0c[2]}, + int_rx_phfifobyteserdisable = {wire_receive_pcs3_phfifobyteserdisableout, wire_receive_pcs2_phfifobyteserdisableout, wire_receive_pcs1_phfifobyteserdisableout, wire_receive_pcs0_phfifobyteserdisableout}, + int_rx_phfifoptrsresetout = {wire_receive_pcs3_phfifoptrsresetout, wire_receive_pcs2_phfifoptrsresetout, wire_receive_pcs1_phfifoptrsresetout, wire_receive_pcs0_phfifoptrsresetout}, + int_rx_phfifordenableout = {wire_receive_pcs3_phfifordenableout, wire_receive_pcs2_phfifordenableout, wire_receive_pcs1_phfifordenableout, wire_receive_pcs0_phfifordenableout}, + int_rx_phfiforesetout = {wire_receive_pcs3_phfiforesetout, wire_receive_pcs2_phfiforesetout, wire_receive_pcs1_phfiforesetout, wire_receive_pcs0_phfiforesetout}, + int_rx_phfifowrdisableout = {wire_receive_pcs3_phfifowrdisableout, wire_receive_pcs2_phfifowrdisableout, wire_receive_pcs1_phfifowrdisableout, wire_receive_pcs0_phfifowrdisableout}, + int_rx_phfifoxnbytesel = {int_rxphfifox4byteselout[0], {2{1'b0}}, int_rxphfifox4byteselout[0], {2{1'b0}}, int_rxphfifox4byteselout[0], {2{1'b0}}, int_rxphfifox4byteselout[0], {2{1'b0}}}, + int_rx_phfifoxnrdenable = {int_rxphfifox4rdenableout[0], {2{1'b0}}, int_rxphfifox4rdenableout[0], {2{1'b0}}, int_rxphfifox4rdenableout[0], {2{1'b0}}, int_rxphfifox4rdenableout[0], {2{1'b0}}}, + int_rx_phfifoxnwrclk = {int_rxphfifox4wrclkout[0], {2{1'b0}}, int_rxphfifox4wrclkout[0], {2{1'b0}}, int_rxphfifox4wrclkout[0], {2{1'b0}}, int_rxphfifox4wrclkout[0], {2{1'b0}}}, + int_rx_phfifoxnwrenable = {int_rxphfifox4wrenableout[0], {2{1'b0}}, int_rxphfifox4wrenableout[0], {2{1'b0}}, int_rxphfifox4wrenableout[0], {2{1'b0}}, int_rxphfifox4wrenableout[0], {2{1'b0}}}, + int_rxcoreclk = {int_rx_coreclkout[0]}, + int_rxphfifordenable = {int_rx_phfifordenableout[0]}, + int_rxphfiforeset = {int_rx_phfiforesetout[0]}, + int_rxphfifox4byteselout = {wire_cent_unit0_rxphfifox4byteselout}, + int_rxphfifox4rdenableout = {wire_cent_unit0_rxphfifox4rdenableout}, + int_rxphfifox4wrclkout = {wire_cent_unit0_rxphfifox4wrclkout}, + int_rxphfifox4wrenableout = {wire_cent_unit0_rxphfifox4wrenableout}, + int_tx_coreclkout = {wire_transmit_pcs3_coreclkout, wire_transmit_pcs2_coreclkout, wire_transmit_pcs1_coreclkout, wire_transmit_pcs0_coreclkout}, + int_tx_digitalreset_reg = {tx_digitalreset_reg0c[2]}, + int_tx_phfiforddisableout = {wire_transmit_pcs3_phfiforddisableout, wire_transmit_pcs2_phfiforddisableout, wire_transmit_pcs1_phfiforddisableout, wire_transmit_pcs0_phfiforddisableout}, + int_tx_phfiforesetout = {wire_transmit_pcs3_phfiforesetout, wire_transmit_pcs2_phfiforesetout, wire_transmit_pcs1_phfiforesetout, wire_transmit_pcs0_phfiforesetout}, + int_tx_phfifowrenableout = {wire_transmit_pcs3_phfifowrenableout, wire_transmit_pcs2_phfifowrenableout, wire_transmit_pcs1_phfifowrenableout, wire_transmit_pcs0_phfifowrenableout}, + int_tx_phfifoxnbytesel = {int_txphfifox4byteselout[0], {2{1'b0}}, int_txphfifox4byteselout[0], {2{1'b0}}, int_txphfifox4byteselout[0], {2{1'b0}}, int_txphfifox4byteselout[0], {2{1'b0}}}, + int_tx_phfifoxnrdclk = {int_txphfifox4rdclkout[0], {2{1'b0}}, int_txphfifox4rdclkout[0], {2{1'b0}}, int_txphfifox4rdclkout[0], {2{1'b0}}, int_txphfifox4rdclkout[0], {2{1'b0}}}, + int_tx_phfifoxnrdenable = {int_txphfifox4rdenableout[0], {2{1'b0}}, int_txphfifox4rdenableout[0], {2{1'b0}}, int_txphfifox4rdenableout[0], {2{1'b0}}, int_txphfifox4rdenableout[0], {2{1'b0}}}, + int_tx_phfifoxnwrenable = {int_txphfifox4wrenableout[0], {2{1'b0}}, int_txphfifox4wrenableout[0], {2{1'b0}}, int_txphfifox4wrenableout[0], {2{1'b0}}, int_txphfifox4wrenableout[0], {2{1'b0}}}, + int_txcoreclk = {int_tx_coreclkout[0]}, + int_txphfiforddisable = {int_tx_phfiforddisableout[0]}, + int_txphfiforeset = {int_tx_phfiforesetout[0]}, + int_txphfifowrenable = {int_tx_phfifowrenableout[0]}, + int_txphfifox4byteselout = {wire_cent_unit0_txphfifox4byteselout}, + int_txphfifox4rdclkout = {wire_cent_unit0_txphfifox4rdclkout}, + int_txphfifox4rdenableout = {wire_cent_unit0_txphfifox4rdenableout}, + int_txphfifox4wrenableout = {wire_cent_unit0_txphfifox4wrenableout}, + nonusertocmu_out = {wire_cal_blk0_nonusertocmu}, + pll0_clkin = {{9{1'b0}}, pll_inclk_wire[0]}, + pll0_dprioin = {cent_unit_cmuplldprioout[1499:1200]}, + pll0_dprioout = {wire_tx_pll0_dprioout}, + pll0_out = {wire_tx_pll0_clk[3:0]}, + pll_ch_dataout_wire = {wire_rx_cdr_pll3_dataout, wire_rx_cdr_pll2_dataout, wire_rx_cdr_pll1_dataout, wire_rx_cdr_pll0_dataout}, + pll_ch_dprioout = {wire_rx_cdr_pll3_dprioout, wire_rx_cdr_pll2_dprioout, wire_rx_cdr_pll1_dprioout, wire_rx_cdr_pll0_dprioout}, + pll_cmuplldprioout = {{300{1'b0}}, pll0_dprioout[299:0], pll_ch_dprioout[1199:0]}, + pll_inclk_wire = {pll_inclk}, + pll_locked = {pll_locked_out[0]}, + pll_locked_out = {wire_tx_pll0_locked}, + pllpowerdn_in = {1'b0, cent_unit_pllpowerdn[0]}, + pllreset_in = {1'b0, cent_unit_pllresetout[0]}, + reconfig_fromgxb = {rx_pma_analogtestbus[16:1], wire_cent_unit0_dprioout}, + reconfig_togxb_busy = reconfig_togxb[3], + reconfig_togxb_disable = reconfig_togxb[1], + reconfig_togxb_in = reconfig_togxb[0], + reconfig_togxb_load = reconfig_togxb[2], + refclk_pma = {wire_central_clk_div0_refclkout}, + rx_adetectdeskew = {wire_receive_pcs3_adetectdeskew, wire_receive_pcs2_adetectdeskew, wire_receive_pcs1_adetectdeskew, wire_receive_pcs0_adetectdeskew}, + rx_alignstatussync = {rx_alignstatussyncout[0]}, + rx_alignstatussyncout = {wire_receive_pcs3_alignstatussyncout, wire_receive_pcs2_alignstatussyncout, wire_receive_pcs1_alignstatussyncout, wire_receive_pcs0_alignstatussyncout}, + rx_analogreset_in = {{2{1'b0}}, {4{((~ reconfig_togxb_busy) & rx_analogreset[0])}}}, + rx_analogreset_out = {wire_cent_unit0_rxanalogresetout[5:0]}, + rx_channelaligned = {rx_channelaligned_in[0]}, + rx_channelaligned_in = {wire_cent_unit0_alignstatus}, + rx_coreclk_in = {rx_coreclk[3:0]}, + rx_cruclk_in = {{9{1'b0}}, rx_pldcruclk_in[3], {9{1'b0}}, rx_pldcruclk_in[2], {9{1'b0}}, rx_pldcruclk_in[1], {9{1'b0}}, rx_pldcruclk_in[0]}, + rx_ctrldetect = {wire_receive_pcs3_ctrldetect[1:0], wire_receive_pcs2_ctrldetect[1:0], wire_receive_pcs1_ctrldetect[1:0], wire_receive_pcs0_ctrldetect[1:0]}, + rx_dataout = {rx_out_wire[63:0]}, + rx_deserclock_in = {rx_pll_clkout[15:0]}, + rx_digitalreset_in = {4{int_rx_digitalreset_reg[0]}}, + rx_digitalreset_out = {wire_cent_unit0_rxdigitalresetout[3:0]}, + rx_disablefifordin_in = {rx_disablefifordout_wire[0]}, + rx_disablefifordout_wire = {wire_receive_pcs3_disablefifordout, wire_receive_pcs2_disablefifordout, wire_receive_pcs1_disablefifordout, wire_receive_pcs0_disablefifordout}, + rx_disablefifowrin_in = {rx_disablefifowrout_wire[0]}, + rx_disablefifowrout_wire = {wire_receive_pcs3_disablefifowrout, wire_receive_pcs2_disablefifowrout, wire_receive_pcs1_disablefifowrout, wire_receive_pcs0_disablefifowrout}, + rx_disperr = {wire_receive_pcs3_disperr[1:0], wire_receive_pcs2_disperr[1:0], wire_receive_pcs1_disperr[1:0], wire_receive_pcs0_disperr[1:0]}, + rx_enapatternalign = {4{1'b0}}, + rx_errdetect = {wire_receive_pcs3_errdetect[1:0], wire_receive_pcs2_errdetect[1:0], wire_receive_pcs1_errdetect[1:0], wire_receive_pcs0_errdetect[1:0]}, + rx_fifordin_in = {rx_fifordout_wire[0]}, + rx_fifordout_wire = {wire_receive_pcs3_fifordout, wire_receive_pcs2_fifordout, wire_receive_pcs1_fifordout, wire_receive_pcs0_fifordout}, + rx_fiforesetrd = {wire_cent_unit0_fiforesetrd}, + rx_freqlocked = {(rx_freqlocked_wire[3] & (~ rx_analogreset[0])), (rx_freqlocked_wire[2] & (~ rx_analogreset[0])), (rx_freqlocked_wire[1] & (~ rx_analogreset[0])), (rx_freqlocked_wire[0] & (~ rx_analogreset[0]))}, + rx_freqlocked_wire = {wire_rx_cdr_pll3_freqlocked, wire_rx_cdr_pll2_freqlocked, wire_rx_cdr_pll1_freqlocked, wire_rx_cdr_pll0_freqlocked}, + rx_locktodata_wire = {((~ reconfig_togxb_busy) & rx_locktodata[3]), ((~ reconfig_togxb_busy) & rx_locktodata[2]), ((~ reconfig_togxb_busy) & rx_locktodata[1]), ((~ reconfig_togxb_busy) & rx_locktodata[0])}, + rx_locktorefclk_wire = {wire_receive_pcs3_cdrctrllocktorefclkout, wire_receive_pcs2_cdrctrllocktorefclkout, wire_receive_pcs1_cdrctrllocktorefclkout, wire_receive_pcs0_cdrctrllocktorefclkout}, + rx_masterclk = {rx_pma_clockout[0]}, + rx_out_wire = {wire_receive_pcs3_dataout[15:0], wire_receive_pcs2_dataout[15:0], wire_receive_pcs1_dataout[15:0], wire_receive_pcs0_dataout[15:0]}, + rx_patterndetect = {wire_receive_pcs3_patterndetect[1:0], wire_receive_pcs2_patterndetect[1:0], wire_receive_pcs1_patterndetect[1:0], wire_receive_pcs0_patterndetect[1:0]}, + rx_pcsdprioin_wire = {cent_unit_rxpcsdprioout[1599:0]}, + rx_pcsdprioout = {wire_receive_pcs3_dprioout, wire_receive_pcs2_dprioout, wire_receive_pcs1_dprioout, wire_receive_pcs0_dprioout}, + rx_phase_comp_fifo_error = {(rx_phfifooverflowout[3] | rx_phfifounderflowout[3]), (rx_phfifooverflowout[2] | rx_phfifounderflowout[2]), (rx_phfifooverflowout[1] | rx_phfifounderflowout[1]), (rx_phfifooverflowout[0] | rx_phfifounderflowout[0])}, + rx_phfifooverflowout = {wire_receive_pcs3_phfifooverflow, wire_receive_pcs2_phfifooverflow, wire_receive_pcs1_phfifooverflow, wire_receive_pcs0_phfifooverflow}, + rx_phfifordenable = {4{1'b1}}, + rx_phfiforeset = {4{1'b0}}, + rx_phfifounderflowout = {wire_receive_pcs3_phfifounderflow, wire_receive_pcs2_phfifounderflow, wire_receive_pcs1_phfifounderflow, wire_receive_pcs0_phfifounderflow}, + rx_phfifowrdisable = {4{1'b0}}, + rx_pldcruclk_in = {rx_cruclk[3:0]}, + rx_pll_clkout = {wire_rx_cdr_pll3_clk, wire_rx_cdr_pll2_clk, wire_rx_cdr_pll1_clk, wire_rx_cdr_pll0_clk}, + rx_pll_locked = {(rx_plllocked_wire[3] & (~ rx_analogreset[0])), (rx_plllocked_wire[2] & (~ rx_analogreset[0])), (rx_plllocked_wire[1] & (~ rx_analogreset[0])), (rx_plllocked_wire[0] & (~ rx_analogreset[0]))}, + rx_pll_pfdrefclkout_wire = {wire_rx_cdr_pll3_pfdrefclkout, wire_rx_cdr_pll2_pfdrefclkout, wire_rx_cdr_pll1_pfdrefclkout, wire_rx_cdr_pll0_pfdrefclkout}, + rx_plllocked_wire = {wire_rx_cdr_pll3_locked, wire_rx_cdr_pll2_locked, wire_rx_cdr_pll1_locked, wire_rx_cdr_pll0_locked}, + rx_pma_analogtestbus = {{51{1'b0}}, wire_receive_pma3_analogtestbus[5:2], wire_receive_pma2_analogtestbus[5:2], wire_receive_pma1_analogtestbus[5:2], wire_receive_pma0_analogtestbus[5:2], 1'b0}, + rx_pma_clockout = {wire_receive_pma3_clockout, wire_receive_pma2_clockout, wire_receive_pma1_clockout, wire_receive_pma0_clockout}, + rx_pma_dataout = {wire_receive_pma3_dataout, wire_receive_pma2_dataout, wire_receive_pma1_dataout, wire_receive_pma0_dataout}, + rx_pma_locktorefout = {wire_receive_pma3_locktorefout, wire_receive_pma2_locktorefout, wire_receive_pma1_locktorefout, wire_receive_pma0_locktorefout}, + rx_pma_recoverdataout_wire = {wire_receive_pma3_recoverdataout[19:0], wire_receive_pma2_recoverdataout[19:0], wire_receive_pma1_recoverdataout[19:0], wire_receive_pma0_recoverdataout[19:0]}, + rx_pmadprioin_wire = {{2{{300{1'b0}}}}, cent_unit_rxpmadprioout[1199:0]}, + rx_pmadprioout = {{2{{300{1'b0}}}}, wire_receive_pma3_dprioout, wire_receive_pma2_dprioout, wire_receive_pma1_dprioout, wire_receive_pma0_dprioout}, + rx_powerdown = {4{1'b0}}, + rx_powerdown_in = {{2{1'b0}}, rx_powerdown[3:0]}, + rx_prbscidenable = {4{1'b0}}, + rx_rdalign = {wire_receive_pcs3_rdalign, wire_receive_pcs2_rdalign, wire_receive_pcs1_rdalign, wire_receive_pcs0_rdalign}, + rx_rlv = {wire_receive_pcs3_rlv, wire_receive_pcs2_rlv, wire_receive_pcs1_rlv, wire_receive_pcs0_rlv}, + rx_rmfifodatadeleted = {wire_receive_pcs3_rmfifodatadeleted[1:0], wire_receive_pcs2_rmfifodatadeleted[1:0], wire_receive_pcs1_rmfifodatadeleted[1:0], wire_receive_pcs0_rmfifodatadeleted[1:0]}, + rx_rmfifodatainserted = {wire_receive_pcs3_rmfifodatainserted[1:0], wire_receive_pcs2_rmfifodatainserted[1:0], wire_receive_pcs1_rmfifodatainserted[1:0], wire_receive_pcs0_rmfifodatainserted[1:0]}, + rx_rmfifoempty = {wire_receive_pcs3_rmfifoempty, wire_receive_pcs2_rmfifoempty, wire_receive_pcs1_rmfifoempty, wire_receive_pcs0_rmfifoempty}, + rx_rmfifofull = {wire_receive_pcs3_rmfifofull, wire_receive_pcs2_rmfifofull, wire_receive_pcs1_rmfifofull, wire_receive_pcs0_rmfifofull}, + rx_rmfiforeset = {4{1'b0}}, + rx_runningdisp = {wire_receive_pcs3_runningdisp[1:0], wire_receive_pcs2_runningdisp[1:0], wire_receive_pcs1_runningdisp[1:0], wire_receive_pcs0_runningdisp[1:0]}, + rx_rxcruresetout = {wire_cent_unit0_rxcruresetout[5:0]}, + rx_syncstatus = {wire_receive_pcs3_syncstatus[1:0], wire_receive_pcs2_syncstatus[1:0], wire_receive_pcs1_syncstatus[1:0], wire_receive_pcs0_syncstatus[1:0]}, + rx_syncstatusdeskew = {wire_receive_pcs3_syncstatusdeskew, wire_receive_pcs2_syncstatusdeskew, wire_receive_pcs1_syncstatusdeskew, wire_receive_pcs0_syncstatusdeskew}, + rx_xgmctrlin = {wire_cent_unit0_rxctrlout}, + rx_xgmdataout = {wire_receive_pcs3_xgmdataout, wire_receive_pcs2_xgmdataout, wire_receive_pcs1_xgmdataout, wire_receive_pcs0_xgmdataout}, + rx_xgmdatavalid = {wire_receive_pcs3_xgmdatavalid, wire_receive_pcs2_xgmdatavalid, wire_receive_pcs1_xgmdatavalid, wire_receive_pcs0_xgmdatavalid}, + rx_xgmrunningdisp = {wire_receive_pcs3_xgmrunningdisp, wire_receive_pcs2_xgmrunningdisp, wire_receive_pcs1_xgmrunningdisp, wire_receive_pcs0_xgmrunningdisp}, + rxphfifowrdisable = {int_rx_phfifowrdisableout[0]}, + rxpll_dprioin = {{2{{300{1'b0}}}}, cent_unit_cmuplldprioout[1199:0]}, + tx_analogreset_out = {wire_cent_unit0_txanalogresetout[5:0]}, + tx_coreclk_in = {tx_coreclk[3:0]}, + tx_datain_wire = {tx_datain[63:0]}, + tx_dataout = {wire_transmit_pma3_dataout, wire_transmit_pma2_dataout, wire_transmit_pma1_dataout, wire_transmit_pma0_dataout}, + tx_dataout_pcs_to_pma = {wire_transmit_pcs3_dataout, wire_transmit_pcs2_dataout, wire_transmit_pcs1_dataout, wire_transmit_pcs0_dataout}, + tx_digitalreset_in = {4{int_tx_digitalreset_reg[0]}}, + tx_digitalreset_out = {wire_cent_unit0_txdigitalresetout[3:0]}, + tx_dprioin_wire = {{600{1'b0}}, cent_unit_txdprioout[599:0]}, + tx_forcedisp_wire = {4{{2{1'b0}}}}, + tx_localrefclk = {wire_transmit_pma3_clockout, wire_transmit_pma2_clockout, wire_transmit_pma1_clockout, wire_transmit_pma0_clockout}, + tx_phase_comp_fifo_error = {(tx_phfifooverflowout[3] | tx_phfifounderflowout[3]), (tx_phfifooverflowout[2] | tx_phfifounderflowout[2]), (tx_phfifooverflowout[1] | tx_phfifounderflowout[1]), (tx_phfifooverflowout[0] | tx_phfifounderflowout[0])}, + tx_phfifooverflowout = {wire_transmit_pcs3_phfifooverflow, wire_transmit_pcs2_phfifooverflow, wire_transmit_pcs1_phfifooverflow, wire_transmit_pcs0_phfifooverflow}, + tx_phfiforeset = {4{1'b0}}, + tx_phfifounderflowout = {wire_transmit_pcs3_phfifounderflow, wire_transmit_pcs2_phfifounderflow, wire_transmit_pcs1_phfifounderflow, wire_transmit_pcs0_phfifounderflow}, + tx_pmadprioin_wire = {{2{{300{1'b0}}}}, cent_unit_txpmadprioout[1199:0]}, + tx_pmadprioout = {{2{{300{1'b0}}}}, wire_transmit_pma3_dprioout, wire_transmit_pma2_dprioout, wire_transmit_pma1_dprioout, wire_transmit_pma0_dprioout}, + tx_rdenablesync = {wire_transmit_pcs3_rdenablesync, wire_transmit_pcs2_rdenablesync, wire_transmit_pcs1_rdenablesync, wire_transmit_pcs0_rdenablesync}, + tx_serialloopbackout = {wire_transmit_pma3_seriallpbkout, wire_transmit_pma2_seriallpbkout, wire_transmit_pma1_seriallpbkout, wire_transmit_pma0_seriallpbkout}, + tx_txdprioout = {wire_transmit_pcs3_dprioout, wire_transmit_pcs2_dprioout, wire_transmit_pcs1_dprioout, wire_transmit_pcs0_dprioout}, + tx_xgmctrlenable = {wire_transmit_pcs3_xgmctrlenable, wire_transmit_pcs2_xgmctrlenable, wire_transmit_pcs1_xgmctrlenable, wire_transmit_pcs0_xgmctrlenable}, + tx_xgmdataout = {wire_transmit_pcs3_xgmdataout, wire_transmit_pcs2_xgmdataout, wire_transmit_pcs1_xgmdataout, wire_transmit_pcs0_xgmdataout}, + txdetectrxout = {wire_transmit_pcs3_txdetectrx, wire_transmit_pcs2_txdetectrx, wire_transmit_pcs1_txdetectrx, wire_transmit_pcs0_txdetectrx}, + w_cent_unit_dpriodisableout1w = {wire_cent_unit0_dpriodisableout}; +endmodule //hxaui_alt4gxb_alt4gxb_dksa +//VALID FILE + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +//(* ALTERA_ATTRIBUTE = {"-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*fifo*flow] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[*]}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*alt_pma_ch_controller_0*rc*}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\""} *) +module hxaui_alt4gxb ( + cal_blk_clk, + cal_blk_powerdown, + gxb_powerdown, + pll_inclk, + pll_powerdown, + reconfig_clk, + reconfig_togxb, + rx_analogreset, + rx_cruclk, + rx_datain, + rx_digitalreset, + rx_invpolarity, + rx_locktodata, + rx_locktorefclk, + rx_seriallpbken, + tx_coreclk, + tx_ctrlenable, + tx_datain, + tx_digitalreset, + tx_invpolarity, + coreclkout, + pll_locked, + reconfig_fromgxb, + rx_channelaligned, + rx_ctrldetect, + rx_dataout, + rx_disperr, + rx_errdetect, + rx_freqlocked, + rx_patterndetect, + rx_phase_comp_fifo_error, + rx_pll_locked, + rx_rlv, + rx_rmfifodatadeleted, + rx_rmfifodatainserted, + rx_rmfifoempty, + rx_rmfifofull, + rx_runningdisp, + rx_syncstatus, + rx_recovered_clk, + tx_dataout, + tx_phase_comp_fifo_error); + + input cal_blk_clk; + input cal_blk_powerdown; + input [0:0] gxb_powerdown; + input pll_inclk; + input [0:0] pll_powerdown; + input reconfig_clk; + input [3:0] reconfig_togxb; + input [0:0] rx_analogreset; + wire [3:0] rx_coreclk; + input [3:0] rx_cruclk; + input [3:0] rx_datain; + input [0:0] rx_digitalreset; + input [3:0] rx_invpolarity; + input [3:0] rx_locktodata; + input [3:0] rx_locktorefclk; + input [3:0] rx_seriallpbken; + input [3:0] tx_coreclk; + input [7:0] tx_ctrlenable; + input [63:0] tx_datain; + input [0:0] tx_digitalreset; + input [3:0] tx_invpolarity; + output [0:0] coreclkout; + output [0:0] pll_locked; + output [16:0] reconfig_fromgxb; + output [0:0] rx_channelaligned; + output [7:0] rx_ctrldetect; + output [63:0] rx_dataout; + output [7:0] rx_disperr; + output [7:0] rx_errdetect; + output [3:0] rx_freqlocked; + output [3:0] rx_recovered_clk; + output [7:0] rx_patterndetect; + output [3:0] rx_phase_comp_fifo_error; + output [3:0] rx_pll_locked; + output [3:0] rx_rlv; + output [7:0] rx_rmfifodatadeleted; + output [7:0] rx_rmfifodatainserted; + output [3:0] rx_rmfifoempty; + output [3:0] rx_rmfifofull; + output [7:0] rx_runningdisp; + output [7:0] rx_syncstatus; + output [3:0] tx_dataout; + output [3:0] tx_phase_comp_fifo_error; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri0 [3:0] rx_cruclk; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + parameter starting_channel_number = 0; + parameter transmitter_termination = "OCT 100 OHMS";//OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter receiver_termination = "OCT 100 OHMS"; //OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter rx_common_mode = "0.82v"; + + parameter preemphasis_ctrl_pretap_setting = 0;//0-7 + parameter preemphasis_ctrl_pretap_inv_setting = "FALSE";//TRUE or FALSE. + parameter preemphasis_ctrl_1stposttap_setting = 5;//0-15 + parameter preemphasis_ctrl_2ndposttap_setting = 0;//0-7 + parameter preemphasis_ctrl_2ndposttap_inv_setting = "FALSE";//TRUE or FALSE. + parameter vod_ctrl_setting = 1;//0-7 + + parameter equalizer_dcgain_setting = 0; //0-4 + parameter equalizer_ctrl_a_setting = 0; + parameter equalizer_ctrl_b_setting = 0; + parameter equalizer_ctrl_c_setting = 0; + parameter equalizer_ctrl_d_setting = 0; + parameter equalizer_ctrl_v_setting = 0; + + + wire [7:0] sub_wire0; + wire [3:0] sub_wire1; + wire [3:0] sub_wire2; + wire [7:0] sub_wire3; + wire [0:0] sub_wire4; + wire [7:0] sub_wire5; + wire [7:0] sub_wire6; + wire [7:0] sub_wire7; + wire [3:0] sub_wire8; + wire [3:0] sub_wire9; + wire [7:0] sub_wire10; + wire [3:0] sub_wire11; + wire [3:0] sub_wire12; + wire [7:0] sub_wire13; + wire [3:0] sub_wire14; + wire [0:0] sub_wire15; + wire [3:0] sub_wire16; + wire [7:0] sub_wire17; + wire [16:0] sub_wire18; + wire [0:0] sub_wire19; + wire [63:0] sub_wire20; + wire [7:0] rx_disperr = sub_wire0[7:0]; + wire [3:0] rx_rmfifoempty = sub_wire1[3:0]; + wire [3:0] rx_rlv = sub_wire2[3:0]; + wire [7:0] rx_patterndetect = sub_wire3[7:0]; + wire [0:0] coreclkout = sub_wire4[0:0]; + wire [7:0] rx_ctrldetect = sub_wire5[7:0]; + wire [7:0] rx_errdetect = sub_wire6[7:0]; + wire [7:0] rx_rmfifodatadeleted = sub_wire7[7:0]; + wire [3:0] rx_pll_locked = sub_wire8[3:0]; + wire [3:0] rx_freqlocked = sub_wire9[3:0]; + wire [7:0] rx_runningdisp = sub_wire10[7:0]; + wire [3:0] tx_phase_comp_fifo_error = sub_wire11[3:0]; + wire [3:0] tx_dataout = sub_wire12[3:0]; + wire [7:0] rx_rmfifodatainserted = sub_wire13[7:0]; + wire [3:0] rx_phase_comp_fifo_error = sub_wire14[3:0]; + wire [0:0] rx_channelaligned = sub_wire15[0:0]; + wire [3:0] rx_rmfifofull = sub_wire16[3:0]; + wire [7:0] rx_syncstatus = sub_wire17[7:0]; + wire [16:0] reconfig_fromgxb = sub_wire18[16:0]; + wire [0:0] pll_locked = sub_wire19[0:0]; + wire [63:0] rx_dataout = sub_wire20[63:0]; + wire coreclkout_global; + // Start - Manually instantiate the clock control block without requiring the MegaWizard generated file + stratixiv_clkena sd1 + ( + .ena(1'b1), + .enaout(), + .inclk(sub_wire4), + .outclk(coreclkout_global) + // synopsys translate_off + , + .devclrn(1'b1), + .devpor(1'b1) + // synopsys translate_on + ); + defparam + //sd1.clock_type = "Global Clock", + sd1.ena_register_mode = "falling edge", + sd1.lpm_type = "stratixiv_clkena"; + // End + assign rx_coreclk = {4{coreclkout_global}}; + + hxaui_alt4gxb_alt4gxb_dksa hxaui_alt4gxb_alt4gxb_dksa_component ( + .rx_locktorefclk (rx_locktorefclk), + .rx_invpolarity (rx_invpolarity), + .pll_inclk (pll_inclk), + .tx_invpolarity (tx_invpolarity), + .gxb_powerdown (gxb_powerdown), + .tx_datain (tx_datain), + .rx_cruclk (rx_cruclk), + .cal_blk_clk (cal_blk_clk), + .pll_powerdown (pll_powerdown), + .reconfig_clk (reconfig_clk), + .rx_seriallpbken (rx_seriallpbken), + .rx_locktodata (rx_locktodata), + .rx_datain (rx_datain), + .cal_blk_powerdown (cal_blk_powerdown), + .reconfig_togxb (reconfig_togxb), + .tx_coreclk (tx_coreclk), + .tx_ctrlenable (tx_ctrlenable), + .rx_analogreset (rx_analogreset), + .rx_digitalreset (rx_digitalreset), + .tx_digitalreset (tx_digitalreset), + .rx_disperr (sub_wire0), + .rx_rmfifoempty (sub_wire1), + .rx_rlv (sub_wire2), + .rx_patterndetect (sub_wire3), + .coreclkout (sub_wire4), + .rx_ctrldetect (sub_wire5), + .rx_errdetect (sub_wire6), + .rx_rmfifodatadeleted (sub_wire7), + .rx_pll_locked (sub_wire8), + .rx_freqlocked (sub_wire9), + .rx_runningdisp (sub_wire10), + .tx_phase_comp_fifo_error (sub_wire11), + .tx_dataout (sub_wire12), + .rx_rmfifodatainserted (sub_wire13), + .rx_phase_comp_fifo_error (sub_wire14), + .rx_channelaligned (sub_wire15), + .rx_rmfifofull (sub_wire16), + .rx_coreclk (rx_coreclk), + .rx_syncstatus (sub_wire17), + .rx_recovered_clk(rx_recovered_clk), // o + .reconfig_fromgxb (sub_wire18), + .pll_locked (sub_wire19), + .rx_dataout (sub_wire20)); + defparam + hxaui_alt4gxb_alt4gxb_dksa_component.starting_channel_number = starting_channel_number, + hxaui_alt4gxb_alt4gxb_dksa_component.receiver_termination = receiver_termination, + hxaui_alt4gxb_alt4gxb_dksa_component.transmitter_termination = transmitter_termination, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_pretap_setting = preemphasis_ctrl_pretap_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_pretap_inv_setting = preemphasis_ctrl_pretap_inv_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_1stposttap_setting = preemphasis_ctrl_1stposttap_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_2ndposttap_setting = preemphasis_ctrl_2ndposttap_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.preemphasis_ctrl_2ndposttap_inv_setting = preemphasis_ctrl_2ndposttap_inv_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.vod_ctrl_setting = vod_ctrl_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.rx_common_mode = rx_common_mode, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_a_setting = equalizer_ctrl_a_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_b_setting = equalizer_ctrl_b_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_c_setting = equalizer_ctrl_c_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_d_setting = equalizer_ctrl_d_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_ctrl_v_setting = equalizer_ctrl_v_setting, + hxaui_alt4gxb_alt4gxb_dksa_component.equalizer_dcgain_setting = equalizer_dcgain_setting; + + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix IV" +// Retrieval info: PRIVATE: NUM_KEYS NUMERIC "0" +// Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC" +// Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none" +// Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE STRING "3125.0" +// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE_ENABLE STRING "0" +// Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "3125" +// Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "50.0 " +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2000" +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps" +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "50.0" +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz" +// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "250.0" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "XAUI" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250" +// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic" +// Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "0" +// Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "0" +// Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "1" +// Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "156.25" +// Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "156.25" +// Retrieval info: PRIVATE: WIZ_INPUT_A STRING "3125" +// Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps" +// Retrieval info: PRIVATE: WIZ_INPUT_B STRING "156.25" +// Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz" +// Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0" +// Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "XAUI" +// Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "None" +// Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0" +// Retrieval info: PARAMETER: STARTING_CHANNEL_NUMBER NUMERIC "0" +// Retrieval info: CONSTANT: EFFECTIVE_DATA_RATE STRING "3125 Mbps" +// Retrieval info: CONSTANT: ENABLE_LC_TX_PLL STRING "false" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_A_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_B_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_C_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_D_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_CTRL_V_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: GEN_RECONFIG_PLL STRING "false" +// Retrieval info: CONSTANT: GXB_ANALOG_POWER STRING "AUTO" +// Retrieval info: CONSTANT: GX_CHANNEL_TYPE STRING "AUTO" +// Retrieval info: CONSTANT: INPUT_CLOCK_FREQUENCY STRING "156.25 MHz" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix IV" +// Retrieval info: CONSTANT: INTENDED_DEVICE_SPEED_GRADE STRING "2" +// Retrieval info: CONSTANT: INTENDED_DEVICE_VARIANT STRING "GX" +// Retrieval info: CONSTANT: LOOPBACK_MODE STRING "slb" +// Retrieval info: CONSTANT: LPM_TYPE STRING "alt4gxb" +// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "4" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex" +// Retrieval info: CONSTANT: PLL_CONTROL_WIDTH NUMERIC "1" +// Retrieval info: CONSTANT: PLL_PFD_FB_MODE STRING "internal" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_INV_SETTING STRING "false" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_INV_SETTING STRING "false" +// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_SETTING NUMERIC "0" +// Retrieval info: CONSTANT: PROTOCOL STRING "xaui" +// Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms" +// Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "0" +// Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "normal" +// Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "0101111100" +// Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "10" +// Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "true" +// Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "false" +// Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false" +// Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "NONE" +// Retrieval info: CONSTANT: RX_CHANNEL_BONDING STRING "x4" +// Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "16" +// Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.82v" +// Retrieval info: CONSTANT: RX_CRU_BANDWIDTH_TYPE STRING "Auto" +// Retrieval info: CONSTANT: RX_CRU_INCLOCK0_PERIOD NUMERIC "6400" +// Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "xaui" +// Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "3125" +// Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0" +// Retrieval info: CONSTANT: RX_DIGITALRESET_PORT_WIDTH NUMERIC "1" +// Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false" +// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "true" +// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "true" +// Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false" +// Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "true" +// Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "32" +// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "normal" +// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN1 STRING "1101000011" +// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN2 STRING "0010111100" +// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN_SIZE NUMERIC "10" +// Retrieval info: CONSTANT: RX_RUN_LENGTH NUMERIC "40" +// Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "true" +// Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "2" +// Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true" +// Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "false" +// Retrieval info: CONSTANT: RX_USE_CORECLK STRING "true" +// Retrieval info: CONSTANT: RX_USE_CRUCLK STRING "true" +// Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false" +// Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "true" +// Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "true" +// Retrieval info: CONSTANT: RX_USE_RATE_MATCH_PATTERN1_ONLY STRING "false" +// Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms" +// Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "normal" +// Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "true" +// Retrieval info: CONSTANT: TX_ANALOG_POWER STRING "AUTO" +// Retrieval info: CONSTANT: TX_CHANNEL_BONDING STRING "x4" +// Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "16" +// Retrieval info: CONSTANT: TX_CLKOUT_WIDTH NUMERIC "4" +// Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.65v" +// Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "3125" +// Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0" +// Retrieval info: CONSTANT: TX_DIGITALRESET_PORT_WIDTH NUMERIC "1" +// Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false" +// Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false" +// Retrieval info: CONSTANT: TX_PLL_BANDWIDTH_TYPE STRING "Auto" +// Retrieval info: CONSTANT: TX_PLL_INCLK0_PERIOD NUMERIC "6400" +// Retrieval info: CONSTANT: TX_PLL_TYPE STRING "CMU" +// Retrieval info: CONSTANT: TX_SLEW_RATE STRING "low" +// Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "xaui" +// Retrieval info: CONSTANT: TX_USE_CORECLK STRING "true" +// Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "true" +// Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false" +// Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true" +// Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "4" +// Retrieval info: CONSTANT: coreclkout_control_width NUMERIC "1" +// Retrieval info: CONSTANT: gxb_powerdown_width NUMERIC "1" +// Retrieval info: CONSTANT: number_of_quads NUMERIC "1" +// Retrieval info: CONSTANT: reconfig_calibration STRING "true" +// Retrieval info: CONSTANT: reconfig_fromgxb_port_width NUMERIC "17" +// Retrieval info: CONSTANT: reconfig_togxb_port_width NUMERIC "4" +// Retrieval info: CONSTANT: rx_cru_m_divider NUMERIC "10" +// Retrieval info: CONSTANT: rx_cru_n_divider NUMERIC "1" +// Retrieval info: CONSTANT: rx_cru_vco_post_scale_divider NUMERIC "2" +// Retrieval info: CONSTANT: rx_dwidth_factor NUMERIC "2" +// Retrieval info: CONSTANT: rx_signal_detect_loss_threshold STRING "1" +// Retrieval info: CONSTANT: rx_signal_detect_valid_threshold STRING "1" +// Retrieval info: CONSTANT: rx_use_external_termination STRING "false" +// Retrieval info: CONSTANT: rx_word_aligner_num_byte NUMERIC "1" +// Retrieval info: CONSTANT: tx_dwidth_factor NUMERIC "2" +// Retrieval info: CONSTANT: tx_pll_clock_post_divider NUMERIC "1" +// Retrieval info: CONSTANT: tx_pll_m_divider NUMERIC "10" +// Retrieval info: CONSTANT: tx_pll_n_divider NUMERIC "1" +// Retrieval info: CONSTANT: tx_pll_vco_post_scale_divider NUMERIC "2" +// Retrieval info: CONSTANT: tx_use_external_termination STRING "false" +// Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk" +// Retrieval info: USED_PORT: cal_blk_powerdown 0 0 0 0 INPUT NODEFVAL "cal_blk_powerdown" +// Retrieval info: USED_PORT: coreclkout 0 0 1 0 OUTPUT NODEFVAL "coreclkout[0..0]" +// Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]" +// Retrieval info: USED_PORT: pll_inclk 0 0 0 0 INPUT NODEFVAL "pll_inclk" +// Retrieval info: USED_PORT: pll_locked 0 0 1 0 OUTPUT NODEFVAL "pll_locked[0..0]" +// Retrieval info: USED_PORT: pll_powerdown 0 0 1 0 INPUT NODEFVAL "pll_powerdown[0..0]" +// Retrieval info: USED_PORT: reconfig_clk 0 0 0 0 INPUT NODEFVAL "reconfig_clk" +// Retrieval info: USED_PORT: reconfig_fromgxb 0 0 17 0 OUTPUT NODEFVAL "reconfig_fromgxb[16..0]" +// Retrieval info: USED_PORT: reconfig_togxb 0 0 4 0 INPUT NODEFVAL "reconfig_togxb[3..0]" +// Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]" +// Retrieval info: USED_PORT: rx_channelaligned 0 0 1 0 OUTPUT NODEFVAL "rx_channelaligned[0..0]" +// Retrieval info: USED_PORT: rx_cruclk 0 0 4 0 INPUT GND "rx_cruclk[3..0]" +// Retrieval info: USED_PORT: rx_ctrldetect 0 0 8 0 OUTPUT NODEFVAL "rx_ctrldetect[7..0]" +// Retrieval info: USED_PORT: rx_datain 0 0 4 0 INPUT NODEFVAL "rx_datain[3..0]" +// Retrieval info: USED_PORT: rx_dataout 0 0 64 0 OUTPUT NODEFVAL "rx_dataout[63..0]" +// Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]" +// Retrieval info: USED_PORT: rx_disperr 0 0 8 0 OUTPUT NODEFVAL "rx_disperr[7..0]" +// Retrieval info: USED_PORT: rx_errdetect 0 0 8 0 OUTPUT NODEFVAL "rx_errdetect[7..0]" +// Retrieval info: USED_PORT: rx_freqlocked 0 0 4 0 OUTPUT NODEFVAL "rx_freqlocked[3..0]" +// Retrieval info: USED_PORT: rx_invpolarity 0 0 4 0 INPUT NODEFVAL "rx_invpolarity[3..0]" +// Retrieval info: USED_PORT: rx_locktodata 0 0 4 0 INPUT NODEFVAL "rx_locktodata[3..0]" +// Retrieval info: USED_PORT: rx_locktorefclk 0 0 4 0 INPUT NODEFVAL "rx_locktorefclk[3..0]" +// Retrieval info: USED_PORT: rx_patterndetect 0 0 8 0 OUTPUT NODEFVAL "rx_patterndetect[7..0]" +// Retrieval info: USED_PORT: rx_phase_comp_fifo_error 0 0 4 0 OUTPUT NODEFVAL "rx_phase_comp_fifo_error[3..0]" +// Retrieval info: USED_PORT: rx_pll_locked 0 0 4 0 OUTPUT NODEFVAL "rx_pll_locked[3..0]" +// Retrieval info: USED_PORT: rx_rlv 0 0 4 0 OUTPUT NODEFVAL "rx_rlv[3..0]" +// Retrieval info: USED_PORT: rx_rmfifodatadeleted 0 0 8 0 OUTPUT NODEFVAL "rx_rmfifodatadeleted[7..0]" +// Retrieval info: USED_PORT: rx_rmfifodatainserted 0 0 8 0 OUTPUT NODEFVAL "rx_rmfifodatainserted[7..0]" +// Retrieval info: USED_PORT: rx_rmfifoempty 0 0 4 0 OUTPUT NODEFVAL "rx_rmfifoempty[3..0]" +// Retrieval info: USED_PORT: rx_rmfifofull 0 0 4 0 OUTPUT NODEFVAL "rx_rmfifofull[3..0]" +// Retrieval info: USED_PORT: rx_runningdisp 0 0 8 0 OUTPUT NODEFVAL "rx_runningdisp[7..0]" +// Retrieval info: USED_PORT: rx_seriallpbken 0 0 4 0 INPUT NODEFVAL "rx_seriallpbken[3..0]" +// Retrieval info: USED_PORT: rx_syncstatus 0 0 8 0 OUTPUT NODEFVAL "rx_syncstatus[7..0]" +// Retrieval info: USED_PORT: tx_coreclk 0 0 4 0 INPUT NODEFVAL "tx_coreclk[3..0]" +// Retrieval info: USED_PORT: tx_ctrlenable 0 0 8 0 INPUT NODEFVAL "tx_ctrlenable[7..0]" +// Retrieval info: USED_PORT: tx_datain 0 0 64 0 INPUT NODEFVAL "tx_datain[63..0]" +// Retrieval info: USED_PORT: tx_dataout 0 0 4 0 OUTPUT NODEFVAL "tx_dataout[3..0]" +// Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]" +// Retrieval info: USED_PORT: tx_invpolarity 0 0 4 0 INPUT NODEFVAL "tx_invpolarity[3..0]" +// Retrieval info: USED_PORT: tx_phase_comp_fifo_error 0 0 4 0 OUTPUT NODEFVAL "tx_phase_comp_fifo_error[3..0]" +// Retrieval info: CONNECT: rx_patterndetect 0 0 8 0 @rx_patterndetect 0 0 8 0 +// Retrieval info: CONNECT: @rx_invpolarity 0 0 4 0 rx_invpolarity 0 0 4 0 +// Retrieval info: CONNECT: @rx_locktorefclk 0 0 4 0 rx_locktorefclk 0 0 4 0 +// Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0 +// Retrieval info: CONNECT: rx_ctrldetect 0 0 8 0 @rx_ctrldetect 0 0 8 0 +// Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0 +// Retrieval info: CONNECT: rx_dataout 0 0 64 0 @rx_dataout 0 0 64 0 +// Retrieval info: CONNECT: rx_runningdisp 0 0 8 0 @rx_runningdisp 0 0 8 0 +// Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0 +// Retrieval info: CONNECT: rx_phase_comp_fifo_error 0 0 4 0 @rx_phase_comp_fifo_error 0 0 4 0 +// Retrieval info: CONNECT: rx_rmfifofull 0 0 4 0 @rx_rmfifofull 0 0 4 0 +// Retrieval info: CONNECT: rx_errdetect 0 0 8 0 @rx_errdetect 0 0 8 0 +// Retrieval info: CONNECT: rx_channelaligned 0 0 1 0 @rx_channelaligned 0 0 1 0 +// Retrieval info: CONNECT: @tx_coreclk 0 0 4 0 tx_coreclk 0 0 4 0 +// Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0 +// Retrieval info: CONNECT: rx_pll_locked 0 0 4 0 @rx_pll_locked 0 0 4 0 +// Retrieval info: CONNECT: coreclkout 0 0 1 0 @coreclkout 0 0 1 0 +// Retrieval info: CONNECT: rx_syncstatus 0 0 8 0 @rx_syncstatus 0 0 8 0 +// Retrieval info: CONNECT: @rx_seriallpbken 0 0 4 0 rx_seriallpbken 0 0 4 0 +// Retrieval info: CONNECT: @reconfig_clk 0 0 0 0 reconfig_clk 0 0 0 0 +// Retrieval info: CONNECT: tx_phase_comp_fifo_error 0 0 4 0 @tx_phase_comp_fifo_error 0 0 4 0 +// Retrieval info: CONNECT: @reconfig_togxb 0 0 4 0 reconfig_togxb 0 0 4 0 +// Retrieval info: CONNECT: pll_locked 0 0 1 0 @pll_locked 0 0 1 0 +// Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0 +// Retrieval info: CONNECT: @rx_cruclk 0 0 4 0 rx_cruclk 0 0 4 0 +// Retrieval info: CONNECT: @tx_invpolarity 0 0 4 0 tx_invpolarity 0 0 4 0 +// Retrieval info: CONNECT: rx_rlv 0 0 4 0 @rx_rlv 0 0 4 0 +// Retrieval info: CONNECT: @pll_inclk 0 0 0 0 pll_inclk 0 0 0 0 +// Retrieval info: CONNECT: @tx_ctrlenable 0 0 8 0 tx_ctrlenable 0 0 8 0 +// Retrieval info: CONNECT: tx_dataout 0 0 4 0 @tx_dataout 0 0 4 0 +// Retrieval info: CONNECT: @tx_datain 0 0 64 0 tx_datain 0 0 64 0 +// Retrieval info: CONNECT: reconfig_fromgxb 0 0 17 0 @reconfig_fromgxb 0 0 17 0 +// Retrieval info: CONNECT: rx_rmfifoempty 0 0 4 0 @rx_rmfifoempty 0 0 4 0 +// Retrieval info: CONNECT: @cal_blk_powerdown 0 0 0 0 cal_blk_powerdown 0 0 0 0 +// Retrieval info: CONNECT: rx_freqlocked 0 0 4 0 @rx_freqlocked 0 0 4 0 +// Retrieval info: CONNECT: @rx_locktodata 0 0 4 0 rx_locktodata 0 0 4 0 +// Retrieval info: CONNECT: @rx_datain 0 0 4 0 rx_datain 0 0 4 0 +// Retrieval info: CONNECT: rx_rmfifodatainserted 0 0 8 0 @rx_rmfifodatainserted 0 0 8 0 +// Retrieval info: CONNECT: rx_rmfifodatadeleted 0 0 8 0 @rx_rmfifodatadeleted 0 0 8 0 +// Retrieval info: CONNECT: rx_disperr 0 0 8 0 @rx_disperr 0 0 8 0 +// Retrieval info: CONNECT: @pll_powerdown 0 0 1 0 pll_powerdown 0 0 1 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL hxaui_alt4gxb_bb.v TRUE +// Retrieval info: LIB_FILE: stratixiv_hssi diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui_csr.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui_csr.sv new file mode 100644 index 0000000000000000000000000000000000000000..5ad633285f019d30350c678e518974f6621093e3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui_csr.sv @@ -0,0 +1,415 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2010 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +/////////////////////////////////////////////////////////////////////////////// +// +// +// Description: Hard xaui control and status registers +// +// Authors: ishimony 12-Jun-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +/////////////////////////////////////////////////////////////////////////////// + +// +// TBD: unless synthesis is smart enough all synchronization flops will have +// to be manually instantiated (altera_std_synchronizer) +// + +`timescale 1 ps / 1 ps + +module hxaui_csr( + clk, reset, address, byteenable, read, readdata, write, writedata, + rx_patterndetect, rx_syncstatus, rx_runningdisp, rx_errdetect, + rx_disperr, rx_phase_comp_fifo_error, rx_rlv, rx_rmfifodatadeleted, + rx_rmfifodatainserted, rx_rmfifoempty, rx_rmfifofull, + tx_phase_comp_fifo_error, r_rx_invpolarity, r_tx_invpolarity, + r_rx_digitalreset, r_tx_digitalreset, simulation_flag +); // module hxaui_csr +import hxaui_csr_h::*; + +// ports ------------------------------------------------------------------- + +// Avalon MM slave +input clk; +input reset; + +input [4:0] address; +input [3:0] byteenable; + +input read; +output [31:0] readdata; + +input write; +input [31:0] writedata; + +// hard xaui control/status +input [7:0] rx_patterndetect; +input [7:0] rx_syncstatus; +input [7:0] rx_runningdisp; // nc + +input [7:0] rx_errdetect; +input [7:0] rx_disperr; + +input [3:0] rx_phase_comp_fifo_error; +input [3:0] rx_rlv; + +input [7:0] rx_rmfifodatadeleted; +input [7:0] rx_rmfifodatainserted; +input [3:0] rx_rmfifoempty; +input [3:0] rx_rmfifofull; + +input [3:0] tx_phase_comp_fifo_error; + +output [3:0] r_rx_invpolarity; +output [3:0] r_tx_invpolarity; +output r_rx_digitalreset; +output r_tx_digitalreset; + +output simulation_flag; // '1' shortens reset and loss_timer length + +// ports ------------------------------------------------------------------- +wire clk; +wire reset; +wire [4:0] address; +wire [6:0] addr; +wire [3:0] byteenable; +wire read; +reg [31:0] readdata; +wire write; +wire [31:0] writedata; +wire [3:0] rx_phase_comp_fifo_error; +wire [3:0] rx_rlv; +wire [3:0] rx_rmfifoempty; +wire [3:0] rx_rmfifofull; +wire [3:0] tx_phase_comp_fifo_error; +wire [7:0] rx_disperr; +wire [7:0] rx_errdetect; +wire [7:0] rx_patterndetect; +wire [7:0] rx_rmfifodatadeleted; +wire [7:0] rx_rmfifodatainserted; +wire [7:0] rx_runningdisp; +wire [7:0] rx_syncstatus; +wire [3:0] r_rx_invpolarity; +wire [3:0] r_tx_invpolarity; +wire r_rx_digitalreset; +wire r_tx_digitalreset; +wire simulation_flag; + +// locals ------------------------------------------------------------------ +reg [1:0] hxaui_csr_reset, hxaui_csr_reset0q; +reg [3:0] hxaui_csr_rx_cntrl, hxaui_csr_rx_cntrl0q; +reg [3:0] hxaui_csr_tx_cntrl, hxaui_csr_tx_cntrl0q; +wire [31:0] hxaui_csr_rx_status_0; +wire [31:0] hxaui_csr_rx_status_1; +wire [31:0] hxaui_csr_rx_status_2; +wire [31:0] hxaui_csr_rx_status_3; +wire [31:0] hxaui_csr_rx_status_4; +wire [31:0] hxaui_csr_tx_status_0; +reg hxaui_csr_simulation_flag, hxaui_csr_simulation_flag0q; + + +reg [7:0] rx_patterndetect_c; // rx_patterndetect synced to clk +reg [7:0] rx_syncstatus_c; // rx_syncstatus synced to clk +reg [7:0] rx_patterndetect_sr; // rx_patterndetect sr ff +reg [7:0] rx_syncstatus_sr; // rx_syncstatus sr ff +wire read_rx_status_0; + +reg [7:0] rx_errdetect_c; // rx_errdetect synced to clk +reg [7:0] rx_disperr_c; // rx_disperr synced to clk +reg [7:0] rx_errdetect_sr; // rx_errdetect sr ff +reg [7:0] rx_disperr_sr; // rx_disperr sr ff +wire read_rx_status_1; + +reg [3:0] rx_phase_comp_fifo_error_c; // rx_phase_comp_fifo_error synced +reg [3:0] rx_rlv_c; // rx_rlv synced to clk +reg [3:0] rx_phase_comp_fifo_error_sr; // rx_phase_comp_fifo_error sr ff +reg [3:0] rx_rlv_sr; // rx_rlv sr ff +wire read_rx_status_2; + +reg [7:0] rx_rmfifodatainserted_c; // rx_rmfifodatainserted synced to clk +reg [7:0] rx_rmfifodatadeleted_c; // rx_rmfifodatadeleted synced to clk +reg [7:0] rx_rmfifodatainserted_sr;// rx_rmfifodatainserted sr ff +reg [7:0] rx_rmfifodatadeleted_sr; // rx_rmfifodatadeleted sr ff +wire read_rx_status_3; + +reg [3:0] rx_rmfifofull_c; // rx_rmfifo_full synced +reg [3:0] rx_rmfifoempty_c; // rx_rmfifoempty synced to clk +reg [3:0] rx_rmfifofull_sr; // rx_rmfifo_full sr ff +reg [3:0] rx_rmfifoempty_sr; // rx_rmfifoempty sr ff +wire read_rx_status_4; + +wire read_rx_status_5; + +reg [3:0] tx_phase_comp_fifo_error_c; // tx_phase_comp_fifo_error synced +reg [3:0] tx_phase_comp_fifo_error_sr; // tx_phase_comp_fifo_error sr ff +wire read_tx_status_0; + +// body -------------------------------------------------------------------- + +//--- readdata output latch --- +// For easier address debug shift back 2 bits +assign addr = {address[4:0], 2'b00}; + +always @(*) begin + case (addr) + HXAUI_CSR_RESET_ADDR: + readdata <= hxaui_csr_reset0q; + HXAUI_CSR_RX_CNTRL_ADDR: + readdata <= hxaui_csr_rx_cntrl0q; + HXAUI_CSR_TX_CNTRL_ADDR: + readdata <= hxaui_csr_tx_cntrl0q; + HXAUI_CSR_RX_STATUS_0_ADDR: + readdata <= hxaui_csr_rx_status_0; + HXAUI_CSR_RX_STATUS_1_ADDR: + readdata <= hxaui_csr_rx_status_1; + HXAUI_CSR_RX_STATUS_2_ADDR: + readdata <= hxaui_csr_rx_status_2; + HXAUI_CSR_RX_STATUS_3_ADDR: + readdata <= hxaui_csr_rx_status_3; + HXAUI_CSR_RX_STATUS_4_ADDR: + readdata <= hxaui_csr_rx_status_4; + HXAUI_CSR_TX_STATUS_0_ADDR: + readdata <= hxaui_csr_tx_status_0; + HXAUI_CSR_SIMULATION_FLAG_ADDR: + readdata <= hxaui_csr_simulation_flag0q; + default: + readdata <= 32'h0; + endcase // case (addr) +end + +always @ (posedge clk or posedge reset) begin + if (reset) begin + hxaui_csr_reset0q <= 2'b0; + hxaui_csr_rx_cntrl0q <= 4'b0; + hxaui_csr_tx_cntrl0q <= 4'b0; + hxaui_csr_simulation_flag0q <= 1'b0; + end else begin + hxaui_csr_reset0q <= hxaui_csr_reset; + hxaui_csr_rx_cntrl0q <= hxaui_csr_rx_cntrl; + hxaui_csr_tx_cntrl0q <= hxaui_csr_tx_cntrl; + hxaui_csr_simulation_flag0q <= hxaui_csr_simulation_flag; + end +end + + +always @ (*) begin + hxaui_csr_reset = hxaui_csr_reset0q; + hxaui_csr_rx_cntrl = hxaui_csr_rx_cntrl0q; + hxaui_csr_tx_cntrl = hxaui_csr_tx_cntrl0q; + hxaui_csr_simulation_flag = hxaui_csr_simulation_flag0q; + if (write) begin + case (addr) + HXAUI_CSR_RESET_ADDR: + hxaui_csr_reset = writedata[1:0]; + HXAUI_CSR_RX_CNTRL_ADDR: + hxaui_csr_rx_cntrl = writedata[3:0]; + HXAUI_CSR_TX_CNTRL_ADDR: + hxaui_csr_tx_cntrl = writedata[3:0]; + HXAUI_CSR_SIMULATION_FLAG_ADDR: + hxaui_csr_simulation_flag = writedata[0]; + default:; + endcase // case (addr) + end +end + +assign {r_rx_digitalreset, r_tx_digitalreset} = hxaui_csr_reset0q[1:0]; +assign r_rx_invpolarity[3:0] = hxaui_csr_rx_cntrl0q[3:0]; +assign r_tx_invpolarity[3:0] = hxaui_csr_tx_cntrl0q[3:0]; +assign simulation_flag = hxaui_csr_simulation_flag0q; + +//--- rx_status_0 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_patterndetect_c <= rx_patterndetect; + rx_syncstatus_c <= rx_syncstatus; +end + +assign read_rx_status_0 = read && (addr == HXAUI_CSR_RX_STATUS_0_ADDR); + +// sticky bits implementation - +// set: status signal +// reset: read from register +// Set has precedence over reset +// +// s r q nq +// ------------ +// 0 0 x q +// 0 1 x 0 +// 1 x x 1 +// +// nq <= s + qr' +// + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_patterndetect_sr <= 8'h0; + rx_syncstatus_sr <= 8'h0; + end else begin + rx_patterndetect_sr <= rx_patterndetect_c | + (rx_patterndetect_sr & {8{read_rx_status_0}}); + rx_syncstatus_sr <= rx_syncstatus_c | + (rx_syncstatus_sr & {8{read_rx_status_0}}); + end +end + +assign hxaui_csr_rx_status_0 = {rx_patterndetect_sr, rx_syncstatus_sr}; + +//--- rx_status_1 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_errdetect_c <= rx_errdetect; + rx_disperr_c <= rx_disperr; +end + +assign read_rx_status_1 = read && (addr == HXAUI_CSR_RX_STATUS_1_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_errdetect_sr <= 8'h0; + rx_disperr_sr <= 8'h0; + end else begin + rx_errdetect_sr <= rx_errdetect_c | + (rx_errdetect_sr & {8{read_rx_status_1}}); + rx_disperr_sr <= rx_disperr_c | + (rx_disperr_sr & {8{read_rx_status_1}}); + end +end + +assign hxaui_csr_rx_status_1 = {rx_errdetect_sr, rx_disperr_sr}; + +//--- rx_status_2 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_phase_comp_fifo_error_c <= rx_phase_comp_fifo_error; + rx_rlv_c <= rx_rlv; +end + +assign read_rx_status_2 = read && (addr == HXAUI_CSR_RX_STATUS_2_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_phase_comp_fifo_error_sr <= 4'h0; + rx_rlv_sr <= 4'h0; + end else begin + rx_phase_comp_fifo_error_sr <= rx_phase_comp_fifo_error_c | + (rx_phase_comp_fifo_error_sr & {4{read_rx_status_2}}); + rx_rlv_sr <= rx_rlv_c | + (rx_rlv_sr & {4{read_rx_status_2}}); + end +end + +assign hxaui_csr_rx_status_2 = {rx_phase_comp_fifo_error_sr, rx_rlv_sr}; + +//--- rx_status_3 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_rmfifodatainserted_c <= rx_rmfifodatainserted; + rx_rmfifodatadeleted_c <= rx_rmfifodatadeleted; +end + +assign read_rx_status_3 = read && (addr == HXAUI_CSR_RX_STATUS_3_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_rmfifodatainserted_sr <= 8'h0; + rx_rmfifodatadeleted_sr <= 8'h0; + end else begin + rx_rmfifodatainserted_sr <= rx_rmfifodatainserted_c | + (rx_rmfifodatainserted_sr & {8{read_rx_status_3}}); + rx_rmfifodatadeleted_sr <= rx_rmfifodatadeleted_c | + (rx_rmfifodatadeleted_sr & {8{read_rx_status_3}}); + end +end + +assign hxaui_csr_rx_status_3 = {rx_rmfifodatainserted_sr, + rx_rmfifodatadeleted_sr}; + +//--- rx_status_4 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_rmfifoempty_c <= rx_rmfifoempty; + rx_rmfifofull_c <= rx_rmfifofull; +end + +assign read_rx_status_4 = read && (addr == HXAUI_CSR_RX_STATUS_4_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_rmfifoempty_sr <= 4'h0; + rx_rmfifofull_sr <= 4'h0; + end else begin + rx_rmfifoempty_sr <= rx_rmfifoempty_c | + (rx_rmfifoempty_sr & {4{read_rx_status_4}}); + rx_rmfifofull_sr <= rx_rmfifofull_c | + (rx_rmfifofull_sr & {4{read_rx_status_4}}); + end +end + +assign hxaui_csr_rx_status_4 = {rx_rmfifoempty_sr, rx_rmfifofull_sr}; + +//--- tx_status_0 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + tx_phase_comp_fifo_error_c <= tx_phase_comp_fifo_error; +end + +assign read_tx_status_0 = read && (addr == HXAUI_CSR_TX_STATUS_0_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + tx_phase_comp_fifo_error_sr <= 4'h0; + end else begin + tx_phase_comp_fifo_error_sr <= tx_phase_comp_fifo_error_c | + (tx_phase_comp_fifo_error_sr & {4{read_tx_status_0}}); + end +end + +assign hxaui_csr_tx_status_0 = tx_phase_comp_fifo_error_sr; + + +endmodule // hxaui_csr + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui_csr_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui_csr_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..b4856c0cf54dc1a394a545937fa14fa28b03a1ce --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/hxaui_csr_h.sv @@ -0,0 +1,155 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2011 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +/////////////////////////////////////////////////////////////////////////////// +// +// +// Description: Hard xaui control and status registers header file +// +// Authors: ishimony 12-Jun-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +/////////////////////////////////////////////////////////////////////////////// +`timescale 1 ps / 1 ps + +package hxaui_csr_h; + + +// address map +localparam [11:0]ALT_PMA_CONTROLLER_ADDR =12'h080; +localparam [11:0]ALT_PMA_CH_CONTROLLER_ADDR =12'h180; +//localparam ALT_PMA_ADDR 12'h080 +localparam [11:0]ALT_RECONFIG_ANALOG_ADDR =12'h400; +localparam [11:0]ALT_RECONFIG_OC_ADDR =12'h408; +localparam [11:0]HXAUI_CSR_ADDR =12'h200; + + +// registers address ------------------------------------------------------- +// preserve 7'h00 for indirection register (future implementation) +localparam [6:0]HXAUI_CSR_RESET_ADDR =7'h04; +localparam [6:0]HXAUI_CSR_RX_CNTRL_ADDR =7'h08; +localparam [6:0]HXAUI_CSR_TX_CNTRL_ADDR =7'h0C; +localparam [6:0]HXAUI_CSR_RX_STATUS_0_ADDR =7'h10; +localparam [6:0]HXAUI_CSR_RX_STATUS_1_ADDR =7'h14; +localparam [6:0]HXAUI_CSR_RX_STATUS_2_ADDR =7'h18; +localparam [6:0]HXAUI_CSR_RX_STATUS_3_ADDR =7'h1C; +localparam [6:0]HXAUI_CSR_RX_STATUS_4_ADDR =7'h20; +localparam [6:0]HXAUI_CSR_TX_STATUS_0_ADDR =7'h24; +localparam [6:0]HXAUI_CSR_SIMULATION_FLAG_ADDR =7'h28; + +// register bitmap --------------------------------------------------------- +localparam [32:0]HXAUI_CSR_RESET_RX_DIGITAL =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RESET_TX_DIGITAL =32'h0000_0002; + +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_0 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_1 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_2 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_3 =32'h0000_0080; + +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_0 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_1 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_2 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_3 =32'h0000_0080; + +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_SIMULATION_FLAG =32'h0000_0001; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_dprio.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_dprio.v new file mode 100644 index 0000000000000000000000000000000000000000..72342c0350fe6cec94b878f3a747c230666430ee --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_dprio.v @@ -0,0 +1,210 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +daXjSRV6NKOr1HtD2jNsQrYP040TFyowXwvL5kPPnlGrBn4nw9PyQMND1Tr7eue0 +a31RwXLoAfYgsl4Jd3SYF106ELMey9ZA8fcSsGoCJbEMZ9nhicH/qFJTJiznx11A +PYEcV5WLbwIGuLOAfhWrwkseEsD4sE3vQ1cRwBEt2w4= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 8352) +9o8ymcK8c1EwrJZZFSs+3fLxcnQb35nuAF4QX3hI+C09Cd/gTZIuRRziYgPUZUfn +KYzplQHk8JpdmzCHQqCKYJmL8wdVkJXZD1dfskI/mDdgp99pUwNBR/K5LTTPXmik +WKzpDI0IystVobTwAdXLcyvTtzDP1wGVxhGhS+d826TC8i7L7bGss2VOLMoyoYjU +lpnl+7Qyk6vxSVOwV+m4SpWKyXPINWJPGYur0gMrrreqwzTVbhCtZEiv+3XqQm0d +H/PgN9Yf2qUuAJRJXvrOLUV+EqrSvnqOIeTpRWzHgm8kLa91BHEyElNsYGS0MqVp +jp+GD0tGPCRCnTIfQoXTKUpFvauYidL+42ULVAhR+GfSTBXepa1fRMYXkxdqDgeW +C7+aED9lcC2kpC6ec5w9GP2hjAbK5WEpi6yPjgZJdi7RbnLtg0lZfNXMqkwxMLRf +j5F+EIdaFB6895DShw+3RWQkvGFYdJs5QmaUpvoX6yWEh7W7PNr6C91UsqjIbKPl +kjLqNs+R0E7Hv7TccHL27p2vt2yA/UgkWccpreWvKSfHF+igOwbiTHN3cxse8BCE +nLCrdNZVr779DZ7dkeAIilJN1BN4LMbklihief0orbjko0SN8eJNggVcV1Jg3K4u +QBYHdyZZpOnbWf/BuBHckDM2Tj67ZXq8qB9F14OeOnS5ouIHkKc3n2UbXI/VZD9h +tXlXT+mvhrzjGiDfK3gaD1OpEJR2uqeejeDYpOF1XIfMw1DRBba6zlWc/Z6NHKU2 +/OxU90e3R87pZTr83JQw9b9etmmZknQnobmOCUNc65X6bz3BI0r+jsW4PkbhRBSa +oRL5mTi+Bg8x2YBCC5cod0EY+DGysFU+Za30lSs1MZpagWUHStNA+Ok3ckrf9ns9 +bfZs+TOU73NIGvWinDpt/p+A7xCU0CkCSDjbIHRKpAwlmfdEzOHGAqyM6ECO7Uvl +gHqVdkis994Gi4LxOToyoDkuXAwBJ804fyqXtHuhTWGdqDVwjb/nT0N0DEKaeEVY +FIc3TzBr+SgIoYF+kGLPc5RfL2D2lQ4Nich/LJp+a7G7kUfFTXnn3ecNbdaeIT0j +wOdPz9yTJ5z4h6iPHH0uZnyp8kqwBVk+/TwA63qMavN8i8ybRtSqU/esURQ+1203 +gQCiVuwvG2F9gWnShdmqWPByqIXm0KPlV335wOlnNr2SeGfESrIx5aUh7tpOqG/z +4/zT/UHcBGs7HLR+9gVCNUl+zvABH9SDeL24sxyr3yDqZkYchLXUNEyWpUL1DyOz +fnwvPGmwORKpP5gBs3vFvU3YbHFnkqK4wDgvMxo3wyadad0l1BghHuzLqhmC3dc+ +S19QbXYzQlaQTRRJGQeQvDyWIihajF6IGQTUFGWGCAIuI2NNSdHpDHozNU8prNRC +hI0tn0sfe96oa3sx3s7s0MRTwgadkSI2R2U/rituUnX8WN28GUyJakX06P0Ze/vd +zNDPukXLWhaqU/HykhEFQzxZLmEPjQac9i/P1T/3C73azD+vF5YtipVhShkMEJbm +ayunBjXI535XirQXMLjuAJg/KiTGrdy8EIBq2IRC6MjorIyCSSdql7xBD8PkCIfy +M8gl6zMHBs3CXuUhI4N1gW5ejdb6Tu9GNc3ZdmFY+REppyjrALoUWXfAuT9ew5h1 +pgXI2jWIEqVDmzm85gYXOrOVInGpRDTj8OjRHKznhuLXyVuKWbwsNjhoO/UUQHkL +TO2/sIwoPuxx7w2s7bEuhD3pESAD5ZWhDE2swwuNQDv5hx/tCbOs8+bXC19GclzO +6WGoP3BisO0hibm2z8+D1TEMI2E7Y1Ri9v4dGs6zbMWxWqY+KAqZhd2CZyp0iMjl +fm5WZumRwgFZu0w02C8xWe0apNHclKh8pnfXn1+VRw4DynEDzoqYXrrZdmoyU0PN +wdBN+9owGDHxXLD2Nk50yie8y25Oq0ZRtXwB6IjUeBKNNEqOvAubX5h8U9/oZVe0 +3USnTOeYnScqPnEwGb7nwiU/b9VQwpjXmIZtNNdK0VIOaVGxYnonD0JlyPc7tDd/ +CzxBA+b6jxPr+NMQPn15Hsyu5TeemIrTSQY215iUwrMTO9HgN5bV2qL6A+TSpsgH +YuQ9yG1hpGyBX3tKxQhTkbTH3lYkKzJQxds0wNkjFd9IyBBvSD7UfqBR6HLprQBz +hg1D7F8ZbHFwbIlbEnPI5fW6Tzn1Ti285ey0xLz+F3P8xTl082dpjW8aWQyY8Bpc +4gB45K6c5/HikyLl7JDQ5r0EAVlRm1XCNOW6oSaGBe7JKwMxIx3+6uruRG9qRBu4 +TQl03ClzeA/XJxVUnN9V3EXnaPfm2lTag4EkziFnFduc0sqYOs0s3dJ6+7gbJjr7 +UAF8MSVCLKj5eND7pHsiYCEZRIOVBlM6y/4Wrw+IH2j9pzrVTDxhyvEQ3Tb7tsrC +6K9s0GJDCrGAr/B4sejBdm3YVQFBVpvF5YTuzi2NTb6/M6JyEqvqhbSeKbbIadAp +nMjd2Gzbb0dUDzHqhA2LWh8MkSqEVcoyv0Sh32/Oro+JGP7MeSKgnGo4Mpe0zO+t +BCYaJgbr/zMsBMyUt8OkzvgqXjQpwkMu0jjIWLtqpt5VmGxQD4L5tgCF/EFkcL6Q +/rWw1mdzhqg42ssebd3fubL2/18jhy68qnXJOLzCJqAa+sHMEUPI2yX7+yjSgdzU +z7pOm0rp8RAA7AOkEyFfnJyte+yG2Ysw+NPislKKjI46DKy+/12d91Hrxv7nczfR +dZg3CUWSn/ob0mYcYa6qstOjILoqaiYqBwS1yzShPPWRxq5ivkorDhD4Dg9q+/nY +aOCShxBg1MGcBi3P7uXHPecjPxr0DEKgD54QaOOZc+VxUedSmQPgkKS8+Y5zVtem +gLWKm9K9NsL+bkSejXsj2BBYtnBuqt9eqBuGZTyjfLkaS72/B89UHWt8kJgblMGJ +9t+wZtbybuHehaTgV0IhgMeomd5w5WEDSzcCrq5RIqoBrmQYI4X4aM+bZpSN5SHW +xD9Aqii936WuW9L1pDsDH7etxgKBMtdycLGhhNqMokXK0oopypYYF9PtwbMM6z8y +dgM0hwukDmaglDV7hGOmmkA5CqANQf0uS9XScP7YVG97Tubs87LFDTaxW4gwShps +Pccw4l5UQG9XNUz298MlqdyK2qNR7U/BgCd/62FWM7sU08PZmC+g5y3idzePCe0p +tRV5e/rplwFY76yS5VMJR0hbNv3fulpf80mVeQOCVyaR+ZVUZ3dnz7M7Ws47H1k7 +57y62xuRsQPfaeClU8FZywrkrw49VRhS2pNUGSoFDFhCSwcfPU3skJ2J90ZGljBO +jHR0zNNfVe0lD5RyGjO6I5JzRXcyd2cswg3Lsrm8GAIXUm8ezbrZAgk0/T1vtxHU +NyhGlJ8btm2tDwZ0splrUL3eiSft7Uo/Tf2dEjcM4MkY4yrPdJ+ETTsva8hC4eay +lrQNB7rQX60QuKfioPH0jlXXTLJmB68qEjSm9cJvwp7WNRPV0uDAb1aW4b/iohqx +nfo8X5hAEbYH5sXk3lEOkeZdmpUeEc19EKSIgCbsjRvvhSfoG5AF8/km4Is3xaHx +lPnIHHyT/YqFC45shrPDsKTwYpUvOe70EdBJ91bfqXETnnP2H0058v5QrDIM3w3R +EXUk1q+oxhbaZ/yGO+qJCgEHqSiFnalNZJDqXC1v9PHGrM9BWQP2OA/k5Y6Vbtp+ +Wod1eu/7dW0Bg5XBTmigfqf7IyuBTMDHJA+ECEznJ8Z37KTyR8xmt2FsXU33QSeJ +oQcTM7lCFHtB3ZfBu5XSoy8hoKlfBUiT0upWne67Kg5T48NmkAz8k8ls7RUeOcEP +hrZ61ERWyPrOOixqgVamRWEquJY/cyL/Pkg6LiN1vHpprS1fkJ7xpY505PFUn5XF +Z2HptBZl2/Cr+Oyo1nR4q1ytE6yaEcDYLXJkxqJBCeT7paE+0LEaUkm4F9wXda8/ +vRztH5I981h1/COwEHW9a/Pr30Lyh4LLh6HbQn75F/C3cbasz4tpv3RpwbziRBdH +/hSQBMyugRMEQUsrmpjdwEUX6X5RBwP9BTTSU0E/xEfBDngYw9YKlISc8+H8q/TY +4UEhUnsueygqqspORh4Q7H2tONN4umAVmrXOTYJDmW1Yod/NlfxLEUsMibUlDeW8 +pdBVOmAWBIi4YOvOXWEFA7JIFpzy7eZMxDvQz/9M3sXqtRA8L2RFnKF7PN1wx50q +HMDe2WnJbYcanpjhzpTSAmGVQUGF3vAVYAvL9+a0k5FQO2JwCnHQiZENlq1dTrTz +nCFsm32Y+hD55OfTftz1oYKHkGErTxCkX2QlZ86Cw498uJf0ZHyYqzMFEjZmrvQD +COz29jcadlmvaxxWuRmNLCvwlKwfdMi7NapF3IFnioI2Lq/kA3u3VZUze3XqnbtE +sXHKIYbBRdN174LUV7i4IMiYmtd41USyBEEBvmnYu/Sz/boFRwPeUJzIVAoSwc0d +siv4nMtxobJ3otxauH6Rj9JfrwKgnXKvbvaAlsC6mKroc8mvEW26ZJvSCKCeVQGK +60pSyg+9x/yGtICayLj3qqhqsgG66hO4czqqTfSPLpFlOirWmxhtUwhmUKdK8/0u +OfVhMNxLOmoDBtey5JSReOCKOkVfiZIGzhsakx8wkQmEVEi33GnpKu2F1GaIX29h +2Y0qoh7cnVkYhGSDTUZ8IV3ljHD5sRmdMQCRssyzt1N15o00gTGPNaW1+DL4KIrb +oa+gDepGspn3RprAEFxqBXcBJ4zRvM4yBeM5HhV0EnGuTXrmp/H0qj8LXnLuz+Mf +eD3+U8I/wQy7J3MQ09MBX28XW5sjbJ8SozUWRQrmvDdTfs7jmBDmIPdWIws9q3Nu +vz88RlY6pm2SXSUIzcjMQhse5xvDVuCwMgE7PF+1erL4axo0Pem8FNDlYKU3Mw2D +B9E0ynwETU3urrY4iWV3TSfuNf6IvgtdaXp7GAIsxhCKep7T1O988t3gf9OBqBke +DRo7QUnoQy7ylq5SUskYNQGY+ReQcBIb9CeXyATHkM8DrIiggSettm3829aU255T +JBNGgj0PB4Uzw17diyS76wnCJkT9pW2QAMIHrKQ/RT+a/rGBybj+JqW1wSBOpMxx +UDpQbWPdSaYAiTUqbBzV9zOKAHb36PwsOTPk1udiafN1RiaNpjYjxUDft52y23H6 +MHyjubHQY2YnQxX4CibxP6PX1f5XSmt831RC9X7wn2g6nqmBnRrEtkeMBYQfjuIx +Hn6ZlSbAbWCsrBRr9WFie26dTJfEIsIgblxNpCmUKn19BBAtt1ba+4J20QsN/EAp +uElzfr4E3A7A8jkPJTbgS94LHen+ScOoZ8c5VBNdFr+M3l7TVlQK+0iW8oVc0Y0x +Gt2C0eMOZQzmCBD66oOLPDjmwhTcOGvxSVsKb1i5ivkmMm7FU2Q0taLKdkzOXddL +0RmYypPrItD2BK8VQxdhm/MVFikweOIvm1kqm45c4yXFGDqdB1BWplxpX32jGk2n +VaX+TBhOwR+0hIfuNZFAhIifIJ+5Ip2Guj+VUGp2F7lFzQaCAePo3Ysz76u7FVfl +MRvdekaNbl9AXIJnWujwgPbi0wmsQ8PBXjLOWt8lJ7QRDpMJsHNoS0QakrZi9zhN +15wm5SJwxq9d4vZbviMZiFOdHTvAKpf+tl7TrogZYCTYClPDvnu7xnBbOLVc2C4O +0lZKOnlUXO1q1Oavl3aSoa2dUrQWgIeX454AIoGxsxR34pB+3IygoMhTjXKkNexo +8IufaOrOsT9AJpNT94oNKsuWxzC3I2RlqVdp75a44IVFvZYpN+Z/v7yscHRR0WTZ +ZKaEDa5/kK76flWjE3PhCgqj46NpnmKwnNOqWeV1OmJXGaiwzP4GPzbqck72O0L+ +C2oi+17C9+KyFiDUx+NLIyISu6ZUA+L4OwowB2vnqzfRngRaofVR4swZLMjrxm8r +IsaHraeC4IytyR1oecjXJ7w+RFbfTVRjvk9OTUSe9tlf2MyzBogQQvViak5qyVN9 +84plk7DeD1nQGMJ6vjdTZ5ZM76PUuRHi2mIr/+nB8xVLzv8OcPRUvS9PXnc5gG5B +lj+uUbfNayrmnhMeKRIcg38uwkYalrv+jTG7kNbF3swMumJ/gG3n8nHhei2buYu1 +2wUi+hcDpj1PKnOw+kLogfRyW7uxT411dCKLx2rQumgLsl3tP7m9CYDbPi9cFRxm +Xrv91RcSBGrTWpnfIu+0zPO6KHT9AOv3gmxEYhCCbeLXsH9em7oGrxel2jgsvsrR +7YtFCTGkPVQxsC7YartBGx64yONtKeHnkuCtQk7xbuZ8pDf27wWcomuOrSsAzOYE +WhUuEgRORUKMDWRz2kuMexiSzJuyuVM6yGtLFabArg7z/dThpmAfmJ3R7cpA0h/n +1wY9FMnASazW9AZBh3B9nxKh3Ul1CEfOfUDII0tkh4lWFfyWN5SAscxqKdsxvy+X +rtIktU/0+x4Lekva670tFdiBfZQl62iST2GHNCxwuHRLaosnlhqr/9FoOYrHICLv +HKndcd+Q0C69KQgLLDZsiInhSVHyZee3EUhNhDaDqxO8C8oH0+WTzoKZz8jz304t +cc1e/7sZpRjs94Pzc+jzAf9MlFFKgmtpOa84vNGYrq2KUcRBPWTIBzGSK/Xfk+H8 +i/Xy5QUy53EA6WaZSLtENEqB6yW+IrPOodJ4+J1xJtcbbtTn5Sfni4YKGL0+Yc67 +xbOgZtN9m4urFMmjMAFJ/f67KoCPGLxmpf1+QeM7UN+UoDyjM7BCzD7oD+FtU3MN +RwIkGJHJEZnHilIsaJWPuIU21BllDxDMUcscAtOJV8wst+ftmlKodUl8crkYM3EH +eM7pQKeV6uAhGiBu1B3aAX8976POxSfGj5WubQfSEOdmGLXzva5LjbCCaIJv5aIb +Ho7BaxdmpR6vh0lWx6ee2aYgQlta0ROR2dhYtOdORHsw/52TokHlG0Od44uaKc07 +MfGa/UT/k+KbAmlUwW6ArAu2T8hjbAkZHu2FI33k0tdAvM1QVy/vU2afvGnyv/m0 +7yzc0OHMvI1yAS39wFTJ9Wg04U99DP+at47SKlDv6Qf0xUUGIiGcGc/MnbwgS6OK +o4/qYmftzzY3ctS07Wki+cCWK5t98vzvmCa9x+4eRpT4rRQSsvQRQ2yVKgPcQEa+ +uUl4wPAt0TVys153B9R8JzHXJz2ZAoY4do73sdIPm8cZtKMKUkSK4JthGhn/hKFP +mIxONDdxH5qA3Z9HLmqURf+X+5yIUMhddM9RbONPwcEPPvHzCWzXRz8PazBkR6GV +WL2TczIuCApNtOR4KdhEKbiW0YnbwJKDDHfJeoTwqqKUHFvVFV0MtxUX75eraNhC +yoJ8S/zK5eBD4Oi9KovjBG7oNxqeinpPYcYPZB8XSseQ77mzJyE+CSaWlXc0Ljo7 +gm4QfKBKk5AOYeCBsobDJqMtb719OxTtK2Vtz3Thz03rCb8OR6HBjjnhzarJsXnn +AJqRzDfJnU/X2Txq4uy+SM8CkLCoNz8YGNXZRaqjhA6m5nCVpk0NjnNpgNXxUTsV +VsBMXj+4TT/vfZE1gE+t4A0AYTRBDRP8u4sHMC1vFTUY1s9DeyVe7/0a2+fBRWbx +cNiic8jRWzLbNXRffEzt4I6XQwIn3SS8q7L2O8k1fwIf9XkbVUhSj4SwiUF/j3N/ +daWQhBP5njV27JtT8/jnsXSfwgFW6TWeqPd/JuEUq2K2vDaRVscM9hWUfldlzobM +E0QdBpCRmlGPpaQ4WK5B2+LDNdkvgG31wJ8G8BNXMpzY9oJrbdmDCLQJgWlgpLXc +utZyzh0jIRSv2WTFKH/0iN7QIsP+M0w4E7izENE6oCT6rGoWvv7ZHGUSScD9P2uV +4aCzeYpFz1fZCPwIWc2BDqVwNj4zBK4SrvO2/n08LrmdhsPq1xZZDylWKV/nWoIP +zaaJkEcKp0tD1hOiQMEq61JK8Ry4sepuEoJR2PLX4iUEi5hsJcUeKkPuaSraFQcy +R2DK92h2c2LI1GHznjhWhjvbFRoMZ31LXSv8J7aolmmPFIaINJKvE43lTeP4d0sU ++LOBMKrxApdABP+tyqhqC/YUERtcpspTO+4I3gg9aVAyG++4mLcWSyP/EVlUoUov +cSr4KuaZIUfLS2o1jUwz2CcrkCEOB/7Oy7HzjaXd0+0X54nXqBN5kvLxUeoRSfuM +YUHT5XsmQz00jb31CHJgnmOFdzewHfzt3uDfbM7yQEY7fRncyu6uq99ybGoeUx/M +tjsCU44RGOZHv2EZGpPpHzI9dBbBwWlm51nMomc5GXhJJciFO+V32dgF98Lz7bU2 +k9qFcwlRPZK7s1oKrhHy8Zo4+QIYg9twqo17bAr8YqdfrcyY1yWipDQSxDigvXUi +RgunPcMeFlVRoiHq9mQA+m89OSTS63w4C7LugiTrouc16+1niwGqC4vb9qmwN66g +7bGF5zi8QrvukABpGrET9z5wmJIuz7z6q+nyKYKH7A5T5MmaNAFl6mglz1MztUvO +lloryRXuWS8jZ8lETl3qE1V/uUOZWHhWhWTSQ7yA+1ZoqrUYgTGHetuFYRKnGLFA +2NHhiF5BYDjymTXsx6IVPMnc9aoU+ePSJ6R0tzfZkPBgJMg7L2LI/Wbr1dqW4lQR +vW04KMVDtVe8bhsEHtMSNckkKoC3wEIJNzVcigWBFA1m22ol050uc0SJx08v8lBQ +EdbaID1oKtTzFnRMR8BM1qCxRDcvWPPZxoMe+dzYF2ItFDiWjwfLxKQvdzQDMHMp +UY5Ql9QZbMDw4WzF9P3yxVE20oV4wHKC+P6AwoCUdxFrTG8hFodwMqWzLPvgEpek +xXcV1DOSdoTh2MaKSmfmw9iMtH6szKjWN4utUgG3Rww0b7SaM35PFH1jG3Wg3kiJ +d1a1jrPjRo8AFF822lem9VUC27Jr7DB0CRvOP26SOQwclIPdyglW3BcYG7Sus22Y +zZ637Uw94xinEq5mirDahosBjvDrCJGUIImITVkSlcd49hFdQ6+w4jfxotUB2AM/ +J+he7Hsm32w6dFRMkAZlV1mPvjXBa78slRtQ8VzdhzzEf0qJetAcedSXYxMevbmr +cliq51GD+dMmsm9jHWJKkrsPDzb4eHx6DaR4eCpl9bxvZZPT4ifzp4wEXgZBbatG +xht3p5NO4p3AL3g1ZbV4hbvojK0HwnExr4KBKSt5TG1WmuzywH+BWn4SRTO9woEm +3JQODPCrU+iVVqXoZrflzp7fXz/25xH91hwHLTnZzQb0Aw7oTEHfvOwl2KnyxHZu +mA8ZzVJmEDlZINVMINlEndJX6wHJZMcn+ALV/3rdgLJZ2TVlaWijf/cW5c8TjMM4 +0lk9Vy1l0zddxpBILzQ00d9wATnoJWQ5BtC2TzUanUD4/itQxZYf6G7UAkkMazAS +Uy0u7lS4asDrDypohpahi5VefDQecZTJPXi+FZSIvrYgO/ZBPQX0zaVdrjFEbeLS +X5aJLzKe3sf+UwznRj4EtE4XdAzaSXpgna9zQmbeRFuYIA4v+L2q8UiKN2XPbbXP +n43L1KpMMAky+iVYFYgwNPdjsmrJeBMuZSbVWWm1cQcdTDFVaTDomScKlFo+e9/M +Uyp6dPVTF+8CtOgWvLQDhZtECxqWntIc9G51ZHQYzgnMyjllGO8sFVItlQCetymU +aO3SjSrQlmjyYXeJoF6iBLnzNJbPt342fQswdswJKhZ2uIkTFPbOvbzBpGg0NGQ3 +90G6ZuvDTPctiwBM89oWrTUGjH9HI4HPjWaTaSQi1oPckTDJi9GAUkU1x7HyebLx +nFIka5FeKK8agDml58r5z16vpiBWzhn6seTbtIf6yHX0pI9YAzPzwUu3xPlWkVzr +P8dyJAydza2NDQr3OmfSAUaC3nsWIBddxD6YdfqVSrVMw+aJNemGIC9NAM6cra6h +YVAUMLqHSDKqkZt5+U/GFwFcCQX6PzwEIBjFkwncRnzkuT62NNFNiN/OZ2/97KSg +BA83LJ215hPtZPAuksW1HnCcK9CjUDk9dumSKBgCGcB4w53l3eikhV6dNlg9r/gs +nB/Idbg5CsOdtcOA9R/M/msTr2twU19PE8GgvIOjZJpeNKieW5BygrURTO78ktr3 +QBqXGRnBdZX4DxErY7h0QeQRHQQ39L9V9QEmWiE29X8wzuIUQjy2NNB6Y6MyVFxF +dH4FEz481nKblspumDPRH+TQv38N6t65DImRiqzQO14sHtIpv9AJX0hemmNpyJDw +oMiYOFR9VRClYVn7WafLVUR2ZQxKfA0dgywEUcCfoTEhlTTGptM/BDfte0haMXt0 +r4pyS7MnKtXdUbFoELuEOx8UHGJ8tk2JkXDnU/aifHC9905gdKWRgWtXa7n4ttCc +Obg1GvlLBXz6VEAKcPsFHdIdj49ySWWGvtNECWR0SfOiajm5qTdZ8r0Ws7Lu96jE +KJFOeRRHSzWq7/S/y1s4gGAKin1ELsR3EYV0dJGhee5s9SHVgx94Gkyzg9QeKylt +V3ywXo45s/TX0qgqrKBuuXcWXK8oI1VwvXJAeDKGwyZO7dlfwhfWB7KRywpLm4lr ++ewaFkPZhHDjuRPg+9N/OtBnoX6J9LS7+pmfoc1gv3ohui0nOvxIJ3/VZIWcGHz3 +GRn2hxEYvQPWPxSbfbCmSy5dC9gPnwFbtbku4rCuq/uf8cdebSMVukvCwMRdb1hb +OPpGHvNOOonps6tyvTp+ddn+fjEnXOi5TS13sHieLERtNiVuJCJ6Zrs8Z28S6dVg +jWVa8IzuMNMMgMdrPhYyAmyhXhUT9RmzmLissVnIBgFBBoLeLBRyBTYO20zXOSCm +DhNQ9au/ZfaRIozwqmoKFcpWThY2D9Jyjn4Uelz3/Oyzg2w+zCGVwZNoo4CmEn5F +f2IZa4c2/TZBrcBB2cER9WV8/RMJW+d6vu3h9FAo38nR6tWIpxtkRC12zVqLZGjq +dvWgApYLqGEO2BokZllWqTldlE6+IXVVeCpw/SAGjjQ3CRb7hutfg0PXmqdsA5OD +vsUsvcMuqCA0IjfH2sKandK+WZADNlaEhbLef7zlBw1BGmGpBLsPNI2VHaMKbBnQ +hG2VP9Ec9x3UrnNhadnCufLJ+WG5PH3XjErHNE/bmXEzKadbSrnNSJn9/tDnmCg7 +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_mutex_acq.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_mutex_acq.v new file mode 100644 index 0000000000000000000000000000000000000000..724b5ba53e2106feb9ab923b2df6e714d830fc91 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_mutex_acq.v @@ -0,0 +1,193 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +QYGUJIm1VQHDIWLI0p9m+XNH8xXNWu/sCv6AKwfwMp5Vn32g+1yIpVqIzZHM046L +mjtqKZ2THAPmXk+JXJUnjLdmjGi1Tupr/dY6MAJ8URNVq8Xnqcil+e12W5vuviRK +sHwdNh11i8yB8mklGxoB7+xbhwCEmHsKkO4uw5cMRLw= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 7520) +KMZojQEEaKDkktGvUtGoucmORYwnR/8iEie7vUK9gxVfD5BsMCtPKLFn9spqf6Qh +01whxxUG90boDoRRk2ICWAdYHz2oHN2eB74O9W231b0WO9pmWD561ZJ99cgUGFh7 +AWbtuwzCi4AS89vIfqqeSqWvY1I1LsWKX7Wp7zRVHwQ8gE6CAYyQ/oXnHzUq3/Rg +zI+PRYLIsABMT2BC0Awk8Zpl6o6iiumls84PmoBIDPQBSZx6UulDeVPJ9ET2sAgd +3jIw0i1p0S8eXVpGEAGQKdM75MR0NKpOpqrJdr3vtEruxaIEvQJWi3x7nWT1PwYb +R9v95caaDxXx36AqEK7V97rkedxlJL0bPPgTb1sbwSsMzAu+pMsGCrluRWYMxwrM +zVy+Jc26fAT7dbH4nmaO/Nsuwra/00DvJTtxeqn6RS8COZfd+VxAceiqeYfXPBXe +XWl1fFBgcjwHdVekw+/wNme6j2BDWwsIiMr2tygho1+VNsYPbAjSY5zJqlW1gtuS +Wdjlt1Ql3/6r6f9LifZMkSuDLUklK7jjxlm7dvY7ZxBzf1CXC4cupOd61QfgjEl9 +tIFWhr8qgvYNKXXifp4rNW2MyxAQlNFDBLditpg9OV9qXch+DmJu16OkregoSJOy +wqJutKNrBBNM6FBVNkJ749b91hrRYOETheYHupwb5FhMogWwBpEFxc0KyXNbj/Xx +HJxpwG83CycVdWRfq1tAXtlyFBMqdmxf/WLzH+cvW6IvPLfOJdQKylLzx/+LFDxq +yFo0Tx4aXePus/jW8+9P9q0QRw4+tGoqHHXF3/2TgzExkqhYjQ0ahyiPe/Xzwpes +l7fKV6jGlS1qsY5Qxo8PT93wKCvyM7dJ39qxIx63hPBPWaQ98hlhD265pQaG5haQ +h+Ei+V0MsiEFqYYWuJUAtlhwVanCqjf/4cXbCzcP6jUccGfn61Koc41Qvw/35SLn +5IXu3RU/TwU1MkUvv1XWkKhEs9DElj5BNxdgxAYXbTnaQicKWyB+S1fsGYRedQF4 +8zsF7U6jEt+a4n1IzYKXb4DUU/JNq2KSmuFGbn8rW7UA2n+P8veVScqELILt9taj +F5uHkEbZI8FhJD85/rxPrvbaC99XC32qUt2hgskxpEEeQ4aITI0WvDmZqB4m+skT +0iyBrRI6B2tnTS+BJCbxGFPSDORHW/9lXzRUd/gANt9MjqWKfvuz1XLJ/7QvEP9m +PtG5j9O8GK+MYC908FvPXAZuAzFh+E2wKSKWMRkdclqj+hp0arK30I2Re8gdX1QQ +azGQkOeV5FTppK9GPYo6Z/0Z55EyBY6xGqz4xh3IpOmkxrrPI47/5QJG30mXadK3 +We4M99XlAslVfyc/IpfOq5j4euKvIs1vQsx7gthe737tpnsSTmMSL9ygoshBDmS5 +Y4/Wb1Vib8TvJ5X3wJyt1/z8SoOgp0yt72cYxQYq7Q44cxs/WXbqg7LFu7TqMqIA +snmqOMZubv5Bnz2VGCDHCAFSTdu6LSc+9RolwrhcSqjj21pi09j5gIEvCxwXfs9d +9GzaAG/00Dcf9mitnPi41ed+UIXAFd1jZG9OGMNxWlxn220mZ+frJEIrX3f22XrQ +UwYM1dryuxSs7z19fcysx03vBfdoErhBqFdFjSatN6rUeICRU+buuzHAmNf1APqP +FX+3BS6Im7YCj3hb11j0ZuW5mIC8pIiMuuXkoHDSoipAu4ANo4C1ePyBLWYNsgF+ +wCNBPdCkxso0spwEbgC4QdRd5XxPFqKTyhX22UO4WkaXr7NEEodGbuicmLV/Ja83 +SiIu5IYYcBpVfUS/eK60cZgOLaK4ISsn8rxf4JmeSPr3KgLlxblkWzF2Q6El1iYu +HmZaJ8zTvXYQTuxUA6yXdl2t0erIWM1TSG3hhy6wSD3LTZpD6f7Cq4rjJYYJtT71 +zx35xxs44Z/LN0L97OJYDHKnaGiECO0wqxsIAg5jstGgqGqQYGON5DqO76ECeaon +NUBkYFOhATJwXL/MXxNqvPm/h4ta+lbZzpqSOM0MiXPDpJgh9+hHl2RWC1CTUOfK +M0vM61RfqJZIBd4ng6dGqqA3bgEIGzXAr31GpvpLsyEq8QmRZX8SIm40IIe757vD ++7jULaCPEXl45pQ1kQmE/x2Zl2gm2+4hgm24ehVUSoZMgIFGC7TUb5k69nF7Z7WK +MT+shLm/pskFednJK0r0CDBcORQxh5Z+zdz6YWGyvQdd/fjJMmlC2oenajCB+8Pe +dn3nlSsiOYxnBAC3G+RfgVOoZvALouik4M/qNDR+VpnkY6/s9RDW6blZmDwjvhh2 +CuPwPvr39WUEEQhk+yL+fpFKiEZluJSATu3PQcUsiKI64VSoPSkQtqDIsicYerBZ +oMFZt78sv/qYQiDEZ/yIX9h3gZXP1NRIoSJeNCCPPmQCnvMxCnU+8eKvV8AV2B0Y +vS+0b7h6QRGU40h9WmVnZpX1mEiJfIYWILLhcF8znnMgnaNlnsTjxj4W9ZelzAdW +Lsks3fKgJms8CRSv/2Kx0OBEGrXwnz2vNpDmA0VGQ1qr/yCIe90QYggY4FYEmPgC +Dw6LHEwj2OSMknPN91ZrG/teF3FV7le7EjNi+lJ6Jq8G1cJGJiaTuIbsksd1NRaF +5r9A0HC6cV5c15bJSiQdXy7c8oYUzhgOs/OVCE4MNFKyJ7TDmQ/6GsNYJ4Py5tIO +TBnbDVMbITJCo46cXwi38RVUuBY1Zn1OUtRxQggQ0mqByUYYjxqW6Ly/dFyh7pqL +8wriEBEtss3Yngl5hAGdgbmFHbW57rK83L7ASXe5SA6wSBqduT2syYuPEH4w8bC+ +B+HcI9HWzgL17o3HqjwAxsJPpEGr3cckjWqDWyDKgKIyaV8cZH15b7qTYiL34RGh +oaF8xrDKIOZQX3wjZ1jNTrj5OvwPFPOUynLAfihhsQxUnrLuLOGB/Uc9FyyJfzap +sUPODrvGlkQobrWNl1MSEcSMUZ9I32HlOhI2MJfgwCaF2ss5RSS9ISzWABXhuZk0 +EiGwOEnNxgZTcIYRvguIy+ZSEyWWtqJCILPgpZRQGkjpoo/koG+an06hYeBdpJrj +y90hF6mPYg8V2SUVzd6Njj2Ul1WFyBXdv+EWfGE0iv4B9ULS9bW3fLWm+erY+yGP +2dwYrc0SVfqN1DV/DT53Fmnv6DHhXfHVCdwgq/kIrbp98TOUpb7w+CrwfIgYjLYY +FhFN/m3akm2EAe8c2nWrrzsuk3zIU6LNnTqCpw2ufhG3I4j+8m+e0OHRvlJWoSvH +U1P46xAmC7TmpI2VQ88bHTPU1EFxjn4BW764VF1JtqbWwwEIDPyCmM8GJEoLCzL6 +PAGdsVJe+yIRPXeccp4H4sU4Bm4Pqquuxv8ElQUVVh0a35HGv3Gsaw8eXT7MfdvZ +tCbriekOcX3d3v47HZ99fefMDD27n5sUSKhGlDW5pza1fkgHc7vfr6GwZ9q+cU5Q +yc6Iv6OCMFt4axuVgh6jHjP3MXg/e1QKIu6nVgNeWAaFJITZ+x/eP7WXsbOjGYVS +IKsPVup9rhDpYFqhuoVA6irMttx2jQ/OaaDKbXY3tRwf+NWCtDX8HovCstFQXKMA +7FIib/QSp99FVnZN1vcLUteM8m1Ke3LLrWZ4cXKb5Kjp1GupmibH5X/ZrFGmzeg0 +yYNSif7/UQAyCf6fSp52vb7m3DMsWWYQfCtVtcXcBzz9wjcWD/agRR4zeNs+BhMw +JZoORtBn/BmAFhz13+ke8SVeEktpQKOyi8nCXTT+nlTGsswFK5835FdIh1bg2FTC +tlbWrPYUUaNpur5PfVEyrs4BkSj7LP6152fU5bjARuQiclm5r50DaL/zV3BWlTxi +qgrHWpnkaybVv/f/79XmkSTuIPJSXUBIOrwoCnalEq7CZ1WCUIUx9uzlQEHHNEPG +6u2/x+EB+woPVoPBA7nJz+zi8fypwC3zSck/KGZT7oQLKidTfGyYkidpJrN/Z5l3 +Rdl7lpx3QBpgbcoYFneTk6aISblOO31eV/Lyx3PIt5byiVmVoqdyuD7sx3FaVgwM +HHpt28l3D0nh26NWbbarLJtcqE5GmFxAUwiM1kHzHm8ETMdEEwILAhu4/YlJbcKc +gN9E7JGusRyxVnBWQvONo1ks6i7svdyQUWFD8siz/3m11km4HvprkU4rXQE4IZJb ++HgJnniL5A3NJpLCVxLsRTOTZS5pJ8BNU2s/wquy4MZejY9KThnKFVKLHQvictAF +ekpVMwfw86XKWgwNq3JFMqrR7EzU4EOpymMoccwlbqdJEnsKAmQ7xLSvykQVgcEV +qB7fhV1u6lUq9SS6/sfFFn3HLTgbVreXgpKmHa0YaFpdnihmajH5rZfJYsQ2VSy/ +9ulU65EMso7KzpamCmMr0DfjKOC56lIwLTHPXqHK0603WOkeK9Q0JfN5g2cHtWVO +0ompWbDwNsh/A+MnEnjQ27Q4kgxg49MQCuVMJUYAyUuykCoatu1AWzFfaj6VVPTT +KGiIciXd22QLKmVbOr3RFJwygFUGdAp38M3gEVpIS3dAwMhevc+X/PPzusuV2l6/ +mOlm2Qh1QyJyhD8X6lJ1A3uWxpRYeZAHFKqeV0wzvD/EqEnatQMdkoDw3dcg5I9W +fUqot/qCE82LB0xyW5T7bR+9SXEj+gw8lrnLR7tSlcaHAwSesQYNqkfiHZcYuAFG +9umz851W8X6T2w6LSPIu9/hGyNkrhNECavt/38iDNSrpPLLQshxSOdyiZKTxUfrb +HysZYLHx+WUCv/jdRh6Uf/8NZi0kFM6HsUAhPHy/o1YOzp4sDwkZ3rTMIHIaoeUm +jKEfFpxa2Jq8ahlN6ZZpG3TRGJAhJqHs7G9wCf0kBncWEiCYsewzNuIfmza6vTZW +tsy3MQ1QSwlGuE13abFUvmMJiU2m09Eh+Aa2hswbs3AWwRcLTbRJYq8pDD6WscQu +COtBLO07q92sA8mB+qqnuZ4HyAm9u0/rBjyLMKib7n2H+uAWXleZIw5eMRHD6d3R +VOnOzJmMWxdhH8XLdbVVmoEMOrkPUCfu0y3fgpxk+VZM+2l2TqnU746mD+Bq+XxQ +jxFftFvOcAaTRDkg3YKH8W8PeA2fAdLrLbUTWTJo3Qzi8xWMBjKPpljakJ9ov8Sd +3uMdfsnYEZ6nJ3pgt8v2bs2QIoLx5Lq0g0ItZD9cX1ZOiF/2EMhC4nQCdgyxOxbK +r3HuflPYpu2cqNB8snw5LdlrnKbc6q2c8kBQiIMAEb/WyGLXWNAXElKuy3mNmegb +lIER+Fg2oxTX1j614Sadw4F6dJ1rY3iAUdfs/ajD3GmYk7lOgvO7F4QURkTOORqz +h4YMT4RnAmWTeOvHPwsHXulFSf3PqQDHLSZEF+18KJoui+szGwzQBWc9yc7lqOAV +AmpU+zUCq7yWQD1nEYYQBq/Zp9xT4GUDr96wpFSXzrsZzbq/b4IhljubqQUmadSW +YwdDa1bUFVhWHtMCVqdqYyrMexMJPqCE/rShCtYSPtrdd9UZxWDT5dBh2+bzxmQ3 +xcZ9Eq7hx7pNc1TTqAfrC0HFgz0lZ/aHkwfY8I/D0CueWIFFRV171OE13mgQdlFp +OHI0qqpXjQGgvNzm88eohlc+F8ShF8qc5hCKR0qzY5W+lzrtdmWQP7zto/za4XJi +a/FKvWywhlJPqM04Z64iE2T9aXuG4Mr6yMABQNI6MuWwO5gfTCrUS7yOOjOofYzl +odeaGdZqfDzGA5BGCYGv4kUhODhuvK3vdUnJmKSDb7kehsCWsc5Cn7HE8PuAJK0a +yzAXals2ObGXFGeRQZ7xAz4Acy+nfXXWgW+FUTXuac0ClR7/LrIAAPkqvy80zBUI +jTk7RYjPLk0WClo0JXElkA3PA8xGgbY89bDnFxkPxFbUXbXECxLRpOoGSkU52DYZ +NgsRnG1nI/V1hIUothmNaTnjWbrfxg7xRk3n9+h+chwLtIk8oPKKpS07Axan8RuU +TqIoIH9+DVeIheqrt7Ffj+5U49jHyDPL32E77Jmqj31u/DpREkoAxlm7t8KLnErI +XXa1zoC2W2zkNyX2USxbVh6k7pOePRm09t55rqjI8hkdOCl6llLAH7c/8N2u4ZrR +RTEoK5C7cpiiMy5B/sgvq3saOHiA/TgtHH/2Wi1vKYhU8tB2/wajq5Ugom2Lga1P +lKgJvCkINyH2GGzHr3leSKyZF7xMWBwKvmdmSAKg51KL4MUk/lesvVx1eoQZKTbr +H3sz7GHflSu8v9aXCZg8HzSBNe71nuw22qmN4BkrxxWKFUbPUL2sqDSGxBqVQbh+ +yypApPZa+bJo9utmFcR0BQHmswMIiXXHjL+6rZph10eTbGiCCRVO5K88RWyeprul +D7ggE7D25Y0hccW9HVLf2gf1j/nehsVNYQvKlJ0GjW1kZH0fWkQNLYCcF3xxBq8G +6cuUpLMmGE05ZScZzcqyGzs168ziZcKbO9zFKKijPdi/5K5Y1wMu+Pz5zMUSRt18 +J9pV7OrB3/3JogxCEW1Q8C+su3M6nmS48KW2bXS2gyX1e+4MXLp9Rx8UGHFy4LQt +79RZGTcTCEVeW9V1L4+YYm0HBeRuGr8jwvUt1436VsQHjXQHSSkJbgfEtD/SOAZl +uYO5RQ19qI8hjoIYgOxVf8Ggwv9l2lWq+yuGdqsSQE3HmP3hTofrrsB36U1Uu5Y/ +iQEGOje2S1DDrW4+ZzbQMPHYuAnTPDIDmlKBNjjvRvpMmzVkJseNo087x+bLC3KJ +wJa/UJv6fZ2CzoOML/7Zkmligw6u/6MgsrNvsqBeyF+g2HtaywlFhW8E6fvvUKTY +z167P0HKWa7cNSmq4OLZlxMNc5VuJVT8gLiY1koKSgMyDDnKYd2sdHG2r2F8du42 +cxgEq/+HrWAmuoimpNkDBNEAL3xE0QpNXCzmGY1aBRRMPN24JQXeAKFI4MF/esJv +XhdK1cEHxzoY1buaRamIJ4LZTuJsb/uiFiRFKkW+j0zLacU4fFYN0g5IEXfKlhJu +MQYd215YHafyYdK+xUBmtVkpkXji/qwJKiY8SCwcoOQqXng7C/lRtaE4nhnTCrCI +NDPRmZbfznNBSeZlgAAYM2qdWcBSAkPPgh8P9BZnwNPWQwTBoEjK9wxAw4ZQZov6 +B+BhKAuxG5vefTGQXlQlw4vQnagFdxNrPFZ+bQcyNCeTUwB5R4jasiPSLEUH1xc4 +J3dRWvH2lPcOxqCBF61JS9lJ+prgZuGWx5tGgcZCQDa1a5phYrhwbA4ucoVzBKDS +6+o8L/cWzjdYSqnxwK/oD3ML5hUrD0QPLVZjD9DRBUhhqp87ej9uL3fo0RJja2xS +fnLuiZu7Rqa+wZmJMSEwwF1g2zWJZCv5G9N3/VuliBu4WrjDAw7zyl5UdHpuEORB +o9P8EJ0Kpe/J3YsX+vMDMBUKAWUjgt725E769GyyLkpsR3hBOUyOIArCXaLvdlwm +k4m/tjhjh/rtfN8cP096PkJCEUi3oLnV1qIZcd+GgFc4fnvFjfpYwATDDOIu3JMz +D0Hc9Q4BV4oLAod2faLhIq4fpE7vcGlSTC9ciZLZJfrAu6ekriXhCYd1PZTt8LEe +zEz+M1gcao4zLcsSlXRPXoKOHnsXRQLWCyv+/rTZq61iZp0FSL8aKC0jYLXexmcL +jlMB9KL499dc14Hlvj8LdL4g2/nU9bYm5NVcRS7WJ4Vd7D1lhtgY2yN8JzVFlG5H +qLKlJRDvn6orVCSYi2ELDyhs0QuejK7VPlCWr3s5NVBJKrYIUI+VaTWvI0pNRUzD +3a85RDaKupxOb3xgfXh3eDQMUo9TQsihrJMw7EhKMy01ywnEh5A5Mfrk60Hl28GC +AVAsLCe9fqyhzWmuEAJmbHgiqSAS97WgeHRCPCME/xRJu32UaezkHNsp+PgEvhl0 +sqbLzt6eL9Ai0L2nocK71Bofk9oJmTyxmzmWyXTfYSmojO7vcDpGpTmHBFh7TKzI +K7BBF5WXjMot0P34/2CkcOlIOlalFGzZilxZXvRbjBjeix9auYiAGhhv7/cQ7Sf4 +rvLNsU01zMv3A2D1VAKWlFBpkImkv9ssFchjkdM1dXhF1WmKlUeVXwO7p6WMK+XK +86nY8dcbiYh8XTb52jjq31JKeHv0lP7dUnO6k8AuyySLi8JW3yfvbf9pGYwvmVjg +Oa2fkcT4IhBd+4bMbZopjf5NrgEkde2PjrR9o4D+vpAv60KLbRb39t76GEqpm9mz +qlbDXo7iySt2EzQQss2Xyg/AegDLuAVtVCrLqAbBsJbd+lkGugHcPlGd5Fe8ri6G +Ij+2lq1uKnq5NmXZKnpsjIXGmw7g42TfcK1YlKQdB5QBPpmJzE0iL/ibQFbG8ggK +sKwY4vboDp50WEOrtK+Odl+XEGj0f/2N7YQIAAQ5J07PTV2gvKJ9EDpa5zZ1vNqF +cHc97nixHfzcKjsvhaofK5I7pDD2yRHzo+ZvTzwbLWnD+hNPjUzCDQEJmWunzxwe +rBQBZgzADgSvyH44AAYqliIWoO6926IBHRBNlMl1ihGgwDI2oRh0g9WGx/hnX/yz +AXwjSDozo7p6xdttK/e1pJ2/gN49e/aB6EnxJy6ZUHpRO2I9xSgZPlI763fDwA1C ++8t4yw+Ecda9xDTeiDR3sUAk6ZlWnD6liHcRCUfTknc2rBRxJh/iwPE7qEiUX4Y0 +in+iDCUV+7NsD0ATDYJluVTUfJMQ2uuVH/g7yxtYBCtRH60XuNTlJD+tLEN91a6Q +s5rLYxl6LPAiFkfHEcmcYF/mcdN0iPzqHL6EavF8lLXIZFosuFZPxGCBaEteq6VE +d0WKS6yQjybjt6Eh2JCQiV8fHcPHWntAI7AC/mTh7SOMSyXqtPWDA/nAOC2Ittw3 +QwIHPNpIrfOKlHWPWGxf+ocuONn+oAK72jvoA6wB5581mkQqk9b+7vhRfPLjy5SY +oy+vg65+LtG73v7h8WrmoUpmfkjFoVmNXOTo/FizWQGAfJljiWtEx2bVzg3fmdjp +0n8ZRY9ZIBoBVNK77LGC7z5X7kVhSGiUnGGLn/jA240a9ZM5dYXD3yh1DHhBmeo4 +Oh+lxOjcBXubQ92NEjPuyWgBn6EmUwiA14mYAwPa0WGc1DaKjVCy1BlUaRo5Qac1 +AzzwNIbsZVV8BHAYYXIUWWjuQZdGDRYEFWCiZfano8ctsxB8+GHUIlHvz/47yAsz +Kz7RgXRZqG614eLRO2/TWcEs7YSSJs+XdyX1eHb5fdWZWjGw5rvFIx0L8MG+aS7/ +8xq9qf2Yqysj0wtWd/KI0gDBb3yqjnB8yydU2AplPbvFX1gXjFjhbhM2VXa2aieD +FhakaPqK5hINuJxmNWFOHPIErpM48Q3RZPFSHjy2HvlxgykOEATouIh/7Mk5ObZa +5omr7hHZRQZCbvqTpqfJitji+nEqcgcfuOd2Qfu+VK/Mf0KvbsngY0Q5OmPXuFUI +4HMeKeWKujsRdtBPux836xPysc+J2Zw/c3nE+rS1OePckfwD6fE0wMq3F1SciNkI +xIrF9hRQDsQhDgICbyYje9ravIxtWQ8aiaAdFl9RoRoIjWZJRaqsRdi0foQPVwIE +wmHvkkD4J4/IhWhUzlTwzvES+ERxAtJcbdBP2SHQoJv7vxJDVm9HUsRWiU6rK60/ +FYxG3HWxRiORumcVKX8skxCwMC33OL5A/h0s7e+30DR/iNA1G6azpoJV1dbg8F9N +goKenniX6hBLar/NOEe5UaAQIO+p7dgOEwE5ypXaCGADFirsfdfLACicbbuaZ+eW +31K5UvMmaDFMx6dkwO5wnsqjCLmBq11BdS3z1Tmxm2zY38hsQ0wQFIQKuV0d6u7J +8nf41Jb5qVk3sue31jcM8lvzGyH4nfPF3ynABRhD6h+Wtqej9OSgUHHs4Hiz86t4 +fPuBdrmt7ahFHBm64I20cimeUh9S5OaH14uYXcmhnbkVmerbTGb0VfPqOvhM/1v4 +8joW2xKVL11eY0G347qHdbqZC6ygVyLKvrBNQttrCm+bpbXsPbK5I8DS7ptFiwLw +IQgN6YkosNlQmTcoDe3AWjcUwL4Um7Q3+3VTlRCMR8w= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_pma_ch_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_pma_ch_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..f22b03e4b0b361896c232091a7ab96b3b8920a72 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_pma_ch_controller_tgx.v @@ -0,0 +1,184 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +kE/HcnolB1LQgiuknfShzOjtrmkREmDZU7Jtnw2fbIQcjIExy1HKWANFYylaB+kO +E2T8FkNfZ2tZEIWqBZyxFkbqnbT+ewAd6Icd/joediut3lErXO8vclfbj48frgk4 +mAvWpQ22jfdXG+V07PBld+AuJmf7v6lPFyAlsIiL6L4= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 7072) +dMBE3Cqg5fggriJt80QJluUPe+pPd2GTq0yp+Ynug44UDbLFMQmryhrHDWeFrfT9 +atggP/FjthkQIrHdb6N8+oOENXsNx9mm6OMZbRFtTbYCX031szRSn+0scpl/sJPT +j4f/zFTGXWDb7iPgHnNK0OL8Mz0gwf9jHYUSWennfomx0oLFhHWoV9WIbn/mS/y8 +XXDj2yhl5jR6deTmty+GdU29UgwROPSDnjlzp3FONforq7DWQb3N8M4DKH4z2WI4 +wyolUHay0YxHKglCbqGQGJmTY8BP1WSX10ucsYTQurKwGtrIOsgJVyYjGa/DtRxG +a+xHZeMqK2/l6uy2uBKfHT5MPkJ2RV3UP73pVs3Odl8FHLzLibszwfm8xImmwvqZ +gQvjFgPD52Iwwvc9U+wvHQPJyYX1L8frdA8WgC92Jy+BOMTldC21VZR4HupBzwPu ++6sGIIjGVRIidqy7q5OjEAovaErjdcfYCAby+egSCZgzz8iwjPljwNkuKAsaLp26 +71x1xegHVBdeLjtMVJZOTBKw2JPvXdo6g6UuG69ZlBYa0Rei2+i6SMyIQgrXRp5T +hYI6n0Yq30Xh4TSjMSy1qBJwBzCJpsKXyyL0eJBEyCahNOmRDqUZurP+x1yWUYGO +9zZnz0X6h++KdCPdTDtyQ60JwAq5NMWN7zBHD42OY+4LwayptazHXxycGRudFcvc +CiRt6EzpMMc49EgvBMEaAPfmCSLfC6H5NrEMauPNJhoZZP7RM2B12VwfO/HeL4ys +cHqY4tOaI2yK9Rs792ycsSrkWHwtIFJ5cxApTZxKYrjIMUxDKbwJpcwNit+zcwMV +eTyyWrwCushfpTtUhCvSbFydspzwJrFC75DICg+Va7Xc+G+qjnN7vPMKDG38TEq3 +c+H9AgYPMMoiN+zenzodQsnGgUyLkm0gx0wFG/ip5TZXcnZdYMu5MCnPjkOIc/hT +ojaO4TqCSb610u8+6wgemxYniUY4f2ardef5vBOurfdcp57NqrXfGZlg0C348jXm +By4fyC9Z2+4kmUq4dzV0PsBWanTC+34SZzu8a9/CIaBEFQB5YLqOJ8vCICzPVvDd +iNFaIy0sTAEnDofse211ett9mXTMhKhOKocWRYfTPJrobki6DhY9UL+GR3iOjcsp +ND0ibXDYVapu3pumYR0TqP8bdUwgTY2WbmbuAOJrEBvm+R1M+3l3CMZigKtW43+E +QsQ+UC9akjtWCbMY25g7zh1qRkGzcNwIE888/XD0rtFeBpwvYULdP2PwHreHAEMA +WDw6FFUorA79A2veUtcu3W5DYdoLYs09NsRBrSmgw1Ru+zxYtAlMswWYYCXDHALA +Nhc37KZBxlzPWf9fQigOj3RCF1w0fj0O3OviZOUgFn4IhySDPz6Bhpge4qQ1T2/C +nZzu9kueulWg4UlO2O9BSiHC4A4ADmdUXuYxWXjFuY33Nh1tSr8A535bkGas/Yz5 +PI05FdyrvbxD7aJpXZjrX5F7HzZMZcTf/ahc6Ib72rJyT2HFadBa0gwPLEdCmrnN +AZFn/zsaznevRNgpQJY8dr3mS/YAHkauQD8FBT8EVvWXk8jUaBY7zPS35m1nbJhA +zkU8Tr5nG7BLXDF3Cppx0MZ6TLp7nGeApAkGGRlVort0pF9/fAE/EWOVWZMIaQ22 +Ka4fcPm9X3nDpfXusnP7Qe+vR9/G9kWFh6/1/ILEIdsYyJOxZw3gcRlCY8aVKofH +2CU5k0+z/b2+blG5OuqEOA2WUo5W0U+rYNm61pB1mMoLFYhwh8yGqw0h1bavQsg6 +5GRmTegL3UuBFPO4ex3PngOPDLN8tNer9sEFfVUbUKYc7OJ189uDj3mGUePFRfL4 +IE6yabi5ozTtD6gGZ/sr7PIUqZTS5MfnwkOz0EbcCz4moXF1B+olutb8WWTeaIkM +7QAehU13rTWAvEUzNj4Y4tOTf+tDb1llpPFPHlkpNKWnPAE30fLKEC1g6JqtDyGd +JuAve+Z278rC9klYN+qgIGSWp2f7NcvH/HmgFUFElBCmFRamcAgfzm0zPJa7xokZ +5FDde3aCByZQOxHim5oFMj2qLOSImlltGXuUUn1kWc/6fnehmoXaepimwL8izSxk +jpK22tcsMlkSsZ1YxrTDzna2bgYj3LSyCCbsPNV4q4CUVWLmLmZck7WCPmaCSM4H +1Doqw7Zhn/ZQ8xUQdJTJj3nDYEQ3KetmUUqj3SLoX3crivallX6p5h7v8GrtqLb9 +ARdzCZLh7axojl1ATeP0PAjh7UBuOUT/Vq46u+rywbc08wZAuP7gttokO1v9LTmK +zrHOPKDimfN55zL3Lzb8hybzvZS1eWh557u8hOUQRSsEworKR7TX9TiHh1SJoJFi +ijikTvH3FBTmLqV/qmZ8K+XUe+zEqgQhOlXibUiMFw/pjGL34UOKtbMlfuC0VpMf +JQYkrCuDfIL+umc9POBj67arSmm7YhIkReCNLeBpmwTQ11SfRDEd3+sM6MzuGdN9 +opR5DUSUBJhXfqhtkcEFcwhZYuLZpqArhnlUCzhPVd1y6/X7NRAVgq0w22LI7uqo +4w926+zPo9/hHC21ArinznbBNmP3FuFtz9BqVhV/uG09U9TsKeojvimP2m8gOBMu +FIdLCpqTzuZEDqOn+pDQzVDfg1i3ZcLbXaoc5vyOX/kbIfpGBNnvn5LEIxnSAY1e +dg6asbBUU5V8XD8Khe2vhTIlvZQRfav7a5JP6Q1m4QjbEChZBuHXAzFZzc9qz7li +ckBG/b6MfITD6L83he+6EL4mZyL4qJztQnukfmScNmVotB7apP8DMpn/qo1hI6uv +DQltgM4g+fRC6FFQUur1PVYaD47nhc3wmxsb0tBY6C/bNEv58puPbkjN//6kipMq +jCfbVPP8u/x++8BFmg1FZP/HIl8CEjHGp7GAASrEIS/15NITh8nGYtKfI/WDb2iP +K3wUFpq6tq/tUygTW1a/sA1gBxkuunjJonCwasuk+gkKGCbaJ5iTkTc25KAOHwJB +ifnxENWHtmSqCD0zsSOO1eZSghWDv2V4q4ABdN1+9cS5XNHIPoVtZ92hrZMwoOVB +uPWAGUrqIW+NrmLhzEa4oPnnpZ/Jp8wR7upmwPQDemSN3Wp/azfZQugwP2PRAERc +exaUp7AOdVl7Bi4DgyUqneJUfKyCSuzqUJlWW3p1nA4+47kGOhOVOtA3BqDq84Ga +G2t0wB5716RMvZO1KlJUENVcQnRxOaE9aUy/AmRP3SV2hnWT5/4akXabC11eslGK +AyvAtBBGpUuarYlYR/HoObdwsj55OGOX3qZweTJmgvvMyBms7jMq2NRT+5ykLuYx +7UTZNhnED7tGlTTjtimRFl5an88kiICs9uFdF9adW6RqUK6AhD78+pdvuNcOA4WG +wEUHZraWag3xsEhLhlAYL+vSFAyyBsqendEuEKZLVgvK2RPYBdxfbp07orSX48Ch +nfsouvIzk3AnwZITFrylKAwHJqg9szgwAfo2g9ERDeKZiv2C4rL4oGwP1tUiRiua +YSC1Hi4ur88oJKRvFVWbnXDApPIvB0S6eXOM1jj/pXw2vZmpo5qdTFGlWfs7ihzS +2ibSyUJ9Mij3Y9N0+KLdN8MlOVCw5s9PoCk4eCqDCJ7QgctmJd3FKLMV3KvFCvPS +/YvHGRwuNQjXczzVpEQ/KABtj1ghQskaStvn6lsHH6hE23mP9BozNBAf44XAl4mY +i5ycI4GkgpZKK+qqwnS0XZIPvM+JZa27vnUXWKTqXT3W0nyyoFk39QjHlHIxicyi +a8Pv5IlcOy1yQA4LweZdItU0UMOqJnba5v/d4C2cW+/jYFSoBobhmj+AFvqVIFwQ +eyKBqYa9yT8LgP9th0fyOboVy4gpA5Wm82HvTGdFw/YxGD5WdyQe9c15IVMGTOiQ +kuUBvHV6ZwvY+TRoUyDEOWsllcVn7i3nOcAa1TzPPZTmZ8U2EvODkj3gfwj7wpgL +DxKKBJHPb115E93FbT8VKMfui0slWXk46pJ3xPmYSQO9aFk9UPH9bzWPqAePGVoM +RByWwq6TzLiYAgWARhODp0OaygXtTIfoJaPXRmCtaGk3lRV7cUNGBaZHfP0gaOnm +2nwdi0fAYt4UxovU+s8UWOI4AUNvxVtxA74vn43tITb+n0S1ig/nbVGWw7LBo/Er +/Qut4GYDgviKx0rYRMnmmxfw/bVngZ4dCluCHm918+xrZ0Zh88sTuEE+cyu9o3qR +r6wfZlDINKCZ9yEQp+DwuEYAUeE10sS0e3xG04KbvDZDcES2a5G8xW4j+5HYcTBm +QJ9t9YLJMEqclNZnFmyVntSR60HKiALpjP3og37IUuYa7fN0YFIvfI2g3cpTE6vq +sy5rlWnSJUmDmXna31ktR2jnz41YuNVOl1e0uiAGvMJMtGQJZmI9dXqbVmjPxbBY +amiH8g5zb+WJMbC2DOn8hUCSf8yaqjIhXpOZWJD5ZOnXWQBRsltFtW81GD2qS1Hd +lNZNAK/Z4Oa7PZgFBLOGa0UfdFwEPSPpiNqi6lsnrbEfDeOuttToaUx/85QE0/l/ +2NFPiYPFschYEA72JbKvrVx4vV7AAJ+6W9aQgIO0ocPPGxunc06KQILLIj1QswTq +xxN66FKDSUPxddgUhRw9dKe0sT87W12LPpWSgKXUpEkWFUJX5TK1bSbssz7UMal8 +KZdy5EEKsMczoyQaGwPjRtejbZXfatzMH8TeP4q2+9Zy94QS/PzcbeuL4Lqj5Mut +KLfd2p9yahg+9WB9bHyuZW12ObfX8UDKSEp3wSy2+7CqgiauSWwHm9pxLDZNyEjL +MZ66ns65DxCAB3SkALpNYPmK8JMZA7bLyYFKTHAu1FuRJLbLHhRXnU+PP0jiaYE3 +mbbjQLSjToMIfUXfPG5K2VybCh/zN9T9PwjUrWPAYYAlWd6/+21manQFbLneUQeU +YrTzAIpaVOFRTQrM0DG9qR/WFULhXerqts2KvyAx8Mlk2cCE7Iy9bhzxQuZbamJK +uBt5ikVzeeK3uNHSfDnwGL3dukry27LO4lQdjRi08wcWUtAuaEiL2t14MsguyIql +N7Q4TIKLf1HdMmWhMlEGi1UaIY2Qe2u3X7jGXVQvWSnun8N/eD2Bs6Ae8K4viHoe +eKp0tYJTaj2qsbXq66UUzcxqpIvzhvVuAzpb7ycEMUNQULu2jk6v0HcxL937lC/9 +UyQt/hyKND0IcR4i783wYF+uwtDubmvLcJ+bZcPs3Fv2CqiqhzEEKFBkdwMtoL8m +fyKjRf10mw6LucG2dNznPgtzOfsrdbIwkO0kds65BSXknpO4wsxt0JKV2gvtOZFq +kRGZdZ0gD5UJ4nhnWhTY4DxBj7anLcLGckoY2hnSCBFQwWf+gmTNaz9GB7QDk3cq +61OWTWFeX/nEsGma8UXCh2kWELO8flBO1bvDfUxurw7xYMK21merNHiuxg8nEnOk +ZC39j02/AbVSxy/CUS7mzdfV+8ImRZ9rw3CuoHcvd7dG4CSGspVfF3ppbm6aseKa +NzaSz8f3/HxkBszkvW2uOyeDoCDAVEAR7/p77r4hOE2zsSCU6Cftu4EyoICabGzr +Qt+F8djAwk9UrgNKLAIe7DLYGXBVgpmVyIKOmP2Vl1MabTYZs26AOleHzgi+6BtG +q9FaDsoI3hqP150LkodlJdUOjJNQABBgjQ8lNg5nb4VXT+TjWlCX7DnoIOZPpsKK +ME2yJlL5MQDHHJzVPMugQv0o9jevY/ivqA7ffcDkGbJ7Pku6wIb4ux6bEdTQf7HU +9yoCbElcxucKqPOXaBCmyrA+WgB43pGf0BX8HbNSW2Sit8T8uarmCD0iE49BXih6 +L3tchFQQ0AsbqStXYnFLyv8w6JaUhPMXriXTwcMd2gID9ZRgLiHoPZ6cZT5VGg8n +HjL4GWcGZPRI3HNAuAfHXulxNB5RQ5i1tI5YpkOIGCcd7NsjuUi/7BMut3ZplNbf +FWp3WwFfwPjmUQPWMQXBe1FEuuI2FF6HKaar0x9Z6Yvpasp46vve0AjMFLD+yhuK +/tzMQgBoPmgqbbw2TyP5s4olDD2d7VibTtj7VMtB9la+tSApXpt8nW6BhIY8uK42 +riVKeoPzXjAyI/0rF17P18dhO11cmiSCLMYvtaxe7G2ZPIl7+hKrA9KlceMf+fuw +TxzySdfhCd1Esapk553lg5jwFOdck0TVGyk6vJbEMOMu0q3+a+B/X9U9emv+8GoM +i9B6IPnr9bmajpFajuKB3zp2smB5D9unDJnN75HK2bhkWw8KaFRBXMApif+/Srn6 +focpUDM/QHvsuJ4DSkEAtc+ic1rspdf6882vUdd24HRymIUoXO5ekKkOvMBLzqOg +UkijEIYoqrmIL0RzvOHUKx052dpfXOHFO23l95f0H8vVZEM06k0Ie0/rUNkmGY8z +jcrZKS4yI3shNMKtHwTgfPP2judmjvNWXeanRgbxXZc93ft3Au5Si6AC7XOSUl8M +uhypkC6cx+yVk0UJUeG0bc+bO3Code7tBknOP1ETro5Ybnd2TcEckP8E2oF+0TvJ +COChC1s9HYxducloaJE+iSxDdbQeYBt1saIbwma5xAp3CCdBVcrpRA57ixJJxHXZ +5K/PX0C/dZGWDJSIIbTTWrrPvQy/nUMso13hDsTsB2m8HBYDfZ591rqlwJR1X+p7 +XYvYa7+I8bvWwy0xYvEmDpQsYvc0m+sN5upQH2C3vCnIqSU/6E14+dnkY5Gk3VpC +TRK/xFAtpFaLqPg92NG1LOnMZ7KXzd5NogOcm21FyxF+aNxxklveJ26VvntkKgYS +aYGF89nll1hFG+1Sx8vjBiiRSqDaGoLVBgkk01ednw45VdKGrTUmQT8vb+xqChiD +EPI8q/kCWoCgYjfRc+/N6ReOsNF4KqCcfA/stfUr0koLExqhmdl1r1m0xRkHWAh5 +KLI6RygufElEMwHgGAiJ53QGE66fGtgUbqrvhHL8iH+ZqbJ7afWtkoNH0nZ+2E6R +TqKkG/7nUtfKh3GH4gbE0uSlfreW76Xf1pnHHzJkwyGgqWmEUiCCLwxe7j0/aHSV +sPKZfeNUj3+04oDkHvsWGU9tBNSm8ded1LL0K84qBb+YlXhElLdhLf6pYrYqQoJM +xOwWx1YzR/BbWzsX2dYD6q19T3cEJF0Q8/BqnE2nx7Z6/cHos9s+osK7xNwR/Zq0 +dWmhUjr+Lj4cPBnGNt7q48tKBjIpiAcwX6Jn2fAp8eEOQIkL+2WxPyTsgQAdqL5f +ttd47GjkiGd/4eqvIc94Fkd1BmI/dRqqRJIAOr/7meA2eUFWkBA8DHvnljG98WTR +J30A4da1CD6UGvj4tsMRfktm8jOVKmbU4KmaFme5sj4uwUw/KLS9VTjEAi42xYVV +Ji9DZSmBi+2LpQA2c1ODbjld9omLeOFRmpHa9nnXsP5UQMzA0Uht8s5bfwkCYW1X +mpgXeH1nv5Im1NWG+8WZBexJ8a8ml4ebqf++gMb/+E048fUwNW8pG/43PNgVrWyQ +QJIaAgKT23jhvPy6cuvLUVW1HshM00X3p4PJOpIDeChQeOGK74Ve9ppDjmvyogpl +nNLVF9VT03ukZ8i+Tfqwt7eJBsnfNCVpD3/E/JB4TWIsCZyJxrq33GW5xU2K64OG +M9QXOaOjSpwX83oFrAHnm0iSM5EplKSnFIbV19//7/ikV/O0U3D0/KMu0bq9B2+V +w8Dl4Nb+0cLEpeFPVHp8mA8LMkAwUDXiI/A9uJNBT/qeFKxN29d3Sd1kvXZr6jwR +/X38I3NDFm4N0zS8VGZGTc3bC2dN4HLgB8O/RQKR0T3znIFFARYvmXp5iqbMrXv3 +uFq3dHy1QhPMi1AZAvNkdHMkF9T2rsci7SFcqQ/4/eCLzH3iWQYLUzpKMyumnWd/ +wTCItDkU2uEZ/kNO7rLC5pLUZkvJc+ZGC4Nezo0/LocE+MejBoMUZ45QqEvOmCo7 +jxRkXXBP9U8+Qw8Z0iK7MLBMakDJ6gIEkhXPP2To+amGz82mFxMqUCDFZtg0fAK7 +0WnEysZfsINwixkbhO3bPa+ZrUzmmEw+XZM++suKZEYh/BmR6Y3OvxbgSgORDKyh +t6PJYUwneIvkYTPsikZxD8jxZp/ztbOKGwHtntYk78Fbal6COmOotnl3Nts4JG8g +EE+m2Bm6RAH08/2ojH4rbtZ6jH/of2O7NYqkUdsLfCjgZrb7HMn8f5b0voHFgkOI +8mYNWNFtojfKtVo+Ogpz4jfYmpFOjBrtXliFsQCNeQOLhQ10CJJJLJUAXShCzx0z +pdxKqn21hycgR7U5V0s2HKvrxn0mGfLL+3oFjZlXU/b06ZlvFjgGkBNB4ANSXuun +rx/O9tk86yRZLgwGcP0b5W9IzsuAx/yPYm7ATk1xd28TIWRaIgTL1sGVldhURgil +aalRx8krYhe+Z8JN/P1FXFzv7cc6rJjWjO0ZHhLMvelHNsd6c9L0BuECundVENkC +V1ustG3+TRyaHoUdOxVG0Ucwtmdk7+09OznzJTBfO93KvgHd9FdoXJZKuEV5PCMn +1UfLlYmmciyeU2CkR9ZRCstSZbYltAcu0QjpvkcY0DNerKLSOvzNYIEtJGLCz7be +ROCfkI6eZFjvLow/KMyM7O7ivPlLcVzmIbDmOLqQw1twWORz5lHqpzTZjercF8Xo +DlNNf36TpdzBqKq5U20JS+cRi/C/D0oPJHTo86aSuRHiRTHBvRBrXu2ZQS8F2ASK +tnRNVFCu6bSXlRDVrJvjg1phtWA+fWRpfSz8YzgKmgYG0irlnv0O+MoquKCtyNim +AxTe9nINXunq6Hlg+XzlHqQ+UBhhSjsCB9dqQVxMg0uD44vrgzmlc0bAOJYacBUx +qVWIk5hux2L5AlXowkbeiIFdw3bd+xrH9/yU9zNxqnzjWipSwwffKt/VKuDQXjMZ +DIxPAV/TtQjPd+QnKBxemUl7k2eKYwAyF4LzjRq76Llb+zMpNDtrT7Yh8wTfTyIL +d3bjx1UzgqVue0fNn5vEIlkFpSyobU3Nhclqrk+luflfqvr2xQ5vcYsf5nANNPMj +1wAcOGY7UrVIPJ9YRzS8LTxWVB4kp/IZAp/2iNsWGk3yJzEV8VdWr3OXZttYvQPo +g/cgHfsMqM+eg8ePoXOnBv6uvB8Xnpq9rb+TEQl8O57QQy/kWp5EBU3SpqehYyVX +niE/y0/m/b7Rvo1eW0p7T+yYV46ksMONHhP4EKZYNnZwoBRDCmkO+u1EHTXQKBNC +8JXzqjRC+SgWd8p3/Q1dlzZk7EY8+/YyGu0i3q2Imv7QqBs4Q8DWe+pa79jZDFql +UBO/H3nNfwDqFTqnQ/YJ/MepUpQGz9XDhgX1CKRPJyjRtCyX7uaNkYIrZUayzp42 +iTHZc5ep1cMBhwWEjEEi/jY63B3jQMRkbOCt2hK2r4eeaMc/cAogwKkvV0wL48qe +w+ld+hrZn5KDlwOAfwc86w== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_pma_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_pma_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..5760024984a14c31d24e078458bdc5aa1f898cbe --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_pma_controller_tgx.v @@ -0,0 +1,151 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +XM/2u00IzVEABmtWvKWEuBHoL/ZfSnvesUIma7aIcQWz5kGWMO7re2goitWWf8QS +q7A63Apb29YSAKn+2QkqIzQISLRPFVSBwvRV6qBWwZk10QUxkBFGD+jQcZv0Nmqy +0EayKpVxXbTMdWR90GieBJh91vCf7784v9mHV35IjIU= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 5488) +7nYTEcID5lalpVHehaFlhrswQPXAj3bxTCYVYUsTEZZhyeiO4+XgKrdTbN36BX5M +pyOZJmCMGZNXeAPpwLmvjWWXygRrpvkF2ToVvd5mi9lQguUGd3+JokC3ri4mHoJn +qo6Wz1KR69ZBuTgXEvnK+JqFIPe+0mLy/7PS0xbiAtGm/2gDB6/oV8rFGufkq649 +xe2O0h3MlxsVTfPG5tPVrMtiGfuFM/gPBGI99DOz/axBETZb/PNwoonX0Qq3rt5q +kclHHsjhFiAAb1CzNe/Jpdjad4ILcb5JmMecnaJg4E9pKN3l8AF55w68pgf+PWbP +RzIsIlW+NrG4SYyNPj7g/GJKYitAOmoyf01RQx8wL2BZ1/j1zSOuWi8iaBFdeIXO +8xd4J4uetRShOSrCFI7EliR+fC1LlaR3QTlvvWsh+4uIsbqJFYSs8O3fofCpFpSn +DWrzk7FyOB0aM9v4cly7EEuAtE0NapBAMb2NamB/rxkaNW0HMVIqWyabAsKNDfAR +q8FN/+UJ6kAD3EeHYX/xtcChPTMjvvLG1XFGB/GmHts9h3ShimGNV1+bzaNiw2/W +GkI6v0uY5Cp5TijTphLLXc5yBGIJnZPbHKM2hAd48aC0BuAXkIZx86nnPIPHNUPw +f6Et7R6s4RxbKktIaMYIupQJz4UO4DrwKdGAG3wbHu73tqRFJXinQEozEmWzwV38 +wxWOnbp+fSI5oG4POcYMquY/kdGr+dTtV3eViO5nC/JsJBKwdF7ldIVVDjf2gMn0 +Tse73plNU7kJMbN7VtCqo+G+Z6neFiH+RjmV2PAxvfPB6ITd0Wqkt7GLTu24mvOh +11RnBSgmr2uibWG8HQKRX/h39QBJ8wetRMJ91Ozcrn/RR71fd/oH0ZFVCHckxRj8 +9SZYdicAwkIJzMfugeIqGZRxw44HL04curhY/SE1BBCYc1tm8rPZ6rvczBB5Nk2M +mWbSX8FIqc+N2fTaaV7DE50gSlpHW+YwJu92H6xt5iCyHlkjR1Hwwn6EX7+rY2Xo +dGfRQrS0LotVLFygBGZeO7sPE9ESUOgH7W6c0Qqmi5SC3ptQBZeT3obeD7888YK/ +iu9hToGGoLmRWe9Z2IFg2dBtOCmGXFc0jwKMKEoQ5kAzl7DCQyli+MN0br0VobKQ +mMpb5frPwNqdLZbmmNuaI4swNYi7ySrYqZxzXVBIj8GVjXQwwn4xXgBtkqKyHOr1 +2Jtoa4u6ty8grB5urX4YPeZNEiOFn76sQF/n8ITTcgXHcw7fyxDj8kppyd5XCHAo +dbgxs4Zm9TZ1NkHGsp6Xdc+bXdFKkGlSZ7lhc1+9iQvdrmYyUvWBO9rsrcriCG7r +hs1RdBRQoe5N84/OOWcgsPF0x7mK+ly5CySvsc87QZa54Ff7I1VfFQjHYogO1w9e +6LkpE3sWU1or9B7TdWn1b3YUmWXJggCRfGQx91QiswJ4xqfpsmu6cvN5+kNTBosS +U+W3uv0khwfJQ9pbVeviGJV8Av6aGuSrYKPMtHBDL14N5WoBa51gZq/L0iTEsu1b +W+cr+ppDk0cbO5pxWpooTqXRVxnoy9VA2gFIq4KNlzY5ARJpTAaOX2lc+et61Otp +CowMKpYUDpTFJnl3qQjGpzZnTr/MoM/v8N0G7Go158YrBdDQbqF0wNiv9HPVwCR9 +WPxi2KtsFM6+N7KKCoGb97t18JxS8mVuXKrlg2c7DWHPpV12X6ixp2ZuaUzcrKqS +QAnTjadFgcmpkfDj1H5KLQQ+NBWAwVqx8HSJiVv/ljQprwkP1K6pYaRhOsxrabwr +vsKP81bvnAssShuD+wxCy+6egdCGXHwoVHwutlEnXrPNR9bOYw46b8K1Qr/TzMuK +yqtsRhPPXO8cqhl8Ukwbq7WIz2ys5XavcoIawS/2Y254O4ZuBM7zoYzZbzHLzKey +SI1+u6P0HCKyPbWN+9+kqPTFOc5DkiMtdE5j96uXns9dUdZFzEbdyu3q8O2BNwcL +WQ9jlHzpU9UPY4tQGhK4BSEDRvlJavnU1CKb9YSfJpO1zkgfA2zVvu3R5B7xk+dc +laEzg8UBQVnrvg9rCMf8m6pbitG/NRoKFfLMfXctxng6JjaXGRT2D24ONMx+RtjA +azHx4B5z7wpUZTqwCSmtOFPvzkwSBLmCfuxiXCfJTLttcg/jBFoHa5EDWV7UU3in +VZEEPoC2Eka6l64L/75aXAo4ya/EG1cCxHotq90H+3ge4iLrpFWzoYing6xO4N/M +Z5JNAM+9sOMlakLRUAmW7xeGnKqF65+g23RPUZqu+TgbLh1ELDJE0NgMbn9m2A9k +7GClAqHEARfg1gtEoc2DfCxN8o8fukk1cJtRvr93sqiSj9LaeVOyaIy+mzSf0QXa +CaODMfkfUcZ7PiCq5w7W5nVhx3JPTO7SK3vSvQ6W2Wgp9RHA+Jl4qUXT1g90U6wP +V69fFldZ8YRQ/fLrbBwaAu5dAatO72FSPEnpPxc52IAd8h1BgTjVuP/+bHmZedl6 +Uf14dpIUsr7aYcrA74Rxr+dJmqp0dBS7uOZPdWD3aif1fmeMANpkRj40YyBdRqY/ +75v7uxSo5bt030gICEuu74TV0bj31Ie80GnXIBBWGK9WyY5faA9m4ppzBvdKwq6x +V3uJ9NmMsjfwtnsDS02CyZcvif9tssjppNv1cbhCUYEhk71uVO0K6ZhSGicWLYnm +N/uKIgfP7RybJB6cxSAVODjEGeC/nsIervhiBH5E3q6CWhtmSsLN0DIe5jx0sFZU +FgmdBXXCpUPLdMQ9f6Z2Gj1CopJxpy1Q1vlRhSlESY7mZQus5xPuQk5LSFqwDI76 +gSOIoHGizZS+QYQhUM74jdZOZR65olsIWIK8iVwXcb6Nk2V4NOr1aKVi6J+vTrqF +SlFJLJa0UBaaFY7/keR4o5JHy7eCaIpFlKcUFLKAusc8f8iFUBO39CmuxBDoUMIH +Z5/OJYaGN7DZXMBLl/NBddmy4K9qMwHzwsOQswQEKIyYlDpvTA7NVwOvg03+gcst +Pu8zaFUTX1KzBOzBnROTX2jlbiQNkywmKw0rxpTQ9I/HGmg2d01PnnAIysRiszmx +17UiHzzoKPVBT9NYRs5Zht/D2Fb62BoRWS1JIbpToYAirTek7TMj020zS6Hnmi+W +ex+AACBo3/xfilrvIraYdJ6uAgQ4edJMWNuvDJKy/LPc1LHTkNnWjvLXqba2XXWL +gVrVlB5msNk6v9uoNlYJnKOzPhDnm20ZbUj6dN6godvPhG7yBFjX9xiXr1yjoIxT +RnKvNElTcJcw/zYSorSWwLPc6xXr3oDaHzzf20rvVtvZqFnA63DToVR++1LLr6cQ +l6dbQEKahbHZsMIBPAgf0zBycPkLwZUV88enK6Hplyg60+v2hq+cSFsxL5Mw98/q +kIgjg+WQJjWIeHXPV8VDOzeH087qWyXOiUgGE309B7aZtt0Ks6AIcgCwqHpvOZzJ +UjL90BOyOHk7elAwyC/qpTFl+iYvXp5+9OyMG/bh00rtkysw+ynJvj5gVmTzbUcr +jmGUA9IpzSKg2uREK0NIPJvTjJvVRUGPQkEwOU+2LBPoS1MdxoMgIjIhD56JUAMX +2W6ydrqhRZMM7OFrN8QSqUY2XbOV/O252cpeRDtfLmNbVnH+KCMygIGg/MqcEmY0 +3gAvQy9mL0vxWWsndewUPdwKtS9Ca/TEqpzE46b6VuB+qeT5V5iWSEmNNoHn/GD8 +hki9PPDsXvQXSYv6lZXF7ScJceKYvUakdE8p6mfU1CffsYqyxKVaBrBS/FyazOYp +jt+wVNuTNinTWJNHgULQbPQUo5t61Z2/H9dgCPrA5l2mYNtzzITCF85eJg0PFjRW +JUhfGdEnjM/+z2vVDjlTs9tBqB69xs8P5gSzTU4k0uQXK+gWCMgmMgjJG4jD4FId +Qv+YYx3r8STUgxgvxDCXhw6pWR6v5mzZ4y4Z68Aez3QZVAW5zytNoJHhkHErwK/z +0Taz8if6ca/elJUTVhc/+nnBkLHRA7fFV81U97R+x3mionuPr9u7TYL87+JfBDDj +7vtrXFbc6JwSx3h7iA3vIfyEj8SItO+gw3+qxKfyI+52KTlDtfVhR+5HSF5+FloO +h5dALD6/5p6aM1O/Ey2fkWGKEMXabpPC3Kyls5GxDPDjsetQsB/ZNaFu9E737afh +8CXL34Vzhb+TQ833apPP7xvRGpvoOkTOzLGImwd3udtsruxgzgQ4APf83JW1MCEH +imn8Tgt2w20hrCccIqIwbhxFXyCl0jZ7RjN+Ap7VDe6dI85dgDmfxHu392lzkUc7 +5HHVQI0bJw4UZnPQwxsvaPRR0OD3HPnqceIjCo2mA3+MJ/PNKaek+licGRWyThlB +KcZ7ZU7QwVXGuABaXL3ICWQb2gNnzLMYxFwAkeysenfcUFAnhTiHi1p57BXqW0eO +RpxAFawZniL1mX3F3yl7mbRBgoRk0g1KDZkKLAVlo+8tJGJoUlAwAINAHkQX9UQM +sGJX6nly0F1eCzO2iBF8YBahP/ukLHN500l0DkFliH3n+HFWRNlmkobQw4xEyJZA +ygjzly0C25vFL28W3Y5FxndEatc5V6FvBnz6eaggJgPavePvsQwmJFqBWXDcyBMI +a74lc0sCdDPiAqMnT28hbJasXG0lMkoZrBeQVxlC7OGz0/cHdoY35eT2TolScLFA +8XV1j8RqmdO73f5jzJfU+0yGisHopG+yVEWe+9xe/pvD4UC57jkD5Khi2sSlNL4k +Sfbd1AnMQVbuCsRpEbUzRyXoIuMfVnDsqk3JcMa4Aid3uVEeymYhlkRhYN53VdR6 +S3BCnun3WCKVmN7hetKmCbxSdcHcJub8mEN7br/QT1Z/OvGOyrnYXsCmfdOZoseH +0FviPHjzM8KIly1Bj/AwpUsOAIDqWcaFiUlB4IbXdcLAMztC5LwSgP94008RbYRs +7nOf/zD9W9nXHCStJgxpAQJRn5PH1eo7i03kQmSTuusvHJxOKvmzTn6RuWwA6ARa +NrvBY6xY7jlejD6WyCr8FpH3s+c+vPQYqPvQUzhMW50RrsT+/tvwXtEQJfqQrF7E +Lk93WTiuQpL4kAnCuRY82oQUnsLJsNjS5J6axuvFLsRXcQu5ddUKQpbMvLdkK7tK +/w0YcD3u/fRup2BoZSOaEiuVVdnq8KtH55R1ZZ1m7Nws8oKdW0T2pUEVGBWwkS8J +YhqRhaoBqYAdHLEr1Bzts3FVJix+mbIPXTLxkYgnBXcuXQZepvtG0afDtytZ2yRt +76TgQncjYde6hxT6naEI7UYAHlQpKmakAyq/9D4Zr3jlpT/7lOGqCbqjb8fQ2CbC +tpHlVyBwdw/3bhrkpMe0OHHupvCM273AGMy8fKSzgs/Lp7sTtlFRPXjs8lpBMqj1 +FLxrL3Tihcvd5kmK6T4DhjySdvedgvGLYqHSYpDX2LUBShXnFQ+zf8iuen1FQFW8 +8IRkpaOOnmlBttRs7615VhiRJsMzUOcqddRSFsIT7UyG5G2HEJXB08xNFysMkncK +wFmTjVccZ6uATEkUmgXmQsNaqxf8ya4Jsg1dCOVWKVXmvaxfkIaUES2TlKi+QtlL +8yxidrAw/+ONc8nA6+KhV3ytAmPN3/z1BRqa1r94c06iwsjADPd3vpiK+bmNssb+ +0OBK1PA9eABT7H51Ls7896Ped1YqFOLkLeebnnrfR+BR5AcIiE0CeEdqmqlBuJV+ +lTA3JzufNR5LSpatnBnpoEW6RucQqTdtuKeQu0z7Bxz/ggB+IW7hfeKR6B8dB/pK +DlbwBXesYtZfhuJpTmxsg59Dc5i1VofdB4J+QtJH7vdX1xFRqVbfAWr+G1Lm0AY7 +f86JNlIP9kb5NzB4tAvbvem1pGFZbdnieouzEvT5pUmQ0Z49E84dW4ciYoQMBzXa +3SRxL+3R//2piJYBiBgfUv9iyQbtICfAE3AkWbZAyKRqmPhA5PCPz1WVwFZ3/lVT +ynor+CSGPxWiF1svJexPiu4rMJ5iXNLpp0if8LhBNYd9XJGBB4vOe45qkx97L06W +n9LmaM8+0g4Cv6IufrR9zNLbX9RXIoADk1hp9dYsEghmDDoi4bA3IHbX3vykVBzF +yTCHy1KfSW2hu/H4yQcwUp4QChHvu0CYxHI/+h3OSRiGLzCgFAquaMrJk3diHVeD +yo92bwpNuoWO+NaWH9D2UoUSBqqKyvbe5h0WCGsjLEizcyEsv0N+Pa32gq/B5psI +cLOYdfwqqQXD3IZ5+dl3o8iMnvWSyp7RNRX99lMKQu1N7HrxgZbcf7VNmfCqSVqT +gqqr503s+1/8XxPmokDJgf/Xvr9EKAAzm10CaBFU1F9GWVEyOPH5/KylbfAC77Q7 +tu8IsdMJ+aMqUYf3XwhZlG7aMiNTHngB8HL1lxOmgUshFwSjutlNkmwSb6OZvRkj +qi7rxqdOWrJ9vMn37CxA54jKf5kXmmUl2oFcBdQKNf+cDBWoHAdqLR27aKFGYi9J +dKZlAgqCMwJS9VkrXXVN45FM8bcJeuokNyC1Xtu82hLKqZmoI8RI8jGvtNWy2VxK +t7srShUPnvbXCAyIn+rk9VGsknHrOSwOAEiYWsC54gi0A9QMwGd92BUJaW1CgVnb +1U7oDf7AbLCny6P1bGVOj5NP6+jGlC5Bc8SExV09RTrnd43ikzQHldIqgfBdDCUs +qfMLwZywLIFcFU0yShkCgFeWcgsvD0lcHyxx0aWjpKf63LmoQ+DPmP+Ul+2L0QhZ +5tYELrNzrcP781Ws2ZaanKuXlCnG3af8+MzFmtImaDklS3ZwXkVjbd7tsNZMGn3j +gAYNQWaL9PR8zukJhsAyic72e3otPo8OKuxY+eSUZP39XLybljkPJDyERrfI6QEo +z9hYGpfdANMcptyo2NGu0Epv0q4cSChnb4u0xJxTsz+bzv/ioA4pKKm3Gtq5TlpN +sMkUYFdDq/gDocWvZprPd/PVHnGE92w2aWyPvzwG4mOiZRWa3UR8CWXrS3CrLSfZ +TPv+0mc+81KXMuibBn3SV1Z8yG6Ao7dbPtKczj9bedp8xHEihjpeyAUQfAKSRMRx +hopDuOpQhLElPoxwRhCJAVHue8MPpfc+9+KhK6BVbQac5okYvL0nWgaYAk91ZBAF +ITwfB/guewahdvavLuZbjJV4RGZTogMfyInZDZoztYwQkrog9gQ7ZdGWd1cz+uRG +yUPwbFTlzuBrhgN+WdPhrnwW3r03zZSuigsqZPmRff9mhv9KgD/yM+WNwrhlmNUf +ijrwugYYBeZMth7IDU/f5PdQxVFkqOIwdEHzBT1n6NC1v+R/psh/oQd5e1jhU+c3 +L5yXswGdUXs8tiOZCjdRkw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_lego.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_lego.sv new file mode 100644 index 0000000000000000000000000000000000000000..65b81465e061b87494a14045dc11a9a8eea218f6 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_lego.sv @@ -0,0 +1,249 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +ek389MbWAhrm7y5hR4LNvhaoMaUDcjqiT+tY1DNKVt135jVHfbDwNh36VZpKl29P +Ggac/U7FWahR7Gy6xhmWsk5dg/WeVV6MfhoOPFuCES5r51rUcekfbsFeE6ZG0rI1 +oGGkH/EFk4/egC/hasP1BCj0IZ8yp/YHthddKFGYlZI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 10208) +uqYIZBxlIAr+KQdgST/mytHLazFxPdQZWA5FD713ogbAGabQXF34kcpWFHHsrSI9 +aifa++d5iqMAgnQ5tRP08LcHaUCYxon8wUknp1TjwvuZWApUX3GaN2lyohX5O9Lz ++VI+tASF82fYjDC6VV/tHUYU0xGC7BYi11Bh5UBGXwp9M1+t/y3mLAhC4xjcw55F +JkIBNXQvlKWroATqc3VqcxbbAk+pJqjJRC7rnJWmKcMH6hNjnujDrVwss9/wIfG/ +2u32XP37DVpyvognNUpvqHdtdCsc4gCyjrTUL1/QzE9YgkXNp8eRx8ObbUKvbt3Z +3gfdcQ0vlDhgC6wrUPNHAXgYoH6Osdq2jBS1Ywx9uODQG+l2eMHfMpvzsmPqZ3hi +iGc6+/WqNePGXTGJ/IyA4G5a21zdOD5tHJObHGAXWniKbr1b0mnk2JOkvx0c84e+ +T82NOqNgEuViLuHmnpafre2Qfeh3RsJiKmd8+sVkhLh/nBI+kWHUVm0cVm41m+fS +YFrt/0U/V2ccToKBsHfB3EB0J8oNBE4DtIVeDI/e2t89txVGPGn4iQZtgfya+yd6 +xjEMN+AXsgT3URMMDLH1T/b36qEI711kj1lM8SkM7EG6PUJmgCMDjaXGfq73/+QP +Glvs+ndR5+tALzXdakRApZTClQPo8dMmqHCvyL6aoCeq0tdkY7uE5PzDr2ztLqxy +KqCX8VKTsXgaZWFgY3A/S+H+eLBqOUA2ouozonTkvLwjimrxjuoFkh4fo8NZQGU2 +/hyFov8WsGckGd5Ze/jLCyzIeQjw7pFkrbm3fl4HsV6z24EIXABLYdp1rbKqhMiq +Y7iKXRb2kpaK8T630F1HnITSEkq5Zdl+XALyJ8fkK4X0uPmLj97bE+cuuLfVNQ00 +uVfuiL+YfsPfqlqVWLSY3PliwPdxKaU7HR/cjGHsZpDPl109/AqeMpn/d1MctWMN +H6rf2x3pBL8Sr9rek2OJgK32+QrjKXI2DqTIIKRBZ5tgtwVTvviEeUMvHn0a8oLF +ZmHrY+SwAFJbBCJvrFzd31/HbQLicnFH8oBx2zLIScA8xcC8f6mGdYVEZNxu8olo +K6++UEyp70Y7TtfM7p7RCPCS/RZui7eViy6paL0QtvNrcXb3rq9QXoJjA2UDfuYj +af4L5dckuwuFUgA5lurWC48aFJ+47CRzna4Il/MwBWUmOy1UqV0iAJUq190xuFBs +AYU2g1DuIObTsTNbmpXlN8rQdmkKT5VJcwpjrouPcy67ZKq8CO//B1gUmbvf0hvc +GW3I+BO803HYfQIWzwVqZwyaePXP01ApmKIuHadTE/Hvf/9jOn8EtKNlGg+Y92oh +Hu8Oo45S4TJ34NNuEVmj5zWLushKwcglbmK9fkhRGmoKsWOm8wGcehPfW3dc8BNA +599AZZKassm3bhAgJTLK+kNEtCQ6pYMfDlH1F/Ll9nPUcohcAJH75q8efzQppfqD +rQHegVjFy4Zss5dcQ+lLaZ3yswQXjcCy8qfg+Oig/MRCf21ndJO7m35ivTigrqfi +FQQKrna+uXjpsTzioCtqGLsoQp8WRs8xA2V8vLunSrHi8JFtHZzeeQPIwpUMyi0Y +EILfk8fOcygouPudotVGjILfnshWAGvCrldyMX1cTnMdLro+n6Ie1p306/fQTygZ +yibWJX/wPECot2AWrUoytGZWYjoy+2ycOJms4nABf0g2a1TDja6Ahh85ypJsp5yC +22HgRCO9JRwK4fcEJKYWEfLfI4/b8Ncn1NgscXQtkjJOtaXdb3SH3Sm6bM19HeZL +6RfjxhBizH1NSwAxrzKQbnLu2efMzY/50Ve2UmKKAYMjbKdrW3a1jFK2R0hokaFB +HWyCrxKIeqjNhOm2+LdLgFhFnJqrR5ZQNjYdJUGvTOG3E+94ge9YCJSXaz2zNWtv +l2khyWqk2kU0ma6rKgFWv+U6YxwcTVGDKBuFU9PS/CwTruM87L9Yn7u4RCUJV0xB +Wfg3Ra5Cca5qIOmy+LlqCmND4rfr5md7kCFDXGYff3ee+e2lUIqjJyJUKq8VWN4g +irDbk5zBYklpts3UvccLYM3HIyrI446H0gn8uUUDdqk1svtTRmHZ4h0eShRUEvaT +V+O5DqAINDtnmz9S3NNnUW8f/BqKpMW1oqarU2sPSJf5drt9bTglLMTiVrq98JfQ +QfGnQMgx604BBhiz+rcXY1xpyMML4PTP3N4aunY3+uh/b5cr59/YU6/KNYzP5iDt +4qXdo0NV1M9S8EkVlDxbfz0Xt8TEd1n+gL1ieJg+OhLl3uOIqGdDA+t7mIQk5OHf +90fZcxTXLubu4w9hSZlk25wuwL3pz/oQH+2G8DSGEtQbcfgmazjCoY+QCeG8cskS +or0wl1OxOwjwoZXhJTNn8pM3bqkvzOWuhBpJFpzZRGrhsDzgakHrx5aBgagftkBD +l+Z1wtgY4vJocoV/VB7+c1ppSXDjvTQHQrr58zuTLQIfR6dcPlOKThLq1s53A9No +CsnXk94LF/RmDf11Iq5/eEh07sYzUlmK0hS7ZX4M2n3zREOaVeHpt9e+7UuMey54 +QmebR4um1uJgY2w/8+rKbURaWIvGRzVXZ0s0qu7yHodd+RMtLjA5ytajoJPA7fWN +aBa4am1EChWvr6zlLxq/ixf/6Gy5hWscS08Ig/laF90fetpBh3P7a7U05objgGvx +k3y7JtB82G/zARtSxCCXZdrb/kr8AndHaGCSTmjGaAcw/cuTjlP6uAtUED1fU8JU +AGeYi64bM/cqDKcTFdVa41MkVNL4Jn/aqVD3kUqWsJ0+Al60RoJ9/6hy2L5N4zpG +TqzCWFw0H0SLYCj7t9IUpiyV0qKnhBIV7Hrq6ESKbuzcmR5N8URML16zHaOkXmdt +N73NkciSZ7sH88uLj8IYTHOKvR75DBJDEv+BYAxyJvlFJY+2cbK4dOxJoMNrBFQ0 +Ewm0swaeO+XpH5Uh2XLYNskPixryEcaAMhlzNt/6ddtc7hv/F46doF++5FVwqCGZ +xm0fFulZrusL5z2z8kJ+9liBiVivp49sExJeYnYagYEgdKuglO0OpAaSC0atwiIo +erx7+UF+iCdSLPDvlYWaN8ZyQ5Sa7J5gqUP/8TkqZo0/jPiU7gW1cq0Gn1ogajPS +QkXgLRI9GFh2zraukdxkJJ8FaBpHRGjF91SbVOtJPqKGEr6ViNuZ58c1nz2sDVbi +CG/t0tegGl9Gbb0SnyGbcEb3py2em3RRhUVvpasC5F6dbpDZR/0HZ7ahUQmICHWW +mw2Qkxw2dOasfa5KNo6/iSZ+Et2ydAqEoFFfLUm+QEC3rOqr3RLbcme1sTA1pYR/ +kuO8U4Bm5MWb4rThSEu745pVmOMnoFPY34sUMfNatCayAl3sHWKJMOifrzSHEPGM +m4CHu3CP++Ti8MVjlVYNg2K02OSfpeXwBcdZqJm+zJ6NGBXBw0zZMRWJG60FFvgm +tZL4WD248dV6aNqRvcuNPLGKs9AUNyTwHwAGHG6waE2zQlda0UGlrGIzRhE8N4Yp +/lfeHDMpu8PGHO/kAAdyxrJsJ8k0k5REvkfrb+y+/+g+C6+jfZGyoCmnOmrfJrb8 +v7ka2lTqNzztLUqEAIZqF+dAf4uahbJv8/374P1DLfYPv4Svxy1OpMrYgcidIlwm +INpMfNi0VRmWRpJK/LwiUZ0bnNQBMb+7mzYF92ZxjZbdDTdBBw72uEi/2hLEmi/O +wRfW3x1EY9Nw/r6GWLEwlBWhNt2NKN8Kw2Vl9dzL/dSXwnU23sAGwLV54CrJ3cEv +c3/bmAw4ZU9OmZWsHoFMNiTv2cNL5hDYmYR5STe7D00t3hOUPoEsw9epCT+OlWTN +PQXuwK8EAK741zke2uMTDTkwdCzliFX91lkxPTEY5+boQY/YZTdOfziU1YjVzwrb +JwPtr369f/YE6as0fSGqSc8krJF/IPrIMBhgjndfi9a2RmZmyZnt3XOj2r/Q/hna +J/Wc1aAHaSJf2NhTvoc5RpfW0BtAmcT6Gi0LZQF8LyxVRFJFi0KBUvtmQp62AY/D +X4/a62ZaQYP53gwiAmS8uGkhm5hSH0e1l9sUQtVWXm46Owipq3PhTMoL/uNY4fOp +y6aEzKISBAnLweCeqhhkuQqgaGZwmnrmiRz6qlYwfWSltqOykdPoTomERN9vyvxs +JIUBC5Izgexg8s97zAawjVEGo5TVQ3e3MyTZweHiVrwhx98q67CK5+LTsvJCMlxX +0cfGQvRyUtEHfu3mXNUYbZ4VmDXexADGzp4WGa7V2Y3Ja3EoUnJBtsSYpbWPXKWv +Kp6pQEZqFEp3fCeNDQlRHmtXlOiybWVXk533PhEGgIhWP0/vOLYIe4ZOa4bgUyRn +lhzxkbJ586JfArH5T/bhAxamYmTMEK7m+4PSCMuAZcyM7ehNgEhH+LKVgvg2nxPU +mjGcl+59ziOxNfSy6mW4hkNyMu54V471gEEKuX+1o9tGC/RVxWeF2xf4uxKYyl+K +ysdpYnDtGSFWg2t29uUtBO9nY0vPY5eRDfsh99xjXLboCAB+NrugBgzhkpyDNxQ2 +zAYR5iKZjqKKp9qwlhMzG1TMmD2OLYqgOBUcreErQ6c5RveeqQDFTg3w7uCG8l/h +e/AoNPzUBOy1EGBnGu+XrB/FI98ICf9BGlTKRZ7KEgeXvUhJdmLsbi/7p2+dhzUX +Hajvpw6jdwAkC3q5sRp+TzrlO8yYvn/h1D4tTmZUiNC5oo6TAHWELHKhZBnYF3ua +z3VvwlKECG5Mx0e8ITZU5SuYQB7jIJvpE6vtAYuioDCGY4DzcBEUDl3dEbUiiTxy +Yroxb1IxVfWZcBgCcuZFIWhpnX90ZC9NldI1pe96mBdD90bqDgYdTWOrEuWklsme +Zrn7RbfstQ5aMUyAIlN2BqBpm9Ss1vUjJs9uNpEInaZ/vEKvhqPN9rUFUdFiHnjp +CJqx8MkPbdR6mU2yTI/F8+tMr/D+19MzMkfPYrPjZTNxTc1ejksgbfFIzab+z6vS +7yHGd+49EdGFM7Gplg1thPjOLAC/E0Ui4B+oiNKTCphpWmzUOhsUSlmA4GtjTHE8 +mdlpbZ22YZlEVpXBWSZvEvAIEjAQdUjTq5QPpYDVLFz0ePqQd6lQBzY4Rc2TNgWl +Cn8HM6hk24Ysi/cFli/RSdE9Pkws01aEZuJ3RP41smL5R0tJYByYAsfjMbF39kDA +p6KjHQSxo7WxdyEE5UEbccCtU/mf+1MmxrQY/uXFJPXsWV9cTExxHhdh1dfHFcFk +fIynt0ZPmQiKDqXHaGtQzVE+EfudBZu52/qK7hNLQwht9RJoejpT9OJgvFLqclzX +qCluFOq/+oHclXuCY4T+E2Yy6QED4hMnOQ3E3LQi3GeHRC9dbXsKlVhfGIQIarXy +0Sx3RP3NR7deqqyZN2QK2f/z7AkOc2qtGtWqnA2jWWP+0zZZUP63c4Ogq8GQoe58 +Ez/9BFeNRmOH5ElyVL0EVikM1oCqqh1zttErTs9ggvIAZeZxE3KnX1DJJvTD145H +FntBG9QyuT9TRgJZw7xrjkddO5LmCKrZHSrY+owlAL7oYaZAIuEHZRXDfdBHRrPG +o0Plza+yhniPfpWnvow005lInWYhUEURxew2T/k9UL4FGdOx/qQFNCijX8qFQFjl +9l0kPSY8+VzLbyM8h+XP8XsIgQB2Xbmj7kRH0exsxCSuDG4+Etsf0W6G4srurOb1 +xUz0sSsYAVrS4t2qVk478MtWelDqds8X1xJIw8ibyb0iKZDLvdqdyP8SPGMDn+wK +DwQH7SLnLvAVQ8gaAu8foE42oT0mrnFwHxagvfAPulI8MSNqudztpXPyqGoiQN3X +HxMTNdlKU+foE6gEY6YebGW664cMYDFGNcB46l678aRHb7iRaZrh5zSfDmz7hzCi +zwIW2t3TLqqSlmstd8FDnaxspo5AmdlCDhWnjdnqZfIvWTQhEpcS8S0Rs9pNb1/n +5Px9tVznX1PgXLjfwnpvVQHqCSOkgjJKPyBquhrRdnBKjOSGFjKIe9Gxlvb1SmeQ +NRRr33z1RJzHb5q/cTlWSUTkb9NfpSfF3xkcvyBBbqpE4URq3e+ZXJbckUb/x/q6 +4whJCoomin9+s5IF//WfPC6kBzs/G/oiu8bqJpsp3AnLSM6qBZLbjshA+Gm0QSiV +NaEkI0BbEsYwYO0RpKKp1RePPbOJY4CeIIUVOT0cZ5UxisrVhdJwZXqXNb/hT0Vd +a2qoh4KP6rAqZYVFjSn5UnU6UyVf0ZKk0GrccqGsra/OVlFeVWPML+NHP6fI15Al +vkVhia+hW8Iss91jv6XxxyYOJkp+1TjAWAsmBZg6WUxTQkm5N1YMkQK13hFN9FOs +4rNa2/ih13zZkFFFH1iNHkyRapTzZ/KD9ovV0gWjjOWAm2Fyq9Mx3W061l3QNYtO +GGcNHxirzwdIU9/aW9psC2cJ1p3ctpU+Mgp/qZqHGQSAl8E0XB0Rw0eZL/2d9naW +JelEf/H79xD4Qx4qLMorMD1FQ1mrOCbko4VV07gsbjxjwL0T3cw98W7OFHWcedvP +sPm262cztl1255sRxoH9dQinwYMYV5Pf4u1166XTO/qWasROcmyQ8z6tzONqmLYs +CXAAAx0iSwKbv0Mj1wx5BWelv/0rYrBZQmTmoJEOpgapdSs/fbzxEWXwF7ce0GOU +RQKSr/8mqK3Pa1mHF+q/Ae5dGaETnG5iMH9/FDRFBb46agg15G1P0BHMax8MxPmf +5C0nSy2+54zjZ+1g0GWqXrCA6GRjvLJ72z5qJB2s7Njet7T/Be7LI1TEgwpqatG3 +Vxyd5nbaU0tMohEuNJbI9n4/o0e4qBUjqHBohpAshLCqM6jmwkr1Qi7M5wzo3fYc +9UIhWhUZbfq8gsoYNA2Qa5SDz8qrJzhpkZk2TDOiLvgal1J5a4dyhSjDCMGaTBGe +2/pt7pEEdsI/ypZLa5KRcGCeSMmOnHhqHAJuguHYmbtFKpR1OtOyuRsBEtUGLL6T +fL8Mqv7NJKND8wLRTX8KYBSDmd9KroSMoxdtgRtYR6ABwDMC5LA7/TE0q1znP1KF +1yjVh0R7wfs8pdjAjsbyq4IVeNBrWRgPbCrUREULgIdhuihILKGULl3OpL7cq+gT +Xe2sljSfed0RBnRwFbKomj9T7sasnMIT+hGlhG6/2y6xQBdOQvvajAWJuyWFFXl8 +y12pBmyFGo+prolZ4varblFQH8HCyWKHQy+KeAyYo8TOuZwTeVOV0jmkVSK6tV+j +F3A2dtp8cKvBiH0xk6PzPRxNh6lL3QsYTLgnlhzxVEWOnCjzqqWbf1Abfaa/dcUm +9I9O2JeVID+rx6oGahyBN7huBgrPCrwS8XO6DzfykBkfWFVKME6o6Phr3xJCfisJ +9Ru/HPxXGFZFC4OINYoSb90qBDhVkNBPmYXUuk/+e8xDeBk5T5oZapaK7ZIVf2/4 +AnxiAeb/H8Q5HV1+InD2kiaj+kOxiUlz2CBsxyls/pcy1JXX+4ayskWdQ8K2OoEj +w+AzNK36nvoOkv1Z7x4ouEMwK4OpI8YZPpPKOby1cB4lZSvPtnFBPXMnl4jDb6Iy +cokbCAj7WhLgbw1+fEdzOpNvABoS2TlbP6GpYE7t3m6ZfF6v40xKqI1cUniOMVEs +LqaF5jZ1rP1L1QT5uEw4lhRbElmhB9DvZEerJv8bILp08wIS2IjyFyUL3G7z/J4B +MIyc8vrFyPwlLfmUezrp+t3g1ay++IaY3igexzREO25/43VpSkvHWJtk5xRh97KV +gQ3d0tl5qqvSfIuGfRnR0owcJnB0OiMT+K66NNhcTxD605IjQOatjVfoGiRRity9 +VhQbXGNHa58U1ExFLayEcWtvoEFlVvtMarJY2/tndYHbJG2nplVgTcbZ/gJzFFl2 +qn+p8aiuo3vBxPR4tg+q0CWNuT3b5afNBm+f7Nif2ua1vRFM83A9m5jdtGPK8sBw +dbD8YetQIZ7529QbaLtd1vIxvuXduutJLRce5mcFT+fzbzfBYLoxoisPmPD4FqK3 +sh4I+ugCJ7XxOgx2SPMecNi2XY2+qIqacRtCg0sGunrqjjiEzewiQi0a76Sw5738 +sZU31EYGnlt5A31BvVVS1KdlCzDyEfg8QAGVqfvU9Ze6MrYECUolcmBJjXP42sNg +lv3LDd1/ndvnafFi/UAqLmG1G1fZbmF8nekkEQliSW0IaoQKnIyErd9ZiDLfvv3h +BEIiXNPPRvxKjuYKaWzr4gtER9GKuCD+ZMkl3ROQDCgwgMQ6zVEhVipn12RgmDc7 +qPIURKoI+McB6dzXWYUcViN855xtvrzRv7qtnBhwFyRqx0Xm9mJnn3HuqeYfiaYS +MaZ870W1JcvIQJjLbEqKuXoZDf3LNUc2QW16H3CUC1oj2KDR4dSCx7Bk94v26qo6 +2FsiAVp1Romio3CFqVpo6tUqaCxISePiyzF+2dVpYNVKgzJm4Cf4qKtLmnBCzHqT +1bL53yPx6fwjPafmcr5KYpTqTKzRYgSCtXMFEyZxadQxuW4YC7XXQwB0EgxMBrep +jTkxopPbJb6m/8OFIiE/RvSjY739RYjIUy81IP5E9K+jLrLp6AyneI2S7hXmDVn9 +dgPgNFxzg7j97htFA5aCMlOw5UkAHLgjOWvF9RrR01djssPAFcG3ViGSeU3IiTEw +76AxbR6mFVPElqi4MvHG6SLMA1u2seb1V0uv1IWzhWi5hFGydveTn+SiGZXB1AQS +/yHzeQcQvcHN6E/x5KVzm+XuD647bBu2ThpF4Wd8DU04e0Zg37Rb5k5M6x6FNoS5 +6jGH8oURrWJv0C7wFHrlrzWasW8HvQcpvjpaZ4XUjMp71hht4BFGnUx5Hr3Fvq5F +BQ1lzwvIsXCjeExcLj8f3iBKdr13tGUua+IKpmRW9cwImlF0mDpAkpGR6IxWflY1 +qilDDp2j2Z+Zt0s15B1HwOhbkPewMDRmMGomRv2yQVy3pMHH3hO+r7yc5J4iWKDh +yWknRm20juDu3Mx99aCq4vYfN00ORhE9w3qkjWwjd34pexvQ7/wZesfVtpl7Xdpo +S1iUiwm/UB66UYVulAHDfIVSEQU48QfW1YficpuAVvXUZRYL9sAhzvHhZI1hS4N5 +N9+C/dsIZg3sRLExuI9JdXQVwpf54tOvUkIiXN3EAQ6jEyYguAvRJPCJ0I8cscyX +WYeyrzM4rl95nONjcZ2p835j9uzEoyqm5ph8F2yBEGem/Wj+YXyoDE20d7t9qCzB +5HX00FpwhkkVUdDD4gWISmBFcQcVR0hY/BjFDWkWtx6NKJBUYmCl+lW8JyCcVS0Z +CgfeLfR7BjI6ByXLzsF8XTgJB/MCBSwGgvQVuQgPG8emKPSzJBFjMRK0SfBvKZ/Y +X+h+ae8JahIzqxA+NJzntJ1ETGSfeWFjhLd/EPI7hF5H4TyE5H6BlJ1abfMjoOOC +3ssw52v8PRL7Oit067mU+0B0ZDrMBpiZ9smI3H9OYwBqh2FjaZ5VBQegvP8Z0C3J +6rGGo6Y+xPIgZaRglOEkyTpLRU8PiuEcjIBQQPFzWMaUu7i9aIVRr+foIZcBeM0B +jOGPSJ4U4Aqg3FEB/XQDUZXUMVwZJtFZ55A4y69nTtVMS2QiMZ9Q54NCdkEyTYdB +6EhcEHTz5Skw+B1CxTGvjacX/ZXE+lAJm0uV3JSH5vtqibnUGQZyE5W92NKyWt0b +8j7Qd4Qgmr5jbuNCN5/QodL+wlQdW5wGBAakQ+OVYq3upKndow2+8LPpgBFIh3jw +82cXJ16yn8UJbE5mf6P/5PUkZ0otleG0JHandx9OaLFzZuxv8AnV0SaYFT1g7J6i +wp8LE6+4LoDGavbZnl11PNWc5+robY+2R71ENpTznnoO5C02Stjai/19zd6rSeOC ++lG2nFlmUP4PibOmEXVrgqYgHeBYn7SDr35typHJKIl/tyuVxygQfkwRJTbkVdR6 +9NNzaRwnGPwIY+pVUrBO9CkbC72gdhT5BZBQkQoOBJNzKf8ZVqfZC/SWUhyJbCZB +TjRzRfOG5TWOd8OOOFvLatbWKaFU8jKgbsKwVpMJF7fhOfriBkZrMJrHYG8Qcx+U +APwZvPDrxX9omPKEAPFKB+z8/X0O3vjZLQcA4N/mXnaL6cuRKSQbtrNYLdjMwXDT +KCygmW9Wwb8dOh3S/SENJyb229R1DC5mX7/RWbm3RfSgmYdCNmZraU11JSTmfWGr +D2JpZ124MFRrISXk0cAfcjoaEsC05hmaTkjOISClr7PKpkRFiYUQqBTlj5Z0JRDm +u21aYYjCkU6TDsvc8GYHkWCc32Rg7ucPEXRkTLpY53mYpsiFTcK2bx5l8B+jD0x7 +1+LgIiuIRKmlhCPTtx6AuJJW6ZffKZZg/UcSsVaqolCIXP2jPO8bRN6DHEhjLjaM +W/FadOWKb0QoscF4g2ckkR/ZIvynYVBV5heTrlbQrdnmR6vltAb4L98HfNlevBcl +bq+6HGTe1O+t6HUeLZ7tTL7lVvw0q/Z3WIJr8Wv4hvTRBojZwE3oeR+xDD9FnARX +2HcKzw8sWw/86VHHP4h2u6TD3p+9zBVe8Os+EHkT56R/F3ave8K/ejKU+eXYO0UX +gS4Bs4+p+DUvxRsZA7ZYF3FhxhgQiisBypSLA4rXEAW4R2YgcsFJOgScORVfPKTA +y/cFJMXkb2RGZ1Q+9h97YjCpCjKlZe+G3q3UK84Efa1ryx7F36s2qnv/l4SDRv/b +t/kHLUiOpdCcIE38+tqM5jey6UWXiycolWqOzsmSJqSBjpLr9ZmpKyCFVXQ/LZgj +cTh7SdHkd/I81IvsiS3jQ0dD9phGBTZxndZ7POjbt5FU6TvAenwkzrAFuqyCVyx8 +o2gVz5zmM8Qtm5/OXvBuFwyv9D8LfSBq4xUSOdQsxVR9CWheSLVXxGHAcFzap5pV +e/DAPBnlOtlqGbxEHZOlXSV6ZXxXxgHLkyx0+j7QYub9QraKUkOkh+os3rJhClvF +wmF9rTX3YQsgptMtAMRvZtH5nmmDWKTCEmwCOO+2RXipJ+lNdjpL2c7LDuVqXo3v ++Yuhf0fLnb5B+xSujTLipBorGqh/WkIVn4l3Z6V8NwQvOiGFWvSVJ4qQcsnnq5ST +CMofKzWNVfjY6dVc2hU3dWL41vt9zkyyt5JXBNi8rR1tcKVCueLxH8GUxjBoFXTX +4SDkABYUsoeqbJ7s229iIfXci6rEPhDDwZE3AkzVrfzQsyf9F0SoqGWVfF8VPoGj +9Gk2Ko/77T1zYtNQm7F9AzjagPuA+MIEay3oFed3hzkZZJEgCVHMmi2KbeifMZxO +kQKNMzRTd1Svge1mOFvW7VTQ/6np+OHyZkljbj5qcKjLYlwdvY1ICDlxzlbDtCGL +sG0LL60GjBGSFhBAjS9QuHyDo7KwODyqP4ZD7ruW8eMEelThP+xzhTlIfOzBkU3D +mIPKUxhB6UGd99Kekw1WOh2lvQkqV642uOoglOQtBT9PhVtzDQH51vJavQtxXPJy +wNn5LoGVn6rgOtLLV6MxjguRQllnBR16XEI/4bLizpoEFON7BsC/W2a/hJb8fF/P +H187JmwfIbIDA5J5npIB2ZWqwWN4v2gGrJGz3HhpiOceJqqq3OU5RwIrUgN4lZJ1 +RXODum5QXQjRsDtcJKGO0mCX0ZPL+h8eD6woqodrQNWrLej2bWKf8NIcH8fMHdWy +Nv3HdIokvE/TySykSQkjOuBrBCysGpw4Gk1m1k9+WiXAHOGz7VH8UcCEdM/MpdBl +2a4/Zlun0Gd1C71qwyWPdr8hPe+fGCsXhFjAyaZqM0OqpF+EJrUKoUjW9+E+UB7L +TXf0+bvM2karIYQGCynjC78BvRvn3ZEs51aROxDk8rS2G0OQrBuEfemuIqaUqPm/ +yoBZfqYJpGBUeA223EkDYNdFPlFoqnUr+WuuRlLAwq1EEnUi9fAyr6RuN/VBhih7 +m6n37QXOiWQXAa/9R+hRxs5RUU4rJAjwqA95Mzj3Jc5Dpk8V1dpIDpyNEEDi+yRm +JG5oK9l0/AueBqTG41ju2++b2yjVVtsMX0dBRPA+zj9tOUj5fqab+dJZOFnUM3qu +OP7pb9JO38k49hMW7zF0NqHAFlpO2oEVkpyvqBup3Q17DQPwRckyuVnoOG1FyWd/ +kXbfk4Xlio0J63VfTZ85ZpV5VbckBLxxQb9UTtgEU0TSqF79T2L2OVVzLcFK6hMB +6K6XCWmvtoq09l1JipAfpE7qkf//LSbFUirrpuPjP1McJN3HAtEgnlGgYcSqu9Rp +0gIJZTmF8Qbf5UZEKnCP/7CuWUZqCX4MjZQtAnMcEmsXsoR7frpLykyxrC1SQJUG +o7cUrEC/2DCvMQUD1mLB/R9y01yFFNQVAye0vr8YZL9z1LTcmllzVfDZrEwCrZ2c +ugVzyhfNgwZp37yC60hpwSBEOD83P+B+t8SEYu+LPPckfM9EUrItNuxM78A8Flwl +Kebk1vy7Wz18cg+2VuloQmYbO6yOkplppadzLBmkSe8pAE2Z1pYmUjF5P2bU88Zu +q6XXYzT4CfVh5tGIYUgBSJjaoR6I3QuufqJtKB23TBbvi1NlwEFpNDYwhHQvrhcg +ayQXk9v5qFA+sZm73+R8+XfpdwHdQ/JJzNlO31A2TPjIGxg55ALRA/ygTlhdsFRZ +2nsmH6P10pm+bhoJ9E7aL+7lhi1WeInLoy4EQod8IZBDAvumAncYmPNW5oQ2Ppgs +Mp/XX3FqjanI/LdExq6YARYkyZulozToD3F2kyM+o7fcPqZQpGOH6+McnpIBd89a +pBAeqDnGh8LWZ2Nsjk/nqUfNirZ0RpVO8Q3PH1RjyZl/d49MfIzhsuyxHD6hY+eI +gYS/mharLo1RPdSXbZ18vCGQyrxfq0o0fi9lFe8eXtEf6p/DdhmDGx6TYJeWUsup +T+850I/n8VPloT5ob5Mn6e89zc4hOB9p1FNhO7nZgH6v3C3g23FCDCO9QaDL90kb +p6qS+NToKv5fqqNdUS7Obw9jQS0GlSDxlMNkRpKX3ljUJkIovMkDu4qnQ8PFCJT3 +2tpfMmZN3TS6+N+qhIyzuxwzAO+l029Uea7YJUTUbNncDFnVPkuxKYd+l0g/JHlI +PjTCyfVKb1m8PUtjGKCBUYGI9CtKABrylF7mgromkKCeChHg/9zILnN/LohJQvfl +CqF71xSku673YuQVUstZWecm4iiCnhxG9PaEl90oCU5Dy+lP5Lv66PmbZa7kocmZ +/I9YibNZcXb8PuBA6bDIZr4hxBZc+O1i97OytOSjqsL3uGjm+MLkSuZhJjH7EBdn +wf/W0f/Z8uQ0Gcin5itnuPcXIA1Z0jsoj6F4jWKXKKLqvUqzTrSUMf9zPU8wJtfM +gtX/QtyKD/q2QC+MAmWjxFFtJMs1+98g1Yf8Xtr6q30P/eccqkOhJ/RZZb2A7Xaz +T50/rkRoxsJ8WIs3MFoZIznvDi2Yuq64fVdOkSZyFNl4prBo20Hd4cPSiA65XpNa +BDOQ1NcfZ5mw5CgE2iDnzLQxsUtajfkmVFTV7i+VKANgVedAWLnKBc6u34Deffy4 +cBR9ul6eAv6RhmOmfzpwwR4Xexukj5qMLZWwurksUcUMo3PY0nGJGBoX7P0q9SBs +MhJhwLW6eTlABUmlwbe+zvxgS99y7fEhH4wEwNbBg9w= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_tgx_cdrauto.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_tgx_cdrauto.sv new file mode 100644 index 0000000000000000000000000000000000000000..00a639830a0bb4222b123eef29eef247c1c99ebd --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_tgx_cdrauto.sv @@ -0,0 +1,245 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +U0R3J1zFuPTKwWxPcPKagGAEzZJZkTVCyTg4CT/Znj2NxQ8z+5cEJZDVHACQov6z +0H5wZbglX56dMLi1dXZ1TD53iWnq+cLFzjsX6Hf3am4ZEhPimmCFUQtPD8q+aSS2 +oLasYAdsq8f97ncTkxg3+egoq+ico3SEndm+6N0S/wI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 10032) +LjDuIyg1JhjibxNQFSOJrZbdMZp1xLe+6JsG3ZJG2q2XVl5uHtcNboO6vddceX+3 +qpCNRVqRknR55hESnambN1175tOgESqhvL5OxGADb45d/Nz1+uLUhiFX4onplwka +ggfMYCQKpSle9O6qNov5lsNEA5Wv4JRirqpadUWeVtWVDz3ljycmvghp7nLNKX6d +qN/rFjS81syVw19kXUejNrR/QAZOEVGPKNS5Znwe6COmqK6E+86JXIOicYUDEyh9 +t5xGgI6tqL43QoS7Pby1Krf8hGptszLaOQH6OawQD627nECiVkvL1gNKt9qPgYOy +FJlI2AJy6uT9uk/8iN/eolC+eXhyTsP/wCoCrgMtfTkwnCVln6SjwqQb+dPcu/oW +gonSCgFzyvi5c5Kr7bEBMxduxY+V2ctJZpil411iD0HuAo0q/mxAcILmuIGhjVxH +junZ9kzaXgCLpJatgOKOiHOWWd5l+SnSAoZ28/SVRC5sR9yXJG/nplEoRMBA66g9 +VEVb25dK0RifEXpsGkJc9Jn0dthVNRZsxGRap2OHWbk+mjeJJBqxD630Pnudi75i +bvRu8qePfmV65RvMDAinCkzzWzf4Fe9V9MOSZYzrnZmezgeRwSS6hve917dKXSL0 +Eorn0v6M60VFpW45geWIVz4Y+eIuUenA3RTIIdW0mckf+eq8dYvMVAwAfZl7N/mz +uUTL8PxyHHZXND/GRIIA1C2Hxt7nZjY3wghumSTCaAu7U1j7KF0ZJaORvYPmY3ah +NEoaVbI2V8oJ71krUstIXZRNagOZ59dVXrdzwDn0QJpvpZTB5Og59rOijbk1M9QR +fUCQwJQ+hV1JCwLgpzX7m2ss6DT5XD5UoUylfT5aq9BETAh7cHVCtxUq4bCuSiFF +4ZNl2icrNH3wxw3SGXXHM5K+rlXLICuscUsVpK4hjbvI8FWHJjrMTO5jGEmJRNvc +dg9axQ3CfMo6gDLUFRsXNO2fUjblicaaVc3e/9xyIbY+2c9h2LNNQby6vCSusu2T +Ha2JWpw0/1iAZmf0yS986zQrfx0DZDwlhIsbo1BwynEgf3iPaXKaRQUrxtzHDWaU +GrmEWS9M4B1/zBJC6vU1TiKfqA5/8cEWA+EmiF7A5kDYLVLJjkLhOK+I3qcgohOI +JQJc4jfrd4hdTaD+LHk9R0AFhffqt7UNUMzZWN2krkOUGUHfm1iSN85/gH0ORkgY +dZOKpQAKDvOOKU6Fjc7wl40zxok2zzO/uGdMxehM01dIXz2X1QzcOoO3YnHf8/AP +2yjLUjGszL30Lx4vRNa11UcB+AbhTOUE9gVjWnqHQc27N5nZZHst4Gngdjdd0Pia +dl+lY41gMoLfshwSDIRkONLmLzCpORWuIfVIVTFEDPoNzmF+4C/jpSDLYMhb4ScG +8AkTht8o03pHdWlcxyxxYyBQlCKXzigZCer3Wb23soCHpDEwGBZ3Itt/eKBHqfBM +YMtuYz0aPZQmH6HbnOD5FAKGxJc1+jhvwNPqnYhKbNkYWPBGuCWXsc6K590pXFQl +5N654D9UGFBodV1slaR7IERGfaC0uda6WfVTuFeLIsPh8C5+EUd71zCcQou3SxGx +lxPUdorb/I2E5cL100602k7DHxkaxDQpqu+70P/c1vsjXj16WhxUMGUtqWO5rKf5 +Ep4Z2nAH9a52NWOjyf8sQ/kpZVueOsGTr/ZxTTI7m6U3+arazv2i76mz/OTXL2RJ +lYLYNGo9O8AkoCFYnI5L6FTnMEmpdHIQ06F1qM0lVf0mk0j2EpAIO5fuaP27jKM1 +PpuWXHc0yhkNxbq5XuNB9iTiOqrCeRCgxsH8heWpcf1mJPTBamz6kIWSSCtdupbC +9DjxHG2YzBcImERRlBkldLzcAo9OPW85GZHjI7ul+EwKliql7vU8MVnbBEEZi8+l +9fPCxFUo0mUUFRHgfJnYNPBLR5dkSsDXEtoCn0Z1UMAvtZ04Oz0wW2fnnuLp4/J9 +LUZQTvvFP4K4aJzBJqYZkKArw9CvdgqLMua6N5j7umh0c8W8fevWTDWwmboIfdrC +GwYLy4esBZsgpJend1dfDxuSC+flrR3z9RNA9qdvbCqxe2nDoUMQZ4tugPdo0my2 +AiltVku4+4OEMUB2YlZrmASS0+5u429omy0DywSeIMF+y7G8cPRiJ8MHVeSYpItt +zl1lfJD5zxInQZubpRZcGoODPYwOFnYx3CpqPOB9pSqE843zyCjoPrlDxiatxSbX +C3DYRKRtXP/CQeMMv7GwK/17Jws1nGG24+3j/NT6D+KKtNDATij76AqnJ1i/dYDh +0jExTUHoFSXK3t2kKZpRUGmeWHjGiX0Yy8fhF/hCrBTwg55IdwOIfU+bqkOoNTvK +5NYY8xZ8qaFAomA6shPeRKo/00yRIkCrlenNYt6s1dENv3cC0C91PF6V0j7R8z9V +ce96Py92XnHmMACm4ZkpxCxMeP5hOsbrkxhvoPLqJ3XaTLNceZMc6JI1IrmKobEp +LSsBj/Dzq3JAe0jyQ+jHXt4vz3oJ8dPLzzKFB3snPvUsJc+B9R1JTDr56rTlceoW +GVHa8y70ZG3A+PzGSOHnjC6jLkgMu/PvzQech+VjgEWqhJxElFksM12U33J87esJ +OSOk362Im+McpEZwyfb0AGYCRTrBYKILAdu01QFuxzDLLDuHefk6AzjZTmiwCn45 +8guKxFiezrLh72xQpUkTgYZFUO+uK8loTXT9X0UjuKWGIbEnlG32Xfdx8Pdm2FUf +4AR2f7d7/SlVkW3uQH0HZ1m1pZoIZoVx/aFWECKGsSm004Rd+7qAOAdJ6xqLVbiJ +gaQvZuOBLN6wNRRr+DK/guropmMUxtNlGAj/UxbYDgBVQl3QpG7Zlow+I3PAI79A +ra6cBR8zcKVqhj5UWEE9EkJJ9lKsRmcgfQaw9nYU7URAKsytOqRqbC+jDmQZxYQ/ +TARmRX+Lr9o8RlCZkZHPFqHD8XEZ8oqyWjMo4yhWRz1XWvpd9NVpwoVxX2VZrHNR +dM+VXRdcrCvt0Gst67XFObSvSMrNq5GzS2I7Kg8drl5eiAbeLP/WXJgyogYpbW59 +dTBJYFS9AD1ZkbJJ4LozO91sR1cVokWeNjdS+dpAH5vB1iQxctNnSZYsLF4/0Pwe +t03PwIhCb5B/YkJtjDXAR4VMRGKyEYqCZmuyyENPA+218MtYqAYyKqE4J6cDSxTW +2dzomr6Zv5d/dX8+YZTYUKUuTLbSB1vGEH7wG8wR6k/iQ615ZsMm1K5L5AzylodM +1QnrvpuC1oYxd/4P93Z3TWTxqKrHNQ8//Ow76P8OKEhwFYZx3LqyIH2YMqIDCqjt +NzW+BMu2RuqhOtKOnB/QyWhpx9rmChh74WEkhSjvX8KQkC9imw9vRmk7CHpuF9zd +kmc+SoUBVlS1XU/hLDRfYztIXXNKEdMmPU+b/4Yn9v6FU39Vr1K6vHGFtpznQIax +xFCgC2J7navxi5AGtZmrJbgsXC3mPlAtvXbADlHiZ1p3atDizIs6SkTHZuRw/Nzz +DkXEnT63Wt2edSEZdqsmnmfAFVbiY/7aI77In8JWFx0LIzhxAbZAEzdUBXKUG3CB +m7+jVvf7fl/UOn0hpvo1ncw9T0RO2jQSUf7rKVjyQ4KNuEEjqzwISvWncXAlE4t5 +ipJHHbJqe55TQ3S2kv6nOERzEAwfLhAh/QUcIqiCuSlHHQKRxKzVmklhMR9PU6jo +qQazw+piWSBAXzsBHlg9mAyhSvZG0K3BjX5j1lCc0Wr40aUeCtjxvbpr/Lj2Rjoh +THNtkapf+cA70c6fXCcruIxS9eXAzr++4+caDVuNO2j10YcJt3P7DJDtuKdBBrCm +A2akQfCp3QC2k55rxGmKpE//dFomjKg1pvx0CDkoET23gT0O3Ln2DoKUgs5X9lvJ +SctXjxMmkEtvTncNHkOqkG1lnQRouKMiPn01r5cYjdHaAHgiDg8p8r48ll6CXVzo +IIDI9x2NCmAnY6qMWD+St7zeWWtb1PqW2PuNNmLiFmMtb1bq0rwHTBUS0Kof4zjK +tIdY34SFc9EPW0PFVqtMHW5Y/4gH72ncz4w5LwRBlv4oai1yjYTedS5QF/KiD+wp ++GT9FZXvRd33SPmThkXcUW5NWa41aGyg3Bto+HkBucqru5XW+mdC5tFPFdJ87r/M +bGc3wOsAnkuQ4+sieLg74/us3Pkb07swonyEM/Daa4cSvOdwBfojZhsvr7BTLxLV +sctybOCEbCy6QuE6Q1Kcn6453sILYzSLnmF312tnz98VsF75EiedvZHa/oNTp+Pn +tfn9zZrR2//VN+BHuZ+QjR06QhH4ySWYysgIC7QVfvP8vtxrkLe6B+6VTzdk0sw+ +U+Q3f3hvwSEhyg7sFrFcyHUdxzJISMrg3wg6XuosOE59yxmdKWqeC64OQmbYBfYs +hFoQcU+OpUJlOP0uCkK5LHx4RMU4NQ1AEmqLgx2pQycCN91cQSXHBZFzw8Krr+6H +pwaiCZhPM6AIY9eemvUqX/Jhct9S6Dsg1EeY9sU2LtBlY9IARrNBt1hDYXHKRrvo +3kQjvJ3Jyoq0877sY5LiHMaa+4nQ4YvQBoCF4wpUfnsRAD7URNKyZkLeCgxFRSSq +bRiXBIl+F7I/+pHLmPFIOcCNc3k9gPDS3sfH+8sO0F3i1QKlH6YzgHy2GITDr+mE +H3NNAv2vdK1ljaCjp3Sn+7l6wIlHoL2KmaoSXD81fgrJMk1iINAS9XGNbXV+PEQO +2qh4s0H6HDQwJot68gmqtJNTiciV1eCH+Poqf0DyAB7nc3lBwpvHnrkh3pin2kGW +r/xpZGVRM/nVPyCitNjMQcJ5wAUR1K7oEJNCTNtBPPbuRqeTwc9a+chhw7fZCVzt +BE3EEhR8nZrFIdboSCb9RFpm7ERTbirPkXuLsS4qWYOSTQGEYh7VaEkixOO56k1f +dqlgO1RhfzUhWmyOM2pbudHklgZ46briJEnb5mcTffImwu+lQVzKdlDME1xGpJto +NBzHlh5+xnLKlW3O9XzY9MzO1CgOKv9MvRjF+GKzW+QIqgKAlBmqjbt2as6Dyt7y +cuO1lVBGpcUL0h5Fb/vYjlv9QRmuk5dCsFK0kBy8E3b51IzO/RAbBIhvN6Tv/RFl +XZf0MOSkP01ZIwYKvs09HuHgVGxDa6MTJtRqRMJHlf63lYSkYI+aJ5MmQHr3x97J +0Ptt12BntL/MmK1K1ChG+PQOcYt3fMhY2xwH7aYil9Vorv112uy9zq7b1W4W7+Xb +prHBuZyCf7Z/aUa/jyOw3+R4GSxoOfg6NmYN6NgaGuR4vDWsf5y+bplQzf00wTTR +rlg+lHkjZhUATVnLezA/RAfkFY8m2xpPXstAODafz1ZwKmC8PhlqYh9KbAgxUy46 +zRx8FwgLGzzL2tIbA0Tpx3B21OkpadVg1ePsXuTskssfxQTawkNJTX5Jo5ER1XOD +MJdvpqWwZK+aRcAH2Ufb1wYnWkWJal7dDSoEBB+F0KtgwkPiAVLtBdoiD3g5KwIk +tH6wMGL2hrNEzADvHXv6RgLsLWiZAremnAV/2xL9Bd0rT5BauW1rZMRV/s8jkUhj +LTixKFRGwgeLRebZJeEX4EPDO14xYZKEwBmi8pqIM3m5x8YEd1p9Sotygp3IqSuv +q2DuVE8ChksY3FXmMNVnemwKkfpPlXuN/afBtxNSC02ls7DZ8IfEooQYf7WBpqba +tKTxhuNdcHNuBRnsEk61akdRjuuxXFBmIVJQd9D4NYMqyWLw4XHda6fV2prGKFxq +tXiUk/KuhI/JMKMaEVoYToa+iulirUBTRCAVTlwOm1atsoyZkdv0QmtqXhGyV3ZG +BranzL9OSEyDAdDyWx5vPrp+k0C4F6DaTwpwlI3l2fnvYmaQ3jB5+cxziQSinfXg +zyTPXpIozmJTqK9IV51gfz/R68/Z+5dwk6Z0ATy53Wuat0qOWPoEZAy4H4czvHmm +oq1TaNlQcRRstSTGWngfsNasSjCOVNuZWjv2C8Z4HjrEDcxhbX38RORgspSiQgSO +wV25pATjfvDDdRd6BGKYMXzNCyd/V1UqIKuU6yXujdPBYJg9stPyYavEix34mZGT +rN9tSYXhZ62fhnB6B8ysudaKvNJZalk29atTTkkrwlx/3oTwP0QTpOPHbgi8MqQs +IdnpHW1Qds2Fo3wmjNXJcJHURHpjw89+Q1BJ3cWdbWRl/uvFm+X46fN0iDvqlGzw +6uZqQ2UVO8DkQDUo4b9ZAYDxLaK63EdVA3sOD1oUWo90202jFprRCjGIHFgNEf7Z +fEMnD1lwVzqljyFdXE1pK7otPPQUB7ZuajgGbPr6k6y8KA8/k2MZVxupm67zla3J +84bRv5rXm6bbr86WrsZK8YoEn/eeT/tvH5jXTghLRuuHx3bmEABuUbSMBvFJhNt6 +MOVHOHDh5cIZZp/RJUpUvcAUPNGDVTs28qqCl0Y3nY52AFzsYDpBWy8kN/f8D7mf +XRXV67+j/D438XHiYCCb6YN2OAsmxvGf59H4m+H3l6N4Tg4JUJ30ZOixmp2R6Q2n +XL1uvhfKvgJl30OwPKGmbLoT2KFGwzELqetKP0QhLyKU3fJR9+wkF9DS6VMZkc66 +NbAShYSZep4thYWRpJEvAjxnc9C93w+seeZTOTYaEnZfKjOQ/BmhQulFdjG+Econ +G9DDPNCYFdaweXoZbJ94B0cMoG7FkEN4Vk928myNSZR2+L1IQoT8f+4OOqdtJYB7 +dQhDk+VQ4N5++eo2kUdh9F4sgZHrYIFxYKqDug/ewZPQblHBNlCWsGoaBuNUwgBf +s9WhFe09kJA0+akuD5JFkaQGbkN/hgOaa/74OWGhfUauaEuf2yLyxrnZc/X5AJq5 +/8ZDFcYN5atD7RdlKRAXMhGoHk4HO42juvXrkt1NQ8qna49GNOWCfxcEpLruESSW +oSxC4YGi4Qz4JrwLUDJ19rmbH33AFk900JCY9zYrdzYq4MfKSLlKR1Un+U6NYhym +26vLlntMkCu5SoTxxmxq+QCDNvHjw5UemTn3pf+dXRxumFNWyBndiqVKEC9ue3IB +fomT9Cel1JMAuamKiP53Qfg8Mepym84i8ROYysOeJtLQz9gHVhh4lCYsNmtNQlJj +BYc81C50USilMq0ks/+a3NzSNRWUIMsk8czh6K61aiLfuADqTVow9mcGM1NbwXjx +RAlb9rbHXi/eSGjzQWDXWdn2vhegQ68EtuHrv4CRjdx8djgJU417v2Jy09EymJep +XHWGBRrKMNlSUII9h9FIHlVVITNEjxEjuRcRI9HBrn/fcW1f/Sg3a5hrz6UArs5+ +xowQS0dRT/BH0GPf3NfX15t3yOeQ+TODP/pm9lKrXxcDct5YFFWX0vuvKM9Gsllu +jRehL9dQK5Gm7q1WD5/V+tB2qSIiRpOWphPpSG27sPDPvmch5QXNJIl5n5cIQx0X +8Z9JzoJDVzSiL+TmZzDv5oZpJGyy1nOo0LgHjUdFRXjtKamgtlb4s86waqNMwNY+ +ztdK0HjqB1EojJ+7n4SzuLuZEF2MEUUGlFQjljW42EsfR36pR49ldxiDmYQxGFmS +NhS0IaAqSPG4OOGZjOf2rsWfVO/GaK5kZrC2pHvtlBStvqzD58kegsg5LKkGHPKT +C1DyzxDEVvng5XWSYXYFoN8/v8Oxndjb5C+82AakodOFxIRN6947dbJuu/z0/GFS +VCGfN0RhHkXZgQcCtmIjjb98cdURV9lIa5YZmijVPTLVv0ueqTw9Q9iGkcr/eNpK +hDZ8rShAeldigRPKeo429rGWlO/6K60b3SndkPuTgMK1zZVGu4VBQJ6l4L6YQeWF +sqL7SL0p2TinTAD587pcEB6OaQW8YwjVHPHrxduEVtrfvySEwKaVH+mAnfbJebaQ +XSfjg2ba/5MP7mqA5ejKY0/ShQEgYRkAJWdwDGMgzbD/Aq60dmz0UuwZCiZgb35V +zFoKJopRJX0jHdXkr8cDgV0bckWTLA6tkeMDCKjD3MR1V3OVmf9VTazZlh6CPPUu +SwqUysZasiX+ZCc9dXNZKJUjwtr31rA0J+HRU0ah9P76FKPDAgukk8pDjUwAg8vy +62IS57JAf455hH8aLYGTBp79Ex2brvj99PZyBlu9K/Wbltt90oL+cH7marEHNv28 +Ufeb/sPcXzgi2w4xkzm8H2+q+GxL8ZpleT09Qv3D8/2sYrN4JXkdSkXNArqT/cwp +uOWQ1xCXQACdNJL/EnYs+eW4PO4UrA30njJigKXCaMcG4Ql0XFStE529Dht2FIGo +qAlZ43+qypxdR/uYdpfCuX0PJWID+Ojpel37A0CPsQ4YoBWYsZe7gCjVoeE9nACL +t6+yLLUyXPQgBttm8INgStSR3FDzi/wqZrlGLS4A/e7szVKMh6NwvPzDiH1X3Gae +aai0CO9U0lNNONxQE+a0WtjB4MvB468WRmz/dGymqPK94olmh29DHLLPHEI/36mi +Z52/M4cbOPxVBdL1OsEw4+ypyX6+lTd6oHqce8NmUwG90D3cTNlxYeAecDJY5lz5 +0g7NZ/Q8Ew+yWTkySsywoiInh1qkUGZkRscTSW+3a+Fy7tfwoGFnqPDHDr6ZTbVr +79dpOJdKo4ohDicwEWLnPPJuZevPObfDBxlcm2LYzFBeeXI5hYjZc7sRICLonlbD +FvnvzOShrChfwhyYXgwp45UIDXC16HT5g9RL6lwde8w+IwV3Yqe1wh9a5D0OSasu +jZdt28Bw8Nxfwhf6fgS9e9e+rAS+a5gyyI0BwGUaTi4HKvaeQZ22dHXyms/MFFt4 +BVZ5zI7Oi9tATEVRC4Y+XF2kzxbxrJbavF3/9fL2T+mAE2ZNdqTbwW4+saT/RWp6 +cb1QhXabGgCnd22r35nn4i2L4xTGsonYdTRKmstgvVGQpPEGaGHliS5enuUsbKcm +rC1mhyzypnBEh7rloGwfNhF7ZSGQnR0ATUq3p52+DJVTkmWnztiAEvFUCXS/N6md +bbT9nwyu7jhYs91kXC7DtoNfzyCwk4EJvEdiVwzGNo1XAG+3qzXsJJA31byeGIIW +tdO6I4AKXJV/gXk0p4klT2I+A5I7TMtLGHI8JAlqLZwgArLK7vKXnux9QWUMvecA +v5umUX1C96ueMXjwBOH3uSFVwre2jn7z0yfR5wAnJwAuFBpqZLnhxy/Z0rXbXPQ8 +tGuvyVVSMdXYCeTCGKCnAiGpKNblduvI16ObtcrdlwZoKdAcqXeGJUeOBObXKpzG +5QWD1Zg7BAjvGTW3DMXwnqT+cf6gZlt6DKvuS6w2Sev3yk/E7RD76ud/Cls0HntX +y//QIkr/8A6Ctxc2BQ8j1efLbwG6uZYpidcYrCC9Zma6EQUeHvIxxbKtSjV0ilrT +PEbo6BrVFp5yMnBdEYUHCrjVXYd2eFGIRpKbU5IlRWwQu/4zpgSq4mwh35SWtG9W +gaueBony78+QSjrwlTrnpG7qKbgzrPygo4brmfMwRlDpO1xLG6KUc1lpKWCCxspK +olBqCoKXdlQ2Oiycj7qSgpnvoOaRaaa9DKZDBKxRsB15/Yhe8Gr55OVU0/bm4lnp +8ZMC5koYPWGe9N4KA6ht34Lpfd6mEJ21GHkmL9Dr/CXYV+hi9f7na/JFJ5wwKWR/ +mtuXPN1mf5KLr7z70//EPkY4OcUosMUJ3E1Cd5kQZXwyZvjt8ZLHijcDfJrQvmXp +hCr+CNEiCzYZXauot/KAy7WDOAhlY0byPYifeo3urQcvC1xo3UdfODEn0icXOQX2 +GQnwdh04SNKaAhM2tmuM2DNDV2UGw4tqezZ8AFIlWQlCm5oQAUc8884I61IFTF/1 +TMqvSYusngj+nEJaCXyH+OKTPh2ODQ+KjYOpdnnwpMCA9Euka5LLpT4Xl6xhaP1X +jC4pLbkhvDXhryGM59g2obaOxnwa/voVL4Cr3IKH2pVo9QQZTxEnh6pUNc/Id8NP +7letkzL20FntqFNqsWJsKD59LUXyBErlCHNDUKIsofzi6gzlcWbBSIsyyxVT2Py+ +/CwJOfpaX+RhpFR+Gw0BBbrUTqMmfvDypNIvZ3i1a0VuEImVS9UJRipRS8Gc7C85 +jVzoQXCD0nkN4tVhOukA8uBq5ShQU0z27mAnelLzWvGLLbJ2C3mTtXtmnLZ0zkyu +/GVNANww4mWza8cNWiTaYqpEck198sBnAnEoQzl5ke00IZ0Hp+yzg4PwAynma2qs +J6lR71IaGk2ekir5AVW+PCb8Kt1OwquBrdUtKI+UdIIcawQHGKIqWWsMW8SeRj5S +iHa7XGXjmyCeRFpsQ+MJJikZicToUSXtUe5moRPeEDQCIEIUG8M6rD+U8A77vT3m +84LgOSIbzFZG6rVp3sWWhq0D2fPyo+3d96APAfV6W7x3ofgMK6K/zbGq81WJ2hJz +bjCe5FVuanc5AxBu4AI+y5DYjU4s2PG2rOei039DC1Qb9fgY7jxbg3QkZzv2w12m +riMus9FV9eK8YCQMD5CFx8zxNvuUIY9Sr0u8W0XEq+wo803d8DeVW8rVrY7TCWuP ++a+8Ui/qh3+XSM6Q2NjwZko8O0RbY3aBcjjMzgWpXUTmLobYN2BiLN33xWPXF50V +x6tcByhCvo772BRvRjKt8t2r6RthjIJeh8+4G6ZIBBJpvBdrmOIURS5ejb5tzLSr +MYvGVOlbkgnU9Rk88Glraa7PEBhl1MQTXldWsw+rTyaeGqlf+APtWdATqNge89y2 +bnLmJQxxl+ZPIl/ccE0iIKXaB1qI5BwDczzt8Lud+BQeS9bRhsZMRIgLlbrhYBof +b+BsCA95mMITZR7sFZrUXGjOPN/3C2pvE2s5cSi25ZrT/J+Vcf+qMwHnPEnEMpoH +fW/8MAZOB79tZPInxgVulbGEhkoE6U4ZKufvsP93bScPHuGQFIOGP2LHvjPEZt/q +R3aIGFcHgcTYpVNMwwI3PvCshVljLk5SI50lB7aQhBi8Yh9jaNAFK0igpwzufxzp +67BGGsvTz1kvw+XvBvi42TVwSApUsGYy9FJg2rC3c0POJKb4mrONEuMuQgDbcWc4 +93TqUhvxXa/LVpJ0XhqNklmD1Sv+uSnL+8dgJkx53TBKfIX6icTX68YMhc00huZS +NKH9E87SJzwjav4XyCi2hNX+r8YY4ObrunPU2aUQL2qQ6RpSwrK7fp2AYcWnJtXb +Mv3P9SUmp0m2Uf0XtNPQedepeAmTCt+M/Bg3ip3VgmH8DXpUpDYCapva5KvcQ7+l +iQYQTY8AeLKNd067MhiE8bUtX5Y7LzQs4K1dKLE2gt8pu1NT3bczGtjicCvloY9E +UQJz8NKFu+cezFp9GmbRYeiRBpHCQAbV/UjoyvFl7FrFNgNbR9ZaL2x5/41JAIhW +QfmUrOLP6OBYsQ39113nphZyF4KFZJkIYBf+MvyRuRCAVIpoOad8KIC40wHJiaI0 +P6ExGvZ0g6RQY2ZyPMwsm2eu4OOKVxetCsQQdSWdev9Csynl1JiMKGS6g/PWeONp +X1siPpbIWXjYB0b2wjdLHpg+nVY20zY0B4M++rufBW8e68VVx0nBxKxjWvmCKHP+ +MRvh1ePXAFUwnIhNKziBobv0SytPG93g+FOKxgbGORN5frogb270yezcaZysY0ah +SSYOKsh8yzdituw8/YfXFcroIQ9erhsTVTla+ekEvR7RecY6fvVjleuU69Z0CKCu +KO9pZDwcBRfWGVRY93s/Dlf0CgfKUj0hNDBgjkYer2LtO89BYjaMTHu3UlOJLskW +1lRV8fcRSpYApeavALuIwpkqBTGX2pRVatwUg4OKqlO20euJ5vFsm+2JAThK0jUg +rC7E4KHlnbsLjJCGjoG82fP+sfpqspOqMwHb/SyoT7iNsN8b1uffEmtD/BvC2aMV +MdQtbwYQ0XmLc1WXqd8HBTXAn+GXXl6F8rumGit9aaCOMcCaRU2ekCMiZOq/NDlf +d6Mpg5JQFqPrtiTrwpnfRIcD4KPDv8GVFj74Rk0GkuJd/7D0FKNK/tmQARDmnXBm +av4vjokemSNI/lxLNe1W8E2BzFLKokYAp8f+78onR177OOE/tmiOKwKKIMuovz+C +jBbiuNFuwUH7klj8d1aQkM3lk+RreN91UZOP3DoSily3szhiHOEr+819cw27oJJ2 +CwNjU2MfdrvQt06KmBjwM8YfvIPFkWoUEkkglZogLwwL6IkWDkez6jLqriKkbem1 +RrkzsIaHBkwqdnXQAsJPZcPchZShzDxauXEqh+6R6MbzkNe9NHsRM7nfo23InOCP +L4jmLUzKxSZ3An8V3hSVePv+oJTnyrJ0G1ffogS2NlheLGXVM08ANNsk5W+5oBlK +rNNmX3qb/Tc4oes+d8ueEcSuljmqdNncMrTqR7Iv9TYgTsNaaD9NbQChWTcjoCLd +hStv/vVh8bXFLit5kOBnWNx8pbtRo32ooaosWp9ZM0lYYEjWfxxyhzv2KhSlhSny +odrYHCoFFsthAMn9wLoNUSOGRore45Kj+T1cwFE5qxwlb09978llH71ApBBD7llH +DY3W3vrye+31SWG2CiW3mzxi8kTD3dE/iwl8RIEwBsVA97ocVOkTORsSuxPKcg6l +IM2ZEJhIP0O0xGgPU4VUwvYRfOxWVt8W/7YVkqkxW6XVPqzugD4MNKQgxgiycy7K +QecbwCDMDkudTMWYbfNU4Ko4I89PDAbc6pCFIcf/OQ/xnVXMr4EXavrGdMwLb9Sv +Y0yaIUM8GIikx87qcyBlCbt5ZEVuIhROUEmqnaxFGI7hkPGqTtDmlzavuKXVCNqU +wbg6KuPcHkRJ7AmjRVMdcj/tUY7xKK6b0JMyhbOaN8IRGohH1KEZP7g7jjvtCiWu +F+MPeiw1Gx55g7WrNWLdXazG1UYz0ObBEAt1BMSs5QlExS1XHH8JEcWIbHQZzcDG +QAzwlV16rGgpkvYzAxDDXObDu9YbpI9qpl5H0eKhr5mYU4547uGBfsAhAclnLfDe +aJhN9amSLVjKRJEb3CAg69O2dR8pjB3OL4ir7NHoSGGxWqEsQasj3pwcoaSplg+b +PpqOWUaYeac1qFrjOFdnwCgtBuqr7R6YqrJcKPHWPPoUroOZc+mhE+LzCVqPU/HS +oK+eO3REX1hmBhuGPVg4t8rJLZNWa44HhfSOKj8Z50T1alewhfZSACOrGFpq6Gn/ +Z/0Vr5CtgkNf1W9f9u/tZq9mb7YlM8sTwlEcVHc6a7767PZVAaJJl8ktAs5U9HAJ +PWkTEvEzcxIV/aGMBB3xOojwmYhIdroq3tkb/UzXP8m1aAaP6UM5J83/A9ge6p8S +Sw55irR0gLEsBLE5StoV40XEbnNJCHVdnxI6W6FPEmupkSZx913NTIKyack4lDVY +816QhtC8rXrXm+AuQGguVVZOxaSdH9KS4UXS4XlxboKQuiy6Z3HBO2xball0hDwI +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv new file mode 100644 index 0000000000000000000000000000000000000000..9201fe9392a1f83a96bded3635bdcecbf1c3cc31 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv @@ -0,0 +1,100 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +kzr+GHJF6c8r2Ktqgeo7jbHeqse+1y5i2fQWwEb1cSP+08nAoqAy6OVmlxJGDc1r +mLOImcyfb3bMjBHbWqCUhgC7ivwxiUDQ/YrqbTCJ9zQxKz5T0/qQAzZ4v17YY2zM +00Re0NHMdK06PlP+94zS3uLQr1Ofr0PoWzK8LhRxtCc= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 3056) +SzCAkWI7b3ZFTGmksrDtDQ0wLcju7q2fp2/Mw6CG3DZMIshQRZkZ+cM8cn3Z/igW +s10cOWQIqgS+PfM6Tnrnye/oYaD9suyxCDti4te871zOnJx5lbbT6hQQBqARebve +eeIM8kHim6fee8vz0Rw5oHwLTlOs3TIbVUQFw3M2Uq22fP6OggHP2kqXGjFg6gcN +ZWeBV0Zodf+26IJEfkUjURTmt0AV5wXeOGXMjXXr7ANqlUIz9E7fhU4bPfmDCCwE +PPXdEWT99eFuj3wsDZf6BhJL5Kjr7unnrLVPlx4rOcDSkE/7PbKRpH7NJeCTuSuR +jeBmE/7A6OQ4Q59Xq1t3aCipr3BUgLC3swW9uyFzVDLvLS0YSf9xJbCb/FDL9cAQ +7g13ZX2dElSpo4ZS0cf3MttEiw/59x3aSWC5b5vIkrd4FCSEvR2+8lGHsdDJpmYR +X/pghfNVuftKksS7OCpKqAQJphBT1QrBwvZldbuvA7qw2Sn3qnKAIOntAV8giU02 +j1+B+fyA9C6v0rH7ijX6I1uRAHIIE30mBdqrbmb3DFQRKk9kLkvXAbidK5j74GVV +BjGg59htMFRp6Khi7uI5rzTx0loqH9/96LUi8C/x/W1SXxL9Y/9D3r71JPL4Hp/J +uODAg9baPdSLHEAI7Td4d0YzUlM9FyXdNB7x0WvkUq4hlpQEXunT7b+5M89RPs8H +xCxA0Dh5mfNH+suwNCsx38uRehLk7fNYRlqc1lGoqoYhbnbd3cNZaF8xGy5SOzxE +Nr+OSOVPKhLqOM3UTBrrqk57VaLyadKfFA2gaIPuhlHI290tYrfLkIcK11kAHMle +zi1CPuGjOmfAkLwHOD+3s5fC9xUW4GN8rkkWrwQlVsJM83YgGlWiHFJZob4Khe1D +4M6ZU8DBtkR5yXhoDKcVd1UR+QTKYM0kO5HPhQNLYyNRplIcnDVv4LHyofWV/Rx1 +VuFglabCoPLsYmZ17/orKBKk1ww+ENYwkW6NxWgelPnU7v/JA0IRgPT9Br1BB2K0 +16AUPG8a4MlngcNrk+5KxSapTW1kHUvWPgzYdreYX7jvZ9Idjci80UCHrAcui1kN +lS1w+O/eVyt06KWrb3L17CdQY2y8F9ghaRI74RlBTgX8RArgTs9SBM2rjLkRYo9u +MjLre47nV1gn78Y6H+bn5PXh6SkJ+2QVXeCMcPwggY+Xtb2dU6aDnDiqipt8Y4c7 +edtOlUZZJIGiC5jtAvbISFx7jjZjSiYqBCoVHESP+q9zENfDWTUJE/xnxL+tgGUW +LCqw/RZ0Q3QyX9iGZ5nNGL4DhKBNPg0BOdjB0xE9qhAmj7BvROJgdKDUAcS7+yAQ +Xcy2UYH0OcIMFY0HED7xc6AVOj5K3WRhc6DUoKfWAywavnMejOrBbCxf8xlFQWeL +Z9T7IIDfxd4h0cAXnrhAqEip5rl9bazj9jqpQ4g8r4Ah0DPLDqep9F8GVEHZ+3ct +6Wq4Dn/zwftSlBFrohTXbgRTjy1pPnCQdcZj01f3E2VEQFWe+nI6ao/Ca0vcxZyH +h8VG+hPmKqaHloXJJo7a7ChcYDRN1E/Dzc3lG8mbdSZ/nE/PVBcK/VXSTK33wTF6 +oIc3jKbuZ/isOPlmOwyWHOTVdcjyMiUXn7p4rmUiS5xrlZ6Jm3RvG9D5sPUAQnpL +MwHgFtFFXp//5GKEYQPoTtTrD/E/yTOuXKSggGgycGJgM+1xX9ILTpkWeMPVZR1W +mbNYr5EMF96x8clGD+1+m6o+8B4sX8Hw4E1j9QGesnXSdmem+/0LpuIZSEJ0VW7n +eg+oDQKvxuBltUC7AdKTSeybELqkw64Ci9I6gFi14GWmM0LgNFr0eiPNt/UKsA3l +mxu5553zRCDz6RLKePITEYWxjMnHyDTa0RV2i+B9/4Vsj0nVGa/pzZdCOZtYhxin +spD6RBbiJFQ+HAGJKEsTELA3r53Y1lH1osGcXwZhkR4Rk1a1/EW+tdP4DCvllDvk +xPA3JRiWQU87LqM71rXDpf+DdsRLWB7gC06/lAcdcUkUeJ5k5U/h2W+ah2WKUF7U +ZGXv7R638d4iyvND/RRRQjekklGqyv/yN1cC187Z1Kz1C4p1PkQheLWOWw7f2GpR +W2P4OyhxfHHQhNFo7XuNzmtHqNmNU4vXWCRMENOceH6+YT2oub7xMmO15MqiQhnb +WVatkjQY0w4tHhnNGD8vN7kkwCFm+DGFe6zTWuAkNpLbaCSojzAnHyxmOFPfV4WF +OTThh3alLzMw7L2x1FzBTWVppcYyTkxwhE8nu3JdmjJoMjk7RCChxOKWVCrKYa51 +761BQa3rnlNIY1Jug39lXzE85yBsOgr6KS6RCfwlKK2G4RLY1InYT8zdDjONc3fa +VqAGUQmpB2WVoEwPrSRHOJ0++iR7EgfMWXHH+tjN+KfK/yOzmSTi8+DPzDC1c/3P +QIwQgW1n8mgo2pPEr4WkoTSenpq5C4BiPBIM1g1uc5cXlIjYjQYegSJAfYMsBZes +Bl9YFbrPqokNIQR29md4CFHchwtNU3hLBwc01Y1G50O7ipYdL9f3hq1H7FbMZIiB +y0Pnek/n9/qLthvnABcQBXmNZ3+9xX6WfbGa9a535ov2K8HwCko8/pjrvfXXBHJE +8x2r9JiBSGeaaoVtif8JD9iGMht0shcihPQbsvXsdrfBaecnzN0HWRaaSNIn4ZR+ +FHNGJICJ8ujiARUMTR5oQCSZRNF/21O7UTavMwRgggGAQ3MK6YGwkMHH6W0tPWA4 +60FJTFMSW9/+6v7HcvDVbWtbwshbMXRkbOMUsykNWIQ96EvZN/u7TV+flHYqRpaK +WAIQh79XmZTE2qecuXsv0GRfsBaO135rlFu55y6rWI1b2lJZIAKb/tmArR1cP8lo +1Zu50M3R9ANsgA01zNzLDP1rZZH0aqusgoLtTvMPVNxUCeHjrT7WLltOwhpqyd67 +hMlW9Ccbpx2bAUNVUdaAFuBS1/KW1Z8ZPVU/A0BG/Vskm4gOHxsQ9WEZEiNTFHpl +TGHltVIlDdhwVQ84WbTK7okpu0oF5kTwJ/7+e3pQtn/8vbSfS2mBgwk6o5nj0QwG +Nvj2SNr5WIFKvxx4bY5TwI2qxYC3cSQoLQnJwvkGPdETqEuIaAnr4myi1fn2s1Lo +zq3rXQ0jrbJ8EXjs/cdYLLT+EA54+xqDWY4y79S0KNUIB+XLy1BitG17a6EHSSX4 +UYnr+K/GLh31VXe87/pSr1zLT43/86ogA1aOBQmGlbfxs4QLESHz8Q8laqIMF+M6 +WxrSiEq1SqPC36s1aCx5oZT/sIQ08uoDWnsWvT88sDEKVpnjphqnEs1j6J9pXrlD +iccpG3UBSvztTX0Wt6wn2fKZPcSLyrbByp6n3T6FLaM3b1t5ULzBS2KKQCZj4137 +refPry9SBiq1VOhL3r9JnXawcfQvAEQnkBKEDWGJhP7uQ19UkrJ2KD+9rlUJjUYO +V9juj/7CCcDmTuBaJLFc9YwWHLazJwp3IQi5K5ip5tBsmTj73OBNIHRo9Qcy1Fnn +6Yej3f9xyU+Hxrce8yk20+0dsE9MAsp9CwSZIWtd98QHLUWD8+6kcd9J3P52dtHJ +V2e7Lwq772ygh5ex6Cui351FNU/vu3gQbQfc2DkBZjyeHD7Q1OOnpYY76NNxnGxy +yMqElmeZ0wpfFa8tvOk2JOJhWlRG0OfaK+3o+r0FFU+9K/06+VYlmtNmFhhZHt4/ +atYljYrOBIe4PeanipfMDChV+EW1ozutF9zFBXNEIS3tJ9UB0vDhcTzXoUK6PQxP +7UAEFSljkY0zst43+bG0PekuMwzTU6F1eUcN4l3FiKPZ9h267G8EiT7/M5YFyBNu +yKl3jlJE3nYJyeIkiy0QRuh2o4nlOBet3ec/xGyJopbXfHHkd7Dnz7rwnW6wZI7K +r7jXx6f7PjYXj+eaZEGntvBcvAkRrWb3zuSICQ9ackcsMsH7O3baTXPJ4idKigMx +pMGzhtM0UeTbdV2tRjeJX9ZlOb8NqPiaklpur8gjxNVKSbHhel/r7Ub7Wfv/EdUX +aL3Ui9weC4EsnZ1FC/9DG/Low/lsOsu2t4c+h9C9nEo= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common.sv new file mode 100644 index 0000000000000000000000000000000000000000..05d47a63ff795a780953a7207b4cd30623e546a1 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common.sv @@ -0,0 +1,244 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +goXNjNIgAuOaUEswCAVhKgi/FLDKtO5SWHhudsQxwa0E9CiHm0eRs4dreCDCtIJ8 +V2IpE4BYoE1bOlmnsJwOxfJdbJhCBT63ZDpDpzcwSHgSOIWKU7nMck0Dv2AfX/V7 +QhXXDICUsIqys5tYQb4ggYhsHZVZUX4GWEDLbNdtR3Y= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 9984) +cCr4eGm9sRSeK49Kqo5XcNHrxHo9e68ofSMCqNZl7uOJ+ktKtXencoDIKEDYaWwm +wMYcfSz9poyJgpYgPuFVpamRRVwXMwThfZjOKUDIdYECN9zI9tECPi1mszoBtF33 +rMi03EDxUZmnn3B1lMrQaICh/wHQHM8EApsAphPokigaUkl2l8y6IpfgJyO4mkpG +uyKOX5z7qkcIW16M1pNW0bR2MtYOibW8RRMIYPdkQXEbhx/3PcJuK5x+aUektvQM +oXLGX/eIcumOuE+xh0G6BLCOSVjUwu4Pk0mxe4YywbCoqCF1Zr1sDyWGyErdhPPf +Emg76S1GZyb8E7obx0JDNjvwltKPTBYywYd713Ff4o9QQkGrTwgpjH26IjLodlLV +mD7+wlYCbpX15/HZkMiDV6xOcafw1peloNrX1tshRWU+aM9FB45RMy0w1k8fJoMh +SAtKSb/Y/x1dEak8UTZ3h0JspKEAHZXARZZV3RIIMQ0xfnFeCvMktiCM/BTei931 +e/FjLBRvWd0Wt3xjLjsOCElayxaWSZ6Z6f+W5lFPVPOkXhYMRJAiRI5jkNXTX+uQ +X7H2M8FZTITWu9uzpMY2cOdgm0g37hzJRdnHmRJjZQRTMOW+jNBRH8rGa0rrnLJP +zYFosXlAAJb4g5a5Zfp5tfrkn1W8UOfCB8pHqssdTXwHzpdyLTyadOKUx6uODqkI +m6U2SpnP+/Uy0SC6rbhGDjZENUTVmUQQ03jMjnierA7LNDJeRWkG50RsXp9JJTda +c8DZBc0Mr8OHKPFPwt1A2sLuB93HMWKXhS3OB7L5vdld5ztYcE5F3W0Ch+5dYqqq +lRFC1+pWq6IjKch3qgotfzuisQ8hNMmA/m7bJlcGLNE4vFAN0xrE3wFexjjrwM9w +vJygb2QLCwAHrRpU2Wzkl1Kb+Uh0jHjHc4IDoZOr5qIcCNf3D0jz17aIQ6/L1TsW +uvnVn2kV/jlN1+Aicf8BsxU+iUw3zaGE65hvltKVSar7e+1Rn+bF+iVdbj8mDywn +HyNnmlYAr2r1tJxfuxjb9I/cNuZj/dHcp7sMO1zGrJqLvrvFSGpqs0+Sr79t1k+G +Mh33+qBo5DjHRXmr1mQnLhiXIcQNOWw/fBRbyFEt9535M1FsLty2vTWGCKFz5w1X +cqA+Zk3H0TRpVyX9zoxc6QpS7/ZK9UWmfd/AZWUELkO2E0UxirRZ6xCwVZFBPMD5 +50vtxcV1ojvCR3xhQkZAj7LCetcTqu5uzK9PetB2sF8Tbc2UnkAcwI4qZ65GQFPb +ttGQ5ZTrM4xe0qpNUC8L36YN71vQS5OWpvrMNemAna4JDMpIpXnr3VIYf/t8V+tU +JIBpDyxQ7cjqn3zbn0o30QNVtTJk97zbkRmjAgIgvCx+SJLgW9Ct3g1PIaLCaQve +Y8WpjNkN+ySzSk9z8tFcQincbAa+4pR5Gyrbw5pWB+RP17Qi9+RnV35KDIl8sdHR +lYw9nYxO55Jq1iPDWN4lj+Q+jP6MEJLNYcVRIsX2S9VBcX8uSxnga8l4Uihxhwog +QbJhd8+7EixsOa5PfG5BSOIoKPQHxjzPYRg1Q4IAGXAooh3fCq27kk6VWOAqYj9B +cF1pVgzYlOsC4xqVAoKM5LJKSyrvb8LCU0JFLUiiVSYQOpaUYeB4SbvW5OzdRo3r +i0yOYFqdkG5Y3xt4RQrn7n2jVra07y26jloJI1Tn1f9BvCbjoS/NB9rQgcO5s6f4 +CF+4nl1q4oCd44sDc9L2sgS4GWFraI249x2IIUTAlIyzLlnEIVlgdnMWyEgbAdrA +NFckjCOtj+JLAJ846FxsyJh4q6ErCMYjXj6GvS7fFTy3eEFw44BdZ9TRnxYwfz9c +rhSC0kotoKhsiM+Bq24GmhhBVAyept9qfLiU+rwR8QuAFuTfLE5i0XHSGBJ53CMY +YBffBNNKRteQuyEetH3oiOQSFiVPj7QkfCD2MXRKfa7rEmzZcLuMZdtAF+Tjlrop +u2XRS37qJPcA92VK2/kzhqL0CFTSYB/Rdq384u6xc3wDJlcDO8UXGGXJrgf2yPvH +6wzrk6hqF+ff/3k7H7mWmBd4G+C4fNeLPDJ3yNpa0POgWeMku0hrF7gWsJv5iEx3 +lZGoqzxkGJIt0FDPINJmSi1U+EJhhcVEX7oKUJTgQDcyTC6XsBdWOW7hSWxrH+L6 +Pu/6TOGkypSnzBtw/J0wBsfvdE+OhSg1tCrmZDCLWLJJLkDiP8EQ26VPtuerQfxX +Na1DaDn8QvCu9NAp5ao2F+mURfiyfk/dtymu3QRpwrpWiVxeoLFXu2nQmwEK8gKf +HL167zQr+ZzDiJFZQitTQqxWtlHtcfyWOJnbUAs2EtvmWazFegh79HP7BMrWHKsB +kPqIpTrq1GwNG0EozZrtFWnn9SmN+zBnKuMakXQGwi/gfNu8bFWgMSIEKUtcwfiO +5BXoRPMmkmgWWvmRCFtknqHw9Ho9jQ3CfzdYor4AM/4DQOqlZAK6k3KF9n9qD0OT +Ca4X+rwX2rZhA7JFohH1OMiD6Kzh7sV/srNe8D9Tov7z/5FPYSXDGh9qCBR48etZ +BoQOqCe6J9XAeghZcmz/KQljVtYhtRM8V9iD+CxuopX+ioWRkcGC9vRsnEMqZR1x +A2xVFOsZVvcR61Slbj9SjtA6DbA+WA9oxRGwle3D0QpIMTnnrJu6CbeVzu8YMXtw +3EcEsOX+Vi3S1u3n8leiem68HzSXPhddF/w+1yVSgn0HZpC67H9WbiP0576cyT22 +xbIF8J1eALoGFlou3l2iHiJ+o9gOirPl6mrtvyhCgQ8WRzLnfZ7ZAJXcPAUSZD9d +MrmhqbRvfW0sRr/W+/B1Ya0m+ZMDPJAVK62vv0YPhqrbp8wOnSFds5ugJ1NPSfwz +HHfLs9W+Z73jh9mbcjA+KqGe6vW2vQTVqeoT0rtXcghSuqZScnrDFcOai0IUrjYH +gZLP1NqWBHrgNK3iKjJD45WPg6CYiBG//uZOSUN9VDpwl9ZLCH80IUM5cENiVBj8 +GdBg8PB2sRC7cVuRJn2uTrggPruCcpOLwK3xxKlCHhUuGD5HY57qbnCvH8TMivxn +w+3K06DKTfOPvx96qMomIigUuwPSFcWb/HUKL34ny26GDGHPIdo33mv+tn98xiqM +0RAcgTd8NlUg1WUXO8xpIWZ+OdPdX86eVYVt6XJFyazsHXNMuKTatbDpSy9Jwpkf +xIdGhZJJv5OtqUZq+yFQK9V0+hkbro6N+ePkmXpiARR4DdZ6KF16UXYvNGKUtITF +d7qg60q3GwQjHys2hPHOF2hZ6a9Vze96vZlIKdOYn7xZtLPCiFRNYaR+5EW3s3XG +O73SKZ4XYmSF8mZEyHosdBwJBLnf/1nGbRlhJOnYmhZIUITnHL6pqNqLgAYZxrsn +jHJGir1IVO7rxHpVTExkavVdU6HRDoMO6UPqmH6SfB6EFHYphzcszy0WYFCiTcqc +ZXdtf/URRTCwokscOvagoS3DVp6RbJ7GXkW5s0srIdoPZ4jgFU20D8q1XYsOktAS +nmJkdibiXGTeHuJ2FMTKlIg65+bwAtZSRnToYqZOBcwaazbM//ovTOm/BEwD1Kms +GKKN2dmCUWIOetD6B29R9vVMwBK1SfU+45DLj3vP29IQSzFGXZyg0EAPVP3c9O5n +YiXAlA688aVA1f2CSCyM+de9Ise5s3NU1au3JLy3fqxYUbX3kb81QcjSmAnjQppD +CmGtEqDNz9MtBVD/sIkzgr1KP/7qhePmggIG+dimltLh0tChoFxR8ZxrEGu7wyDJ +e9TFtqqB4N+FeQWe8ZCpKs2lATasz3/+74peFrjaAOqBZvtm/9uZnR3fGHO4YP3W +AY7ST7wkKWKrDsABNOoAo0K7rSvL8Gq0eiI4obXT5dOJLt2WL9EVWV/4r/TKeAdP +DNY1EPLNuf7/mBv3HtGrCPbzTqEPJJ9PRAQCaB9iHUY6sucQW9+aH4/5J+8ZLalZ +S2GKsVwW4X7JNt6Sv3MVTcUdRVhb4Ty3XrcwX+NYdhhFoBc1GNkuUmNEoJpSYreG +/xCsqcegJsoANiJRrI5femf/dwT4he/63YRhol3mTLYhwqudG3Y+qxQjC0f3YaHN +QJEdp0jnTvX/EjrUeY33vmWV1UPRTin1pFFhwAlXYvIAxI6UVNGldP8R9jfOOT6t +2A403Hiyb6boQJ7S4/mN/19vLNBOBWBVablzJLBxoo5dLADQqFNbbtdmC4aZSSHp +J1gHt2od2XEIaNJal9Het1mIglCIem2fxe3Qd7P029LVkvOmyolL5SWJGQ/wf6BN +l/R1MEPAJZWlKHXMClceIWavP3EJHgEJgcqGeO501YDs6L608oVLDZ48ck1L514r +62knokOh8t5FqT8iOgQnmF5i2VSS2KsbNIHZfD5e97mRtSEgTTnWGv/IOZz4hUya +1rRh0Ovu9iLUUFGhf87mIYuPiV/n8evNpJCRvBpi4+F1qywkP2ftf5LMctaLoyep +A0ZvOhAdbOyUAPj8m/KcougQt4a9sAeDgxHSSFR61iC8lwcp4tKyyNugT5De9Rqs +itvcTZ2ypPvE/hcU8cHZbdmOu0LgM8BZID4+DhJYo3iMvM9+eOQhixRr9uqKLVSg +GwqxjLDnonrrDp+F3KmeQLya1VlpmIiEQnUjUhEdDnxKzvthfiUDYbJo1egcvluv +xClLeOPI8iPYptVh3TTAaGbsaFt5pM+FvRmC9ISpCClVNbxTM8JkElDoUGkaKY+U +AWy0DOJLllwX1oUH8bSjAAmg3W7Hz9/zYoZCNxW9qs5gQPSY57dHI0ufu25LMHCO +rsc1uE1xBbT+vxDVqT4ePuMZtwHN1JfEzrKossQ5wPdWWcLygjiPZAoiZlfWGBGU +JJvp+WRmP0uU8Wog49srv+6+92PvuYUvy++YjozFcHKe6K5Zg+TxMGo9GMrF8HAD +qcqcKk0nEGV4z74CHuSsdvYxHYjr5HiH0PiGYY0hGDEtEQHMV7upsM95pxaFzEEA +qa7IM8ExxEr4iOyui1Lb/r85cHKSMYvBXEEmFAxveYqWnLt9Lm28h51W9luCBNkQ +KfCmyCmtmyhrXQ+YxV6kzO6R5frdK9ms4yvXuBmGj1yrqdXmX/sZoaLQlURu7XUg +ErDWDZm9DysbbivSou3kPjx5l4/2ngfFYZ4j/ijYtJNguqgOBsHyHqL59J7oSVJw +1TVsaiFFfKazvU6zV4A0Qexsu87eBKXDhrkPscRUYWl0Mbxk6luctbJepiC+TnvC +Nfj7MqQBSx3AkFxO+M6QGZp2nxbEb3fG2gQWkNUIpAbqJxSB6LgYkq/bNzIZqe1r +c416LLsD/P4MvLrCleQEEpNG73UlsASmbLfX1oO8wjYOb6qwkkEDKWWt4ggXWhuQ +XSxLDs+Mm528zNS1sPqqWUSAZF5gW2c0QYstsUjny4oZ9Aaafof4hIESSLH1sWXz ++lnenax+g/prigChOjWymoGyxyfVwZqa2BRe2bku1SMRC/84QOi9wLF2iXnz5jog +vBYn2cZnvBN328vM4T8wzOqYLiKuFVImbHLgdqvEfl/r8b389F7uJjnBYcEPZyVt +gYwj5qpOF6Mq1G9GB0sCfdylVM1211FWgKPAyvYkgLtLL7eqd8MHf1dnHz5q9YwN +AEzxYZL7eNLBcshNAvG66Ci5TN6ZUkdYGXOD9pEChAF4oMb5VC7X4FVkVxKteq0D +anBMUFE6JnccS70AKQgFZCTY/7VttmHKvTNmsUmM8s6ZacWOHxFKKc473Y3yKl3a +RlIFmMxke0F+4WtVV3RYl3YCurQM98coiH+Z/cBYVgCtI88mMm08rcJnTg3ZWRTz +BHzU0ugZeqoUryJ7hGGRRiH8HNuXMBiFazzvMvxojEAU4blvhcE+K20OyuUeU8at +6ERAPTBkhLKsgYkyd5gptl35DcQOTTR0WGYfJMkk81m7+VWjoCni72KffMleSq3u +Zg2io06hxYVeboEebj4ubjXljba3Y95936TW40GZ9fMFnke93/DwXv/6Ya4Cu8fD +1IPGlIMOW9lFgwtF4R3xfsZzwPJGfnNW6NMxEclM8WiRxzWaFD2QMNHClA7hsXM1 +m0Kg1MEhvnVE4f46kpKI2chgImuXtRt4Db0/hINeWNgpvQKdBH0Tv8sXwi5b/dcv +k80lN0KcHytw+XVLUr6Wjl0mi1kdPIgYmvUXJ/iojG/f3jwVkgCYnFmekQrVnbM9 +ixQ+Vl4ll+FzMfkaZHEgAyLqZJ47PpkmsTNuOxMX/b7fTN+3YxBfWaJCSgxsZ/Kz +i9Mk3AqCFE9QcZRGfIdkHXgYGyt8ddR+g2GsnKGvNYkIVKqrwqzatXPp6NnTP4I8 +JJbPLiVGJ1087fC4J7Ja42D1ijpaUvgn7nnYTs9nvQPoJW7cUR/ZiVSE3Y8xp+id +AkY99m47TA9n/C4DhGNQhviSG1NmupU9jNUo4GTvo/3he8DJn2VUs6eEprRwEwQg +knWCUGiSdf5DVjmh1AaUfcDE7BB6nlTdnczXM2nmxvMgX5uy1vjghfBAnR1AUvHM +9CQkggSSzU2VC5zn3jZA+m+iI0snUkVHoRbZRWYz/SAIaIjiY/hjItZStLAB51Ev +Cg/V4sOfsbBNthk79WMi2yDqD7effzXWO12+4s419XahUUw64wYCfmWGVacuUdwT +Z9+D7ndFERuX8gLWUKkFyNcVSg9Ahog4HtMrtHzPFzqOrRJJm2sdwfe3X2BlijHw +gG7tLqoHRS/QTvmRiJEF/J9uhar4Vaosoa9hr9dG7QGMs14eB1OcXlN9DfArP7on ++L/Mkj/ThheVBBGIaw/T+ds89eeqKbmi/qxcD1IUEqMo1KZF0n80Nbu4/VOuF5e/ +yXSQUzESwlNAd+560seR5bjMmkIuWGAZbOj2CR4rmaXKZ+FrBpEYysKZotiZdZ8a +p8/Ezr2aQZ7t5fsMGpkJfhoMCSCw61wHZlz0mah2U/qoffru9vrG4fU1zhSu9nlV +V3sn9sNapnfJyaL+V2Vfd4Llkv2e9UmUn98ffZgSjS93b9hBPGj98B7hyArnuz8B +aRNjmfhBcWiV3+6h4nbo6AXPUxQphsFcVfKcCFAab2q22DJOeMyac098MSQKMwlU +qKac9kh4Zl933Jl2uCAftyUqXwDTUxO9AXXDQcWxVuBxUdSOMileGo1T54/jL0Cg +iwQm3H4YwLpgP+qAI2va/uMFA+h3lFoJhD2eVK+oUzKbybPdLe9vzZTWzArRCdUt +KftRupsQhjD6WCP0VtxEZuGjlVhX8CxMRPYctFiGXxoSW3YdsJcvLAG1lwPnDphU +NapLEyNg6kxDU2dINQpYQ5JVReSdBXuDC0JcRQr8p/M0tXxkUJvvMjqqWtrIqTMr +1aPjV3ACz6R6loJboabWuY/JhbZBNIyV/6F3jwOO0ZCnMylWTQQz+SdGco6/dfr3 ++HPfsueXrTv0feTTUAKV8JXD/S4CxzD2w2WbebaLqnxWKYPP6XdHY44S60yqDQPJ +Wy+S2S4ua1GFOxPfQUWT+M00A6TZ/6b1l5+NlCcaNdSwkZoKVL0NBZ/MV+y6iFBF +sjLi7i4g7I5VbCc4jm+I4brop3OC3GdTCQ+ImNrjoqPFYLdum4XWLQav8/uMYIKs +fTqj2PS8K8158jmXQcNMXIqU79MoHLvXuX8V5AZwWK8MvIbKVccIX/I5qzNVznEo +6/q84J/iup4nOSdmiHafGxS4hI2HEpnZQnkqcZe2oWLEkrw4+NL7/EjYwdp4ZmHu +Gf06EmOsqNNZzDPjuVgagfr5rP+9eHY1WH195mE15PV/MeOlEOO5+rUW9OfeEKON +TJEagfwb6VthhbUNBfD1vBnfX25i06MudFthT9C2ZS3NQWDyRi9cDdBVkdJGjXOD +o7pZ9ngRBXITxhjwWpv5DXf8Elq3zpYwhv1Ff+gXoHCi1X7PSEEIRcFV/b3t0Hgf +3XQdz2TylgpVd0uqFBSc0UPQjZblkTYUCqnhNFcC8qy+JZ8o5dJOUasrzc/vEfV/ +Nqa+4kOLmWJh+Nj6wwlRY0a6wGX6upfC7jBIey9p6HvcATnQvIp69Tt0OIB8fYZb +wouUBdmTBESQ+jN6lhWRr6gVOKkBO0lsUtaqjMUfcDnBGMd4NQYMMny1eQscH357 +cjijQvEUOF8ntOkIgk6D65751LoALI9wND4PD9gUfJHhiifeU4HjWrJud9/RSizW +xquyvUdxY1tFgG8gy1QZtXmvAyJCFLu+Naql47B6Tar/wPNkg+rfvHwNWJncmEKz +jVutT23DSXZZDHudTOKkaiuQS4+qFM2GyLD1iCq1SOt7TizRauN8hwtEPXcbh0zJ +xGeu02t4WfOvo6LkXDrz2Ye3hGgOHwstL88jTqE4KpJsqCXfL8mpyqNG1drrUqvd +7DXP3T8fNCLiWGMR2gm8BRS+4MsszPtEhcSCS+FDSwhH1rNEwG2N0L3O1XFlTV77 +aNSVu2g0ARyb6VFECXXHbgP+InKJoRf4tnEkjHpIcb4EzFgBStM7CaNrC9tbgjqS +5vWBpTWDfElETgxz76+FfbgeFPQbQF9DO0CqlAIGkCy57xdMeuGschIapuwR1Yyc +ZZ+6+PJDnAlYBjj5FJhJck9/1an5vegaT5RHKeEhOYnSL0zeN1ymfVezxppta6ye +nfUa/L0w82EIEMVpu6/THZAOh1I7b3+C1v6ftt2UzYHAL1uCchOmlp9S16dEi+pT +3I8csLVZnCFdH7BmvCYjKGQkwxUgvhdX/JaMmc45rMeli9/MA83KEiibsy3BAPtU ++iXK1EoI+Xzxbduh4ICX91fBJfwE4ySVT0W8YkgtTiMejxpTC9kSGTs8LA+R591l +6iE1SGiA3pc5CGjqtlH6tghoxO10YMryjMqp3uXkh9P4juz3OS1SSK+PURG03GDh +95ktyvkLmWqO6TjELQlqXQ/GTGRHgFiIEWxkRezCYA/AyxgjNGhDcWOQMHLqe3KN +Q7OdIR4eVg5lmuclO/oj3u3tTvx82L2tIPcEihfkPbIJfPdx8v7NyFIkZkvA7vbG +SsK9Skp2wiGD3udz2emn6xfa7p7jzOznN1MGW0mKVNlirZbGjI6cun93XUE2Yhs1 +2GEZabMlEj99ktOXuZL61H+4In3XvgkLeHHCERlDMu/1+cDAuZSzjtChdL7PPcOB +gA3Lf8xYzUluU/3wts9Q5N00r1J08a+mEOgy7LQ1Kopr8t44139627JkXOuzVe5z +NrbWISykW5s6PKtzZAyQVGHzBh/Tdfnod7tSt9gzEvIG0Rzs0ZW1t2ZGsBZhGKrg +5oJJ1ceqYOINiV0vjmvpN9vjN1TK96WrwXWUgxWt+oK3u5moIrjMS0e13iFlUx2o +k7tTe2FAQ81JDeeC7+7iQhTbvzt1tIpFC30/++fO8FkpB3i6Y5JsRHd0DBf1s8Em +QiULG5y5MeiqmQ4g7Hmz5M01ro1dzXHUrfRXpsliIEG9sVHMQXrr52Y16OUB07/2 +YW0lmkarRYarjchoS/tx6YJV9V171nhXLOEgPDQE9H+JdBhS8TokUtLHJmmvKg2h +M5m9yy0UFzpg8rTYnapLZhYnkFSpQl9snfv79dS0ZBfjxYMFDf/wAiK2ZE1Oj4qK +hz8P3iVpfCiX/AX8EXJVEo2ZKmTVSFPrpPQn5GW8k4DSVGfIQHxqH6vzKhmIuSuk +LJVlvxj6ajAsjrWw9XbL8/uyQqwIh9Rx06zbkrkG9avRKXlvJF1RUr3uYN/ugYnM +2Mta8pTwoyOZOyeFOe3gKJ2+HLFpO+ihRScNds47qI1DldgHsiT67BAfTwO3GsPg +kDFX9J/0lsfMTwJRXnGIJuQK0QQoopVwVtTbIGDvlF7xcXqeUZC3kO4an+PYuB7V +vrBRB0oG6dOk/UBhz8gK/9MotP4wE53JGzukVJoO1fsPcqjuJrFYn7t93CzoSAcP +0HWMtbIsZ+xjy091NOvRo+uT1uVaWFGvuaC62XtGStVOfvQB67hMvFe1L0vwvEO9 +rqUQMMiBDi437cDfB25kAnt6tOgRSHksfuDmQ/vohjT3cRJ4WeRdk33p0DiW6lsR +/IP/ohbzatRd7FWQPbbp+wqGN/Tl7d3npbRdZYd35N3vgbC1szGCZ/7DlFjUgSbq +Le0zJgfiAFbiQLNj50uHrUZXimQ+Ve89sA3+lLvupB+5y1U/kOD/sVVWlLHTN7es +KZSeQNOcXp/Eh478UXCzbs5TG7hV4ed62PJJn5WgKuCR5lRFziDvB4goCvrLCBHT +DAC1A3FpVbkWIPic9ASKRE/Ja50bIXnRGuOUaaHQaDWM+NoEw7ECiLZb3KGdQiA4 +WqWcKQisYLJXIxD1T0C8UHFIVuZhYNvyBYJpMZN5kMVT2DaQ/5HcmLfvCPyD9aul ++l1lbwU9H7wPXA1uiWmLo4MBRRGfsQaQRM4uMo53lrG251vw9kv/PvfJoK6GFpUo +G6jUa9ztkPuoNs2IAbMT8Jo2oB8I78HWdSZAjNUckECdvVyMU0TaLt20uEbI4x4+ +BlzDt7mSv3g486K2FFZaMK5cUGhxnl+fQIhzuiDvtm8Eru37S8B3Yd+zoza51HVY +Sm6cWjRcTmvIkDtJBqkhj5N6fWmTap8SAwG6q3kc8Y71NnoSadQP5EVY/aqpuufg +pF8amN0Lh8wZJg2oC9lfvamFY0G99F41g5R0vAllpYsj/p7psjFpo+A9eD0yGXS6 +VhLI/Q9wd/IOTUrx89Hxy53zRdZOslPNnDQoAZx6Sw64b+jg1WmFWCULtWSOikUO +LBkEdT7UW8EjXewc45pv1uwfYwrhqeNME9oH2cIH0qFx4qssTK52O+vb0OPZSYdt +GVz9SgvrtNkpGrZIMnTjYffEOpXaqjNgYMKKBhDf3m52lF49R7fn2kA8lITBihw4 +uPg1TTNGNW4XG+6Ddb2tGnAxAxhA9WsdK7KrZ90raxs8wxNdWczfEQKIzwmvM9vf +WcFRXqPGw3XUzFmE2CAPoCGAqd0ueuWX6CZES0VuQNkaNOtSnA/q7ZCUGhoeNH8y +Gn63RXiXF9/mfkVYa3Ank5RnJ+yV9WGJBeS9rr2UtfOZ+xExJRuVe8m1wJSXvuaI +D0JBMQ2zjMbCZqq/eiTp3eaMNTa5ajjPAkhhCubKvcuYSB2Uu0jkfScVQGtzIEuC +e4pqO7qxQA/Es3Zi5fk1SpIcoeAfmpDCeqCDsOaAJ1wtCsFqA0NngaL/2PPodDf1 +a0yv4khrOnzW57CgKVAz9JFv3w6S7NQ8Ka00Bb2XEPxcWQMm72LYWpTKaT06t6w3 +kNVjCl8JLDiCpmHWmvWZX4t75wpQ/RR7Bi+On664dINDm3w89sq74F1j6TYRD8Tn +64N7AvWXlt04bsNPOdub1hhXTug3pcAaZ0dsSHiNX17yLNPeg08mRYE3adiOHceg +qZ0r3GD8cnEfZ/oNwSWpfwYhkGKSFH5qU9X28x4UUqCugfPLArTnNZ94zhV0C1f2 +rBqbLFv9y8JNr3aoDWIQXTSmi7X/MvtegbCgRc5BIKfQbF6WG7TExwmVIB9sbQA5 +cWRVEdvsq+CkFNbfyEdephzKO2/4Y52rxntDywxKdZ/58DYDgZdZb+i1G2/HjVhI +y36bly4ruil1pZCJ7KeVqkKWr7Ypt3XdbYlSq8ejm3ZyocQcm5nonMk8i36Zt5sY +pr76knb0LwehzOqpEo0Dib3/KnT/WtGcseGeE4aH8RfXJhqOQVEqYfUft2aKPrCt +eF8/xNQwXKP0JmLWgl5iYTduuIZVnBcYmWe724XpjKCDHpzfu/KbGnY+JU4eZCpV +s5uLVs+KWS1IZaGqA3NwkKZGQDUVlAkg9Br8qKjN6DqrNjmIISQwEYA4GZXj1nnN +PDuHlZ1AnNXl0+grbd5NwnyKJrnYG4wfflUVvoug5eJkPjX/z/bMBt4MATohb7ov +uhvXvLXZ1zWU1R+8APE+4WV3t0ikwgHegUuHyDnKBTjdKZ85IjwaONmUvccR+L/g +KQSxAc6IuilXOh+R6eVJJM96mRkdglWbnp1aJ/21UvgWAeMJGbHy++Am5EQwBnzw +AOiV5qu3ch1nRX7gqwdpLxhjdtGy7nXwAtH9X/dXimm+2Q7zsbarMxzUZFJL6BUd +rAyKqaZQ+xZ3ehQPIHyiBeeUA9outnpf/7wqcB+1VfzIqpdKRV9988c+M8l145Al +vGiyYh1mBkliGqypYx2S9iC0sj1GucLWkXYXYx/DJIEWLWAxhr+KAwzwKB95/5FH +UzMm6G1ZY7evYKhjXzJOXU0vnK/VNPIqIRhCXK90dNKGD2D2h3ErOIQHHCJV0HvI +ND7j0S+WtPWKVhaDs7BxiviJ/PoeGtI+hxXAMBlbW4f035DdI7X3viKXzEz8lF3n +nQJ+7dA8LrWIiUeqi1O99+2J50QdjU9zQ6lCaJb6xWNmjpguMHU9VbnhnFu06R8S +nz1JXZ1ERnMLUeAJYsX1aFLjjrnDmQi0T5BxrPJSPP548Ydp69pDkilMcQWpDkmn +XJtD6Bcf5s8GuePoHDrq1qoxSUTrZPEZKg84MXILSYnM0FBMPWgW9CVop5j09AvM +eL7xtTf9vnNbthJWwk7zmxMaZXANnMuK/ff/CiGePCP0t/u8dns/n6XyH1aZHX/N +IN+1qmU59ew8IxRKLTFx6Qv9Yu/SUTS+GrrCxQ69Tki9yQigNsGAvykV7KTCaImt +lxL5SC/XqYWYweV26DmtYhP4IDvzXRuivRwiumFnx6TXqhKGdUUZf7Pyg20wFgUA +N8bVvJ6E7tK4Rd8qeZMmGRpi8r6+Kb8GugW+8B35/Q4fdokKC2Jh+ueAsbnBC30S +X6HoYuRIbaFsU7Xa5BGuvyg1+1h/m44kooXHNXmJn5/u2xlYYxbUYvA+cWfzTGPF +MuYRMlnKnHhOo+xsizPimzLiQSp2UkqVZqF2GNXlvSGG4oLTvwHx+T2mnnEOaDuQ +e/cN22LesiJ9zmh1fLD/mBDcFC0iKQOHOBscbWhN/dmplgolKetpTIpG+s5549Fw +hQnmtDR3H6bFmJjYMSKUIDsysaxLn2o0tvk+QpccmrNUy8aByOoDb5x5LS29Pv4G +RP4y9lgGqQlzOdE0ONOK9I8XB3OJ8f3/z8t4KFSR/bLLVd+PZc/+Tmv9LQ4Zi6s+ +gmlH9ELOmUZD9tPesTfMNhsfVxKZxH5V3Rb2L1NilKnjZqjGHMbYyxVnPT2TVTds +P+bii9z/fh3ghJnFS+ErAk11LM2WLidJeBiTgk4kYhLBiin8k+XvHGKRB2MC5/bm +m0YBv/PupEy8/Xz/XHNXR+oJJodcIe3fkfZHfOs8KBY0UX3wM4izeyEK65lOOAyg +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..6c497c12da003abbeaacd57513e9aff063cbe2ea --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common_h.sv @@ -0,0 +1,108 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +ZRBYPB7g3G2m+LiEyU6WTYUZUkqlHt63WyJRW4/ZplmxOj1TXWo6PtcBwFV0SQqC +ZiL/kV9cMl643AchpZcy8zgLjJGbggUo5ErGAMu2jwdEF3cqsqb3WDuTjCPEkwlC +TOWgEh7Q0ry2M5e2DMIblPJfQNlm8NM1EHMNgV/rtWM= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 3424) +bK/Tt3cwvGIAmxev7G9JN4Mp2yiDz5X2DXSYxGPbYuhMMF4oABtdQOGQVu9a4WbC +ctivJvFqQmrktcA5csMmtlXJ+H9sBfxDyxQu2txumJT+BKaEE/+8rREW2HjCFalQ +kbStd7xaelZb5cOk3T8GmhHV1X8NpCoyrknLCaCu0sNoZ4tHM4s5jZVUUGtoeznS +nSktVgSQ+psMToFnYYlQQtTa+SLILCxY6kuBRMiLzxD6+d9yYkM3Lj+oYwIMLLrW +FmL/qsttXiR0ESRP1kOkdFYggEloQlHeyYzY1IqiWNdJVKVH5YZoPPK1Wz8KGQuq +fAHKqNwBzCv8uLoOTP0srSmFOQ+nY8X4iqsENhCFprNs7IN3L7iiaBZh7IUKooDT +KuLPjiUzf5m/ZqQVKVPiT/h52akHZ7x4eakKt6/uXwWD6jGNHJzTVQD5ewgnapXJ +GNWoGlrz5Etp48Ym08HfAIdtIlUqlqm0EfNXEKtpThJD+Awd8+1dbBHPZ3OktNSA +1BW4YTEnvAjj25O4WygMZk4igcxPdPh8Ex404Vb2A5m6FHJiXhOR6XJN7ALQRmOS +s644IA+pOZ33wzMZzfH5ttETRez5d+KjmFAzuQyetaMsKufdadD1QySR9kzmpDrS +Y2nClmtc3nTUPOo5XzXYuDb1tl8yHlEPYO9UmktbqStF8z5a3KYTIC2/A5aupiU8 +5rw+CP4kAlGaqt1x/qewvtWVGAUiHWUCly/pOPQ6E96vFQpXp8BNpNUCZitnKm+K +Z3u0hTTBqfhrishgJKK4jB1ZikVvTomp5Goq+GZ4VDs8VLam9h7HeEa93QZHydL7 +Jnv+ByzJM3uYU0oq+73DsddiBQObWnv2DyWXd02WMYDDRuxiUCGgWTU0bsE1agkk +9UblrMkhQbB8nb6UNl5vS+FS6iwd0IoiB5SoX0PFbrm5DawMgPpf8QxoYEETBjwS +UE4/GqZ6xoV5/NM2fKGPDvW4gCnN0NuqmxEdfJ7zq3v6eJ2VNv4dDUzijus0DA4K +p8dyj2/+tZhizWbsU8AVfVBmCWiaG7M3fQQDQdcmOL3SgTyh8xXWneccC47TUVmP +bGEywick/QmTzmjxKwcsaD0y+9ge0yba2N3oWIXZblEmVLWDsI1iRcvr+6FsWqED +UASkMv3DN9g+I45Ddpn521KTJycYjAhzRB59sZE3R+msBIxgKlxlgyFPfymaEN6X +kADlTJ12q5iUv0ZnOKQ0z2popC+Uu0oA7FWetMLbLqOC499g72ionNyfaZgT7OAT +PQgI7JTdzbysWsFqZbE4typ1HvGPFFQj9UBfZzFLdz1P/DJ/Qx3/RO+1ve1nhpF1 +H6fFopo2vIQlUCIM6Dc0YMzCsojc4sZqPISVHOvNJsZtU6gfM+nB3SBAnH3mv7ne +kNZdU5uUNcp/cnTf1wsrJZJJPV/aZwmjHCgnbjw7bT76Ccgsm8obSiCdOIZ3VTVu +QuBW2gPZPcEDanCZacfNLt0yr65qe3agN+GQgbXGOKTJTHSoOY53FH5hz62YUc0D +YreqT2+dMzevHLXb4VAWrlJIjGAX42eswqBbPFYAPA2jM/Ni7pFVrXRZP0aKsT8q +Nl3r4lQ6rHD367fHHG9sy2ja1GelVhEO/wtgW11GbHNuz7+u3ZOfgi1v99b7jytt +eLyMhlxdhwuX9ktQqllg4AunItTAaKYzmBQalTGXINMEnfpOkEcaL9f9IsbHnUwE +nKvDYmST/mJCikLiWtnWtxZqGykl+Kq1UZjBqKb+SL3PAK1lmg57ZA5OYe80aQdV +mL3KA+aBL2noYQ9/r9Kde8Zc0CaKuNvpSO5KtkBLf3YDo3B7MAGAFNem4iOhHF9J +48mS22Q9/fhUdhclqPr+U68gShmtTtlzSyyLe2syg2iXUgsNEXm3nQlbECvZZvU6 +pnLVMhW/y4g2Y38j/h41ZKeY4G4e2QFRGL1guARdVaS4gCxrvKHTMXT03px9pHnj +MsbesQ3bnZ3zgAmCI5FiU2fG0ibhG7AVdyoGLDytV1n06kE0TKAIpaiXanFjeeld +U9Ck28nXpmuESPvO2/l04Xn/undw5BGO1iKapfquj6mkNjNLx3C7gf/XZpi1Tqv8 +ZMzUwzaT3LqRXBROESica4l9aprdhn7Sc1pUhEg+9PQYYyofW+ZgusrlaTmvNNC+ +VmpR9Sl1aijRJoAx86UjcX7Dxbxg2t4OBkQxAVOdoFWHQUHDcvPaxF+F5kQXXZF9 +ohKBE1rE0+K9vk5i9oGqbpYPETMGCunEg0Ol/jTgGtVe9XPkgo1dE2P8fodFlzAO +w8q9NCs+PCXXbC0aQHBJ7VZtPAC7qI9ESzaUDobGymgkABnlkkTHPwOU7ykHW11b +RWdiuIBr9VSOFCnuSziuj1buhXsR2QIOZqP+dtd2OGaVAnczN1waefrZkyNMZb9a +csiY0JuWEFzCzphU3yMiAPRXMFr5CLt5Q0XUMBAGbcDSAq9O+aJoH9djHdVphOHx +VV6i8c5cIPaxS3ocEpbqphRK4AiOXxxeWZuIh5VpDd4Ue+TRLF8bYshLY1INQ2Ws +B0DqOIzsF3nDltBPeDbDnpvzKyFen43H5jAVUazXL8mhJbm6jELQCHD6Hvll49qb +Jpary+LKwmlxfhJCiYF/tvSPe07VEL/gpUhP8oiByl2eyRMc9oEFB7tN5aay5VfR +PQk9kMkm0y+zg217hg18irza2gIBs+nWveoPTDyMRAdWRs05s738GpO+oU0xxwPA +K1+/XOgHgj54B4Kpk7qb9hxdbqRqJjukh+XvIfbxx7wlZwLtg4fR0JXLsqaKe+UX +u5foFmm1KsYQTT55ATb3f6qrRIruuTZH466NauHLjCtGBtsHhNANHYXAeEcFSheF +C5TnNKVEl739ilW5dnXvV2Xi8iL4G6pcfWDdN++0+cwm7pMFZOg/CoPJyQhuHtCn +BkcdjGXleos2r6RudHLMeNM7aqlJlnsqw0w9mjQYGXGn/zAYBIOyVgNV3PoQNWKt +J5MFI2tCcXZr72h9Dtk9N1X7biUhxl23txnvqwvSf1bOnDTyJ0+yiytV33wbE72c +BfC01NHpAejHdscPP8WEme5DX/llcO/X803rIe1ZmTxx/wyKYuyXFOv/vvmq7G5L +vX5xG93MvH5NdzALylB+4W2Sw/PM8/i0ZYQM3dXSRUwXy2DwEuJ0pxJxJloR+WUj +kwI1gL3fp2/jgqU8LkQSK3op/UlMDm3OGNtznZXjxxd+H0UeZNk9PpGJaHZJZrQP +Q1qNaMpatwfXQCBLZRGdWhCfRfFV+QsuGwQ81yI/cvl4cOyHTIWpCWUsypa1EWcF +sjrg3incB2on8iK35sL/HsR6w7+P1MBwbFkGBBacfqi+XlyuNa0ypq0dMbe8APV/ +WvepRBSoEROKnpr3eYco3pL+rDwN2vSCOg+zHsvjMADxDQLKouharsvMrGt16q6L +96TWp1ibv9VKbh4M0LVIIjK0vuMcElcVtSkT1Sg49xCUmZx2gM3eagca/Dh40Nvs +sY5jgKOgIQo3TWX05MHpwvhEOPMdLoOoKrFKQ+/hUI2Vu/EWzIPXJMA75wLnqwxE +86TPqfZvsnhFZ5n/BEXy0I0eAW5uV4250VSq7qZXJyhJ8cJBEItQLNQBRmcplkFT +UpciuvYYhBMZ7xwivysxNqu4IPqm6uJUXTgeirYqHLz7nWnLx/7EVx7m2u6u0OKE +KwPVx4gCpVRzvX+RiDm0nKMqu0bWbkHCHX6uIJ6gmn6OQqSVb4nmLfOqvyjYoA/Y +hd6P768QEoYsIXweXuXrYgxnj0ZdPuCmSvVpaVDivai7VQKEo60azQFXv4JFhevu +FIoEXhBKdEfIv+qQ8dC4PaVu6nmu66EoYdjrYtkKJM7R8xgbiw2VyNkV17TzX3yo +r5IEfWlDV2VoyTSnr6KDgum8pSXq+V+noJuvcyRE4+zYy3OHSx3Ne0ZxNzzau9NN +yBOAzV7M/XzBMYatHcTleFntto/5piN7t/RIRP0bkMJfDdOmGTPLLSVhdzjoF7a7 +3rDHlsJlj6P+ALzZn4rHJlKknOLSu2u2JlUpgti2Eq0SptrVZRNqIWWufphXYL9v +guZfWV3fw7rrQRwSNpycd5K1DGK7OJAkGVuDERAUwK86Xun2SHwTLe0I5WN3ZQkX +JIQlQsDkQxGXeKyuNDigbEW5MrJNxcyTuKxq/pq6rVrE/klWfrxfvnpfT8yvJ8YN +73MJjFxaYvS0k5Aptf4LN6rM0XA4NB1DOtVZrqBmeb30aSLFCMlKAHo+EcqkiKy2 +XqmMXqyPXB2BB85U9iOTc5NltNq/qNo6BuW450TqYoNX5+Te14MB0yyxVxGi+tc0 +usDoQJTee3c6Z3QAlFA6Bw9TnQKwV3B1oKMNM0r3zn5MC8T+yNbgQTJT4yksHOJt +PqNim69HDE2VR31lDKclgsONxxZxgrhcPXnvbJSvN5xw+tB7jVVjReRuuXtjLNMk +VzN8jbRwhYA2w8amQYQ8hLZFWLLPXvdjRTCulmykkHT8hLy52bgRa9dRx7+Cw8W+ +W4DVx5ruiC47L9RN0oSqeQ== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g.sv new file mode 100644 index 0000000000000000000000000000000000000000..de318f36893e8a7e31873670221476b68c5faed7 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g.sv @@ -0,0 +1,410 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +hKtkiaJ74+qkTf0+fDmyZIfPUgyFAJsboFHIVVUlqZZFnXRsSQL4NkzU5uPVVY1h +8DW9eeLuoEZ4bPOV3lexXhrR/yakzCzcgZ2IBzUmLPyIjxE9hbKDAVrlmYNQ5DqX +y3BTaUni+Hubn+8B3CLX7DKbNzrWIs4NXzOBv9RUmCY= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 17952) +rA1jCslEq2rZghjw9LqW/lowCr4L8xpfb9JOe6eKpqNfg2n3YUi93/+Scw5gqbvc +ycMxRZGyoqbTcCt9MDNBUh4klgQZY90VbqvQlvuVqXeuH4W283hZQ0cYdo9VOyCe +mTFPGyXnWBqx0Cofx5qGqcGWXBn570wqqJctkD4LKWEa0qg7GuO8TvnvAXbKgc5y +5P+1e1RxCgswnKkRM2KLrAbHz4DXORxYNNKL9opL01rn9X4CoYJXsdgyuC1MlJ7O +95ut5D6/rPewpfwh3CXwjJxniczP5pq5V0F2wDu2n3U2PPQbDHK3ewzUEAjcaeGQ +SJWlXOfV0mAeWEZXHvGpx1PNgHWf8jWOjFjPVT9R4vlJNqf/9E0MSew/gQQP0pMP +pIn5mYodqL3b9Arh+MVdoGDbi6ZB39qnqAAMU0uYRlkpIu0nbOIBDycZ/6/4v4Sh +Yfzz4rJPnzhcN0Mu+xROU3rVXfJX80DiM8e5oq6r0rjRF/Z48AuZGZHuGSNl9uzZ +SGS0mVP65rndaVMl1jXHXumi4LRHAhJliCeom8kM+iWqEAxSg2ZOIX2WNXBPz92q +6DqiR6jA7jG/8JlFGPaWhnhxTBD2NzrMVBauLIrJVvGp26jjNRnd0RO7YO4GHuKo +7MziEWSAdRldK4HFnxOLWV0AEeI+K0f3ZPBCW75AKefX5NdqzQlc+LbE1bNOBTeq +HNoejyKabFoqMOhP5K9vi0UEQuuKlLirVyrnyMRLXjzcUaaVSfaB+OCMZaCAzCeN +BmuXVv1A3lIOukz0yxNZpPFMpev0XOCNLeTKyOantUJkpwn2q8G+Of9vlYLxZUoX +cKfTTRbUk61OUp4RWI5va6Q6NA/QonpbK2jqiFW1TfVVJW9SL1qNOkQwdLpHeIFu +RpbcSYTrE0/RCbfsD1Ge+GrTpDdvxsoLe6ru0v9SRF/mR3Fd0fH7Gx5UqK8gbUyS +N1im+AKZXDGgkBBfqIPhoXvZ0A93xLuuWk74ac4ZgyNyzb/t9h/WIIUNiY6lUXkA +raa/lP4UcL7yoVnGfJX/7GFkJ2OIAiWPnFVLLe9A9Wtw+CtII7enBdPiJv/4thBc +VlmL7Bf6tsNX4KUb0apczx3wWuEd5qLr0dkYP4cVsMVEbSMcGFXroBDfUonLaFRV +tWS6CnkiXHgd51PZhubiwtDXG9u3keneReLjw79EtSCYndCV8IUDkH6OUxRUCaZC ++IZI8sjnkDeWFUVQaQOPxmE9LxFb12XSU9AnRGm9jZeeeUXfCYcSdlnnHJlXc8+H +FW/X6VKEohsVCufEJfkDdWPHSR8de1rd8mvthe8yKsgBsLyvPOlYuyD1kN6ePxyy +5YsOixizFltXaHpGCZAaZsqrJ4gBNaXsZWKTHK5yscRkDjJSacljHVPuzR81Ks/f +8+IYo94q+G6FX1xGSh3Cxt7Z6T5NjjiS9Qkfc+y1nMg0F3BxA1tQrDUJv9fhgkHZ +k3PVKr5kWsgfWllsFxoCcQJcxqd4X9R03fxQyKY6K0IaMJLjU4L5h/DsVQoZRnmz +ss0uAbqFkdX+1VV+/Fn2/tyRUwmtukp0pDNoLkeLDH1z2t5EFeEdWLqZMRcdn4H+ +Tn/8Es0YNQyV+yGs/JG9yZjAAZuGGGpUVgeFMK3wcrHsAUXh1JBCC7Gk6NTMw83y +dwAxxDTaywcHKpIgZC5CSr3csObZAHYmrJ/mylFHEp77D7Kupml8QQ6DHWJcrClG +up5w6SZ6Mg90xYahZQ7nGGfJLfUS3ST4Nwfq6kv1Ij/Uet2+9ph1bjmv9Vdjldc7 +u5gwybF10zqckiMBE2eMb7WewnLE6S1oHgvhQBeTDQgYWOJhC16S9wCyDnl7v5qi +SMpBoGGpvonnoKvL1RwGt8imVXwrYGV/fbf9uUELdGvD3nYHHomTjocDGLT9LNd9 +Y6qXL0E0Z9GxS2WOIqVrqVoUbOL6Lj+2TL4iCA1DNO8MS1cMpfhN3sIh4rYibeuf +fVW7flz3SR+SQ7xlmq8a1zzKd7rk6fa/BgF89UmD1Kzd9y/LuoQ4wPgOKjTgM1ST +XWASX7qFNODyh3icaMSgczQoefbmjPrEdPPT7+LgPsGPuO/Z7JVW+A32ow75NY4Y +EgRL2LW0a0iYEOJADn3P6BKK7aMZWvl5QfSU0Y3DSGngZJ91+A0G35jPovnc+nUr +Neynf5W1zzSibeJ9b3QaA5Sn+78lVB7g4Jv0gjdvgu3WfX8dP7+VjIdypqfL3Xzm +2gQTB9O2sgX+QJxmWrlGd63l8D2DYn1PwviZe7DdX+LIGUT3lOIqxIma/Qg9VkHs +HUe+fI6KV7Nz2xFfaD4Lgt9d6AHAx+djuEMItY7POnwK6U7kpURim3wMtuIHSMwH +b51lTo6y6l2HL0MGgugPXhPQ0aUy2jyQ2AXFpHP9dIftB6aGlgh2iopyGJMEWOmZ +6oJhLgu93JqhfgebQ0TpuWGv44JIJxYxrEQtp4oewlppJK6H3uW2U2qGNGq0wQwg +EZckBuNXvRRKZrtq5ARLVJF0OUuIJ4J1vqS+PqOhzovNBrGqcyvkCcwbnqdTsc2B +nByFdQwTe3Q52LyoAgOUOoamfocv4V3A4mwr+HgDfpMRfdy+fFQNr8zvLXhNqPjm +fFoBaCy+TS67iQtZDaBH6hRMS9FiqcmAKF0kHfhRmV5ZDWD1KZ5TPH+jLnmuMZSA +kD9fxxR5zreXmE7ny7AmTyhKSwykaVGK98gbTmnc8Aa/W4WIXl0zTcQp9GoaPSeJ +c1B9+KfM3gwhSe0Ewb6FgL+Sjo0iatxFXVYqUOlAnoXGQrqQFlhKj1vVg4R73LQ0 +XEh5kyA08EhB81lX9SL4C28SU0GbKfdHawK+nUlE0AX6+FyJTlxdXCcZtYvuNNxi +0D+36UFmhKml+YzdX5gaRd8m7cxi1EMnWhzHp4SeqnW21BYGrOb9gadFUMS3C/rs +F571cunb27+biA4gI8cacMfeIrQF2TmMLyxgaqJQTkPAXg1U6OkKn50twr3D2ouj +d9LXjGEZdNOZHIVKDdzRdk5nlmf4Ea95wuqW1tyOq2HjgXsnqzk94uavQQCTmYiq +jRskAgNv1pER8mnfoyQTBUPkWJS1stfMu6gwsJXljjEF8XizMDu3vX0wAI0UFWL3 +MB+SPiRHAQzINJ412wjy8RF/MaFkWHE6s98COiZXhpPwaLghb5OIAU40i51W4VsQ +DBA8y1EcmALx+I6IMrmudMDlKHAzGiWOA8hxj5JQ5+aC/KjRRUEbit95b8Cg+SdV +PYMXFIg3QP6fKX3WAgsuLZWUaXTkA9Va0W17v15MTvUl7MLI/dDuuRJOtOO6sxKf +GIvVKuRCMr0haIrO2QAsMrgamXacbnky1YAxEsNzeqq5810KMqvfWKNqKJK8wlia +iCEKAdLFtYRWQuU2gVOFIT6aSgWZKdYPhKO3Yu0ZRlYbPTwgPp30Ls/adIrIYT5w +p0BRDe3UmV/OJRIreewMy4kMRo0+s3LkaoiK1UlWoWd8+aPGV6YLlJq+Bk+X5xtk +UxDTL8zSjmhU68CjGMnZ6ooA5Ka5O4HB8xZtoktcjxZ2zrS61Nh+g59gv3/weZGi +zP8Uk4boH3PS406phUtMmdmuVVkM9os+gG+VDh3rH0ueYkl7yJGIYImfZk8Dqmc7 +tJecvLCfGvI3u7PR0Q9pphyPwR0/fQg/aYOIEm8B23HgGHTPI0hHGzNsMQTB0fWF +w9J95Z1s4qZoEkEvlK9CjRBBBOmWj00hZVkviabPLhKMsig3Ls+LyDgaQCmD1H5n +zvR2mdLQrBOHdWClNgOS4yLzgpXZig9nqZPBWj/xa4vaYHHGBn7jVmBzUw6kHhJz +Yxk4B8meSXkCzn7Z76888F7U2apmKZ6En5rkuc5S4ruSpZ9f0AsOAfk1125C8K7t +oyyGPjTQtt85i26wsAHr32YTRQo2S9GRjEahVeeBhR41o2Mx3FtZaLUXnOVYpo09 +Fzi2iEs2IP1Wkdzl+8h8wSR1uhKA3YilbiV7RXMx5enB3dtEmfNeDvG5g+buuoi8 +J1F2rqN8G5NXPl9hz/omhzYtfoZcMJSlNY5yEVgnggQkfENWnZJ4l19fkYl/dTgF +1SciAE23Bpw4GOHLC5OlHh/123eT41GfBxnJbB27UgJHy5LacILBIdt0zmUel2iy +63OKNgCBVGbRjNVWhb29NIzJv+2EksTcTnFp3tZ9UZ29Ba5uzCEEagbsGz5QGdYz +ylYbmDTtRPHcVjTEfXssDBd+JXYuDUi5qloEGO61WyQsuTX5AkvtHX5U5uEGFRgZ +0EdAG442F0JcFgELW0WK/dC9FM02TFsaXAtYuQFqyeZ6sgDL9D6Osj/frBcubKEB +rZ3RzSuUR3qB4sDKWcui0flukqaH4qWHWcNVkH55Wg9dw5GumX+/9BQb/8esanvH +IrmKUMR6lEsHzarX5mP3FzMt6Fe39kJfMSYbNeyNyihwokkFHMZ3RsImNfq3mNnw +raTu8d9yfTyBdJBdzhaHe05hNGN0w2jhV/LH9jsUDjGj6xAPNdcgRFQVfo4hXDvO +i5GhIOktC0XOJHN3e6dKuir6OVPkzVayZeckbTuSJ2kRFMEGdgdtFNRTZSSyI277 +vc1z6Z6tuvegGwZL2PCqvPlxdmGe5skCbeLGdRj372TBZ1ECJtEpI/MbJBc4w/PW +4T+EyxzRIY42DGc767CilvAcjhySkySdPK9thNf3LJqyh9uvfIW9DFudsgY2Trsy +zH83pKVQLRhIRGOmkM9QkwvLVHp8jJbrqQ/hbyJHk6BJA5tabrbSzju9UeQeV7UK +ZBIl4w8RNlRFfsdgtNsIVHmat6qHV7ad4PTDdlE61OWJNobpuUUF7QvEY3iNoOSE +GlzvGPspVvF/QLh3sTc+jfDwWGN9X9LVAdRQ/q9uC2kCZSuGup/4CwJjMnA7dK6C +x1VkqFjH/u8IzFoZabSN2k09DtGUAvWjFn5MRMU+vnWWG1vhwrlViWBHJfNn7Pr0 +s1JwFvxus+sKbP+VIBqXMWCIuvsAKSrn+OSc+Um4QyuzbbFLhAg6DMRI/IyhpLSs +KwSx29pdlV8Ck6mHhtQQHQkHTzsEWiJBAsh/VP3OIsvOCy0izNSIUJ8PuS+LIker +ghYdSuwp3ohyD8kg0qdpEDYAlrYzR2lk6kl+ybkocXA8+xqMn4N29HloFFcKVmnz +3TdAGEYEpn+7NHVNfqgxuaeM2EVh4V6Cs3zPENkp7s965EhydhFh4NJpdWrHeIGo +vk4X/HZ3NydE0bEeloMw6BDOPx7s61oEZLjt4ykY9XOWXb+Er4HHtveaEfEZ70+C +vPkkzlBxaivQVap4uEWRHnyvy7XfHcPcIbxXUFZ4oqCLtqMLTOUaY0Mgx6ddPaJL +mHPxs/d9K36ZkwBXfd3W9eRRai5yRGcaCXj14oPvvrPPRttP3TGehjmRu4E7C2fF +rXFXcnNmlq6eXOFcV5OJr4VFydDUuLWglVUfJrghO1DOwHT8LV+4kB6o3tKgFXY4 +uTH+QJtzL7dZZJepnZD3vuszefJvBs3fbWdc2ygntOMfmUq1eyjF0sgK/NEavfow +MzFrnNv+HuLppOWfEUYO+2sufpL1xzq4xx3H1Wx4Ekzu+o7+tRB/QvmeyKCyoXiN +EOUhoRTdM7jHCVgdGQeYPCIronQ6ewNnQQP7mIqBoWfJ2IjFTUMYZ0JgcOPrKMdk +ao6nHzZEpUmVbPiiTMY5dZKefZQvECJGUdi9Utju97xzZ9/CAIs3ld0c6H3iLrz5 +mA3j2sv2Cydu4vYW4fh0nk8vqzhfVWIDippDXmYg7tyQocgLJU6/C2Fr7apU+xOZ +R695SR5yjR0U38NkT6H+8+NDyW5aEVXCSuHngolLsOizuJXMZeGgIo80MCCXbu+s +NYCXkFNvTEzufQHRRLzqb92xYRbvUMFRjrLwj8xP1oivBcd9rgQ4IleZT4/esgp4 +NbbJOL8gLiwMIqnSz0zjKOPxUDtF/jn6BoeSzOnLpgAVZLzvtLVTCUcllszC+hNm +A35QM+Ghw1pGiKwl5pku/T/tphIXiUKYuwQIEMbF7eT412ftV4QhEWkCUQxI0Ijm +F+a8BHiupBp8OIWnbIMp7EXU3gbXgG8TeZfSm+Hz+5d3MZ9ChyWU+pMNzcaKVtuT +D22+8MNzaNoGHPLw08thFzOFj8kZBlQKNBaJYSBu3LbL9l/c69nXPJw1To9cqnW6 +90U4iNOSosW4eGWCDyuyo/xdFQsk10leb3aCUvzWYmqCta7/iYxFXVmP0QsFoIGB +jicLzYO7WdxLFyK3+0T1oOJpBUKi8L6M4AGodsEZZikBmaWZRX+ePQkTifZXIjxE +2Lbni9H9nBT24OlplsMYectxePv7JgD2bJsKIzHmlD0DMCNXNzJP3imhOlFK9rpS +FzaR3Hz7/DswvpYe2ER8BPDlJJirMwYyotBDozAbyLbVMrZ5Y1/DBhJ7L4awCfnK +1g/cUvPaDFKTX6hSsd/TwlxTzceaUfsVpOQx1AVdgPmIKcV4svxUh51oe/7U29A3 +mnnXCHphwQ1IL7ZYEGnpKbTZMmsseClHeiKAKkdwpHCYtEMGZZe+N+j3jLxEcas8 +G8oIkOW0hl2ISphW+hQAZi++zg0oVTrvBVjzGE/EYbslqFikXRTcw5IlTAhwXtom +YMt/lFVq38Id5T/VQdjEhtSe6cSnYSFkIkXy+HQG1uuzllo7ELPcwo4qDSDl3lPC +KOLwXahRLQ4rwqS24Rp1+GYVYiyBNot8HkuBi0GAFNN7011dGJxmfVfcVna1iZk0 +hGurV/JcPjljZ1VcsCPg3UFGBtPNzvC0Jc8PXeVd1MiQ/7XHuHsXjU1rho0HiBZB +pGYHAd89X63lJHOsUr5cQ18TzgCTTwRBQc5nb6B0wiBk9VvLXq2A5NIC/cprCxSA +PSuR80uSAtzZ8FoL7M7xCeKC/J1LaZEVQKyq+bOUKNNs1GRWNSkci4i2dVWB6h2s +OmyBFhzxpmU/mDONdTjg0x4gEP8WPta5vxRVFwv7ccZFcrY9xxeg2JOJ3i/zFuoc +ZXhICpOWXNhmZt1jX288urozDuKP0ptXa9J3wwoWcwRZR99w9LdRfHzgHS8YUF/r +afXnVrKl8/XG5KGDr/T4/AFRqtezk+qcAyxyBtlc5xQDZQUfRfE2UNVoE2TR95oV +Kw15ZqFGuho15OcpOu8q4/AQoeOEqtyjGcS0d8HVhFl5lZievpLEbLgo4YfCLFcM +xnXMdKflgPpBnIrUmkOAt+l8sqOAfdqX3EAs8WvL9BKHBUGtUr3LEX3xSwPR4MQd +5m8xWXRNt+PFryPq7pqvMXgSZYpLWUPh6qwFQngTGkKK1VvzUgeoo89mFYkp1fHy +zf7HO6RQwQDg4/eKF+a4WsjGFz/YlLP4RXqp92VrNceI+CZqZEGXDggp86JoVHqg +53NDf7Tmj7Npp2k8yHo2Qu3zxk/xcrLlvbcI8XMi55HqvMdr9YflcvxLC0XnTeIs +oo5wiUZkesL0N3lNR9w0fE4OK8wjD5R/cJIVGBr0bdlX0Wa3el6XlqHufAA1tnpR +6hRE2ZDSDFKTsK/onM2G6b62cqK3z67YFwYJ87I4vtG7PalZKUhsNb74oZkb1F5x +jhVntF6lIOf/0mH54JdcMorfdKX+/I5S6eCcvD/ozrv6Hbj9FuvPJcFRFVTGkO+/ +9F2TwbFfygoD3G73NxyzK4itZ4hKoTR0TLYJtLEy6kIFVp7AR+/PfJPkelqEvO6S +QNgTjYahQQge4hEOn7Vtd7zyMpOJoXS+Fvxtf9QMDF+DVPZ9S2bL1Icb1ACnEkTu +m/7WmtXcJZvpugMJWdTj76dgbJ1LScxj7Kc6UC3CpkC1xtiKP/jue5u7t5UTGbmS +fXaPoNM07pJI7LDAFoy8o2r8dsiYgC0dziHOCK3XfkfE4KC9tZXwbqiQIRfYFoIc +25rE7M//adM0R74u6k6zHrNPbAAiTbsJV6Kc90lhgL1kNK8gkSRFJI6WYdzO0JQp +b5n5lboLzv81u1Cmkedi41Ie5MTB+so2aqEeCtd0uwGSJliRMk/iVf7LNhAx0wWW +ednMKDm1Xf7/O8h4+pZlZyXIKN14yK59V/bczj7m0arOKMtqZZYn2sLDEiS4dKWQ +PmgOr9913z/q9V9GskXzgf7ICIHUEn6Wfo6GPpUaTWmkcBcmYWm+eSSsPaN956xP +5oSU5lH4dyo6W+9QowtIws1jHMpUIH4OVxSF/QiyxAcvu8uyvqXOb7pbn5CgsZig +dvR16PnFxG+SD9M4+Al57R8qutP7nSNIzsPss2IRzaQhL2QkpbcWcbNHrdbjE2X8 +nm40h7fRhWaaemSRnzTERh+KLlJ9Xi6lVR1P1UhY1GKt06jS9ZaHnctfdir6EPwn +8mzdPIJmBEgy2Yo86P4M4B5V2/jLPJo3b1ONHcMaYjZf8Wvmgp1dKC7WNWFUxs3j +9K8ZxefEnOVKH55/HAehUJ99dH0+8LzmXG2w3D1e0LWlnPx/YIPo2xXTYqL6Z5Wi +lt3CkcEs0AttKXffKgCGj7b074o5U7bbnSHSZzgnfd3epMxBxeeZTYimInkZHUH1 +bhrM98angf0vgWvKYckXG5Md1PN4fxYK2+EWA++sfEp3hWN3XsbAWRqEzNzG9L/F +ExULToT4uSOdj2adrCqSfzrh2Yd++GJSaveM+AZD5X8X81hbMSqkLLeODZlknxtK +Y58PXk6o2lwAhS8H8Fw1Ji5dldSoLsTy4rQJckqeM9r0ox8qKGank681BQR8E0dX +o9CpByfS6OmV2rkqNV/fL7Lc4+t1TPchqz+mSobxhLPUzTYEOD4wlibohORls85C +h32vA+uaOJ104q5MYZUNn/1SfNfdxNEXeHaAe7oxU3Bggu47PHbX76PxcGUN8117 +rNtOh7CoyGYXj8dAk8pKTgpOJjdoxBhPmg5Zi/slbxwATEU4uaFAiitXqo72CpHj +pRc9QDoBaUdNBnazy2xtzB3ZoRYbn1ohGIjtF/BI4d5YEq8MYagrK0iEqTgYkfIO +oeDzw0ckls3kVvQKH1gx1G3ToViO2z7GR9H0R5hgkDtBZRL2wTgYbGGcsW29B3Nm +s4qNHV165UApsObaPFPcYARdbyJ3WAiT2/klLz2AxWStauFM9rFRPqOYbjDjXdhw +5NexLvsriJm6kL6SaIu9GJ6LAg8LyagaHQJQV/+5SMb3LDh5yEQQj3oDqMVOPeBp +bGRD5Ogy/FmrkgSL0THKKeJKwvKr+Lg5qmARGWlDn0FVAjKItvR7FocNeXh4Y5L5 +sEpb6y46LwV/tO6UGp/31gziLbll1K9G1tz125aF1/oOz1+TkxTnsekY27BwUJ5B +R2eYt1Ap/AFZyLmslh1G+KXxQXxVobKJo0jtNZq1AJNOgh1Iyr9UYOFILb81YfEc +6P6+p2C3O2bwWFKuoGWjE2EoBepl0EEWThy/W70u3tjTHPTgQuh2HvrEoRjFGFqx +5aszhw+RoxKsG+JqPnhhQCaszKAZeLS8SxyhwLemiZJmxOj+EoTiH2XGDebSiNEv +ac/FztCWod//5APu9r16enxnqocaJ8+/KxgqS4yZCRG9eBbk24g6EH0n97kf/yAm +NL+KH5ovUC96H7KMW2iuxM86tiMOyM+CXDEWpAXXuFE/RSEQNhwA0eKChMq8pcuJ +nYk1YGjJ1Vjm2BwUEsufQBJ+86StAXEid8iOWdLuefiVH0hzRlisWUSW3wWw/YS0 +oNo4vDQ49vVFOKFDIW4+kF5BX/iY4MgJaA60Hlr3BangtUKAXOP5aJ04vq7KRT/6 +/8ud8FwSwTZY/n4JldnzM1HXGoKT6ehLTWDLa3uQ722ikK9/GGlVdtOJl5WWDA0B +nkfOY3nYvwF6FvWceYvtVxqWK0ENlU9j4iLh+G34MwQ/rq+WY6NGYu7kT/TmYoNJ +XWlWEpXUvU1EDQgktW4SapZmupvvUetKV2/vQTczu7knpmGjZ7+WuG4PBAP9H9M1 +F7pPOpYRN4EQeQlCsJQMZyq6OgeF9pcM8KDCu8sWaPFulZ2PyeMtQrGzGIQWPlKh +PvW+jpm3eEQjUsik/rpPfKpiaODw1nvyRQLMdC4UdQigcW1llEquZwGyW/QbJKKc +2U/n5pZZPVWL8nxKLLm8RZsgPxGUS8bE0mvPyYWpWQXqcoXhxtprobGiBJltmI+N +m7QbNgo0YhqDby0Xz18G9fha4dBopXHZ/OJZ8bDo30+NlzPEIDTVII9Nt54cYPe5 +F0UaSDuwEjp4QUHvhL2ktJQp9518CIvXkDOwvvzU7mqg2Al3ZKxDDWq1UAeFmRRP +Qxt3U4awhwIb2xYm/P8/hQ+Zl7/XNs08Ak6A4i6sX0lFXSYOlBd1AgXBTQfMNwKh +uW6znrfB3BV5XkVvDoafjAM6e798B8vTxvNa5JgbLpjgPDfUXB0G3u8F+DGl1Abc +dGrTOSMivt09wECyEbtGgVT7uGZI/iaucXd7Xz1XMr3Uvq8Pk0l+8zDxuDrWQeac +lXJIusCAKDG6JOJrv3a9WyM1hqJjOjXV6VTwxteY4Tf5qzAYmFmHD0mMoi6nKii8 +a2XuDot0rKApb8FrT+CxzFWIMIlt1dK4nR8hZUIC820ElKEOsugJ5DOCqA3twYNX +QL0H2HLDkwNnvUQMEtJV7l6XwEuIM4vQIJjXrIU8zvV0tz/HkuJM1ZrmDdlTUadx +fXMOueHnEIM4p5myGK8HLu2xBTb8v1CzWFluWpBlIXB4uIZh4ii7Jq9XlDC4zANU +DYcEOl3og/cnYFmoSsyKKvd9y715cZEPhBIpoUSLaEcmbFS04pvVxCA8hjqZ2Cew +vW6PABeN7AYgCNL6CCgqiIuu7EPDLm7JDvg1M0l02A0BOmb1I04ei67TPOyd79oV ++G4xf5Sd7OGYo5aaAaGksGraepVmEDF7LGlQ70sw6vcbAJkmE3BdTp8hEuAdrnEY +DC6IU2n4CxVQjvFRwQAPr+efVKneFTYYa477O6jZHqTLGLfrzT6M0paGGSSdyw0f +2ovUfBGN/TTZNpHSC/m11w3II9UyWLyAF94Hj9RMzMBAZ+xzMjh2KZhSRxWL56BR +uKWcbqdut63S+G6jrMfGn/VL/4XIZvylCD+LXDcGyRZAbvvTa+WcLR5Gai/LCldN +CGEV21WrdwfL25aKaa9h1JqzJBUgqwhrp5yMCesPlbK+ZE7SddtkeGxNk6hpn+FX +ufBV5TD272nvBGXaRiLisTxExB+D7fjL+roN9wnS/GSElDdoWD295p9PU1bKTpPh +4dOPHOayaRwZ833/9z0JRl2szw+V/+pKADEwsjZKNTbnb26UGGwqo0eB8zoKvF6o +B3AkEdbfOOe/qlCOI2+kCj4pOjploGXugh8R+4GFe+A0IGag+8mRU484quPpw8b7 +quOF91IFn3SsH7EUdUcSmOTh3b4fd63jyUpiBnz5nv2KtUzigXDvlCnV/A8fxRgU +gTL4VxX9Y/SnABFWsuO2OeinKb1FlG2DtjBgT2YQKkobQ84vOrFszyn/viiomqKK +T35VzT7iSd+UMTTzEuYdg8nnMpH9VtC7ZeXKRwVefvsrFZik6cr2Jp4jyDwR5GGf +PN1Q3ur4cbaIom7Zy2vYLLDyocKbu18YdcHaRJcTVGF7zWFHqIY2WJfgkqR06crr +uAVzAOst67wOAxwblORSdozQ5GZrm5rkNixM0BEZ9q3Hk6kLr0o/gZiFNaD1v7uo +ExH6G83xX2IN9nc53C22ecRsdayaXAwL3MDxR/0ZtInyDr8kET7hXLpwo7PR4QY9 +RpxHICVotzNM9AaSad5s1zUcwSJl3peNGHtJx1reXpQ0HG+Oj+coSjIj2iGTxZiC +RXupdoQc8CLLTfRNyQKpXIC15nIi2BOfB8dgLoizJz6R6B21Hiv3ZlX+CsBRbFnZ +PKZDbRooZs2vMneFsPxI2byQwb/xw5ElcKwjZqh5NCQyWic7eJf8KJSXz7uc/kxN +jrvNiyoZ75/xIbRfxZxcvnzm5FY/nDLw1cznLYXjuZoYHf3llhvOVVDTYu1QIrpU +fQBSB+OIe1U1HF2abOOCWSBUE715r+xiSmS9N9B1pXo2XWzisAmjpZ04lvGqMDyu +oJ8RcbV5uqZGdvI0wnn8E/RkR8jX4LtKzLldYMOUsOlpm9e6RV0r3T+Ry5+I2/f8 +m8J4+wJo0QDkZz/UAVUosDGldWpDo493PAj1Y4tWR8SJt8NSDbHVrEepA7TNi2TV +3Qq0N6T1JqPL6Ju8BAuwZCLmy6L7UBviUMjhyFyUYqMg2P7wdTa22BUKl1lXZzTf +huP2c70ZNKhYu6O6Z/ITgQyp5W2Vfo0X19l1mw+PjbGyCbWMxv4ZVvukq5KX9UgY +nfdkJ7swD1K4z6zGhSDW5lxwZPvxhNjMG+G6JlcAEhjdAGz8ttcYLrjzFH1ZLWD5 +vCqC11zkuJHtbfNN0pkYDLUckau2Mt28h2WiNGK+iFB8aZRDWocAWYSDBwJhN6Td +JQHgTdC49SaCXrldgJR2aB9zP96OBPdcK8eaW9P/DNMmbKpGU71mbFsVKk00nZxU +sVTt0tvHRexJILaLct0Oq61qSgLfSsDXHC/gFB85+lMYSMjXsWlENxjrG/jvqOon +LHrpRp7GFgOK3Mz6qTW6A2auXelfPlGB2KBjELxzpc+UbJOI5COdsq6H/Zg2+NsE +LR0SygipMrnZa/9JREF9VwO+kU7mMDsjJweFaJtHpFVjolFXPcZuVBC4iBMyEhU1 +Fh2xpbFksGRDRrp7k/v5acTqGnC7JzM87hThT8g0spIJP3AdcYeMVIqzPwRspETu +OHRt6AwW/WDZX0tbd2CI5wbMILmb5PNvbzG+2nSF6l1ukHSYkQS3mT3yY13K4krn +9486M20swZBvM+EgaPZT5HPRTvPIvuGDD1uImYYJdc1Vx48C6LfchGiM0OtsBMys +gKBx7b/DhwW6O2xLtN09BExIDYqZCQGIwJQc7ApFLSo7UB7mgg3fCV/7Q8iVflWO +0JYDkdn7wZSbM9DpcKnkgcYuHkurf76lng1J/gtI26KfOwAz9DydpSwcuB8uYpjX +4a9SHKepqaH0Veue3qWXTMuMr6SQRI50gPfkmViqEt4uNGPa0SzKjQuZMpvJBqCf +pdW/BbYYcZ0RMbIjwYeZjO7VqDj4ASpXGMZqE2NkVweFEDF4C7Roc2tz+fmb13FV +Y2WqD3SqLTB2fO7XWjeF4hNM8FmgBnd4S194IUHbsMKU3XW3YmffJtwWLC1gv2Ev +OJkoF6aMp4x5RCVHIN7ZrX0u+qT2BUPcvKNvlqdJ4iqjLLZa1Jo8eFkHcUEElnWD +ZCNe4c7rGlqxyEdz41l3BqSf77WZg10eKOZYRbyIYRVeMMzQey6vXAztqDPzbW0a +AS0paFJV4AsIqaDi9QeVEd5l3AxojaDTcyU0Ara5Ko/4smGDpqBbsiYLGvDn/YS3 +mkPkwp4vXzNSe8u782TmFFH65gcz2uPTA+NtYphswrbdhdaxAkoaMZf6x7G9futD +J2c7CBOBjo+DxZG8x9+9Rnnp6jFwf3BVAvEKQl18QkriAzAlpVDKdFx2cynwCIoW +3Nf0nLkxNDZgerD/6Mbe/L9OINqvMHLe+64ApMGyJ9kBZLjs/O3wge1qebuuhWKM +IIc67+1b9wlj2sJheBcZupV9nMGbO1CKEdBuNgXIwsfZs6O1ZOlJRvn2wscGcx7y +JJhfrhWQra0nVqL0O8HqVWGtMGlY2IQHABXOFcOMaqftKhyglnzlwhWr/uWM2o7W +6FMkN92FgdNwvzpn2C3gMRP+cwcmlRi+TrqvgghGgzFWVcV5uapI44Jf8jMZSbdx +Q5IC53dP1XIRWAUEJgiwP8SYZPX2zLWOMHt5f/rdKVuEgBSlLiBbAIgaIj95wJDX +kM8wvJNNjM/MRMvH9sQhGD9Iu0qMWCzwIUTCcLQ4jiakua5YbPdDK/HXavIqLq0X +xcOj19bvlyG8sjmgmH9229MGigD+gIII9O0YRqC/90unWce2ysxRUPn7m9PS+WuF +G6zUtSqI6yiNikjG+3en5pUvSxVu0fzwqh0NYxCyQSzbCgJCx8xfGFC3sBiezBdZ +DZHQYyiwxfZOQtkyiFCpbEVn4BsRh3xn/YsaFrByf0eSKkPhBmVYL2K+dQq1rTj3 +plIcaKBNdYovU7b/thJnBezXhdwH3+Ku6M30ZsygB09BXV4zXdbWw7fBhbqQB4FL +EYWAcWoOSaLB7CFjuni1oJskfTEYYX8orm+ixTMyrZdJ4C7UqK3lGwJFoTNT4ZIn +GHaMecP4P6e3DocF3iFvDL2iRYdQo9rG6s2YUAut97QeVunDZFoHxM8bTyQc77mr +hQd5wGN5utBrrbro9ZnMUjXTjmU4CgZnSZ4mfiW2u0ek2g0AVMe6giH60XM/Ew4c +Och9ikOPRDfzfcSVHUJj6VGWzhR8MtYgmKx7fGt1Wv8/fz2o1m/XBHdTshyk3sD3 +qZ3vuBPwulf49AfwmSne7kIfqPnjkgtiSvix7pFJKzDq3LQr/vtcgUSe7inD8tM5 +AsICgAVYBB+S+hcL+DxOrL+BR/d0sGek8XJzhymd11ZBsXWF5brwcS3BfAlKydil +jvxAvTzBEYApqRodg2M6t3vDOr0CcxVTrm4fMma2prdLK/K2ADMEwV0TXAGdn4lH +GAn7pw+45rjDXfNQ4pK4gYJpx3OQD5qxpsK5z3n9vVpCLkTlDkaQ1gauqym92DM8 +2hE20WWokzEqhiYE6PXSf0UlbQsHgM4pJxF8j4ZiWvYG7YUAwPugU2oUJ8gpxXHB +jVGPR7yAEq5Mgwuf/ASr3BDNDaAEuQ1yMYUBeRc2mYzeGGbcJZBllnCOA/HxuGEQ +sGgSaOoX2uMzGRyhU/dkUUa0YEGNEpk21zmY1TIBLov0kiq3HEp5NmxXV6lX+G/G +cUixQZB2JZ/MT4nIQYdPKZ4z4eBDMgmHsyQob9yOvvs7449hOmRBj9Pe7MiLIa6K +8s8k+LgTbpoWK8xA1ofDFMBYqeFuz1GaF5gehUCxoyMXy1CO7Tnw9Wah8mJebVOv +vgTsh53o1/dI1fBqW/QwtDAJOB/CmBWqLB/9CsnDQp0BZRJHEAgIQdisykckomTH +W5JvmdQtQ0p/d8BmFX+SmJwiC/C35vrqJnlww0pnjRx0BgV8BE4uvSLlSs8zeFRy +vO8ghRmNPuEftIWIvzEoiEOn9bPzsCsebw79z2Gs35uLjYlyFIj1VqYRAbTY7KIy +fcVdxV48ewCnnGt7jKwrcfP7XX0+aC9gGrwanhHbMbO7bSlolYLmCUGXMydhYKLX +sZj17AvO3gk6tK0xICBlCfXfM2AG/lTsZWZcy9nN3+7LsyNdGueRD58U6cvmcEze +bHuLWCiJdwy7qdJGeqAXfQuie7sTa0supcriid0C+UjU5P0TlCfKwL6sRf+a4Ea5 +1cvriN5XcLThUO+OcG9f90GKngxOhskASVMDlQsR+vb1/duVpODEw+pJ85QyYGT/ +pml4SV7S017yIJuool3pUi+oe89OI8JiwyUVrR2ITvgHkD1BktoRWzoY1v4X1gip +UHWS+ZWwoK7aV0mEUAnoE+2QroNZa7tzvFMNG83360YYyzfk0WIuoxakl5KoBlGx +FgiU9KcZCQmiTUuqpEQo1VpfVcPtnu13O/iR6DUwvC9EgAhqO7W7u1+rhi3Lfef9 +ZdelVuNFZgCRF4hfaU0TEZ2qz0Ve3GaIvwHoqtSB0k3HZa7p6YVvyPxjB7vJ58Su +2r9pEbVoo7857vW8iUy/gj+7Eua8E2Cc9vR4WTUyQwzmpdio3x+ru9as0F/4oGzS +jMjy/Se6KYwAxqG8LjSP4hNLw/wHwuS5Nz/i4ZKHhLBXPxtYWmnqzfUwopRgNnmm +lSeL/eM1pqsoyFsYCImNZDOYJx5t+DZTNA5OcDSJortfP4Qh/B1poyauURXE0y6y +jygxAUU2Dl+IE29wtLtmffZtWvyUiiBTIcyyZiJyAmHDOBB+8eprbG3bd/leBIyx +fOGQ46S1NX/uXX41pUrCP573U32MIYtvShtuG7pV8JJz+9ZJaAf3SayeAAe1Gp2L +5iVqwaQHGej2oVmzqGUSpUdyzDoRzJc9MUvPgK0H712Kd+PYUGB8TenGTIlS0nU1 +x4n/3gep5148V8aCtKQDExXw0wEnhlx+mUAFnytOv7z8ma1DZqcnR+qo/PgZkWuQ +By4X7KjqlHPpuJh/gfZZ12LCSJ8Z1kSBh8fmIFbNHfA9VRRM7fbFllzJO9+U2We7 +AesuNIQFVy3GOFczAHWT8knwhi9C9WpNlT7jZquzFQXc8othP/vyGxDaHAAhfrMY +GUpDB3yNewNbyO8RaB7glRAtRhLXcLdc3A+sZRm8H9hhn9KnU7Xunq7VWnMlYcv6 +p37BP0COD0axKS/0VOiQBI2eBkKs6fBfxB7u0jbm1rI0gaV8l6GlFlczmfS5/zXD +Rdy2XjKeXQEMkzyUnsF4+HbFKn+JoBKJgPVkB2eTj3CyPs9Ttsb30V0YxdISN22D +650u4KVHpjnG8pwhk2pSGQjj68Bt13pllmjuBpIZo53mMHbuM7Ha7ee+gkkXjXPU +VYHd5hBQU/pR1p85dawnegRPU/u+b2O2CnZ3YTvIpY6nEIbmbZeJAb7O1k6W8uVN +xRHN530g0fKqUtufmUtWQZsVRivH1lEaneGTLol5/yKdgXLX+7YJi8Z4M2e8akJt +cJGFLkTEcDAZLOPQHoOGblOfCmcET4KgDOAo+F3EiXjXJ7WTsMhtd/9DDixA1dh1 +3FROQKndJRQRvTLDIIL/PCFqHDiALQNljz0HKC6znXU8vwUiNs+q7sO8HHO9AJdK +bT+rx49i0i4dBAZrIGvAwx4dXJ1DuGkbFWn9gFxWLhH19wK6pnbqTLTPchKTxEJ3 +ch1JOhzKDA4X1IiHq3OAnHBZmYFEKJIjZE1Cci7D97GQVW1hFVQd6+JlNO1boLhe +4TB5i8EFqLlfW/9MMN70cq1alfjJe5hJJ4EvAqVHMDOkGa3VKl2zfpE017ehumBW +D9aY96DOLVa8bYYXCo+JBgirr6OtVjL2bprPLTXQRbumXd0miFFDEs4AhCG1S1iZ +BAebxfQJJgIoOv3ICDdw8t53xcMC+hR8BAszqcpgZStFPg9OrzCq5UdLLKZU3/GJ +7ATi5YPMVOnvKYSCO4FQtCmohY0zLL9NP6YyRl70nDxCAthMQYp5BECtzGQvu3RO +Qh6J9/XZvx/SzTI+VFEkimG3QIShwmV7u+l7i3f3V5m2TgmTCc0GvcBSwqz+unc0 +T334HaOd8TYvg8b1xu9hWbjJ68plz9B4auzIbMMzB24qDNSNp3dNCqEh5H1W69v2 +KtpQ51SvCTdOUdrpy1oQb0TSK4DivJsi1dyByUl9MpmYIbmOqucqhpcDrmOenXhK +q3ixGU5sktgFFfrB97lJECyPd25nHbburJ3XIt4mSHqWKh33qLrgUqqNqReqkDGH +y6I7Mw/0w3wHiwOSxbgJm87wVLm07Tgftt01pOgfO0jXRcABD6PNQMAyzPBqWk9T +8UtDyQterBykAyfyF2/sgLkYjbs8EWJTImz2xL/YMRhRUqTht1th8WxTsMpG2KIz +Z5QB4h6Kjp5RrWk1weQReICL8Q78mIoX5lIh6vX14v5Baf/D8vEvSshXKD3tmDe0 +Yf0vrsqu2l+GKXBzinRRDKtvE5ch3obspvlwcWurICtBSb6W0wvgcMhlPg/MKfg9 +A9MIfJX76gszq5tuPbQeMX0PGy7PE/gUS+Z8W0AU+I06AKxJIvbp3Dx/gTEeueqq +62kh7ygbN7sltRNfPSaT7byKSv9pMV+KnUld6M5lngwetWGV7IO8MvmorcUaK0tu +UW3TsZ/n9r53dSxULzNVgPX7QDaXl7yoESjaDQjDUOUYVf3EalkXYMJVTYvWGOWP +W4B/kpl76sp7VLET82wmdjRKqkOzK5C8jcVPPrFbwBGTUXTPU8pjbdzrMh7uUaSi +2chf0rEJxj/snnRuHE5elGV/aZ8Tp9jLpa2H1BooPfnin6BgNEwhseIUjYlpEAdG +vA+tAvI44/bndPd7nlsUVFDCV1lX3DQ6t/bsIUcivvPcqvObD8R62BPjtU+0FabB +5CHUUlNJ0r6BHteEx+6P1KL7P3FIyY15HzlDWBNKIL8u4dsyimRvTk6xPTYKsSY/ +Z2989hqy8Xb0iC3zHioJU9pyWgszf+8eipT8jD9MdFPHcVCAJZ8YCAxqd+XuLbzi +PzdMD6RxYGDVlwVlIAxGMUNR+FLkPhgjFWjl9Ty4e5l+FVLDDFxH5s/IUEIT459g +saMTaT4px7MIKLcIO2b4l0jekClVy91NCKc9fgKIk8Ep8Jig+JJanjs+TOQ9xP3H +hp639gy2Mc9DTWmG9iFoviOgpjDOtJrZTynHHWAJwTdQ4Zq853+7PoajKKZIk56e +bJessYAI9GYYsRIdiS37TMWV3daCOOVmqWFYCdOwTO73SKgvlJlnJqxqyKxEQNt3 +BlwlpziNXz6ByNQOyTai+bRPT7VtAx5IALaSxlmCGzedFZqiJZTadasBukkfmkOr +iiOKpgHJBrokRU3Nt0hzeUOvghnymNcgISjh2sf/DXRo/1JbA9Kj9cn3/hvsELqh +TLUR+skKTon9dlZqXInyl7s5fGeXE8APmXV65r327G2qD8Ko4QLZT9rOViotN9Ve +J27OrDiLJTMEaujyjDS8uHH4o/BLSRXZ0b+fnULX/UinoiNVr1gp7e/4RTL9nq7H +kW3A3SizaNsTxcV0oJrVdfLOI3vzqr69NjG5GIzUaIVlEhlpxOC2pJofX4pO6VAO +LAoQhy6ao68RsLPXwSa9Q+b76IAyYiNOy2oFEyW8Yh3dgPzlyzUVx0wFKNmSzVTp +3dTzggKIQxjnq7yTFY7yGv5Gosjb9EcMUE0q+0/zQJuobHvMizp9cM4jpKX91zfE +O98xmoTGXAHGfNjFur4UTGfk0acaXXqsZx+v/QVW7YzRPXtZVQfc0DuErqHcTqVr +ZZS65Z81aEqOrXc+m8nXTEwfTbsanc+fiturRwWtkUFtFlSxE6rVgDvIZ9qhbeb9 +bEUIEHusp1Hs+/EA0UhjjVjGAGgKBLKzlWz6ObrRnDteJhFKKBpSq9YofeHtlPC3 +0mKgPOLcqvqrJNXGiKUrC80ZQrNXL6+t2xP95GweWA9cxRZ1V69dKGUpQOzFGsmB +Q5UiMZ0xj/C//D5ekW1uq46mUkAvWo4IPAY6cJErYhcr68S0r1sde3a+K1747A/b +cHeBGfQLHujQApkRI7YRov/0uVkiCJEbAQvIpvNAJNT3Hx54y+9z5+ps+/JwfJpF +tH21grjb9JFWJGGWl2IkCNiEsdkSjbsAb6E8+dzuWFib7EVD4JCsKZsmFVty2M0N +URflkEYtqP3hpePi+/Hk8j/oW2mslU4/mgd8vS/AhGK6a6pwMd8P+WOEKOhFQjiM +6wzbwaCA4fvK5q1uukVJYCXmRB9vfKtmtauCo4XHU9R7XcwN2L0KK+vufarethgZ +lkCoXzi405WKuz3gmrW/GinMJRNcp4FhX48MvN3Tsb582ikn+GFvJyqlxDmrw8Fj +GBnFYwe7Jwb2UxYzB8D5HEqasuvamfAc3TGqnR3ebBfUww27qZd8R2GohA6NLm+K +GLRZGhNvYCNAGX8gaFGkLjW29bKmuYDSitrpP2Ij7HH1pxWjoyWqara/VLQmIX37 +5SpRrnKp6Az1XD3UzlSd9HwIK9g9lTx7nc2Co9r2BXLU+WxXoLHYgsqs+OK2IEXR +e/n3/upDBG97+DihH9lgZ7gFPztXhp795zQehs1v3Krbq53BqIlqqJwBcdgzxmJx +yg5E7ItfeArCx0VCMbuvcabexTCXaaV5BMRCx45yykJnMKR4n2eL80Q5dMyX8JOs +QWbdPb4f6tdwVt6OcXnLASyPRvhmvRmlrI1WkNAVxFuJgzlT0NInpbnA3KB46no9 +/A31vt2ftSbS7n3UUNh6NuV5Qo78QOC2XRE8f7akhc/yXREjPAN+QZq9QsonJ+xD +7FWSegJ3P/0ejyoeHGJQJGqxcpwrmm3BfyyMca0VyK6393o+/qHJVuiN5OJziCt9 +9VMOdGmkqYuOAv0hk4XsLv267qEmqY3sc76k8z/wIYEG9OyPEaB0PmWlFAvXRGu6 +u56BEFdQlV1s6uMsU8IVBohxdSez5mBlq6Ei5Kc+n3RP1MFRy6vf4jQ3P3Qgz0Xl +/pc0RJctPH7W6qmtBwlCtZRsGDvaDXYfojNzoNRwN07U0IO17W76Y5K5IgvFjJVz +U8Hj4JanofycZIwwuvmxQZ1c6EmUquS1ZbwC4dxyZ25ZSQOei4oqSn3LBpngWqph +TFpXsJvlUlncI83U+ab0YAUDS3/ocqYA6Wkutn56MB7vcm2UHU2PQSXthFPf6IUv +M0qiUOWpjiqGbuPsNFey7oaSAlgP1JJvicxVgvssXlZ5gL8WQZaG1d+ikop70WLY +LcQx+0+57C3fV68XLtE8/zILnlU0CpD5gEOmYttpYlA5jc+eO53I05DTr9GP5EyB +cjfoJUVF0LGKb2s81QMTLbPI30dFNuJhoz3G0yGZ6ekQX37wYoyT8yKSwtap157l +vBo6JVi+rr8cukMgmzHY5vu2cDhPE+OChu9Nm/urDuoVpOQT68SejuAINB6czvWj +scFCisPyx5U4vnAv8QhLTaJIExxDqwlq4iJxzNA+E0avfPvQWiFoJVoFvWQf8WEc +TEzYF9L7u4pXP8MybRpa2xK3XGidYmZbRJGRgGIA+w+tPUt0a1V1pZWfm1jNEUL/ +CkdslLbi/e59slFUT36skracIXHFyMLn7CP9Fwuemi9NvKp85ztzgde+gPHLCPWU +Dhqa5w51DhI3r258X783a7Yz5PBRgofB92pth+2D2+/5Rh/Qj6TfUVJDBFBR4OrU +wnWSC6quQUKxhjxFia7Iip14wk1aCz8XyFHnJqnVp5inv40IqLuf0OUEUQ6gEB3D +vsTCmBrFdY4IH+KDlCmmv5eoL2InDgxuTajlaReqPAdlXbGd+iqKnWaYYJXZWwkw +nhUFTTbkEfj+HA7f/qnVxg+eQixi+ENGlcaFqBySiWfpmNno7VskxB9ufMx6+7bw ++W20mhVbK4zanIIEO60GpltRyr3DsZ1K82Kbl92rKaFAdIdnUKOzsQRfwljLhOKR +Lx2hauK3bovCmWkgHOKKM6P1wVW0ZSEQWSLQyqqeVUX6KQ1pBtrjN0eAGfwFDlaH +Rc51jdVbFDwl8RG4t/4Au2MBhGsxlzNtLelLSiLbapnOW5UQhCQLt7Djr1fy/HKs +4X6t9e5y3Q1bgcxafFlUunyOvyaHwEfHwLBI6u4RXys+7Q20asSR6280PvjSggD+ +fjffWVrrZST5jjV5bjZca6VHdABsaO/VI7pXVerJTNeFvpejBKzbv4/C7pQt04A4 +1xBy1zz+56F5SZKWsmTdjhm4aBOUKbEG5zsQxrd77qlK3sgIvt29KWLfAT3kswKb +xfeC6xcjR2w64util66VKKIjTfZ4fc5Hrlpq+jO9xWjyLFfPFd6IROUDIWRxzZEN +WfkwB+NYRLj14+94QvCcUehD5ta2LwlKaHQahvaqiM0er0ePHN11l9y5JaRRlgtp +karNWPPPDJbKoHyIfgYN2RPcODnNCIEI3DSd0YyKMpMASluMMqVLsUESbPEiJBEZ +X9CXV+WrOh95Td2IJ2aOo6oSafjQzW68RE6livE7ZYzuVwmvlhczkhzlEap1e0a0 +oe1ZZTQ6UVjzgrUECBFF/oa2u9yQfKrnXJ1RDG+fOy8kjZO6pGM7KA/xPIBF3lvb +6H+oSZhwMGBZXvq0/J5OsHnXoKtqle1B6KXl8s4gm+aNyV1aZA4h3rCJ5itdhxQw +YU0TGa0V83Xx28UKTyG2HR0uTY7g76T707d9YS+eQQOSrguMwB3rmPkx82m1cxAP +2DDCWlcloG3T/OsunQNu61yCMzM1j4HgexVyMtejGlv6qukp7SlQPRU+eVNuwTyX +IKdaxMAMIVYoP27BgeAnw/Z954hxj5+r9LTv5sKm9jae1yi3ta6zKoUv9QkRfUB5 +qsKVXgf0A2w+KeLMi0/+EqL2OGV/qXwQqMMINLL4Lpulp1EszKWmnExpiOcMoVDG +cbu0HvlkrClMO8NKvyhSPZoW8IdanAIIuqVWFaac95Y+HFkDGN/FyT19JAdi6egt +OsfzhkWHdp4eeNsdTg0d282fH27L8QF+kOo/WWeiWgXUpgLdcGivzHujcMrW+fKc +fRuxqU2zwi4FgudZ+dJ/Dcz9QrXhF7HPXdOrYC0RlG7hk79DYOvuw1m6Ar64MxL1 +Lb+wqUVdR1oGtPn081q8uFUBPCbG+NBxiA81fovgEnDacFpQ9nVcTckqN8K8jcs3 +QZMFQ864jgvlQ+9GS89/2SDadGrGLF/F6xv+ZnOaAM9jrPUI6i6viZ1SRBfuDw1l +FkFSNePGt4Ks8cCCP/kLtaWZyxMRRIMVb1p20Svt0Y6E3UItDnNP0fFZN86JCGL6 +9HAhgoYhbRHqFyNJN2Qpq8L8JYi2hIPaUK0gNMs2wUmgZgjHWzUO4PY8sw8ZSPBj +qUTDl5QlRS1c+MvCocwWI7uh9lgKDTmU2zQa0lclrvafJ/ukUWf7emMx2Z/wsY6V +NfOC+puBS5Cjwpuseijs+9BloUGjkCD5g5GHm2jKzjiLXyqf7jc8kwZAgkhRVTn4 +cdISFGNPQiPYCo4mnw+psv3nJP7csqtUkOVBguZIdW0imrVbYg9Hn+N/0lFoFDca +wZaKurQaFi04pNeTHV+N8+9kAKA42BzGYgJTLW6eCtU4u4zrFZ7YVF6XwsZGsDps +8Hf1wjyVu56TkEiMB+laATo0MnTY5plQYwYqIHgeOKuZaF1BHUInQWKfafTaBCGu +bEMyfMSGa9P5L5YHOoCbCVVwHXAEDo+msx6a43ra7MclK0uWA+oFv7hIM7xyNMJj +e3VS+ro5Srv7Ok8OwpLRxW7f2/ZC9eK3VcRO+bIypcm9dmyOk/VMgyKr27cM2DME +uSi/IE7hT7SfiwDgw5F+oMwFTr4oNhy2oMgdOnbPy2fh3HwMEzZepL1IN/41DJic ++Mc2l/mLmL7kvozkMgXnCvZkxy2M97oZh5XFPy2h4rx4LWdTHHbj+It49t+qvACh +MdLA1nk8eB16BrYXJTBKpOnCPSRNL2iz1JyLtkH0p0V3xW6BHUvkYGcNt3cGGE9N +xwgPJbyro/lvK08ARtGKadHPXqHPQmNsJJOxKmK5AeN7UQ1VKMzvapecoloePRjN +N88GjCupSEpx4nZ3DVOV1kWBgJpdegL1WCQrXGhUfecpgjq3dg/C4CfjKEyeNlRk +8UQKa9K7QKpixUxxI54DgrFB5m/oyyhUk/NJT9DRS9R7YRoQZNrZCxTZypmSlhwk +dXpAsTeNCCqFCClcoKKQ5Yp8McbPc29lXBrlFUSIKftwsdF2ghGQkjIMjHpeTVuy +oZGjM6zIjmn044WdSOriqGmv7lEsDkSJMHzRARd3cXlnChjKjDPOcBP5SaVkDZO1 +G3ByFmuwBIzEN3lNvQFx2VutiTLZCCVPkqqLRTpacnEvsoJUC39S3YbgrjGYLpj5 +3FCxxDuuztJ/nJHeKy6A4VrLuLz5KIv9lR6Jgf6183nMib86qt2MT9bvtg+f9WPs +YTTHCTMk9bqVc5f5bZsX4DsQ2PdoU1KMbI6VoVJXS2lGaahLHjY88OzqBz761loU +rvhas1j58Plbo4FsRtnOoscvOk+xg5M3P9YbPQLEsUXFUiUbuR42jP45gmE7ELyh +Czm9cFfSrr2zFkpzDkf4REP5XW2myxHBy9Bl6JJv5jJ9sTCpFUKNFimLsTTqvyQi +j3nsHELJHf0vuDTMU6cneDO9anSxnrgJs4WkOYlGGWUDWuD+jtD0NKr3HQWuVVIe +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..d1ec34e1c710d34265ab9b98577b6f3f498c0cc5 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g_h.sv @@ -0,0 +1,76 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +kLZr13QLguIANDar9SulmA7hFf2aqzrtRVOIklmFZVPadAAhrxt4F23s/2iYEhJH ++o8fhXosOcAZiHnzyKq07f1YCEkRAItSnQrsYPF8j0hJhn1DM/alSlcavHIXmH2P +FdI/HIJng41AFhkct+wjpUFz+wmpC/3EdHZZzPUP+nQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 1920) +eXr5AcWAly4w3dXx5sROdvKFBwCeLZmjp4KmZgUCmiAASwzYZpa+CpxNy5KRl246 +UArn5xaf7nwWYuvttlWnNL/lJJXPiJSKywVKCBXil6gc1JilEHik44jiLB2Ftnmr +FlKDz3KmHWNUf6Z7as8hpZHi5N84HGKAeNBOdECxkB1ve7nEve86gFpRqlAhZ/eN +0gWxXWmgGVPjYDR5yuIeplE5DOOozIGWXEfYSzPB79HwEgtJxjekQei3KF28YuiY +WK9S/2zjaIBT0ypOiZ/yC2z/aL3JDyDye0m1K/+CSnP94u1kXkNS7RKO2db+pM0p +fQ7UartWkwk2zitV2IuY+HCEs/T8/y8yor7erWjN6mRCWRQdVpKVKwI7t35rwSbT +zl02Kzat6XDv5E5rQF4nUkx70qnBHSgxvM7ZdJqxbguZaBjdjvjOGs5Z9o7jAHmp +DNWihVqTEpoQ7r6WEzLDyIVCmIb1X5MBbi8R5DfxFCeh6B+0jxMnCUB3I9zrX1Qn +CZ7Q/0DlwRlLZDK05cM1p5kYt7wh+cKXOi+TcEO35EHInjlE/PP7fJfECirl80iZ +gjeHqqk+zWm4R4l67RJI8hgyfNt9H2BlCc+xBk7DRaw5Q+Gp4+Gd6TyPzCfabbDV +CQqi+dvPvDNzhknWtiYJ0do2Oxb1FBQWFpM4fcArnJbu3FtRCXN5gNtV6pxMq/cC +BghGxhD3Ya/7ORuRCHD3rjSSQtJo8TcqHlg0qXpNM4b9f+z2xNSbC9QdI24zGFTB +qblV7XhcafpJ5tUZ1pPKnWL97FLvaJxZB8T0eo6bilAjXOrExEGfA2rTxDsEmzDm +vcUNl8AX0olp4CywtwG6qFcJ3JbPbFBW+JgqJEOFN5uFaCX2jCZouDovihKUwJ81 +jnlGr21/HnMxAWUK4+rGmiRQ9zHgYBFF8CsriNVqsicc6SaPaoH8Dwh6Lax1nrO9 +SK04g5xL+YE53jVQDB1z1V+b1F3Y59buUAeqaoXMYVvgtDXgxf2VeLqeaTrbD773 +PevRcqOJdD6u50wp54Fuir6BqeHX69Dr3hLkl48SaNGw6fnMYTi7ztkV/TJ1nKi7 +Mt4PogePZNTxoUOoqYppY6i6OOMnEqJ3dwD0kTSEF1UYEg7ebVB3ISIKRgO8SlV6 +lJcbP0K2B9RBWgnWpM0U9kwohgRnpbyXlSa3rSxLs+WaMisDnACzz96VgoHqfX9f +loSgmqVVgqKGuToMt48nEqC2AgQZJjeKa8eEqu3hJtLiOU4pUKCFFa1DPaYswdTz +7C2sKWrQrZOBZ3eAWJ8+j6a2yHk42NAkKCEWf8f7c31YyatYGnm6PnXsQOCkLeHy +a1flbxwGmKDlGvOpr99x+JdqYiDQQatcbJGQXhKHZqHK8JKRh48ZAhDKQnSFlQUL +61N2ykiEs5JCgqcslrUKmG3y4x4J51hPUYdfvK1Em9PIbsxbXDhGeMqN83e43QJQ +guDaOLs1ICisP3ml32/EYFkePgNgXulO2vPteR7jFnuElyOryANeeTzK8yZ1ANnl +63NoXohuldSFdiUUxtqnpuqjLx6igNk2Fur4IbYTDoh1eONPsBylZwxZrFWjmd5X +EvXoKET0SDLMO6DDqToujZxcaOU4yXyEMWULBlnkcXgomL7wKc+6DhvN8NCUA0hn +p6pptB+MHGmXRvHFGVdCb3ZMagvT1jcyG2lW+w8b2gtou2iNCOPO5OWXioRPEoFi +Byc/ybepK+gxaYNYDOdj5RNoC1Lt6X4tBC0uIebHtkeP0QA5CPiBzV/oovzQJ2KE +j7aZPLLPjAfs/7F3EaaJuxMDioky9k9XGcisg2PMn2DzDP9SfQvtD3qendZ77VQA +GqVNpa/uwAnjIhm3Kop1mWlzq4Q10B9gjuEsTdYgtx5SRNO7ZGvCmC/SubeAmwaG +J8iuvppYyUlEVjjQPFYXWlzGDbeM9Lo083BCVL5ySvXVAwnkD4RsvhoT7M6JTQGt +nKuHRv4qbUnSmrZv6ferDPQfxN1AxZD9ZVTGyw2Yy9Rhtykd50KkZNCug4xDl4km +qdPGl2F8EcgJ2XargNlhQ/iwTWQABrR3mnPa+tDr1fi8HMCUUHh/sr3ygKYm6yZF +nd3BqEEBwF8qNfFFJvseWKR1CRHxrWDY+YSqy/uKzl1gH4Np/Q0yFQioDRPP/OH1 +899qyXiX6Pa4WBo2v+IG+Gix0Ys8hbbfFBRMWRsH7Zad/67ygyMdlYds3YkJE/ez +FJ+bC9rkl1C6a1uz+DSyvVcCk1JuoYvz3XOHJBEZQD4WnVImWjyBxvz/1C9PRYMn +O5xtRurcQy4F7J/upFQv3BaUuSDCGlNS1YLFRNj5ypHTAgnxWxgso6J3v3+vk3G+ +OdRcBmv1/eshwVb1MEFgLLYTC2S9bH93ExFZQAmI/49d4zLx8nt+rqAjFtioFop3 +wMD5J7p5iM/a7F8ebpH/8e7758WBtzW/1x1nfEGFH0QHG7ZcKEoxlM/PVwhOr5nZ +GiZqz2Spxk9oi0TZXSKPEan+aOW6Cics2Wvjm12oVHFgcvH/elqkI3BmcnSEC7Ia +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_selector.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_selector.sv new file mode 100644 index 0000000000000000000000000000000000000000..801b179409a7cbbdf6cad6c84fadcfaff3a6c41c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_selector.sv @@ -0,0 +1,131 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +IAPQvGS2WEQvamIfKh4zuSYeYfJ+NmmR23TMuspMGcjny+aZb4gLlECCuBo5Xd7j +7QQLNXwK55shecFungD2wjuPkBCkOqgwd3dDsPPplKlXraF2h6E6m/gJW8eLmk+C +8cWLA40AHzbLMzNX3PnyyyYLRZUJHUVPGGQDK288CtI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4544) +Y7XQBxJ0plA0q+Tk9dQjVDHiNA+wDX2JmYSUPg7rY7QWHygqT29cx6W6mIk+0iHw +kbh9yAKt8T/JqqNd13y+e86jW5yAf/5VH/krhVUGHnaLnB2uD++awzjY7/3ibN0/ +sA4/CKxy3hDC+BjdhGwOlJ8YjUxdPLbjgKyLHQUjGzNrEpVJFKr5BU/idTuGzwig +OBmV/tuWN23rOReeP8OPbceXFLwS/vS64EPXN4hnvr6PaVsWzw7YTGa9lpKNj8Zl +rFNck3z+Y9ahzfW6aORWe+WQJSfId4ThlKD/7S8T6SeNpNOsZgf1Yzn0/JKaA0j4 +55Fh0eV0vFAIZ8kRtyQNJhaRkVyx9SU6JGRVXIls3IXG7Ogjs8TPj0lGABK8XSO4 +I4UEhC6DcypEc1j7AYjtE9DVrX53Y4t91m5Ank9lMZ4EhtkuhZOZCJAs9VuNGFM+ +LcI09pDMD4l7VTahE48uwD3y8mSk4cpqwQ83PwAVDs9XEO0s5g+MC/Z/wmxCMZwE +gfgUjGSnz8fep0/2Uwq09lfSilhsh33KyOUt6e13rZ4tF0u7WJg4Hq2vKv8fpsfO +jpc+flqJlj7LpOWgl9AyMzyisGyJwOxuFgbbLx6SvCZ70rEpOXtB4OEjiwgw4MPx +4VNtC+xGfI85hIDGgrjQv//8WM0IFSkswGt0OGnf0ss8so8YaTYkcEb4avSgJAx8 +yYkJUtUyGkuUAPNy+plUgqNzNEnbEDOyCFHKxQ6C1UpMC4vV6oB+dfwAi2v1Mpwj +KyW9P25SkVZbhJ0pt1uVfI3Cw6iDimmce+ntDRHm28oI2nwx+Qfz+KjCnH+Gimcy +VZn+To2AvFIWH0QDanGWLTUhbnyCobb+lYDn9JOXQ3JeOBj8sjyqZdgNK14dR/CS +8r0U0KDnrtJWLI/QWztSf7yxeehvDA8XxlEVBudCaNv0rwaXnkagjx4oc2oKVWCb +VyUKtU9nQtyAHZ7bklMEsBJj2WFFF5bzPOwv4xyj3VC4yyxjckcHJZEZVPOnsVE4 +o0uQaYmL/kj4aWIFhGka/KcLi5DK+qyKwv/3mwiYueqbyT27djcU5gFm5M22+5rA +wpUYXj7+qy/lcejwCbL2UU0WPyTM21S9Vqh2gSGvvH2jYWtdZryRktPIQL3v+2p5 +62SfmQxMOGpsuUrQEq58vz8tiT0OOtppBjp3gAnkh/CUC69/5mXV6+MNx3V8R08c +m8u/BukY7iCQxb0t7B9IvW73Hn7taHbYEnY5RdIZeEYhdUlP9WbuPAGpAAXz0yYC +4mjq1yGe1NCnr/CoK/iRBuhQifmvL1k0apzFu4OeKNPcqBkjTREzlf5bNUVoVx1D +ScBFAtWkA7WkjFkHbIWVw3Qw9CG+9Jvv9kQnSabtdmbt9LkgvAZzIsYA8tNt/THL +coS2lSgXuxU62l2pLwGrGn5LsmQrXOmdBUUt7sZC4sM/9ftgx5NM8gO4X63evcM+ +5PghJoALq8lHqcn0FxbVoPQdLFDLBbpbTV3frVJMWUeGflbBy+96rOavFOC/cORT +qkVhPIaKYmCA2kwoTrn29ZUpPbWj/DPh46ge+k5410tGrM56zueTT5k6TPT82eRC +eaQP/zNDbxTe8rYDX3zQbtrSJ/eM/tHMsLx0zJNQbkNOqjyQqxG+KcwmK5ZR/UeN +x4Owp+xGvODeeUghWPhfsJJ39DIGlJ1zP8/LVEXBD5sJZoOWNha5WZ03k5FC6zfU +dlfU0Y+PoNK32vzVuC/NzKS9nIZohg1Nv9AguK0rhRmBlpym1QSPiV2Ep6IxeN+k +RBC76iAEs97AbwqW7lwaAwGH1SasswqBDraFSGEmtVOfHt75TJCMN3TgHMUXOpg4 +TFIe4l/FPZVOuMLkD/Iuhj0Z0M/ulHvLlyD2PTTZnxEdM5vthS5DnRpVR28Po+gL +UKDBU02HeUmIkWLhrfWT2HgJrkFn553uKVloLZ4l10JAPSnMtiniqtVLP2C3+37J +90pd+XMt3blfKNV41bjsr7ZJxV1eKVHR+2P7p9QEBGlUg1KiENhdHwRsflAoiIjB +LgDyHdwiFnsAbeoWxr6qHvH5x5XauC94KWd4C09VLhGC/50TNq/nucvQpC8PNxk2 +kFcQLeET+YzJ9PRAXALWEjD/wsHGj6s42FXQMymrqSbLsVglbNTGd53uPV4PZ76P +rwgB57DxpD+YNU/UvEhHDl0xVrV4KOmktqXUeAtn43sfhTlLYJsEOl0tfEs0Uqgt +S5X90oMJ/4tWXWLbN8K0Kczw4EA46zsyOFw4YRHAhZLu6aDjNxHIq/o41362TO61 +xDI1Ze5FKSqYsMCyMcxQH/SMXapdtSMVQ2BhDXgKLOKj60HoPb8PjGRC2Q1Dox2o +NqSBAAoTytLeiLAOq7AeJjeSxogHlbYkj/zYMEDOXij9oKSZqJG/l5V3CtREjFKJ +KtUYNb5n3x1Bp1e17lM+bKQm2eKEAtd0tbjKPRp0KJfB4Vp3Gt6n7Dtnsk8LodqE +kfSZNfxWK3sSqhr/SH70Z3UzsRA6B4arcjQjBnT31BERmmXrPLacYf3HH7duIudA +3paEctEWyyTLFyKU1QlebVeptVZDcGOnsgS9HkRf1TDgCthVuB0xZ217TrwaDrFV +S2KxZbkJz5jpqBk+oDFNIFYfTW4XkCDyu2J37tpE0kXo35UJUxy9pDcLTNZcW+ut +PGdZK3Oa6MjgVRZJALlXKfUM8bnL1YZH1aopiVlRMNUfKKhxHxph8vpTX+JzURZN +SH42BkwZ9z7ymNFU1NgDsQSz7OViKNm8pBeDItxjGRRFcda0+HClzLrJUIDwdWqc +3ff379vkEi9wrGnuciX99CUPNAb+41Og0+/Lnr8/AA5FfXywyjmOgIv+f2E+Bv+V +jXSz1jwKLlgk2Wz36pIwJtKBoqa9L8om2YRhHcOQ2WRGcJ3kT7VrxMCUtzWtBu8h +tBcaCs4tZXuYXbcoYzfRH067E5UjLo5wqyZTpLEg9v6wVaGdqmt1NrbU+O7E/odF +Ec6INPmXUNoDxJh+V4+jrvLzGH+W0v9YJiUI6sh0n/260Zg/cgzRn2Hp7v0ywDzE +YDGwGLVovLwoUvoBJDg1SvtvmT/n5ZzSz3fNoDPtb9+whJoA4ruueXtgm4O2Z60T +KJ/15NvQMqLLVYJa49+r3WZa4Qxf7qJjIGowCeVcy4hKmOWyrBV95DItwYrp0KVk +mJW1yjWw/O0SZgnAoN7bi70P2VgJVsAsLYSRyRvy8KhLrVGFV4bMxHShPo7uqnLa +3Z1OWMZG4Rbm7ecNIQxkVuErV9wDJ54xjLrMnmDieVg0A3GgoBn4ICdhfF0P18Vw +oyesqJJfyx7ja8cVyussZAJ+/E7ZWD6Yht4CTg2VVs6YpP+/UaisRfe/2Z/GNz5r +ras+Mbylr8qfoCwsrofXK8SOWzihapgUK9pDienGLmnnW42Ua0k6R5xASQcGu11X +ljQpjRovSdjfLYIqyrYY9Mh1Q1XINhT/CDuZrnFmjBbtrHGvKPRmYWF91YmhrZPh +fuk4EELIKQ0+Qd5O6HlFqZm0l7R+a00BQuFx0S7BtVzSE8yp4BpnFzq22oakUxiw +fmCq4Lg5Z03QNq/wISNlLEQzmnTXWEIRT8gagBhtURiAhSjkrdSDMRD5BfgdbXH/ +8L1LMzEd0oF3I0ACdDOHC3NM5Yb6s0WlYDQoAI7k+nwEpmnupha5EA9W1Uv6Wz34 +7bDWJ7533JjsuQTeU2YYHGezPw/Ie5GLh/ZZ1PiqJRzd2YraU59DUw7gyfNn4GoG +Z/5UrpYn4P1sL/iQkBL69jHTD/dK1hyBazXMUe4nXH2ytoLUB9tuW4WXxgPKkRLA +8Qo9fBeEw37v6qGrCetR6GchBK4tJN02qt5wCddyLPfQj+yLw0MDsB+vAfk+QLIv +7d0TLNcUVSUaV7mWqiROeRj69IiyT8H8UsOYMAPFxSY/OfmCnSXKMNqQvHDuOlAb +HoRwcwG9vuRTYR19yWH1GVgvLo1kxIIwfoli83ijjpNGwho+ODrBrgUdn5ZIOV8o +I88+jc+1SYRccNHRFHabMYloKor77JxjAC8MFj9SPSSXMgbZjGfJD0Sba8ttnlXA +mpprZPa1BCtWCwnXYidiMSny3VAr4WyPlLwtfwFyX8U/OWGjCwtGW8TvGu3/woOA +2YLadgAXTGR52dOxU+xUgeaCD61LckXoyejvS6fSEG46QSw+zRqnnCL/0cCQBuhV +Vq4/vYe84d4wv6XPk2eHxIp87r7M7MyTUzBIEmpR2uNoyXbql7jn0X7SoTyZk+1Z +2ERDCYKoEgr76R25NPS58CgqkRUrPPoQhA8zolloFJajtWeA5JPy2j0ReTlMfnGB +0lZyfZzoXhRy6pxJcYaC4KQByX8Pu4XZS/1JrpmOb+UP+sLcYZzD32y8aFjKCMcL +P26GnCrx13a6i5mBm/Hs7b2ZbQdDXP4OUZ1L1geXHdo1uvOqaxP7VcmU1xXwfXIZ +naR0mUabvQTruUHI82Z8/cddqvYgBcvnM1lVEIl2kZ4Sz8srXdoJhOj8coeXs3MP ++cE/vjuMV2gnYEnQEKHjlcOcR4878/dDhKyfjHK2wFwOxM5yz4bunk0OyReAJ6zZ +CkrajPXAKj+7ZryFRKlE1BKEYlakKRb8ZeKbu0X/vQP9D2L1ufC3bz+wRgWfSedV +ihhqPfPT2RcfvkrcmP3emZxFKmEK2OZwZK3+9l5jWPxTpYinFXUzpLcw10w/kOia +A2K9VqnaIaMwdyASveij35HqdsHqsbOw/wIO1aRuCQl3ZbDP+9/NTVoHZkfPRJwc +p4oc8kJeXBRN9iAhDPs2xixXiqlWoS6s7xR20yMMfXUaojvFW6gEPun6QP5iajiZ +IYzJXvAH3wXvpltSdT5mXXDiAd2PLhZrVjCbOov6VMJSgAqPF0CYl4u79h9lUeoW +3vErw253PrMMVpbAM35XYw4YIqPwMHHYVyc8y/SNG+DBsYe8x+t0tF+gtLA+kFSp +dqyXzggaRoyh7rCk4cbKJvJC4eIjihtAtT60hita9LyNuMuuTd20NI+Mbj0YrDp2 +lfWDrKxAvZOFgXKmTpCyFcDUk4xQA4AtD0oiGwfF9qo1UYDVXY6NEFk76++yVgNB +jgfjBgJ3ew1kCdLnkAorI0VF9qDwzImiBBfHlmT41Inhppk0j4MhKIoVyguVK5FJ +r4EWnbVj1BPG3aJuczkj6P8PRoGaqJkmPQpJN+JUc+Bo/5Yk8vBNHK+FuOcJNr1m +jJsxlg/I2DGbYmcXscgabBkfzuqzX6lrI1pmswXGnG4WgTQ/801jVq6ZZDxO6sdF +jGIr7LlBkGfbDIGf/2YBjjTciHiDScbSs36sh5fDrrT4c+ndRuea4sohc+EKF8cE +VvJtMkJCa5q5N22w9/hNaY6FZyBsICFSr8cFgOqpSAuSBAtf9NCFiZsZc8VIu2fy +XsPnqAqhEQkKSF1yzNiQA61a6huyMZIHjNa7DpepDZroy7gsXXWyKkLwHDhXFftb +ArhkYi1llPG8qReNPiNJMz0g+iWUayI0pprH57F4M2/QGVfFpxN6CBPOc6oZbL5U +LDESOjw5tQDF6JyLyKbooEEYeBI0ey7VNfQ+v0iRQitfjfiu2yLRKwfPMqjU6R1+ +J+51f6EJRoOzVrxlrV7aHDXmGLwEzcys+ZVHZcMwkl+v4pCDurQjAcfpdLu7L/EW +w4+T6S3/WJT0rkue0vwclU9pX0rKS7MzzCNvKlby51n1po2TEC4y2HSzvj7j4LQG +rZN0bhbrY7uRG/C9CmFUNmNR9KhYLdFSVMNkOEac8QguOBr+Fpk77biFuCG1yVLf +Nm5Ch9X7wFgwCg2+snIm0uYQsIxbBmwHaFTgetPa4k51+Byh3S21D7VbKyFamGo2 +q+N5giXiKIZFLXQ4buv6xtv81PKAkTILORP0GAtNHsQuhoQtY6caOP22kvwNpSUy +N7PkD9iskodfsRmgSSb2fozEPbureyJOsdOGggFFcMgLzBBTGFjpvumIa1bvpH01 +HOZjIbPnkEBDWs9ZEZUKrEvQPKmTDZaaxFatmEK2+jc= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv new file mode 100644 index 0000000000000000000000000000000000000000..6fbcca4dbd02d0124af931a01c68765c9fe60ba9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv @@ -0,0 +1,90 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +HS+I8naY9DPGVQxPrII2Frh1VJghpPubC5j5F0w2tZKpYlOfA9o38NqMkGQvPRYb +ixx79iSmdoLQVAlfKvGITv++cqXK8yAHwZm2NwuCEOeEMw5LYL/hbU8LJB6+Ckv9 +Smz71GMpWXutaeBQTP3kCIKoCml/V7N7Z/k/VCdY0lg= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 2592) +5r/HMrfpfAS3xARr91zeCBVm/Wg9g3j7Raz2VOHJb217xDWTkdu5vZR054h/UyTO +hJR6wJ5QnUa7ADBrycZVRXbKLvima8YMM6zVBWTOvYzpEXH0dEKX4Ja58GPR/pXG +hfFpyv7WNbUV8M03dtroGqE/f7OLCfZwgaBv2V1vF/Di8etUrmSDiCCcVtQ0m46k +wQkUDdeKDOXbtCPvc7nFFd84cwFKhQDAE+bKjIDEGTM9/UPApa59+jkk6IRWN9IK +eZFbJDR8XhnQoye3/G1CipwgMVsPjEz4Yq+FEZVNflT7sreXf1LSkFhp8VjUClnW +PCkctqapAFY+8F8BCOFMMGyEOdQc8GKFL5OBJKQbE4O0R26grAu6MMEpyS0EnopV +5M6k7ikzKngRdJAe+B3ICsjf4f9QqXKOXWKm+3FqNAZGEWoga/5EgaW7nqvO6kBV +x5oaZbrQCovisxQUSuh8zD3jENG4vw6f8I0pofS8b/TAk2JUWa284HyE9YtBJK1k +OSzOwoIrbpL5xYecFEaewqy2TesWYvLNiou4Cq4SXxHdKwZTBUnHikkow73+l4Rj +HEcROG8/Ko+WUUPRauXRTtNOCL+AAJMSRWldYb1R74DY/lkmps7KJooJhpQooffF +aY/dBUj/OhQXIEa3h8RUx4+h1MVJS1p2cY/r4IzrnCox2G1yE8YVDa7BNmzUOOUE +/cs6fxceInzuez9NTRz40xpEh9mY9bkYFFYJPYy7PjAEZ55J/kYJU4VpTAI99uqC +SOwOP8XIqpPw0rEVJZ7rFg/8ksmcsJXOlw0YhlEK44stmbLshIMyGy3shbFZRH99 +l72KSiW5POrjbDg3RqPkRBAg6+PlDzN0aABsP9LqsKU27ZxIZnoLly27jHc9XAtf +4h63uKbsE0V8VrW4nvMZBtHQAgYtnEn+s/Pz4uEZLQUITS+61TuAUyjhCEXD3PvY +S6XICQSllHzpUq4rBR04OEEVVqtVflpGmKmHyzFVPET2hq4v1Cubzh4QIRjx7eX0 +Nrm9MgVEjeC0MHjmJY19TLgveX6CIPaTa/zx94lCkAu8jOaeztxqkiOR9bOoFQ2a +sKWnfBfMKjv+JOhbAZPBQMNMftViqCC6M202C9rKDS1wFk6pGHn1O/PbsTLV71mi +/ev/kR5OuH6GyCs+27fwl2G97+2KwCCHTSf0fFXv+B2f9JCFBpmixlbb3HIT0F6r +FEVbhpAzpUsGRwOVkMtcT0f9ApZu4DoyVQ1S5yo6Mp8e21T+DXNGH+F3ZLrW9vRh +0erUnt5dwzZlT3SS/GGlGQ7FAp1uPCHsdEu9frQ5xt/RwZ0SkPSK559xmpV42JmG +pN+HWG3VtGXzyBl3Ym97NB3XLcYeSyG+mvGvoFWxRRly0Ly2aTaDpOo8gsDzujiy +LNUxevFtf1gJD8YDpjuf6/m8MI4BKOP5YpUXYu0L3JuSUScV+bsd7o/3R6StKH+i +my09IkI7gbqCfRsu/aqUJWl3QWkGEiT97/+q4TdAmOXt5ffDd4XiBjYJg4ME7Bgv +FdeeFSH/3/TRUbBqLZPjN/SUSakeQY7WJVcyPLPZ4wWIFg15ABrqHPfpZ5TdhMC5 +hkX2OOpUx3JTm95kdbgOUXmh6kByQp1Qgts2HuMAlQwHnh5iafiSS0qZR2gFtYpt +qQyYfyvUeG1nWH7+wJa2mz5evzoM/de0OTXt3EMvbpDB8ggb+Qd/VLLvp/do7LkM +tpA9ExBqX0aWCo8S+sT1xInMdBKH2IU9RVBJ2XGuIjJA+GjCE/TYsePGYFpX/Eq1 +2OtjnTToxn/RICLwXkEH2uuUS7iAn6ekNuMXcPm56hwX+2wElgt6/6HkzQT8ApL6 +HJjSfWQ1G15xJL1b7/m5deplMs2Gz66kbjYGLQPYbagDe9S9rRGwBksPoQvTZ7xB +OhddEK0oPgztj4vuYFZOtTJDP3Lgb+/qG6aZ1t/d+t7GLke7OMIf0bJ3lJvxghwf +cy1S/aGXr3ASxfcPJ49nSHKL0A+zg6D6Fa1ByzdbZnk5vcrz0DHCaHSj9b3Hgyy0 +IXnHA3Jh4GK5X5Am76PDE8VG3RXAZEAbKibvrC/Z2glhFA8I0OEit90WpwmCB5c2 +FAixbpmM7l7JcatZcrQf8GAoziqCdNxikvKlHXRLVg1MtfBKARsL0cqKCkEiYSHa +z49FdMTlhj9Pa+IwzZKzUblJfGRItdDeOXDj061MpsfKxF8xpuBhIEqIu6VP3kSY ++C+GSLZQmFZWF1AzpWxuz1jA7mPcvLKoNgjpb1MZ+/3nco6gUyyfkLuCD6H9Tipi +z3+VdIa/2wfiy8rQ5vQM6pVdRyoqZI3Lwb6zuN7mTYIHDnrvxlSbLfw9OsohvAuf +mz53uRqYJ6Sa7x/lidiVkHXpbsHeMLkj/zOigtTWSbQtAclBVvj0ou+G7wKcTRn4 +J2diVGjKwfB+1TQ6WUTvZi9dHnvJl6klk7duOBXSxLcKuZZ8WXDPiGHfPLLKBePJ +8fcx9wwuEqOJBWjas2eEcXMMJKzHJ52idlNEkmZUljnS7mTZ86jAsoZYn4op+h0x +HnuxOXveRLpPdIgp+QcqGzo/RO8gyg8t7yZFi6/7+/3/dsFCjkSKzyTJLMXtAPOX +x+2I5+p+jfQ17s+N8Fq5fC172qhI+hiGzoiv3JM0xSDBPkoF42rrOqJQrqRyhP+7 +qfy48WadQvNQ14kVx67Egxc8gKniRkan00rYJY4g5FVFb6zMBtqjjgihywZX0e/A +/BanTvvhCOYbuJZjE+x75XO9q1gXhTMMfHyi6ekX/7EokDWaokOoVt9O3+dXBzCj +6b9DLkK+QPfiN9mrQ5nkPhvLhiFSdL9hzTC9ZJOpdXX0bwYbR5vr7aOQ6CMKzPMX +AOrjZpPR+BqLf+KQ58OdXAoLGr9r6oFf1w5XtggPcxwhipyjS7iKeVmKyO/goLmc +ykVw30UhDGci63qwCgTQLN48RNSrQmhbLLhWUN77CEPAV418nZBbzagGH2lMDVLH +dk1mxvkuuA4P16XhEhs5Kz47C9re3E3L1Iz8DIVmUcFjAkV03YsaXwlg8RJFshiZ +oMoGztc1M1A5aSD/+j0U/5+VKu8gnC8/vFs8+6RLJ/+tuef+VJxiYWdgB8Rd1XFU +NRyp5pV76gWTwOkLPuAH8okEjyhAV8QsrLWKU5QneSkvkvMmc/J32tv63aeuA7rO +OOPEEN7f3rApbyKLDd2PZSCLjr+uiFrr3EJRLwjwW23kjUYvNs4mG5tSIJ7SUqiA +Cbn34YzwYpxkbCgN45Ap+Ly4DnDb2ZB12dV/S7RxHeI0fVTkVynOa8uoBESTvDon +kCZHFr8vkcuRKv77EiULGBuZa5A0Q7cWGtkncjwe1ROexeiAjJHQ38my3Z2Jrqyf +iDgazn1HrvrsnvccMo9FRJm7jmQycvGFtXczDfOHUdwfsjo8NfHeKoAVtCpGnJEt +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec.sv new file mode 100644 index 0000000000000000000000000000000000000000..708f65dd161bd7db8620762a6f22c0aa9e2a3ab1 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec.sv @@ -0,0 +1,122 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +AX6zMckUnfpntazoc0TCuNuo1AHRijgpQG03o7u16pJdmMpU47d/qW2Nsw7ZBnII +aFZgSRUXaGiOMhOVI0jI/kDrrYBt8uQkDRfB0q8WTaIkRxDIovL3s7yVLnhOohP2 +m3n1EU+tPJ6e1Bo0jc/upKK4zeA4L/m/zhi0BBbmE18= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4112) +ghDx/HYtD8BDaeFqUmn37HGAHk50x7bTi5Gr3rbUQu5qecG4d5c7T6rmEUqrFitj +CSVB7apP9nkHnfBbb6LnDPjEssPqtz+kFhogNGcM+9SIjMur5cz7XNVxVa189SiC +NnwdYHwKgZzfhqqQ5laHvsx2EhwliDzuUHqJk3Ze+uQt5/oNtHL8LLfJNMwfcEoN +KQ/1ymncc3x8KG+TW8Mk0R4D5lsr6I+wxrP+dkvvy34ReNnXmOD4u+pgihqQh4Rq +PsPuvsAY/nzKdgVM/o5oeqEudJy37zuxYxvBsbWO4EOkz2fUZUws1ioIliS/rIw9 +OAceBKixRRwFOTz+Z6MvzRyUwOzRtssPvBTbpcclYg+fFw3vY/os6koM0kRtRIJb +peV80149a/KpQbNk/43mBMA0y5mByFpjbP+Dcm/wuE5J3KOoftNUj3spbl5H3BOw +y1Z+8yiMpv0p2hAycyNqC+/Sj9YtCgWAgvNWGlGk/fyPFgo12XKzaYI0LgOfa/PQ +5I2wmUMm6Gyx+rgJ/PLJ5einzjU8f8JrCFFljrFWckcoDnGHZN6s9qWvYCIk5/Nc +9K1xrogFeE7rnu0AlhnyHc4pbuPEpSAaK1vU2D/eKwsH8PLm0LCHQgzKNk2dfYTX +iDHJ2antXPFCkk9+gZp9jSf1uNhckAzN1mNDmZlUo8xuLE3bQFUkWJFPxBuGgMdE +AbReNi3iIDAobk0kOjgHMmEDbXdozrZmz5cjAxM/v+H+3JCFK5OCPIeufC8KIOui +3JxEiJaS+iG3L7MBcG3ffVbK+Fjlx7CPjc0YbXB08GJbeEKWZq4w4ntdYQS1LYRc +nTAf99Vge+KFmc+7swgsZytlmqbL4TrUTNXkCJB7HMcntSZc5d30RkQhs4ZBUFee +Cfwk3K7xy+0wSTqQvHgQ4rHIZc4o7VLATFH6Hu1/UI9cZlgtZB/uo3yubGHwve1Y +KCYn0K/C2oDUYrACn+CQi50ipnbXvTHEUbOZ+Ozax43bK/FmOmRyw5VfaVNdyGal +ysR1Kg41A2vaRP4m7bJr+Nb/aSsMbNW6wug+tSkN4rpPek3czIsWQhYTd19If6pC +i20jkFb61MTMryHuAUUFZBrVpTIGY3ynuCkJuaDPi+WJlMtNS7hivk4MeWwNtga+ +WuLXM0TAalaCChesAq9BjHyn7LoFXOjFoTKPbN4ZEhcvTl7XgeCwKmayhcM9G69z +em0NFREGQ+BitmzU/Kvj41Lb0AZZdoR4Bb5R+8YWUaoi7twYN+4074r4nctwvSDv +g8XQUL/8JgJ+R0Zr4o2TqwHJk+4eZX+BNHaZf+HKpBsBKpQ2e5H5lZSKMsvjjbad +fC9Butn2Txd+R0bw36ww4fNS18JkRTC7IaZUSYFNT8tkpMjGeSJNzvOQBdDkmOy5 +7J7x8SFaKkOa98D51eu/ant/eKvcJqfQA+19Eda5hsgWdJmsbCrTsHi8rGYib/bl +BuVY0PqlsjyrCvtrcpkL3U2cSfOmCHYDuWXTW/eM/OAV+pMe7Mxj3OsEJZpGZPtq +q35g4CPsnox0BIVyhWmp7eP8BIHgEmhE4dUysB3VLXdnXpTESXRAXVekku02kQdS +LBkkwjHkfSVUsDuXfsU2AffVuM7NqHCn51Of1emUeve8v/R2ArZ5DkJyT98JMQf6 +Ntb3zcIu/5vP8Bv2CABCatTMws1GM68wprNorKiqHNkfFPHj1zrkm5w1HRkVTiG+ +bctNIc9IZonmQryWZUswCeI8EFgOEudIz+3/9D3yjgwTmg+9beA4JLxigrhqvQ77 +/6+cNqiDp4lNNUCw2C1YRWBilPt6yWBKM9crNf38pIZJNifEPVNmUiUxGT4CeAJv +fy6H+j8ekje/RaNBC+hwEM4iVcrKK3go70eO8xOvYE0Dnbbncad65dGORjM9j5lF +1lsCwAeS5F4cyrsI0xWtYlCPRKJoaD2of5N0Lsos04evY3O0nc6jexF0EeY0EdJs +BvapD/IKazpsm9ofFJvAuNWzt9lNxYe5Qbe4nVS0hrBysCqBDTDiSmYKY3Erz9oa +rVnPF+LxRnovG8d6qaNEIQnmr9VnLQm+N4TrfxU1TXtTjAt1eWmaxN+FF0xB7ABQ +wGFBBeOOukXu93joJwv7ctfMd5IIyGGX80/G9ExgjupJerZBCeSRJ/3XbOM5rWva +bZKh0Gytfsifd2wRoThrDnkBT5kZvPoE3TGNFTZj3/a15KD8TwkVymWDqZ2BJoOR +GG9KWrAyn4Gct7mlmKX++DLJHmiXkqdrUOXrzwJbvxzOEUiFNAmoYv5V/oIDGvB3 +TWecryw3Nyw7Z4Lilo5XUbkPdF5IyUxv50P+domT6OYdHT6bGK0r8BNzX0pGAcxs +9zKtN0ghDwRU7DjcLcxyf65kT3AxwCKk1xUWQi85TkeCodsZ8GPzHVH8yhOo6bQn +ANDdS2visX2bkTDyyjOCpzWRd7kywz96YPMLRbxTLYb66H27YXHQbVM6rxknFcvH +3fnZnaDSrr96bDkmEwvhqKT6qdHS/DTC5eDoUSfGkLlEmoFB1P5PAXZexGt+VUyR +8vIMOyrCA1gwEAKwBkED2ksjj5Y5tgmBIozW5Dm3MUb3aPU1myyU/+ADBUZk9FNy +IcWc/xlmLxC6gre1+QYBxIKxzpo1ZLcsB0lYLe/ICXHL3uHlheVsxIP740C4TYmB +cX3YfUbWWoEK6mzUTckPP/F6g+KMD9q34IPOoi5pgK9rpkH/iNgewj6ZLbinkgSy +kSFC1eRp25ALvbQ/Y3bRXyimwJ5U0Jpgcf0XNyNB2XepAD/MFVoBKXRRki32QV1x +ht64FF8kFqZxYmkiMv/DVYD06kH7nWjNpGdsv3E+NWCnfgAOPKhZGHO/Jym8GEgg +hHJMicCMeobBH4ZP1v4AeAuPgNRTr619TTeVvSPvs8GNoCHMEgyqDItlk/ZbHQvY +JSwXlXwGyUxvKuYQl43oMmF7tNXgnVdCBPO0JrVh6qsFg9xrc9exOLnFovq9h6QM +l9Fr5OJR30ZULC45tBYUWEuX0GFMwokVGSuFWdNIspv6r8BEL/v8e7JtGCdIRqen +Nnw2KEgVNCnAUmiS+q/Yq714kAUHXwj70+1sv6ViXEg2ziFj9X7xvCduiJv2gejb +IPU5ODqbaau3B92PBk+Xjbu3UB/3p9LnOVdlmZertXXSs2esUC6umbnQqUITDZR7 +QJUTFWlGY3Om0vyBZ0KnjHUWATMEG3KmTwbGHyd+5MWnl5FTZSju+B2RurWAIK66 +vEfxqkije9Jq0K0hHyRROnBUBwyGXJBOui0liSBkxjiL0SWKMUnIX+CWdtySc1M5 +pJ3p3y+YWSD4jCmnx8WVTdepBnCU5zJFIFLIkCFpkuifFeAWien2OkLUtTsODjl6 +BChM1tPC7bUNHdjb8ZzzIXz7+tjke5gWkmfU+VQhIQ9t9kyXwrzFji5FijMtAQZX +kv15ElaI1HaShzzjpSDYUfa1lRn4Waek5E4YbV3/hutque94iyaIFnfR3TiukB6w +Uw7OdLru10xglNJJjRT+jhNmW5oeqL50Xgqn71nFFJ2kOto5kfRhwRlDZ0y+eRc7 +hX6yyzuylbI3QQ8Vkc67qy+BuJfRmCROMc1xjl1Z1joylvWTRtemK3vK83i8MJtQ +CNwJlpGp6OkqAkXdt4lPW/a65KSpMZbLb9vdXUh91uN6d+8SoI1NvrZx93JhmYiB +Sda4UGyQOrSHpOZGvFjhdnjCgxjXFrsPN3mOfiLlL13j7xp6QCe9DKI4WCFKESsB +EeCqjsx4Eg11C9YgAR3nRr78IhtOK4Xa2SWD2dg+8NpsulEwOx7TEx2nNWPMOfWh +7jnwgukqtq+Xvm6TcR73xs8B6xRk6be4c00+Bptt4e1IiMkxPBoz8N7/hNYZ7Pa5 +wwk285/Eq/J5ElFtst7BNpdy98YcNThfIv570yHb54QPrIzAK6xhHnmfZ6Feci6B +eNKBX49Qaz0ExmuG/0B9dXsSqjLEgCP7aB3j7MaI7Y6tUU0jDwGTa0xKTlZ8mBf4 +fJO8yBkIOW2ZjkBdLD2WDHwfehjh1cGolcFY60Kb75bxFif1DzDxzdFeTLfpIfDt +5uNtkfsIuSpON6q9TxEXt5FUKt3uJ9pzGVK2yJ+0S3nRg45lxiFeqT84/uNh25u4 +YJTFRFWTgz4gD5+v7AlUY2GPhARNyAsy83CVzh1BpMdxXpEQW7uOhUSaaDBUJO+T +4yNLO89k7jT+1GCihbh9En5ZW0uxdaM3S/XeY+G6pt4RNscoHFm1q5xycY16cBZQ +lwNbmIR5hDb71UWtPUHgM3x554JGdHzWgwyprRWX1eTbL9DK8FUzjg1IOQypJG9l +TJwH646yVKcfTYT7zNZw1H5M4dtBURrqJAPriQjtPrwVmw83KTegEK3mrk/5C/YQ +Tu61tSWnT7xXNPQwhCvTaSejDXEXxjPDcCei0Zd+Ji02ZeMGmIs8x9NZ85u3yWWk +x7sxd5MCokwal8bMIAt6okBUx4i1kVqD4HBmmgRER7kUuk7Quu09zhvAaqBVfikk +S0JKawtxIuOBZtpjzggRmPjALDBkpUNyaWO9AL9D4HqkBQDOnEGfoyElU2/2YNyi +OrlwhwOuaJZR1ZO9qtaqG/PiqBGgDegtFTaLsmJiGvTBD/s2RGqdWqML//QOSkfr +rvnSTY7Q5bVaT6e5pZjbCBhaK/bZo2mHT3t3c3BqUIHZsbhR6yoHsX3hm825riMx +o9zW6LsRsc/5a2XLX35G7f6ul2OO9R0dMiia70YmlQLbWrqifKCN++gb6iNqY8oZ +4czoy7V1KtS++AhfSTQLkfaSvwlQa0Sz1m+9N0DqR/It4FqWEY88Oa9KUC1/Tm88 +WZkUECnrxutlk/3t/r3oe2ceWUHOrdYsKfYy5sA3IInVooj9NdJ0MtzN/N99Fb5H +PkD0AZhRCnwVWaYsVuyH+fZ4IDTaBkQQycqUTHJB+9yNzRVnVGHMZdTM5yQfg82H +A4E6GLPRnoAH8EusThsImL5r+z3o8W1o/n57MEc4jEL2gA7dNwhhncCvOyxEgjnL +TIRPLrHZZsl2h1rLWXM4sr01/RZlbevL90AXP4lqOntFqPk31rFEd+00hcPEVsbx +8ZlNEAxcsvTjK+4rJX181HpmfBoJhxytzcrJICm7YS5R7Zf3tfKwatuD3WBRAejK +uynGRX6yGmn0agkYoG/FGs/OqVoB3WmZDKd0efmLnv56Z2KvtBfEwuQQhkmET469 +X4fvybu8uD3tXnrI1KC/ABDmb6784quqDWuLQrgRmFuAGJnmX3aFSyV0AbQJzBdx +jQkbQii04IuIMJgNxAHmG+f2/1OzGMdbWeBMKU1oEJqhlmO8ZpACSspnlMJkhCZd +SiLTDQ7xKeAM7rpk543819iPqPwUX2AgVc4VRFR28MUw+ELpBDNDk8VilGz6+yYo +CPTSgMbDPK6EBbGuS57DJfprBMzWSJWDYNWH38jj6g8= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_phyreconfig.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_phyreconfig.sv new file mode 100644 index 0000000000000000000000000000000000000000..d6e371c82c763fbe90f5485fd1aae9abf8d49b07 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_phyreconfig.sv @@ -0,0 +1,141 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +tFCZXwk8Rq3QQtQux0iUOMMGRU5o87KhTSNANmeZw36sDa5puP9GsJKxZtKYCczj +4xsB/RdeWHt4yPBxnLNl2bQkGCeB3UuFNPrh3sHqywqcCdepGSwWJGtnrgUMDc1d +DW8bIvcE0XPt9w8hiuWve2RA+ANgoggGXrD+5b9LhMk= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 5024) +rat1axerYpcTTe8RNpuI2tc8skvYsfV6nhynCho1Yuj1hm8b0pZwLI++b8miYVGR +LmH2t2Co0dgPCH3Ww+xG5P34AEhb6gwGD/TGF5VAmoFUOtyK+sIcnqZAfAU4ws+V +biGAiQL1AX/hV+yQ6m0QfTQ7afDEUeOGqIoe8ZWpNb0pa7YMHpTGVAEw3FwCsiRT +zbDjKkGV2mV8J/9d+kRcB0bCcMB5YWsplrGsjxniC89xlNsy39DUyWvKm6BNSbRi +waUDnf4jCN6Q9k5vfyopvFNd9ZhlQi0gMnZOHyi51rpTyVWqXCt1hRt7WH2uxA5n +WYL/ZEcJVGYP64z/1lEYzNqYYsXjjNqFNjAuAsNFewhSGUDxZrrswaFfY8VHccOs +HiVZY7+VNKxHPUttAmqzle4V/MYRREaA0lTftAPpmzkeglJdwLZxiwDkNu6en3VV +xf7HC0RZHo/Niniq4c88mmMQHgkCZT2pBs/Wit0QFgsuoToLid2I2UMLytkz+MF2 +P6xKSQVoP0OKGrYsRYF2JYVkqiqbTwswHM5Vb4vrZf1g+XtfZyxDaAL/RpJOqU3h +rBNLiiz+c4vKrsZYZoywc2WAy586OfMK85Y28aH6qNmRVXEZCOCS3stA9T92n0Y3 +g2i58buV5PVb7C7hrH9KW/MzgvvrD+F+mvqp6KQjflkJ4k9xNQns1yhPFOmiMtoX +t4tRg2BecCf9CYkKQoVrKdFaNLJ9p3t6ZqKMzLfDdKM5/Byc0zXNVOufP6RDllpL +XXRpsObXPq2/ERe5fAUwHJ+hrxPEOFHEyx0BrUgy6K48w2Xx7oQSmccRJuVLXOog +N9QtgBtgZhdwvRg4YJR9VnebzLi/x5n8XJNYpAE8NW+B3n7XtXxpJSNYpeFC43cR +XSqf45bmLXk+pj2/oT801U/JKcA83bEIu4s57ep0bEN43irWRING/hURyq1xOt+a +t45KKsLnS8xx1v7UWFO9XF6lG3V/okJhXZptbALrLawV6w7E3BriBCLwlG573dqV +gHU3C/3dzuej4oQZEe2VmGMJSZJPY8WNLb1BN6aquUSAqUfsdjsVwKp6uvRTHe8n +h5vwtClIbCXIEqEFjR0YF1py9DflMnrmi5QphSVDuyRORxnow2zrqdenHJAPmbcW +rwbkx4QDqnWKDJZf1iYkRAixgtUkJ7u7cR18FjkKu8ZA7lZIMGEVje9JBwVLKsRA +31ebpU7gE5OpkADjLwlb6/+9r7o37vMuSxOGRQnF6AzWbsYX84Q5BxiAbORNevag +8+Ki4/jhKDgPckmoqZcddI2gNl7uzRLMifJm6XHIySTTaCRAU+/DPePf7kuY4tjU +veLcWg7WbEZt4jw3Q9as8TP5cGDxWWtprZbSAoSmJAf6oFBbFCOKSd1wErxyjneq +kK7rQT5pNVhPOGsXeb5jyk8Jn7+7m+2FpQgTLrWk7rCHkdcpsRlHf+HLdgYX4/S0 +fKO1TEstRrYn4+Cmr6JNSyih236kd1VYwfQWrdr7l2aH/gX3JVr+L0JONZ93iGee +UkYan9PxyH4zooIo9xaaQAbCr28ERi3LpOU+sZdUjARfPA5X3S8nnfCia2VeIE2B +HAlzzax5mj/m5dnx5EMSekIWNMQGAq7AMGe8DruXsJcXP3iqd7QlkjFoxtQEI/EL +4W5jkdP0v7YxXQNHAQwwKGIn1Le3dpwAet0nf4R0BQNigIpmxDEQNL1+jHEn38ZX +QyP2FFS0t74Q/EpzjE8LPFg7FXIDndka/xGir9CfeL0ChSHUE2UpweKd54Ih8+qv +A78ad6cArs4GKcLPBNY9NG5QbYVWWcgtY4zZXI3oLFPKds24s704HwbLAPHpf1JJ +Ns2+Dtw+uLQoiN1d9hmKyK8mUiuvO21hoqFqw4WN++FgJ5bIQKMsh3HdbGoELt0f +Aqw1ry/wt1+9ZWFs/Pnuatd4l9tM5pkKLPFxzqkr9xM5ojARX4X/01AxFC2lBxSk +ZxvmVDTokQ6RjYtPI0eQv5qIHJzamD7Nla/XdfOPjXv6Ki3NpcDpYOdhlSD2ymLh +hw1X8vzTVeyuQqPow1om65a2x2p8zLhTU/kkO3V+4U1y44Dsizf0bdoQbe8H39K7 +n95/I7o7vF/C1yaYxX2BJpiXBLEsKgJTeswzECSLk36ayHpd7opcHYwQsTdIyQlu +B/+54VQROzT4DLr5IKICPtrJDjFAwdnaLwUOPIAh9OCyEC1R1S8FuLOC5mdpDC+1 +rm0mikPvgWWNalIMHA9k38naVPOxMD+aA8ntbSYA4WW9vV+OLC3OL/c8Ap0ZHUoM +usBVlxF/qMTIx7pmquzk+f2roXChjuRjSk+wmVeipMK9EGQeE76EjRnYyHDM244T +Eh02xByC8LlV19TPaVeAnF1gfedu2V9KujVFaw8ZGHeHHuYw/p4wYAs4HDGqaIiG +cTZSLvCCmK5Pe1LkEuANq3eHjcMSmLH/xu8QWOtiK9/7qPCmRnM+0XB/kRgZ30Ft +Jh9aLrWKELUi/V/XulUeT6A/PKGOzOUQHsnADEM4TQzokGHofBu/6+TrbHv8LAbI +HtlEdCowpaYhSMsSesU5xzQFYzvqDaFlTZQsqOjSjH+PoBsI0HIMSLRA1VmNZFFa +VZx4bEy0MpRbWr24pn9DaqYnV7Kw08/gpOyBCOHZFt0YSvc2vprLjnf+AiQYmS24 +ZPyxjWwzoV3lGLwYHA6OhnWZU8sX0LpXXWBkqgF0SoFpwdaZmk5f/C1RLHvZUtF3 +N21ZRTBxatzQc7/M8O1HDgGcsQFveNjX2vicSen51ENwtdi3QgXnjUCuJotZGK8s +jE0dCUPgMN0bzLGHemmVz3CnZ9DHmBbiSn2N2MowmKupUlRbKdO+ANQCJxsHy6ae +MySNmxSdM+C9JltDvs2lYCOPCbFe6n5knPF6UbpwmBJpgZFeMVK4bcSLlwf3TOKC +XO31VbljXH956hDgn7zeoL+hGL/QwbpXSQhZ94uh49+HWQrRwhE6VOefplGKl/md +7MhkB/DYbWigXCa8sgniIbhXWs+QhclNy9aPTUWCf9Fk0aSlJM5d3En/U/+Z9G08 +UL6F4pZEmupueXx65GYhCCqGvFXs3qrOV+aeytI8NRIgWgY+vxp7IFCXU/6cNS1u +i2DUgudiA/KhrbEJOOZzk2bVnJXutsjRcZezLPyrwG+XEBPRetU8khuQEIhrZ0nb +nWYNTCPaaJsLy5de91fQN5bwYbxQAbWgFHTC0Gp5Ed4fD+F+SB3PB+4LK2KzO2AG +oO4BK2kpiTRnwXl8Xds/QzSkI12PSmrLfMLGgE0gF39n38ieCaCJzE52sKb2bNVt +g5M+YsB9oUvLCvE5sD4JrFygsMiGm5zhEPa3nS4D1PjQcvoXahcvSeETt2Asfv2o +JAkcHB9wTr1J1ZW2mAICUbz4qGiO5AaXlZAqGwOeJsn1JeG3/pT6pJsSU2jpMknG +tXbc9IviiBesaNXWnkfjsQMUPEFfiVyj6cbgD0qWJwQ433mdObV5vmaKlIMIDeei +8450gRosRjnh4Bb/pvXD49+VSs1MWVAbNBaUBBUE5DZYmEw4wP3GfmypqGyXvAE2 +lHfpTPxLl43V6qCuv8jrw0M/7wvjpkTEI1g6vzN4tPmdjuZLUShT2Zxpz5FKFZJW +O9I2whSvGX+BNdx+nYbke913OMzY1PK0arG5fbNYOi/0eIDLxgk5hWS/nVO5tbqN +9ZCxrWU9Zsmlk1YbcyE+FcqSj7Jj5kR00YGg/iLKkCFcG9nH0IDObFkSlJDvPini +XsvDr1lE37PPC0LCSMLQiC5iAX+FcMGtu7dhKM5SKzuZhGi5mco0VtywLJ7fxcDy +4qmjf8achvbzeYgpMRqCkWvfTfAoXaNPdASFoy6v+DIb+K2LcesfiDyRdphjpHbE +BSQH3dmikFFyeS0hGJ9tZke5U0bWSrF/pKEEcgDIXSzLiltnMLpQLyyB0ZFZBay4 +p+R+JQRzlLbM02ecjAAngqx5wmOC6uY/kuNwhwAgpjzXRnwezmGuiiRQnrNdNxzq +GmK0D5nsRBU/E/Mmcn2/51Bw4NknymsWtJa2sjX8pktycjMLsxiEz1NdRAdCfRHl ++vGcQleCrly5VFSmzREGrmf/pzZ2HLjT31OXJi2xs6B96ONDBiT3FBxQ3pFBracE +YTXQvWPHRaSP+SA8n9DLfdtjxWMQsvwKlqh4FOJXJ+2VyYJOPjEED9VoWi4oKefz +MK/ncv/5Z7x89DBJKswwPEr+M966xz4oBhNbJYbv5zJtnok8yUYEIyezgTdDXQEJ +Y5nRrkdiSYYCqXmZuXvADptblEK7G3oc8AhU9kffFDV8F1Ah2EL8pldYmEbRoElH +jzS59Y1kR/pcdi4ePvtWu1fRoTxJ7gM59EugWnZzHysBGrPX+oi5aLc0hyB3XFGi +UgPBgiN8AkEIysPO5dNM1rok9xVE4ysEaoZhwiFwkdBaAelO3fCE+f/5IRqo/RFG +mmymD9OLIdIgv6TbL0vaeIU2/HGjPF5+8MPWpYdEpbCyJ3CEj0sZwlW/ovgFC2bQ +XVWyEYTgUOv6XkwPscPsOjQwNVhFXOt9esXEcsIUAWRsRN2S4SlOGGAisU5XN22W +6tQkYJRc+IkGE3zJPBwYdbohH9sbeG4dWd3ULfnkU3T3EMP165CTLO+cIoRCzv2i +ZUM4Vinc/wr8kPTZaHgWN06EjxJy+8jay6Wuu2P+c8SnXwMNbhYthRXJXXJVuo23 +A4trDCUZ8nTeFTXXfIOQGAb/0FkJtEGHj42X4kG6Wa4FuwcEvaivbqEPa2BvN3hG +SQcd60ZdVLhmYwugvRjAryGFolsB03N605eRJ0CED6rihaisG0mdZd1ujtCATgMH +LgOi8EIQf2qft9E8ksT11K4LnuXqqac4WM/PffgF0fglknGsgH0sVrWT46QoEN/1 +dij47l9YmPKxzGn2maJ5mqdaEoF3SX19CChbAM3khXqlKBOo9xfr7m1URIlr+kN6 +MWhy3KI+j0TSXN6a0C1YcfwIgPJ/AZv8kJTXwVn+i+S5RpxcHVqB2ibgzzzpGdtc +qx5v9UUEkXcJyS18ZA+ezBLxZv95LRrsJAcF1oCmVzyys4BZOE30Q6DHmeYekYWh +PIuuJI8uHp4Pl47M5qfUWLwI7eV+Zwqdz1zqJnywOQLEG5PPHIkRSReQZgNwU4fy +hi128ZiYUZcl+r98dPUsaUDPp9w9aycD5qIvQFgkGzk/e4d26uLLssz4EdcB/QpO +hiZ3B3YjjSEwvUG4EN9vS1ytyACTHpcWkD7mV723Cy6T+T7D80PVlWcyXK/TeXui +Z28+K129qtQCWWhuyx5x6blvvsYImIleu6+dx2KeT0moqu0tKnrRBtagM7vVldNQ +WyCKuJ6Yko8LNlOeTcz0P2fb8MdnTAoY4Vd7grpo0Ce3aJid7KSbfAv4IBJgZlMA +fqX/CwRm/T1cpnKar/pWXsCgEMJg05LIHl0BVAJkdKBqj/PiLUP65jh8Kf1Pfp43 +kRnAjJHT9XD2eqAxFhzjLNdg5yyNXmg5wl0NyfDak9DkXJ8Z6mmE8q7UlCO08Tnp +o+g74/gbs7RS6VXQsmndk8nZB6avi9cPe71Tk7gIoJ/n9nOpwbatk5JUQ4YPGRGX ++zG1Z/F0HEERlVcv03i+q1AjBysnigb6IIj0O/z3sU6lxyFEuDjvwxzzGTvUwWeG +zm5kJSUGQaXjenexPzgEStO7//mMdNhraUUVDxQ88WV3oPic41D4pDjR7wz7xpgg +ShLSx5wtAVpmALEvWePfkgJxFnn/6Itfg6UJ67Jyuu1g3DfTUUVALt2UlJgnu9hB +2yT6cBNx45kirfXw90xdbHC94nCkXC8CYLGzjGfw4/UyNQEEaAtrQ7tr3zo6e1st +Nt49CGDk+XdZgIB//ZSjBFR+pEoQ/kuXgzmLuyz8+1TjHe/RV7orZ5COxGB7YrJv +I2hyJDdehHTYQKJL1T3KddZjIE5ak9qwCHFffb8ZQGfZkW9Fn+QqN83hmCuRlqLM +seTYJUs/HbX3nipixSVEJuqUlKgeohWvHc0zXJrE6DW0QjCSzwhigRuWohTmmplG +BJr1pv+EDNBLrAS+3IqKv2Iwnfj6CXHVSv7SDOqYcniw6lsfhgT+Ovbtyanhl/EQ +gsZEWLpsNt18Fks7OXBN6gOEpUFJAKDlYsD6dbTmK/CXLsW1ttjPBNXYdSa2ckki +iFr44ohVRuWRKwenolIseM3Oa9opuyBGC66PaZC+o5g/UTd/JsKvaMLIfNkEVw7t +xxf9GDfLt9ID1qSWA8BGmP8JCPYim+DgLK97gdC0hDSFmpGQtxibX7GM7D+YBHSJ +TCuJ7m4RcSqw0n674vfDbH1MnmNhRRkglO0CS6mVsoq9mG7k46gG9uvWAa5L52fp +8enEKmrLE/g+fKuhBZ67hzIm2SPSYShn4s8XoHYYXJZiRJOFi0HcA3ovzToYMddS +o3+WDswK7lBf8+pbjRupUmAv2CJ9KKyaCFCHwq50RtTRUDnk8y+a5ExwlK+1JBSU +MoQB8gy6MsXA3xrtWg6ahJQH7le74FMytdgq8hZfGwWgpQcU3kXIF4dIlf+Theu/ +mutR67UuGMUIaFVz4ULLaoibsSmL+rh1jjQCo3OZNYU+u7CIEFeuhc4D5ZIRMGSW ++YlufscOZO9Q1Rag0jxgELPaqAnYrS3hqZHtYVmkKWU= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..4bcb992c45e33b9053483c24b99e6e61338c37c6 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_xaui.sv @@ -0,0 +1,167 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +ohF/Xi07cU8kfsk2OjVtB2rIjletcPXserr9qFr9XPeQoAbNAEA8eqIPFHXOrcA1 +DUyUkZagUvfSIRMnGtYeGix6T4CgRZH8VfznTbMwxvG8l4UKG18B+Sopt/y8cOzE +V7PnVomR0n4dIXNb9BXWf9cC0tK8I+iQTd71qEtTC+s= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 6256) +5meq7Pjm+bqPt3CnaSO/q3t9mtpEZ8t6SctfFzV8Wwn5W7QMyjJI3EpGNAgyMjjf +l5uBgsx36Niy6eU3ZHbh+3J4lKYc28eQIiSKSwNrkOWonW3974B35z9OWuQenqbh +/f36HPQFUQ3IVCZFDbMzL7Fw7Zf15MHHU2ryjAXfCPj7oCMMBL35hGbXb3WrKxni +PMr02sJOXi/WDy4Z17zo3VBOAip3DVnOLuyIzOgALlt0x0yy4FX/52x8Ojq/Ftys +/Xp+21JvzFI37OW0nx14WVJ5hgoZWjYqj8CX2HHOzLtj4TwXYTeSWJbQLZ8ZVcOG +Xto8iFy/VgPDGcOSjp5kqxJo32wB5tI6GVJxznv47BsAQBRHnapHGEJAk9UiPIE7 +LHyRUBlimBQbBLLlTJFoGxp083dlAKWwl6bijfdPY+a3SpdafOnQE0RMJS0Ofvv6 +fPjRX+vOrmDQstFadZ/aRO3BzkW8vSwd4VU/CpBT3zD9nRbM46PVodRtMLH6giVl +ICBShFgk5rGxFFiWJtmIeJwfLJhJh5gtKkVMxyoHh5q8PTQ1//iU+jhiQSbsuB8r +XZ3/HivpbykvjZQu4V47rB8nmmmFs9GWf0hn0uhsRkLLkVaf7sftlEGhNzIwNsgs +rT9jQv+Pl/pDTzAJKFfcEHhnC56x9FWFpWlas8PvX1+r4LfL3VoQa2fOPiZ5ZIu8 +8Ab5HBDFAAWx8GgsYFIxXJaRd863Y0dnvrWBU3JZdHvlmkQP8+PjgEyvKeQUDOAm +5kupxX9Ty5DYgOr5kHhrqvYLvj6rbZmtPr4asSgVYCDtHelO573+3Z8UWdIWQw37 +IHsTB19y2R3rBDTg3G9dHsJkXVs8qvMJFVUMLiZkE3rRBKD9x3/v4C0qUVP6WaY2 +c4io0A26SWN5xediJkAc+e+2zPYpbGeeYCoEZ1UXZCwv7IC14poF3cvNlTseQZnN +0FN41LJCU0D2qRtqAwngtkGr3OHR+iNGVy5vI1BOiqtKQCWp79Xj3Xla4oZ8QAih ++uJe1/oL8Fktu3SzqpyDiS+u/4qrlDSfDrYc/p+dgeYTfcnR5m/XnGxnUgyHuz/t +kF3UbpGZXW/+QUiKdZ8o152e/fj9JS43CF7wW4z+WYluSwnOlSNN1QRTeyYrEcaH +T7N9K+5qD+zezsg+q9cuavcI49EeNyNAmTAwYYnq423OWhSyClNw2LERJVnPYPWN +rHlwEzUK3TiV7uWHUQ3seyn6xh1BzXkkDDzbVeWAvOsFoWGnS8FPsag91hoz0v6G +aCzjPC5S6ZdvgVpuDRZb+DdG9fo4cJd26qQjrMRbltgMBK1kTYUF0D/E9YGTe6I7 +VWUp04a6mCR5r1x67lcu6y1OS2+yWLFBoK2ewwmCAetpzeXD+95VhYB1ZnoHXaQZ +ETaQ9fCSHqn9HwF75wCYmtxiHvZwuv9ZID2YdeIrh4QryG77TZ1Sm+C+4uOXz/1+ +J6B/NCQvB8KBFYPk1l7MGpCD41FqefWiYF3WqVclLEHmBL2TYdt9PbZL4c7xqeEE +X4Nf/8KjBISGgu3PiqsKSWshkvmsnOJe7E8z3Av8EjPN0FqAYJb3TJKBDSMmvtan +e2k2W5ieRJiY6ACeXVDQdq4SikRiny1PdXN+54ZAJaUO0vjIDji70wxVwFHr/BPo +nrmObkTzdfSm5+QxGo6qxtSwBPdcSu9HHQxQ/DQpfk5dpgG0e1nsDZbj1a/HA7x8 +iwEYw/5CSuLJsu8ZHuCYjRbIZ5ulNfWwrU5AuClzCaSdU6Y5YcvPzJ6UNlgQ/+8r +I6UyGx9YUk4GgnnJIp4tMqKySA3Yvkk4ya2bO5lF4YCbu6rmAVo0bYio57DmA2rd +K4Qcq3F7yN0wWOx8KmVAZ/zAkkGOJHYq3+7cspP2gecy7SXrwLkRRtC6vbG0/h+g +YlNn0c6QiV7fG9NJDeNbJjgimSfpWrdAwGbOgxRy66fSDzS8drA5RPmpXZjbpy1x +sfx5cTw2GQEOKe5ncZaSHcYmhql0z/d4FnbJw7367GOyM2HhVccMu5rP4dycnAab +9nMDtQjpoRQAtMDh9m4KFOLQqj3deK1JX4awAJt7rgyQD8LI+UYzpcmhZitOK0cy +4IS+Z9nuUqbZLtJXMwngQb+RrtP5wDgyEvWKVQNnO0eGYqQNvEbmwIBK66lELl/L +q36sfLyd3UF5C71b/Fj5LTA9qb5njVrLpKEgvOuS9exGN9fXUR9HI0v/o6Yz2dkB +q/cQEfAUqPMoBm8trbvtvWC2e9ZI33blrD7VcgVNxTABgsK5J7clwTgneuGUV51M +4ZsEYcXTm12aJh6ZQXzj9RT6NQJ+QQkjVZUgTv0tgKtPMjUFY8EzKoYmPIxlQ4pE +W4ugdi6zxeXm3RMG/4pgcWLnYpAgrv7/8IqmZPEU98IWeEtZwC4BsYjCvmmILZTO +fIk3VbMgyP4UzHGZUUvp470uh8Ys0XwY+vrFmPqRDbJ3J6SgmirJM17J3/II7aKF +O46JcBk/j+X+IAX8f6OG/NeSJujmzUI5ffzpm2FoKFtFRcvgK0e/bWQanHJFBbTC +BfYhS9hUGJodamzSd1SBeXvhzzw++rd0BO6aZjpLYkCK54cPKUxaJefIJ0bVQ6tT +3Icak3WQ7xryU3YUkn/RWdrJEtSC7c2bz8a2bka1AV/EUcI3tYC41SVSjkW31XJF +WrD7bEOiRW9oLNnIxEu5fNEQ22ZLO75GeuusQpUJmyRaDdAQ8J0qfKw4DPqp1HGt +9iQFpwP67btdcFuIdjR9H6jp1wCqKyuRtGZJWgWherusP0/OilVhUtk9W5xJw4R9 +B+bmx7gMaWFqmBE0oKwfhmGKI86+76mNCudV5KgkcWM6lTDhDiTRkXCcvVBTCgA1 +wG9VW+9mOGyixzSYqk2pO00qprCNK5SU318QaMs4P02Vs1YhV92uk+GwkXZEcVtF +dop+g8JRG3eUR2SRAZ+Np49eV67n4uqrcVxF1BcVqoV0QRhJ9a24rIzzv5N0qDUy +7wf5EKgcZrtmpz9P1vyj1M5s2ALzQBwCThyz088jSIchemAczJvI4NbX/WLdXS2j +bjhOkxixQlZz4RbhIhBrdx5TEhPMgqwHPMmqMnToMRX+ff4qqkySWeV9O/S5NHXf +j46KcKCPTj8czpdnHGXz6q4L6mCufymYNbvhTjXD77v4qg61BLHamqP8ZmkIiJm2 +sHC4HtKeU+qGGqyDYJev01irxAkIvVIAetPEVgsXi+ZQyhGJtlCr4L9NbB0+gBBE +i9v+h8DHJYW4QQwL6e1mszcNKJ7uKMtD7rA8QLabkUNL2Ud4/AKdpwx7KjnUUQHp +qPEP3Hz4wdD6/S5fG+UiwIMMGZKTfiusfZi9Dd0UQ6N5E/BCEkhNww5c/iBDMv98 +4f1rELNPnqD3lkDCn1N6ow0oJfm1oavXl+UN2g0DuJiGSqy3eUSGe7gU5c2otUOQ +rJX11Xt29nF0L+ivV/eXUMP+/47T21PLWNhta5ZVXUmCPl7Yt0tFl/4TcndOB569 +l+onhTec266wBJm8yD5SReFVRD5MUCktqumblILc/udtowSHvsNIv5KiXokS2y8r +Pq8pDxoa+JQr737zYiczpVxibsa3J9mYcFBXHvu8EvQJpW+sf2gu3mpAyraQ/PYA +msmJtJ73aalCQ/hFViyh/HwP8MHHii/tgkmVA0hWQDeqTIDyS4c2iPvOZhT6u6jH +2veLAO1lf7f8heU0cg62vgq51t9nTUnEBqzRAmzFuK53SVHjtI0RyxIwu9gkuN/c +kLxOyyfJFtMMEr2M+SBjBTphNVbEHw8ltBdmIoYl5TePc/Q8jkVPwc+Qxrm3XvMQ +3lKgK2x8tcGljU35JiqbnqvJs3w6oP7wILZZT/Sb72fWkk9Qh99IAxXyhJJgrUTQ +Q6iRSGI0HOSLdK0G4gR8GHuxBECV1j2jMaugOJQIZ2R0hWGj8G3ij3EkXURuVIDB +CJwfXdbotUuJn+OKEXNlf8otMOieNBSyXjPV43HwvesoTGhJC7QqJHNJy235/jKP +OrNt82FPnvjqAj5b1+jBIruxDCInCl/KJN/mk2lj67r1mLRhLhNohBV5YY/Xm5OA +FMsQhsQMB7m2zIV12HSIfXTeH3xDFCnZ2YBRQymLoyUchNpIyOwnVw/UMvNkB9fs +n8wgJFtn6IRn21c91ohJXA+3hZfSFRbyzpyDw/QesJMXJ3r2Ca25bNraEV2U/5Mi +QyvWob6oK/ILRcxRsCShdqf5HaCE4F5XNPZ89cNADDYLTdEtuaG2KkUic1B6fym6 +nTWLCbriA634bFvt5P3mQPxnn5Wy/7RNk/HPNLRMjcLFmlw2ZSnCTemfsUY6tULy +GKRy4jIStG2uLtS8kjm7UxN6rMwprl/NSw6HQRYMwft2JRJGl8nMyvYFJHs7RdSC +fO8GIqIDoLa/YOvUmEqkcxxSWpSXSyWbxnNg/4yyw0ZsVDM+659k05GTYVZxUUoq +l/ManOy2qdIowltyWE2pJ3trl/fYgvm13QxRZ7c38p07nPv3NGPoPuLYKH84WN/Z +pk7py0T+ll/vSfZW4fvUefJcF4qRuyJg3GkKlG4LU+dhqpefJlHOh0VIRfWL8DxR +gQ2+8so4yzVsfLrdc/yS9QH8UNEhi9gFVYOh3WxKONIKOc7iViuYn4Y5jx3/Ka6K +kznQ4TglH+a2zBYamF4RrRIUk2aqlms0khQ+EDad4IqznuDEoZSPWzVZXGaQSkd+ +VsKjPuW1ub2tyiUM5/4DYtrwufs8gfsJt9c4f/ndkLn6sc7ysV1IpuLQr/CVSdtE +Fx9f6s/ZdEFnsLc/79TVjKrA57dJBz2LDQvGeYUWW3N6HUyYSImyRuCb1wfa6U6X +GlJXmKOgcY2XI6MVcpe0G6+1HmkUTiCjzjv/hfwZPNRLWHhoTZtlwTUApMR0Bazb +VjfUWOB9pKl8WDXmVe5x93CPf7GpkFYYm3lxerrDPtzIZYUVtvSzPDYWGvEevhrB +N1J1m0PN+tl00+8eDXW6KWjYUqwMbOJ4sdHTZhwUBMkBwh3T4EBi20KZcy0mrd7w +VWB7Gcne5DBwGfFGptKCANQWUM1VUcRb3peUk+2hiq+fGRz6VfBeSzYFkhjY+Aft +WFx6vyaS19avj3fD/CCT9tzFlYL5qtTtZZOCRTRmX4i0qbZbtMIfQolAfWfoCttb +phgM9SB2Os6pUZ5bNn2YWsR8JeJ7u3wi0qQR4yLxi1vfr6jDs9/46BlQdhVV/XVG +05v+2+7qOq2mYadv79MTsHmeO8Fz8R3/MsBg84+Y/arqHm/Ae2QvpZy2u8xGUCb2 +QfMGWlmSTOSziXhblVMSC2HWlWfcyZT/NlP91cgLRrRd0flvvDW1eME/f1uJVJUk +XLZ4w+NeUgwj7eujbLOrKHdHxqhQMUVdEFSolc4RzsXpa9zqqIBoK9eSrBJ3+nhs +yEiwdlD/DRCvBZVepcHypz57Np5UG6Jc9T7uh1DkFXAO8gQjdtPvh89VYnc0CgUH +Uz34TrGTusTVyZ3gRj1D20YL9oOXfuCoAkn4Og2whHzBRizmyhAK0cPySckX0IVk +TeA9mQcv/W1QbB2pUGThcUtK3pL8lQuXih94UTrbiTxE8j3aBTba8X/ilEPNsdey +hBmB9ZWMXHsCH25UShIh0d0jJkZUhDY6tUePm/L9MLzIVGsREVvOCloHRooUGej8 +2rSKONFKE2xhV+bo/R19P/6qOdVqxl1KcKiNCwvOpI92rIfRNuCEB6+xs0W4rwgR +I/I2MJczaNpYYTbLUo1q32VCAZXw9DiAKAXU/ZdWo2dmAletzQkPBE5bX0hqqsHH +TXHdTwJbZ7s+jDmWhOKw5i6U+rplmYC70sFyEYucchHWelG/hrHW25nqcwzrfAHX +QYhgc4HB5WckxeEtBfXxuL+cQL7jn5XZlAl1PFG2DqR8lADwXhTvTrKsuahe9xKA +gJZk9itQ5eootU4xeR52AQAXCECpp2+OkTASfkwl6NNXAqVaujRAHqssLJLTcG9B ++79xnUqhxq042cMQKEhtIbou8d2Atw2BOR1ttfG5YVvxyJ5Ovofwpd3nhhDgDiDU +rq8iK7Wsl1defgDb1Ai8qxRgXtp2wxgro9Uo5nwKS0mu3/Ke5JDT4W/jzE7l+KQy +liLBZK65R7dnB7cB1DVLTn+eXoL3j24aMfMI9NHPLQhHufBeXe9GQZLFcc5nDB0o +2UiI/fK7bj8XRUdR+IJDJMp5QQGLlVC7EpgaiKmiSKO3nGn3GMyAt/4B3g6DxhjG +lRMDoCD0jUFfI+jQt2TYYFwHK/paJenhp3SA6XoomjIAzQ5JonykqnliQyOIHGt3 +w+vM7eiygxh8sgLShkCCPVTifUQ1r/Ma16tD4QH2fgxBZBOB12ULOa6nXGqE5hMM +3uoOeBToG+gSuZphZDE4MpJ0fITlggyj2pF4prfDiF8tNiW+QkYZ/EyvCW7z5/vE +w2jDNdEu+h+LWhyeNdEAt6Tv6IdB7IpW3FnJbMNmJraA/EeoBM97BqXR12b265eW +gqRBb0fnSF0UpGSmwtEVDkqBbBhRLpM6QDIP4T2tC28UMlNUesO/A2fAgNDCYyDs +Mxhs+oFk7+Y8BmuP5Dr+YkbYOydYsYIkiMvS5atVoID2oXML+HFfTzRfmK3im8hJ +oPvt9dswGKlIwmzHJoUVmX2PzdXhcHujJuz1ihZhyqfX/AG10t4kVAHnx3Ml39zR +PZSuTtRC9zd0Rs1CnJLkj3oNEPOTtzQfPufSvwjD1ohSHsEfpuzFVLUTtUg3dd7c +g7SAfdbMlEG6H9pbEqRzRFtgH+4zi23AaNGqjMPdVrO2KqUxsevXyO4tK0EV/s89 +Xh7qzxtEpIplXvb+PI9+zejnk129e48So8NrlniGXNzX/Gcbj9nlXvqOZ6s6TUgQ +32K5BsRL+a6z5MGDDFkY6I0jcNE1FqpUuD+eTvxB48dhAqAmYNGehenejlJMKbIz +4LzQZcsQraO6uKWlc5llDw8izubutVGUra06XQobNYDTaGGSZObxtgh8IgFe+U1J +yNJbfrVX99vlNXnXeFP4NvPtpT3UCIaFL0QjeAZrB3hh5oUlZeIKHya9x4rqbljf +Gc4cHWtjB5fBRVMGjviapvEekjZCiJE94ZzNONE+iw/RHXc6EF2FOUNJ27qZjwZA +b0VoHjNCKU6HY+kyblxhjWx1TRGkbd+d6ZSM5hBDJuJtLKHvLFAP8RbhSWp0Ak34 +56RPFzCBlI47+RFFM0Rf0+d0SoKCtBggrHGJdWq7t/NeCU8c+RzrhAcxnjpgHv5+ +KGM/D+GcrLkSCnAq2j380oz/pWkjLL0us0DuQ3f3iJxcQUK7xQ5+Y6wG625vh+/b +mkPrndE1II7KDr6a2r0KO2ZyD2NpBaXkCOlY4/HcgYJCSf8Ex2H7t7vesEX7HaBV +Anry9XIXqXXcUl1UbcZqm4R5vYkrSGSKYRYwshdavjm95m93tsIqp6CLOB9WTYdd +0tFAGTlRlTeo6p5pIUn1a6JJbdwpVgbkL26Ek0v85VHBjVO9MybBQp2b5D/dJZGG +DFGJa6i04Wqpkcpo6HVcnKD5nxLK+jqT7iXgZPG21/RKAXCXuTmoKPhg0eV7NuO8 +MgreoDM81P6lbnAJJAgIJ2OmWcO15ugJqpINCziLqVPzHrt8jD4Mj56BaTXTAm+V +v8Ob/T1LHc/o8TyZ2o/oBnG41faumiReQwqASaB8iQxP0MpNhwjriSsb76HLNOjF +sUWln1K4hi1LeWQhoEswkfdS9HLjVfMzuxd0rYrGok7MatigsOfrfKLtvKUN0Iyk +8V1GOshdJumhfM6Uncw+mLO4p2lnTIvzJZXryZu+z4ATMWlC6iq2H4D3Artx4CiD +uOZDzPPJn5KI+zUWxxkVQIhKPkglGGJ8iziI/xCS7V+PtZXCGgh/cZ4T9CDZN1YT +n8GNXNW7GFcKXM2a4NJoUMGu0owsOHw7kYbb8MKh/HUlTS4vq8oxTR6ymP0rV9P6 +9WZ+pLjwWOEsSuWaV392Q7O6mf4/MvbM8wrynz2eJMmsaXYT6bv0KGJga++ukWQ8 +KTq+hwAcWbvU829Jp07rNv+yEst5xsJKY3xR5QT9GP4PKOmIMw/4iMN0azh1BYMS +14RDQ23XtJfg3hYi72rd9EB7/PKk+DTrKqebS3ponz62xm3w+VYb1ikyNhm+oo0K +hsGgaRWgLtbXDl2/kn5Y1mU20BHRNRiCZojCKv7i5Djk3Yyh+/HbSalvatRlTngl +CJ7yd+hi7KWaB1X6yiI7ra7cVMvyUobeGxuAfWievR6pXVWFrKu2c3qv1RdvwTBM +TZpYEC/KzbbOi/vgdrCvAw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog.sv new file mode 100644 index 0000000000000000000000000000000000000000..2309672b77ddf40b1462ae30705167bbd20aa885 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog.sv @@ -0,0 +1,185 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +Vw7RRPXwuEvsZ6DuW4Ysgo70e95ei/v369MiAmAr2pgYm6K0JcyF6+hATUl/+pYC +RvaIfLtmYJDpZvREg0GVqV+b94LpAIeOSlyvQV8EYRz5gddml3kBmlsysImGYay3 +E+gpfgHhRZQOG/0r4iTaX9/avJg7er5L43rkus0ujlY= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 7136) +jJ5HfvwTkMdBMCRVn5HDAITZ4kYZMVArtG/NpYgHR0paG6wakPYbzKm96skIOsRE +gdzCzAxsuJFxKVmZvLjGdTyNn+9r8dkiBdUJXIoGEDu/W21goC3KA+smaPV2RHu9 +2SIvjT//5uZnx9N2vwDJ+8taaSXnXCQjZLMGabafBypQC6Zt73pjp3RfWziyAmx4 +U8gMBEwjePRe/fZYp9ZRCMitdLqGmdRyR4FxHsgmdhj0ilM8gL6QyABa5TMNDn1J +4SRAt07x7jgloD9FOYBom+sZhQyRHpnR7+pLSaRLOueV8jS4MyIEO1YLmqH9TLbe +qngJPZgOmOQJ5ZhSoXp9W2WmiMIdXogQ183ArE3Tfmkp+PEWWcyNzdMvtBKnTMXw +J2EvmUGRdsU+ZcyjmO3YZJi9YvVnrf5K468RPvBdSin2/yL952XJw4r/WSw2w13m +jUK1IyoSqj6xJC6h8XFJuIHRzFR9LnJn+Vog+devcgNBUKnRKtG48KrdOdW8ID+k +BfFdPzictfeOh0Rava/Rs7moD6CvmM4wyP58D3SdzVeEiXuR7RHu/93oIh8hDCQC +/sfYuDHbQO2IStYfEM53pDPAFteJL9eSZRujdB3LD3Gbvazxc6/xC4JB5X5F96NH +sfj28/jzfLkob9hZO8Az3sJIlTWju0FkIQSy4PawZb0dU7slB3isWrde23nwqA8J +CcDsC3EBcZiQqwQIx6eIZJlzXi1aqIzxnwYa7oP5lLLqtt+9AWto2soujDZBg1Re +bx9U42/5bwzSqsUyXPJ0uV5ZfyauOawpw+ElSfMaDw55ttfXDpLYCneOp/KSzmGo +KV56j7rdDuOTQE4V36KXc9UzgqL5RxV55OX3Ajflc/3nWKrKKdA5++7whtvBd60v +eSmYYx+oSveCdYM3LhUektl6qvgNu0lSxbaoLnOfdsKX7uC1UwiF3lYiXujRMKRD +5kUtq04VvJlOyU/0vAM8h+tooVBBN0CZ5unlODsrE0c/aUZannYja9va/ptnVlSC +lcrKMFq5jfAGAtuuJUV3ZOWs62FqF7QPtblf6TfLX5QxAF+jkXJHj9V/uiHlrp8P +tVqMdfHRjp+oBNZsOxQ5CmgJNwt+rdUCmimfgNWKQYMyspX4sbnfQkW4U2Ghu61/ +C5GlluhlA7gogqejEJV9UE/RPg8ptk6A28SDTOHB1+hhUv/dWsziamDRNCHQEf0j +GVimi6syHtPDpO+Mh1w3VAPAO3CemdisksSxlSKOnBCnyaT2Y3Y8V4sOUFY6S6LI +5cA7smcLyta83T6Wyr/kMu7i9hRNiFQj03AL8qJCPHe4seJwXot5hKMKuxa3X+Pf +LRAlK+2NO7gQWbpc0e/a35UVNsaFM82OrpanJmp157TUm1zg5qbHhzu4eF9t5Abo +Jd/YpCaZ1b+zEI+qbJ1zng+1ePsl/OVoJUTFtuDIHNiE4ecyD8MlIc1u2+HIWExb +rHnSmkDIP8FoB4UrtAA3TboaJByc6LDo+P3LegjVezrtmS589qFaEjdOoS/Na06/ +c6uOFjKwdab81+OTwSuMaG8pyxeONHRr7tiOEQfqwDWAMCB6io+B8qExype70ziV +dLZ2T83qGLRcwosjyFgmp5TCHl1EDQHEiIEt6+hVTcJ8PT+XSWgddUd7dcYKgCaE +zqEjE/p7OmIfN+ulvKTuzCmHhz1DoySPIGkIwu4uzK6Hz6AzH6EnoSGjcmnZnDa4 ++b6Gixt6AAk+/sMjdQLrtAlTGQfaJXvg49d702nU8LxcTZgn5V7KNhbdJFvOpQXt +s6bomq0Od06euwBmP1ygF065ox4aXB8O2nMUJm3JNQHoimXleSltjGa/U3kVxkY0 +ZI6JULWWMDdS0T3Od/YsGYFyFlU6bAuGClkiZiTWRiA9/YZVyrikdG+Vy0Dt1E4y +B8zwS8XI7sl4M0yu0fxkYZ0wz88q14VZdtenQlTxto+mW3xSTT0wpBk1Q+pJl6/k +ygn8esIllZCaTmA/Z9iMnLulbXkNgx7ZE5xDeF6+WiC84JOZoe+2APDtSlVgv/N2 +DvfjM7mlgkolIYU3AphhkuSbyKSyfl/Bx1YdI/ztXEAVVpnp7aR2RnzLhEmTcsuy +4zMVjqpQjsbupfTCcTMy4rJs3i4EG8Bktl0dUvC5vXXtsPz4yotmuo5PY+Y81ZuE +LDHOMKarMjDHtRGZ31jQ/RYGrTbm6tgeg12Z/5EuER6K1eDnrGndjy4sdL3072Td +sQgBS5KY702/38Yw0h9LKdoKrrBg3F2afE9U2uGmnilRSJC4Tne60B2F1omqYtKv +yM74lw4n/KxGPyO28HsqYwMZXZ1WuUKdUMQR9pxjMErV9XQCvOmxDR5dboSyDZUB +WrNlCSSDijK1XQ1RpwuEqVUn2vS1CCrpJ5C8y2DTqSqo7Ov+SxbHfRnIc3ugSerK +deDpYnpp5wlF888mwqg9QdBd6nNS9XPKOAsKAxUlgduFw1dxKFY/3dCel3lKCvWy +lJk3oXKEBSpNb4R3whrawsWRd3z+LU2xUPAphszIcP9afCqC0FAVfD8Iy8HHtKp9 +7FfCNmlxQj57Aywh1XXgl2zRgTpzOF/fTwK2i8LX2RFyEy0YePQt+X6f43mksiER +7efX7z7cidZC2agGkCP/qUSre5i0s3xLsxCRM+yCX7LxhBtp1E2/DHQ1b1EWH2Bv +8X5jZs7OGUUsRwxIP6iPR+qLvqWT8+7Pf8kHW17ckBq8clhsFeqiEZ1COaiDCkMb +mwquoWh2skdaXjdkd+ej2G9G59nO3F5/zss3f7a+zNTQeQyqE/Gg+jj2OtlK6ad4 +gfbrYnq40EfrQTTD9izKdx0FEuW95mu28892v3eqxtwfy+39+U/1uMev583KSZF0 +vqoDcRc0+WIAfb/iNeYRzeAtKJ/R8PnHi+gK/Si02fWXF/y+ZwaKeaH3eADNWgM/ +oTX50L0GMullp5Di6i2lES0kjcky4He7LylOQOxYfkcwh/Y5ufrSb8vK38g3IXkm +vM5T8uZvTiQf3ICOXiZIFmhrwFRW8FKK5fLdspcKu26yy2mg57wz/3oGx//GK8Xw +kCBny6SH76wsP0PhVki8AzKsJ3lUEmoQnLATraCi2Hmc2ee3s/qAoDmkX8DWCgRu +HZL1h6hNrdCB7/URu6YfeOL7eofsXHEWJx8Z05v+jV5abvYjk8NFUWSwPNrz3sIH +gdbgbjnlTTpQzW+PL2KvcNeBopUpH9y1g2QMCI0cPIRSHyHtQePc/T3ytnAlF0Uw +TCtu86nSslE2E7YkzUyg5qvoy+0g1CWVKn8HugHSz5cFL8XSgUdSmtA7p/heJyl5 +WV1kASCKalWHzGG7RiMrzRL62vXXDX+afR+jWveLpbmHh0+2j4fR8LUCDqtb0Jlx +NJKTnkAIMVDDWQk2E+kRDVhq/0dfBwz1iptif0JMDUx8yfSfkb31hrSYxrMiaoBZ +/O4cEDNztefbK3HYgej3fwfDQg3dpKzYqT2MqfntR9ksxPSTmX6jiiknfXEXfMyk +eL4s1oq6vPc0yqFupckvnyxfUalNS6zES08stDpHfF9oEXbOtSIuGezwvOqS/ajH +GhlH6esDYd+T3GvH8s8UqmLGEAtX3O/PI4nWUp+jcwY/dRy3lGQ2lT3WEPKtncj3 +VHORi0Ox6d0QO4Ld7P3vKow6MJW2ZdEW3Nk3GKLehfY8NXjUsp1QwgElKEZc6cTk +0C4hOYvml2gI7GPD46J6X1o/vClbid+jvj69crT0UtleaQ4B0w/SffQdfymmbrFC +1tKLClQ39YEjJC9/0p5qzZLaWZlyqlTT+5t3AGD+7qF2JHiyd3Mm1uhCRG8hfCJ+ +JoVrVfELWUumdpZiUauRsF5588rH5qaBq548sQANYpGeyFJv/es+YVvK9P0vQ3Tj +FnIum5k5+eqsphphrCayqG1Ooi6KAEHoPGdmN1Oyluhlj5mNpDDDWIM+/zGMmwps +n2DaY14fwm+pDpcAUls/pBoBuo3TPPF08TinfbtzGyckuD+8UBD+QMSpvh9vcsan +UQUqupCOglgZkUfh4N8d+qMnv3s/euKATuwlCBHCyFiELOcXadvUFvbwNUwMeYAa +kriDNY99nz1Fyz/F5ofW0EeZnwBbhfz8wsWieS+xu0fN4kv4Ss1oaQSuZfywu57F +V9NIAT+H6esckShiDgwH4D76qdBqnX8d5y8cCBGyIeC7dwbUR/XeylPv9K9vy43W +TIYr66eN8QS/ya5Y0vnXbuP61Yxdx3v1k0UDrYDMPOf1kUkB+wpE9ZVvwBjsLD3d +6GTh1/1Hi0qs6tf8fGSOoRn3UkTf/nKz3I4bvZdZzGMekjwZ+4i1xlBlBMx6ShEf +G1hPINIjimlhfPkeQNi/WPRWC8mtZwqGJxHbiyo+6y8Vmh0pVhtE98XNDDJtcvhX +WVsVuMc877FZNiYl5j+UXgBO2hGsDIF4P5uewsxm2ZC6RqBtSpM6GYnnAyqUbCzl +BZ1Eu3/w9TuO53O/6zRQT/IsyR1g3L6vUGkC9FGSOHtuPhdkQ8tZgc+D4wgvol4/ +luKHmqGo9lJJ+ywxvdjWMCg0tSqAu9wjWt5ifAWwopJehk1hz0KnzK9K0kyvLLJp +gLoRPEJpfP+C725IN/VA/Ykat85DMS3hXWunr2U97vA1F3QuiB/QV9aoAhwipn5w +fLuos7Bwr0jgVDfa+WUqVYd6DfojpJpjUsVZjZ5KEQom8UJhKOa2fFTi8JWO9zV1 +XXRvk959m2CKbpKVJsK3sTtdA86uKooKZpUPZ7dKj1KrM+VOx/S5qhfQNp6LRLTf +EllYB5CzMrEO1IUylcq39PXkGXlv4OcJzDLgXNqY2AXoXn2+wXCOk0Qreiyjsg9q +TXuQoy7ymZhRYi3pWQadS9cMz6iw4rD1ElS5f4FyPTMxeLiDMgZnh3z8huWaWqoy +JbWmmFqoqLo9q/FPfYVj+aFVMdIj8VeMFlLV4ad3VEwIS1aRSOJlRRjaV3ewnf6G +vWrzBCCKMdHLpnOHFGd0iDrHMqwqx2m8pQsphHUl2oPvKIBswcmbK4q9mqaOij3z +wFmy5iyaL8mm+gba6Ukpcjo5/AU+FuE1jsx8ypkuvRt6KhJW/Oy77XSUyb1LsQhG +Kbbbuv1B2vlZfXm79K38D/bsJKXLEkk0kWpu8G1GiB4POgBIJj23x67e7FPJGFy2 +EguBg2xzHvMdiDu1S6040X+LYbyCify8YePkswZ5OFqx1tr3zbvfW/91kU+kuzNQ +fYblgbvJ6wh6efW0l0wd4Rj0v8/fbgU4td3s/UaWwcgF9zKXJHG53UFbhsggb8bS +ptMOfvD2lTtorvmAj1Wh2+ZAEmzP3Y41TE6+rVneKJol8fB1m0Yw0/AeLfQJBqZz +ZfFbR3q4ocgCCprMAslp4fT6WkPo1h6c8S9alUw33pzT7x2ObvLNr+c20Alq7LLb +OAmQacHyZiwza4PKG7u4eGCXsv7KkY71ZirqffSIYNdcN7IOqKIE5Aze5PgG9Cx5 +s2DQzENAbrLSyHBymYV55/7ZF2I/QPUwwZWyOnoomjbnBHzSKPzIOaWiknxGmF1A +bSs5yxEjDfIt03033UN0aVGE/Y9qbIfMAslYxPTZ0eRaCg85RzMdxEXi19XQcsnW +ycfQ+U8lXgCtkJ71oJwaiQY2NoGQukOVsA73eL0Kcoi6Sf2gCSP+17k/rqLHaV9l +W680PgeUwC2XmRID3yJDBqjALLV8CSiiMxoJJ+DH8fuGbJM/sKVmuoXhNWwcrrEz +aN+7T9zXw/GAn8CBhRDiqJ7QtNaTP3qmop4ucvfKsfFaipxVeyLW65KRUGp5PzLu +5y0a199Wl5KH90Yvud14sLAEbUZxb7MzH6JH41ir6ilEDuXoqKMv2EoS6GhPkmgh +4uotGpQK+ZixIHYNkjxj3jZeYnVzRg/TCWUXCl+2lGWM1dLzjwMVSYrG6RpNlQ24 +EGDBPidNB+KJsnaMYWOI1pycphAsRKxgWmUTVex3v0VAGEHNGhE7WRUxC+9Er0H6 +BtYvmavb/Sh0kM26bQPtS/EiYlvmRcx1MXDVsq6ac6+bm6Aklg8JZINNKCWPM5cM +3VdGelwE6VKmU0P38Q7KRuDn26Fxl2t+XpfArQLkMJkMM3bYrI49jEhsuJtp/kzO +n/Nfb2VH6vgb1Xq39riuEr7G7hexfeUq42XH5qnMgc3+oo/fHDOKriGa+3uiJ1sP +BxthfVTXv2W6Mx+VwurakMHBDE8aEuZwyim+8HU24fGoG0tFnOJ41+TUMF0TIYiW +g66RbgMRbD/SAxVc3OtdICvw7hZ5lNWiuhJhmTtycBNObYMujlcYn7hm5NMw7Ozn +++0Yx3A9bzZxyMJgIVb1QQ2TzYzhSWiv7L5YJCb5F4y7L99DiFY0/3U611b09WkV +v+KcZAaPFujqE8Trv1LAx4JNwtvd69num5/awpDf0hto0D//RIZ5F3QQE/SjZAYj +6pucaswe95x6KeyKlZ9ss1MOrb5dv4jb4j7YqAwa0BklqivclHMrW6ZWYWdIC3rs +pD0x7P3h8ZPJYk0fyHuG9+ebmPVaDLJnbJAfpKpfwcSr0+8InG/OsBMTDu2+y2XO +mpGBYAXYPaojh9lPqrkZcKleChbWJHKXrZpHLKs6nMhX/vqqGaOcK/JykMQHuUv/ +lrtwoZ+STf2qYdlb9QyRjt6YUCwfBzw/Tkk4VuFga35SUOQAdiQd0td27dHvnFTq +j/UJ5DhffFAS/zhX/eWgKYAfuFg30oD53zLidhrBRTW+mWF1YtUIYwKuu68DAtxV +F8aNRoM9DrdoXzQigcb/HiRS0ZAl2QCY2J51+ULSyM7Uu/hLjBLdPV4WJ9sPxoj8 +vLKpmDE6ULGxRpa1ANDZdPx8Uhce4u+o/GBk998WREn5pi0q8wtz9rFp3629s9Bs +cbfpG5l08TBq1Z8sopO1uGLoIsS+rTO4/pOlnP81g7U+hIc7jR7UIAoPqY1Kgye5 +I3IlyzFjCrF37kJqOFsUtHTxTsnOklEJpmY5zjseN7kveOuUiOHxo7jo4veWzh8n +2svT4ElPJXOfdodwzlrPAo36Y3kJ63tqiEat+7rxaIVxqMIW7oygxuVK6JHYVwRv +AvGV3NB16N7RLAKQR70wpyFQ7JTIxHGmJgNDMTAEbjNqpp7VK56K0/T6O0zdnlIT +98uGLYBYp4VDmEJG3JlkznOm7Yr0GCQUwHhSrvtYI2XvqXsRc3omiGakLnPkZijH +aWUcFh52a+R6uVElUiytx8pEiTYZknZL871luOuUVlSAUrYaxVfkOMaaN+Kaa6qV +9AZ1//f4GYCEWNFX0FZfzjdymC9RrRqEKDA7YvpgeL83jSdo0NFoUAB/sqZ/VBGi +xdj8X10CiIWOXn4DvVTten7j8h4cD1SHVz/zbCQznczoCL/IJO8NMxDIv+0YlVDm +pRFa/DFcJH7HDMkgKCULKtxNk4LiwdFde4726nnNPhU4ljyVk+P69tXA6DDTRFbs +Yv8XfSp4xV5EGsUi8k5Rs1H+3ZUETGhcTSTOIsTlwURcOwqg1ujYXy4uurgcIvkI +fJC2FKwNr4jrDbEGtmB/ibKUFtBfm5tLXGvO4EjcUNSiTSXEnMihvFHfGL70iSta +MKWL1XtrHCu5ses1uLv9dd74PhfMuROVJEIqeckNXkGLeK9ie5+A0JlmteITaG51 +gYO4CJy4UP2ix9HJev5QuOIGXtlrv0QqiAU+NTzzuVsljv/vJ5u0fLfQd9bXPQ6n +zOTg3ZKEDsDOkYaLigX1/OyR4ziPJVg26a3FCJ0b8TX0sn/zbaB4Y1BNOQeeN6tg +/SxwulRX2Quj+BIvpjNQLoWE6Y6WAGGqx08BHgUouMTxdYFZSQmHwonIywA0bsRZ +6jMfJlPw3TyD3sBOdPAwgLnPDhEsvlFzWXj9nVIfpC3Qn4dVUj3OYCLfEm4zcuHW +7AH6Wefnbz+H54cO8wu2JDr55zmi4HpIeWqgFu6aVSV3lZqd9xa3xIhLt/4d5k4L +XGD04qm7GIwzTHHPGKyt89uZFntVd+fVSv6u1IAqEpg13Yt60zGlZ2MarOCSBv84 +yOTJAD0KmK/GYoc52lZQoZfqFY8vduyhts1zuRxVVlGXbeKFooISUsbN5UTt2ucI +qxprRrM1vsqF2FD5sKuHdyjunF0y7ZJ3RTOS0KpOfXhQ3o3rUtcJ8WXQ9C8jkaG0 +tb2ZlrVYxYuhaLAnJYAoqa/T9ZlRC+hximVvGvDdp5egeLM0VdY3Jju9jkitMfhQ +1YRNNISdYshV9w3PRXQWbkYUuxTbYs8bm4aPnQsQYxZaRZV824yvQis0joA2a7n0 +k3lNt4I5FHailcXm/44GAvzb2vvbC6og+jZXQ8bNg7CLbYI4xTqQ72TtsoOOT/Qt +mmUu8+I0QvooVGxPm7NMTKMm6OyuNU2mqrQUHwkA76YJDsm0SUlGihlku1KvEtJU ++4GUHQYi2CTKpD0vwroGNb7bGRzJiAC1RX5VP7w7th9kdAUGIO50ruoRvF3VqH3g +JDltPeEpMgg0rl8AqWiQFFhvQLIwnfGJynztXrJBEK1Io3+jCKXVrik3xY+1/nnH +XXC8Sc7Fa9ZoWvAIL/pqgEGgyNRQYxOoYT9XIHMLhun5YLU4QSVBhZkz1hEYNRC4 +hW0C0uISjOUD3yFVy+wfZxU9VeeYhyYB55Dgfmg9K798vs4C8aVDtpWWwZwRvEHy +1W9Y/1X08tEJHg7HSOT4P8RQNOq8jRLGlfPKQ8U5cYDDazSkNZlg6BVUULDdmLfS +oyKMp+l8ChuBvhI6ldFJsGDMxzytTjuet6DmE+vXYRINQbGMJx4fyUYgMb1FBe5w +6vRbm2ddQ5a+v7+KGhRrTaA6oEUYyCYDHoo1KMZg4+l0f2fopdXyjCX3MyeHMh2w +IgKxdQqT+O+FWZ/xd5oFgGtAu0ZoDqWe9TFBv8XzsYh0YWl83oDPl5H4sTM6HjFz +RirtJWEvsgHUAkNp++OziLO909U79uwyaPIwfZ2zu+poeACbWl/KpWfmroZ/MkTz +D6dG0eRg8nB5x+FcrV6DtHiZMN16dXArZTGEoJ7b4g5yqS6Uf6YLpD6eGLAyp63t +8Togg/QaJq7xumyF/H5XhCUXcZq15LZcpxm7GhQAnvmttdtc/QZmwbD5OyQqUmrZ +JfrIjfcWPTuySyR9oegUrbRO0pjCMp8xRaeIAHaKErSIat+zUSaloVIl/28IFr9z +Fq3c2ivW6BSdENG75MgRcd+lsoo35DyOyFttbBIcHo4xrETt7o+U2nos+Gl6Ziea +Ma6kSs8CRNAY8Qt+gSW/wQMm5UQpdDEg6k8jvZFJ2B568xo4aBJl+znsUxogyIKU +xq3U1guzMhPIx6yybcnW8slxIoC0L5XUZAUJP1+jjbYZ+P/klBmHehBwlx0xrsv6 +PJ1ZUP3LjSRW4KJpLYF2vl6JjeAARxBLyzAov2aInx0rPmIA/pyLx56tzQ3BDqSE +Kr56imNwFlz0yVhuaqH3mRDnKpYvUDeRYslysuDxCcY= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..e586ed1dd708be01feb654b2d017ce915dbaded8 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog_tgx.v @@ -0,0 +1,795 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +barXowpsF7MoZWdH1Ii81LrN1H72GWBCf65dTKxZCzbmHnRY6p8J2HjVIboVzwni +xWSA8x/33y5+tht8A0rfGbi3HQAUtwSfk6nLy+JCZsI4R/7eAft4TdpUTzwTKNh+ +idGnFyF81LXOnIl4SsUpHRnsLw/lduYOy0t+iYTdjD0= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 36432) +/d/sdnfl+IQGfdTBfwx/PjZO9YFiiD4Gni47dxu8L8tzbsxzdTr/568CzDDMu1Nv +QmkWMpDbkGCUTVDqm7+ErAlkB8h0SAftGXTjbwqbYfPelVX9of1b+f7hwM8S6KuF +ctmg4bT3hCAGAsnyifjTteGJXW36oSYx/gUGZxeSQgeCB7qMg2sQOsTgB1tk9Al2 +W9Z9KyJrMg5+rfFtGPYKnDMMfn2B13BAhttjdambuZ9pW9oA68a6NXMiQlhNKDf8 +6LB+Abi40umPJ3pxJTOD8qGZ+ogV1vKO2DYmHxM2b+fMmXkenI+gsQC8FnmbaSPP +1kztTmaz29p6lF0CyknleszfssnMuCnj8PU2YWAcUFZpcN0vavip2ZuSQn4RK6TF +uEFQihUEti5W3O1M8oHhtapvJmMK5ShzL3na9gKANd9RDQSQWMcyZLQZi/6ghksy +OYgEyYYZHqAEhrbO6tQaxJPaAD/UC+lcPQe7V3vpo/JJNCs3ertcyO8senv1j3X3 +073MN20YsQjFaIrb61F7Ej4pBe86guZBtoy1UZnZ6mqC6OMafDF4+s4w4LHCOs4j +4w9qQ9iZohGrNZSWGidYIhj1+NT2ttkMGM2aX6JfToRLNAN1KPcWHaD7Ta02xZ5h +C5nx0prqKuLQ4ZOw0OOKN4ZGsbhs2uIlYjk614PHg9xHCkpjsAxqEjuDWJOzT5eI +y9T3YuC1lFQjCfUTEwfY9uO8y17TXCtQLoVC4Ycfkzm8lsYTgaS8ntGgEFmPl/ht +nGhN0+jcR/5FMLa+Z6sb4hErkSMRzPeafdGMQG1qCd2kRc6WjKBHZO0Pdg+//v/D +6likOnZoaFPI8rtf1LIMyBsQMCRV6QQtbzGoSJU5n6tA1xMTNW3KjTM4FLtckXbP +Vh7oBKH0VbjhNid07zfZyEr8WNUTkNCVsA8jbvHvLnrMqawn8XvMCr2sCA2PwjIN +fOyRv4Jt7roC0PYS9/PFI0CEv/+s53Ea6yRqMF3orK/LL631tdYiZmKcZfcFgpV+ +xn16fXSMscIaavmfQqvNYU4VxdGpY6P8tedsoUMbEHBlZ0bM2bpeMgEgPn05eQYO +YFkn7JXNDBvwNWrEM4pLPoyLXviUwTohn4Gz/LJxpiUHYPcRHvWCHTNuqgwE24jq +d/xsTMLuqUoTepjxq0i52PzBjRkkIVa+eybbTQULe58qJvWRbEbUs0V0at17S2+/ +bfO0ncGbmJbsBLT0TrOzg7qe4KFpJ1MKoB9qbDYTftQYy7RtbjdL6M9V0MIk93sM +NwUhr53pMBXnQIStE42gW3IL8XghSBOEZB0Xt0iEnr7V57FjfIQRYKIQWN5AWmsu +BTFxl/Um2BbWkc9oYc3S1t83YkrpAN/aby9jEk9uKANY8PymWe9rn24tLhAeITR5 +reLRifCSOXo+czMDeIuykQA4CMoJhkZXgD0AEsm+D0nGwMs8UCKG4gRVsp/WazSt +GwBPUmnLWEFX8NgySDUuO95VjpdFuhVGSUe544g63ZqgXiRXZL9k8IqNaYxUJjgt +fVKMk1zDtI7YFPjrrSFw9Mh+y7nx6R0bQpP4dhaEpJbFr0G8m8gJIHS6lMlMp8wV +ggCx9xxNanukb41Y3a1+YeZiRGHkIteUJ7DT+k3XC+3TqazDsMjScABC+OChx78I +3gAE1GByQWOnA32Mpn3T3UZoHpiD3U4gllE2iPuSQOEfO5e8qmiQAZvpijVjaB5o +he1pQWsxPngVtu4j0+8kpPhfZJxY1yc/uz20rZ6S2h/Fg0JfiP4SUpgHLoLMtwSC +R2HyX5OTHAaeoFb3xCRxQ056Fy3n78VKui+9Fldet2KDdx6yEHz164ypi6z2RyQO +J6neDs9kIJe3epl70faAGW9kNzK7ummyCDdYRblzBmbxvBBH5j70mPad64+Er5Yr +LV57HEomfZbtJeu1+C+Z09p+vfpNPoW3H0VchscA7Ipde2nTgp5fK9Hbx4qqcsWu +nBVETpusjPFIPXiTxLh8wREoNZkY7VtWCB8lXBBIowNpAmGT++5GInUVjVqnbupm +gyVurMBqTR0cS7YzAFPINpp5ZjjVQsPoO8fZzOTdGrdF1/oImWnvgDqxUApJW+Av +Xbyy1hdCvwqyGB/sTsP89rkyDR2s6+HWFcZpRkk+npfRZEm4ToGpzR3H7isJXwJX +gdfKFrp/LDlrrL2EaLLmd9nYSwAIs1vGt1O+YI/7V+Z2BnNYOOMYiseQRvBVk3gF +75EIpM8OzWnCnIcP5L22S3yVKHNJzAffWBXfGENXAMp37m6unP4cjUFHj8I2oEmd +kHq4G+/OA3/EnkkaSLQsEZmFABHo2lbzaGmCqitOUizt8nShcqt1sXpj+Csw3nmy +AQ5vfPfLrxq9VJ2mnxBY8ufAliT3CilN5dAfOUWkW77lgroZ0pWJPMk6jDmi3YT0 +xND4paaNGM0g/Q+uol3rmnr3jkK3yAHlMTMVlMYBNX055HVLO7s7c1mnXlR1BK1D ++j89HJP0CJsHyU+BFlLKwe01RAjaUN4/DbqREUTFW5rYMkwCLj9A6Dm2OViYiaZE +lclmiF8lEJZyWUFMAoEXcHMGdYaeac0N6vjFpqe/Pz5t2X7UwuimbG5Z5clU2izs +A0nB8ydKJq+u5+m9qSNQOppe34OlDHn2AJ/aUw1FMmRKZq61w2vkvLkF4i8zmd2r +bFHXmVOLjs+b6cBi8EbjD3JkmGn4o8TrRIKha0pBKvlfHQB/4g35BYJGANBTE0nT +L6uyepShL8SD5BQ74v8j+r9jk7WFw7lnl8qgtX+ow4louOeBF7aQBfHRImkYRERN +vELtkQBFTNF6xBGXumE9qI7G9wWzBEYqQL4bXefAatExFSLDQa2aHEVcv+DGcOCU +qlS105kViLf+yNy2Lviwd3ECvjKnCHxRWlAfcQz7vFvchwJU+NTNxKY6rUMdzoU3 +9kpRX7dToHwlcIIuGGpv2aH11led35pOQUBn7/PQW77u6KJXjgC3bUgzllJkZvFj +Dxa4xi+xO6WKzdapmccSuq3TUoO8PrMhetqEHiZL8yxz2hWBXD2ta/xVYL7nXyZ3 +lNudawpWXc9qpFMYZkUHUf71DPfI19SxMOk5AtW/5knrDD5ZlmRCaw9RnLjYv2lD +6adIov8x8yoLPUZgXflMewYNeqTCZo5blsu1Z/wsYaElD3H0L5AnKB+NYz7h+efX +AarbY0UD94snWxHNj55FdzTf3Et68/dtUUq/kcwNQMVfroDiN/F/zThM1Yl+VjN0 +Qgy9Tdx4TZuKXV3wGYx0ujVAFHD8LqP8g+CA05FMzIa1a5VQ+SqUdw+1eCcTChNp +pMl7imSPatdM1Vw5Vn6meRFxzBIco0vkI7sypJ/NCURW29kyd9aaJMZc0JnufiPY +zXWdUSKBS7eE/WKmTh86eFSyO7e5aKKP+XT7X+4EJ1caj8/2LkNBpsLKIByRchE4 +2UZZZwoZl+FpJfq3Fj6oj8SuX3gnFYf89GgSs7DGjK4Pk1WYSWyuueTstct3Uo37 +492pLSRPsvUOxH3bgR4Ll5/GJzO5SZ1HyEfVXAJX4A3ubOWxh0FdVUwFML3EbnGE +DDA4Yewtxx8eNAyKmV5snPk+yCTsmj8OiQ2Dsnqb8RShUHxi9sCs0prmeXfBe1He +uBomUnalKdo6WdlUe+ncnIs/W37DBFaAE3JelJy373GQ356Y+0S4nHVCpomdf1pA +Rc2Gg7T2jGXKovlY4kvG/CuUh+azGsxOTaeJPgl98nYeZXfK8ilieDle7KMaoqwZ +0Cybi8GDc1fA+citeWAw5KBWWmbf6hhf7VbwdRNH8m8N3CnRWX0KDyFQUdd65Zvt +nXHY/FS5o2CGv/PeH9MBk5sfeJ5DN7FLmLalXvhIipUw5+VvXI3f6cvFmsZ/o1Sf +TNUhYKIr/JwWwVjzdvCiZHG8MzGBjcQ3yxgkaNDZ5GEUBly/2qZAc+Hi4uVVkp75 +upimletEZCMnbzt+K/XPlee7L93fTlu7h7gCMSTA0C29LV4lWmYNl8yfY8DKU5jP +wwIXyDtftNdYlIJxQNojZC6b4uj+mW9Hv0lfbkCY8BkQi4UFgzpILl95HLY36EYg +XDnreU78zuEXg/Vjl3S7g16n6O5VPVzS7mrjQ2wS013/9tOHVSIQoi5+5k3ZmPdM +C6XfiQtku6SMDJ0wnNe36rnp44DwyhpYCYlUBWTLbR8iC5ugs3BLM0/g9pYTvPie +OUxrMZkfLWr95vIej+8J7l8orE6mMCSCGN+LKgSFi+WyaVMCMs8X5oE2odpIim9R +hBjydZxNkk3z4N5kAnNpieqIXV5GrM50y/e9aENYEvoPotQ0xKlLOUoJjOF97WFQ +TE2niBtvuCyp219E5QA9vCX5Qmh4A+kWloz6goVNwukaCzB800/8gAPAXJ/8lQNI +gxR/EwTOt5Y8R0MJ5XTYR/cDtTEVhuQygZi4bW6MwVVu7p48gNVPCQWSVWRcI9Un +arriX/ZOuUHzCwRPHgxjhUta4jIrGmp1+aqnYHmy4QIfp+XOC1Y0k9ui8Zxfqtyc +507ad5tjDu2xPYvhd4oeasVMDPwL/K8yvhC53iuT/rcn8e/AdU58o5IqkKZZ6tqi +9DH0Q9lOZWyZCDZvrO/lyDcbkuYS3HJPg7qWhpXZEI0e+IWxvQnaD9DT5yqLXQau +GCuTe5asSywrg2st/NTGWTp4JtrZ4S5KAb3UXkSzQ2NFzQqUNl4GQRV7HYmDJjnq +xdH6U5aA9wkvc3jQd/zMc6OyrDkE1IvB4a7tnVm5TUvtv/39w4wcPTtb+XtY9bxh +ZardPiqe6Ef+zT7YafxwEuNcmyTnXp8JKWIhg9rowS6pSZDmEh15rpeFaFfNdf3V +66cEFI3zazvmCfuMa1AOOIvXyVyYlgcfOTPl/zlwRP0MS8lCE2xHV3xGbLbIso/o +l6wQJlMmpPdlGjhS7ywnrDNiv3iOS2esjkXaYBP6EeTO4rSPGqBZHdme7Wree6sn +IMH/AcYMJ4GAM2ytpU+MjTja7MCqagW+tPRB/wS15Xqof1GXO/bHKFZslIC5QtLI +dY/3ZlQ385GwylBIEfsD962srn/WJ2F9gpldUM6bTFdtj/N4bxMOTymJHdbstEmU +FjDxw8k6bFxxFTF/vz+A+8Wpd2djsWDzQYxLz0Y/KcvAMA3nedNcuUwfCxzxrccK +wJ4xp0qUx24OoqL0ZPUjNZkmY7mIQ6YFDzy2LDYGSnarcKmyi+c6vbZbLfLkpYBF +8joSOYYavFGDQF3eWIZejf4wT75b0eb3Ibo50oNt2+y3kq9bDMf2mvDDNUw3K4u1 +cu5aejj1NT7pVIHdHKTNz09nBr0gnE526skkUVttBxg27uYnGiLyTSktc6ekP+wp +6pFJBta2n6AgzbAxEyTQfom5J3ycI+Op/P6A4nncg+XQCDKt01GbRq1veiAXVY9W +4xoKiHR2qKtsABYq25qTSdqLnurjaNV4XIF03ZdSFBqWDjL1xRHWXJ4NhBNQ04SG +O6giYfJp5NahTqop6QJ33NlLWnse5VNB2Nx6SzywBe0aY3lMPKNH+Tvd+TMz5Xwp +Xx6z1v0rF55LRLw/FOlto9A38Lk+EO2e1lEznAFwJjqDUf6uUJSOKTGmuQYjsP2a +nxLMbk/52EfQhvjA4hNZqJ07+MZ5sB33Xf2DhZKm5wmBEyepvLSa77Ik4a2F50UF +p4FbaOxFUfqm7Gxg+Lfk6LyLhSsNPmQoUG3ie6klXGiQFHO4BYCXFi8SQ8ylGXYQ +VtTrwuAOdB19xOOCuS5H0X1pUSol61iRr2TuWh0H582KbnzKktnklb/12wqtd03Z +7lPUw63oN9cqMl/vC6hmz5mGQDDdwnw8uHPc93GDg4LhbiY0Ivcak5/wNugQtvcW +5fxbdLsgPzDS+Tm86BXEZVYrVLsH9DNgQtm3Clzy9ioWGEE7OzVsnKbDRIPJh/v0 +jQKtsmGKvR4yCj6TUbj/WJQwkoP993WQUw8RPvo/Itj3uVBeHURnCE9qBsWxYgHM +P+TzL3nxGNk0MWbK4Ft9laPc6mRCdewGB2QQqfkcIPuVBqgzhFuzqMpCSRyJAtgv +K/m5WKR3YlWk7BrW9t95R9IpfjZNorcgYZVBas9BQxykXnOYnA4GA+hcR86laPBq +x4hxTyLpEwT+l7rK5UH+jd5IzZhnda5BfyYdLzFo9xtVM7M/yskYcf/TSJF4B6p2 +kyawYnAZEPtV0K4SItahzmiDoblBserSIOJ+fIX2/9RQlgXGrROiMi2Uaw9eqLn7 +RwG2LLeKPReExJx/1jKxXJ9sFVot+Qz8//sjZYDAQNoLRVIUTG3xuWJLlHxNt9Lw +4sboMhIQ6L9mbGUSDMqEjIsunguxZ2HH8Y2JOWTUTeAGmZBMb7m/uC9mq2zyeu6t +okYIJClzaDZErAbYQJGpQ9aAw4UpVhfMPCdv6oCpxqhMrOqpe0LHo4aoMSMTjljF +LeGrAoM1+Meo8u/nr1+Mp3vSPU688wkSgxtgHPM2hVH/v2yh/vGdS7qkU/az265J +Ifdd16+QD7KLeQ8OzVJSNAqdtzAW514BBqPOLPM0r8fawMhTbWT/DyjTsBF5tuSO +RKjsIdOyYjP8eScJNfDufGXAFsbJxOxHXsJBCCtINs1CuygWQIZToHArPc7dqgcF +WOmK5WgawPoEO/JRwt1jUxewI+6Eg7+tRAvDpNtHx1aUx9VhRhfQhfdkJ44om5zL +8xJHl09Tnm5cW9zG/TIHXbhtp4gvEHa4TSQdzksKwCBXlJ36PLj8aadvJbhEF+ET +V4grGlNfLAN343dyXHrWFnKKYygsKUV8WN7QWUQ8vpzJqMfFQNtNH2glwAgnrdyw +7n9C0kBpqzbI/+xqKJmIMeIEr3Q/BOGjEZ1God0UDd52UQQKYsTZiWYJn9Yn+llu +Hbtu7lRAyczLAiJoNodANrwED6Ve/eSXf8xmFwzhSKHzzTI+N4oqD0smqOdSeVjr +ehFq6IkpU5qyjBek92tcOWZVoqOA14bFvOMgsJSdkRU7UEhpbuGZGmDL9p1tyT24 +S4Wt3YgHsas3uFTJif3kfIU6KI2AAuWby8+vpLjQ2EYTvvVlrmcv9f9idryOC8K6 +nSbTRemk4E0V+eTaBCmJJh5lL7UYnQHD91MuymqXGA6doXKkz0d1fx6l9BesaJeI +XIsLObjlL0Vp38gXf0/uAbnc4A8EWPpNZryXlG/U3BOyqBZOhL5EnQoa+NeAAKnu +XnDE9NcpP9wcAUhJSvGB98aOKISBfIQV0WcDnOz6ZPg37YWpyBBAZV3h8KmCtNpk +gaRBS7pq1rMXaPAzjz6RFK0rQeTCv++nbtodK2426qqDJOJ8MdJG9HjSljPOKPYN +7i1RXaLsZmBXYd81OzOK7TB0UJDh/3goZLL6aBLXuvBO/Lc6u8kPVi/qQsfQy8Sh +5ZR5wwV6Hj9NBdcxyRfIW4U4Mu4gOlAMly7h5owvOhPx+Hi5GDdg/3gSZ15Iqc7W +HKZxNEfnGkw9QymIxD1UdvoG+J31xKPCvO+uej2hTvLhyg5sJKEYZw3feHQxKwxC +EsLzEdqCZnpIeR3HiHyP4UsvHVGKqnG7IjDJ4i6J5Avaq4H6+LxK3RZs1TErhV0J +52TFYjUajOjdQLLpbtVlOCQztptvq06wOu3WYqgoLuoD7snvgDgguMfPHPLZ3mcN +RcQEcUspL8tdjwwqMpm4maTuc/aIDMb6v5AUSQT0qCSZpZtuqN4/LMYhwKFXql6x +VvKVrDj9t8MbEED1cItF4QKZBr1FhyBsd8L1Mh27k056yK0tf2HXERd41XqRhv1U +ktvwAKlb8ARz23LP8wsJLOJR3ZQaUiowM8o65ZGo5j8PhGjo+m9bdX/WG0xZGnlC +HK7L0v9ludTMoNCSGgg4JpbxY7Ypt7Rq3gL5+krUMI55c/4VuAZjP4wkRqFm9P1x +9MFMWvb/t9s4N9D4z/UnVhEM1TQ42nFCJHma69LeJ7CFeEvg94KsLAqegMwKrWnr +sjx6zrnxyX/VqaXHqCTgdFVFv7L0+N+VhzpCIjOjwj4C0+eJSWo76I3xf2sggiDN +exHR1Xd5DbAGXoKTADARNqMSIQlBNdkM5wLnHfQrvX12zqB0NS7UH/WcZcu5Oc4S +CxAq4nLGcZYzrvUVQjOupnVYEpWdM1fbQUb+KfU8JN7VK77wFYvBBPiiP0NDbsP6 +DAsThtRg9KLdspMqrSiyEpJExUF40E/MdE2lcOrZt0+/tBLZB15V3wDZ5fjcMF86 +N8Z+MJNjybiV3XhdzL+1YGChnmojI3kb4Eak7HHS1uHDLRql9s1uk3bbz1hb/mo0 +tuH3KjIFmoe+W6xUQxPFgP7KYKKHKonCC9ixg+AMl8ZGlemGoDcjMPKa6ylZExIM +puxej4v+mhY/3N5KZwXERnc9dSnb0wC89tfE+e84LjbCbcVCVVlkgayYulv/0Q7m +SgOaP2dyOAd3Bai32oGrlk18anqepiiOrh2+59D2Gzq6k3JV8BsEDKp0eFE7pGfy +R+fTSPLaBxqFVRMgTM4AdL2z3vswwY4T5ur2fda5UZnD4/rE0EYWt+SlJQDSMhGI +ZTVdyvq1OIQdqW0lCHzNNWHM4v8HFypvHG/bsFOkx+rr6sJnMA8fEXPojadDluow +1UqsFlW7cUsVBdeyPs5+TS5WG3FgEHG8jeilorPKSYiXE6qd3XS58/3UeSmq9C0q +sbRgmeio4jgKp5tMzCjWvYaA+cOgJZROs1rnnMWgtv81NaHAU526mSSzadJHv08K +aRu+EP/1l8CMlHuqVdsa1Mm9Q9qJj6n+xmm4HAuU4u+j6dOkkynGdw4dINkw4GSw +2CJ2/QZlb/NbIW0ZIU0eHuf+KnWRN8svUat5veEUV+gclT6bUtkYxJLBhkOESojR +NDYN+f9bXhH+SehYjtHWuDOAjwT6jm50IVxmpObx46762EqQAPIAd7dDysn/vjyv +d1mlg2BwhqNf5ZGP6WXEjYEuNSvf7712T9zpBJL35dXsR7eybI8NlW1QTG99IWp3 +osZ2oUmWvrZvKy+aqsLE9ukpDkScdM56DWHhKhkPM4cmq0d/PZgZhua28mNQbZnS +ZyTgQVdhy6CCUawoVugVEoHDVJGZjWckATKt+bKqrmCR9t00LytFcFYUxyh5X3FO +DEgAaAfCumUIoFJa/il3MhrsV1o/m1CZERtMlXhjBJ3r5oG+CM4V7TzrYui6Kceu +dHNXwXxsb0c3WcFPTa71dyAzY8GubBmU87e3lwrYXxaZc0DLyJz3n53QaacYaeKl +VS1Wm3bUWhrfJVrgsskwm6Gty0SdTJ3bmIsf7ZTZar2B5DR0ikWwfgil8HEn7no4 +/XOflZ/D6cvAFGrAJ6U4E2m/LhsUloC3tmxxKeIipL+XijBGrldZu/oBWQZVTCjh +fZ2XLEipyzfd+9OwQpE+vbizrpNFkNaoI3qxsXbpvYuKzt7jOe8EaodGJ9KcQu4l +VK5ybVj4Q4EJ93VFBhuSC86AwPTLpdC4uURcRYOqnqVg9f4P2dV5MHUmJV2mYhMv +vLXbXnJk5nYiamvTErJA4osPJSumWcktqP8yhypEGN1h3CzcPZRe/kDlK3eufAXy +nQAnaSvZiL5jpGpQUw4+ZdsAuGjxA0BLO3hopw/5xNa52TxAUZWElxOZCqPtMSpx +2muYeeTEwIFMQwJPqVCa91vKBgMoVeN/TmrHiGaGUFEPpbJNu7uIxEn/ZPIL4FmH +Z0sDWjKIsjW1HKONZSqVArSyaNW0ajsl9LNuLrmsJXUY/9XVsb8oIW1AGx1Wgtw1 +408nxuZnyQuRgSNxs4gqipSwN6Dk4mFyPrT1fFp6iQNgRGxPvQsg042sbQRLdQLW +0wUW293sw2lEtM5iF23dbuTyRwMsuVfcoRFLenrAPhu/u6JeNyOvefYp0CAhVY+Q +Hp420vuMEAPmVloDh6m0/9Wmtp1Sa2PsM9KfZxdu3oySgVXELuEDHvUDP2HIxdpt +EJZXK6EkKtul7J/MzW6yiGRUWw6PE1OjhNJDa/dUj02ZKQU7h32ilRZG6eUyKi8s +cuNwnUQOSVROFdHbpVyNpuSbz18tkzfDHHiz9+Z/jneycW8pEiwRtqx/GyFvPLSZ +Cry6GfllY9XcBAgll6QIILPP2gE/R9BYe/CyJH7SpdE9q1nTHKHrEEohaNEfOc/I ++wp2L06WDqtt5Nj7HdIiQ4pyYMWtLC9qmLuEDirrTZzaM23oi3fOIHaZAo6HBahi +WciBuFwpGE/UEwQNzF0oLEqMluTzVtLtJJcoWyG0UpsORKUYBVCjFFHcWiyzVwV9 +hBnRchHbdu1p6GbqEvyCRzJwZKacTDAPUvKb8tAFWeKZaqCYgKvYDKr8/4pZjyJ/ +itCbyhmtQjMkJX5ADnUUql3VMP+vEtXhIVf3HTL0FjH4ThvAmXwc9Dr6IAGkdb1Y +A6lRr3q5Yp0/HkBvHf4WQcmTHPY4zJ+uYpEmczGAqtP7xEHc0aqax9bUcePPvxNO +MEFkngZ4ptjEq0sUdMZREfUsFxJfbkv4BVZMmiD5TlpF9IG8GqafK1n9V+ojwGlG +PN/q2hB61f8pMo/XKSvrKie3b8YsWL5R2VRZisPFlRF7vBKZEN9Rq5zupbF7cHXO +hGykHPfpwCILMqMUZgPDT4OAogNBqLy226UFFZ1VsgGeVGV7A/nqjtSsqI+gMxuF +rs9eCquYmaWReUJVFWP9n9Uw9jq/ytXXMMIeiwNNrkni+Ama3lYV7b+MQ454zjuv +SdPoJ8fvpk86lMrBfVPre49PyR6J20XhpAkN+QP3Um9ECHB/7Kd12okjr+RwtKN4 +jqcXG8IVjeyhgCWnevVlvu2WmhqzzVviLVLfp1XBlEf+ObicmGM30CL3KYqbo/08 +nm5M7DwY4e7mNugWh1GieX3fKiPCM8fRl2Jlqas4WV0yD1n026+Inp8PYMqMqUK8 +9CHhKsJQpiqtwRf19m6stOU4KRkfkZE7ObKUBgsW6giNUnqKNclYUUGzZ1wG/Jmo +QjhxTPM57jQjvu8eO5ciEJpwV63Bsax2Jv6zJ8pC2NVpC1r1DSgO6dImZtFaIJ4+ ++hrIgoI03uVQTyTZq25a3bHowdWij2MwIEZUmsgLJ1wlEpCLR17v9jGirUKV6siI +qa/MyGucHlt5BOer0ghEh0HJHZxxlF1HZJep3IFQ2lSS2JH2F0mV75ekLfPpNk+D +iMgyOfFl+ogPNN7tIAWBhSZhDwlBCA9GNE2nBFGXjsOiWkZBm+cGX7jWzFJGvK9Q +BZuDZxZU5fgbr6d+B0s+XM5B4n1xQ95r1v9Nq/YozJiyIS2/Lsh0vhC06/NLylcK +t+L8sOw7Z6gyEsj1xGhFsgOwbLGlQGZNfg/a/u/W4+MRYf2G+EoG2Ihsm0QrtQW3 +5FrT7RB2bHs8wN9vZ4aST5swyt7eA8cgjmFewRz5p9EUef/nZOgN9gOSSUYan7rv +XQFs58Ib+WHdotNig8kF771N77rIu9FeSVLyziOfBg5fzRG2uKPtzqxnlU0EZMyT +zEu4wKoDMrxXGGmMFXgMxjMUSp6925aH0e0M/dLK/ywABhQhL9jzlwmrk1u+eNfX +RNrILdrF+tl+2TTGl4eyN0m9u+uJgCCNYEaQPe8BrkDBTjV1zsTpMTsb7pDje4Su +4eeKvK6CajF7FDYi+zTurnk9z0JuNtZMR5J8+C5XdPcMMgYM5BWsvKTtV6Fw6Qkx +Az75nE5jXZQf8OVwbX/WPeNf/SKwv5ot4uzOfRymcAc9vTkrxSQ/T9e5me4vQyea +yXsn9gi0dhwNow4XAvD36uQ6dIuZrvQZdBkK4UIEo6pe6W8WvP338bC9eCtTk22C +EFd3x3nbuQlZmhGrsm9XxQjShJvyEwXwtDkVhwWxLSLCVsukRXPkQ6Ifun+rYb90 +hXoMZFD8cBKBJSqAuSwNSnyOUV8gvqAzge4wuKTrQiDk3zjzxo3FTj0wEtWEuWJY +BulkqvB2AoARGR/OcKJul0FXxWrB+I7VQrLqE4xO05PVQ1luTkj82H/h29DrROCT +O3VcoMOMJl3lp01CEqRnt3N1AGfL2t3H4iVhqUcaFVXlIDvhnaO61FGQiAJijd/X +MuTefH7PXBMlcBvp06F0acIFBjXrrYTQwzdm3Ti3SkbYIOczaavyRlSpsjkgkXWF +dFuchLxrqKNrSHthoU+AMQTwdthEXCtsjn22r7GaY83aeOczLnyLc8s5pTuFT3gf +gOFXAO2T0EMbnx7bjf7AaH2IgXX4dG97Q2Oi6I6ZO3k1U22hPbGKUMF7dDx/3klc +R9N5tPecqbhNG1ra5SKIyDjYM2bJmhxPglzrYEH4Oed3xscOjim6wYXejUwnyZBq +64Rh+NMqphCuXRwByUG73uXlvjE1WsfJWeGf4O5ehanRgBsNkZxhNo+auteWLsQ1 +JOn4Btv8ry92Ey38ACQzPBaPSxfH7gd/Ke8/lD0BR0fxRQgp1YZLpbz3/4cQK+or +C8utKhsw2vyvo8kziQn8IZS4R6v6LfQ/1bCIR8G9rhmD+iRi2QlEpZvKCNND4g8o +DgAdc0sSLz/C8Tqy/+dftk4Q+1e9Qkdj0obdXXYCeh/jcar4nkjm4aTIjiEufgdU +N/YTg5G9uA8kEHnAQo6SE3VMVj3kZWF7t0IV9H+RFEAxFuXjKkB0vahqRuej2yyp +8yVXeFaQo14F8Fw5HvO7c5eHggRWc5q3hM+nAHSw1S6Kb8JAydGWYajEFWJN2vkI +6oW4A2iNY5ph74ZEekusV0ENiVrqzqTtkzUPYzqcU1uWL7p4cGc6z8azEbMm9wzW +rFecQrKclRZRchHz87YXcXpAIWeSlWA1kBL0nxlUojoTkGOgTZX4fNHkPOZ+ffxt +KDSsgq1LbtDq7z/ZUgqFqbAl+7wmuqHEtGXcx+yFqO6S3mYDWZ+dGpf/i9/sOrob +PZ91X3+rc00SBIg73aKDg7cWUeZ20jBgOdOGpwcb6HoF11xhbU/wGPEb0OBtCu0V +qLLWks2m/iarymhtTXTO0ITS8sdLI0EQJEtjGeOQi41H3cxaYfhPXLkz95HPKafD +ewMO5HaJtPfURJyva1I1gKi9lad0KF+DKQjBJKhcaxhOkXBREpE8LMVy89V/CTZz +piY30P/9WRMijFML6xnhLBr3CawmXOBupMjlz6jOqdKHsX4BfuKO52YKKc9BO7Fe +jrsnksj2nNVnHyZ3Zj4F9engAfeFgJYICiZYtL39EuzPfxu86IwXoFew9z9m3C3j +2BgixUcVE/vj/QPq71aMN1ybvlCe+vyuFwqEf0Ny5JsqAsJK9l5ShLp36hkRe1fO +RgPpzLr9M/ho9FD5Mj6T+8vCULT6IJ9PTiQp+3HjgcBydko2sKGB6vKxKss0zwYe +FndSLXld2jKZ2IbRaVrgEcSBfnAk383Ze7kdMcETYCQ8wT+zTcvE0D9NPfIfM6B7 +gnAP8A9zeruRgOVoY1kOUUB+kYBhRTtJXvcQbMZDcKKNtNqDHMD5Asmk6AffiC2T +sOEbx4tnAAC/oVkLg5ke0JRitTv4v2I7uOwj1GMdpdfOux+eHpHhteMFiRQDIQS2 +znPG2MJL+UWumSKB2igmYD8cmGvpt+40E2OZUrx7ggtmk/TQX2Da60i9+13CCcYS +I9q67/gOqesxN27ubG4lLanN/CaDZB5BucQbFF/QJjOiI1xlmA7/o5I16e1vnzKg +dSSGay8czorMz9are5eE1kCelcZyqXEeN8Qt905WQXxP0sXuGFa8AYAt7+HllIK+ +b0nvdIl/ZP8ZUGzu9H1hTfgv1XLQw32Cuz5D0YpzImESzruxtW+ABQcvviOhPG4s +yI7k9BbATLgnXP6dQMTz4e+K07+wqC30HnAktiuKLBEHK/7nBn2lOVxuyuBzapdt +IXDUUeFCrYVt8kUHrsZ3NqMx+y5zMayblFbFdp3SUXoXn505ij1jjVe9ZMFOEvDX +V+p6Mm501zuLvgj5hGI2uft40QcbMr8bDgnNThNQuZ1IAXzMt5ah+ieg4nbEZEiU +woWM3YkPgD2BWEjWzo8p/uiABpT9XHFERzp9ntSnfs29P/Wf/qM0SR8Bq+VgmCqE +OwjDlCQ68RLQB3D00XAea+50AWPDvsMt6r27bNW3VzHqXofRDrbgiSLiNfSFarce +OqKHj4TvHUf7BWPXYQRejpmhtfrqW9o5LMo044bnJOihjpwERaVD9xIPD/naJFxk +bRW2mPglWMZv07tJP/2HkJHhuiRgV/L86RCagaWW5w59KnWAfFT1H7kTD1JM0TZ4 +rTPEakFvUxUTGRcArMwHRsW/Fbc+aTj4FtAuvVqy2JOB4thWwAYgZhRktuG9BK46 +T8iYCneJab+U2UXtGLCKlIHS5L45McVVC2cviKB4y4fy5Dv8dXDOEFYiJEWx8459 +ANGHz8iHWjbD4F7cQ2lTfX9SMcB8QQ88/2cxP/SecGRKXUG7vYkta3Z3kwQyzPKy +VKnF4XEgc3Wfk7bAXIbfe7rHr23F/6KtIaso7RA9rcAfVi6grDEabzajmO8i6URW +xUeXjD10hx5phiNhgsnTheB8XSlyltgzkbQHdTrT4Mi1xotRON1ohSa516dqkl8I +L308jr+Xyo4/gzeCghOR63W4GSHNCVer3RkbPqad42zpdnZKZ3ASSZWCy5qEycLN +VVb2p+KwvGcXiz2+mfzMweag01pmRzbwcH6sJFVztyuWF2w1NvsS7aZ1Eq3tyMLb +Fo5BwdkzOy/NxPw0WKg4sutLkMA5CDhJ9/2XPnx/NHwI9NeXegNHucPFt00CFNSH +jWSrz9JNwoe2Vu6qrxOqZs7genygJHZSJ35MhjXmiuEc14cvBx/x5W2DjF7GDhaD +oZw/eNGyoalwP5O6D0dG5tqdTgBGtnT0UP6ubo/j0royCQTXmBnUaPhYamLoDC6y +rQkwdtF+bc8VdD8BT1f+BSu11Xlt6by9/Xg6bQuP8Fr9wAx5SMbMXdsplUG59IRJ +5gZmQlD+1XxOZjgn9CIRYJrt8S98He6+xoldoBi3jnlSBauNgb8GOlBXezkyka24 +LDLeNALw3HUX2sjMnWyGpE1ohMo6I6miIqC+m/ZgpID6JiG7KQyBte165hwqUDp1 +41yHJ+DDzRcKINGoLTjvT46cQkcDTUmgK4p/vJaTy3C4fym1B2MZHEfwepn9srbH +sKM/bdfWjfQMy/toe90bymzuYBCMuYL36hwDb2eFsu5Qkzs8LlpPvcZhdElyc0f3 +dripIKV8HmTk/U2ZrdGshQbF5sfhs/X7ZuGt5j7RhGH9qFmNpR+3KL3M8+MlMZ6V +VRhdxMgmVqbvmdrmxY33L1mjZk5M3sKCFuNgzt8ddC0eCfNnnrGYthM3TfnZfIwc +fS15Ez9c1A7MpRuWo1k1XER71cxQvs0klCl1YurjntuG+BtXRhK2M4My7Rr0uM60 +p26cfMYMz092iy1OGTK4YHxWZZ3RMsnPUCHoIdq3P4NVKEJ8cTe1Mu5VDrh95DOZ +yrqL3ttp8dpqoun3reZ9Ke6UjIQaK/Xd8Zbxemi24QBdRLoU61rWst1T9JNv5QL6 +kEGaDEV7c6bD2JFs6bOLRu94C7F521Up9MckOjuANH/f2zeaqAgSoeb6Irct4MgX +1G0/F3BzJ1aaHUE+uF3HT5BI7yCYmXdqxyC+IW+H5oo6eQ6ICRY8ZxlSK2sKMAgK +OoPotJ9E/YizpJO57xNuLxHkRvx7EvX/RWJaQza8pws9/tznuzXAAJ8IKsD5n/Pd +WYVY0ZN8vuB6ptnwTuMbT3oOHMdB9UP+GzTk/BXUUa94ABHgcfeEBrCshDLSWAvv +k2+UimsksUlxvETQhxY50okI/DMFN1i43/14rxgRXGHNilxwv/fMfYyfR9BqqUfY +SCZt+BFwrPk0R5ERdndg5vCIBbcRNpmzvH5q+fQhI5xN78sNghkE7y0w/+Bbi1/V +AadPPcAirBCwsA6FHRQCdYDrmQy9LB4Zyk2pn6/pP26NRbYZLaxzoIvsEaO9hrO2 +/BphgTHgquByX9VXo0L34n6vw7cOMgvfiY4fiDYCzB0hPDjD0BBEExF7PMdaDgWI +WRkq1XaTMrz8E6gV5DN8RNaxGfbj0JXOfTdYtjENWLIjcKRSTZap9Mm7zw/kuh2d +OnChBqaTX+DsUxoBb1YFuEg3cdpE2FU5jfPKXlMxdgH6xg4RZm/Jc5H8UDPycBxb +eVIuVP0bBfBxYhZbYTQbvu2yDgbNMUOZO2Tztc3SCRxRRLHuhFI/y9lm7qNfIpNW +Vz6EKRK4UvD2LjXaOt0r9hAs+FlHqWnCulqNvi20oE0Da4evDUZBEjcusNUD9vKd +q0QuQi/e6+JxX4EEfPpReG8F8pkuRk687hjsP3halkkXRCCFV7z2k04RanfVBpVS +ZyCofP3S5rMaU4NbtIc4StI1osB/5PSMMwTbBKcjs3cOLFFEAtCu3PcJ5QBLlmLV +JX869TWeaAGg1fp4zsx8h062xfN+09RmCvvQ4yoFUPs3KAOLZq+2Hp8W2ugiP2Xc +kWI7yLH2nmsRf+8bO5oQVKwvio82dBxP6N2uZFzCWd66H30RgcPQOM8PMKaSZ3B/ +PkAG8md1heHErkascRN4bdkEQqv+g849AAPP3I07mR4AwA/av5CMYda87urEQwvp +XAh9vSJeyLCBg2QzifDkMw9NBsQGNsUw6Pj+ROhV/MHpAtL24KlZOktgcUqdE9Hm +2FShf23wp5RJsNAWvqzLs8BrpFXQoJ4dP5ydVjvuuyRqMuIe3qiuMt1VxbCN90AS +oH3uiOZMovQTDry/4sP3uNpbhwg5QJeCQhsL6zQ92eqo+Bl/cxkZGM3TG2p6QGxJ +6EJ0eDlSQYpPqXUkylBGDly1Vqv7dQCZLtKVZdAZTBkRQj3OCom/My8gCNJtQx0k +otFMGeBoHYzsCrM3g9GNMEPE1M4XSDJJIH7N9QwcIUqGZ/svnkYjYgE0TbEbwtzi +37kdB9zijax5aR9ZY3h/7WrLB3uGwYGw3I0Ccloj8HdNaDBMot/7VfmtZo5P5T5q +kq6ndvB20qvEFXHCC1oEYTHAQkmJwZym5QJtT0a3WdqhjQN/dPRKerOjPZmOH3Ts +1A8sk6uaPyjet8OvK3913OdRqIMwFOsVpaAEmItCVcMDvJyzmbVVAoOHiaBwtFoU +3jw/iqCbb4vCUFTe7DnV47JWNpAl/+PGT+qrOzyhix1+3ek2gk5RXyL39VoUbtx+ +Iwj0jmdHkJdgA8sB17fUpBh6pdAXXpAS9VCjfGJWr3DNF1gMKvQz9jQ9G3tX7qO1 +DRKQVs3zg3D4rlUbW/ru/dYC8Yw50AWhQrcYVtrcr315AghzcLiy2vlW8U394bCy +PTqNMongGSufHB6mf/cqW3GJBjQ6D8bZnG7dpZaNxTiedLjvaW2GDjFOf1+MSJVi +OdgXRCwwXe8tMlAZ7C0+2ZBKml++9aQpjarrwh/BSrpgqFTzVJ9hFsJlEQ3z/nDG +Gp/dne+VkJPHrbIbapN5YbNy5qmO6VgB2w63LTumM9E0y/dJZTUzW248gbowRpm+ +MexvQS7ryRSnYhY0PHizCpKwVtNkwqLv9GCHOqD8EUsUGHDuP20IrV+T1TjiFf0z +FtG/djqL3D5cJwVdzZttIHP9UirRD5YKKAHZqscMQ9HEfvVyfbwK0wgB0PwPfijY +wdoVS2OP2kGCW6lKhMmhE8uABAEamF9s6TvYW5hejlGAAZuiNi0rkk0y6Wy7v8fP +CH70DeC04q8vxLgzRsR/vPswelM5hf8ZaXKRkIjo23gGOotNcsopUVlHfajEtiOM +1koXTMRuu9uSgFqKQu497YzrrKkxctz8KbBNoUUyEN+GEqZkHAgEFLjucynUmrv9 +E+ESlp3ez9wPzhE+4aI+B7CVdHQdyTvsjFVulctY5t/XRN9Dy1d+27ucmwMI4mRF +uQWkkiJG5IAbFphuLX40QG978iH/B3+f4OtaL750n/NImlpEgr0qDhHP7Z072+fd +TgZyCaA8dLpLBTvYJAijACrogv4Py04/k81e/1Q9peItJKi3j4vqnBw31hNuedhf +mNn3a+TRdR5W9eHQB3Pk9qBO5sYeIQU+Q8DPSDYH3dgvo3O7AcrQbxQQSi4LncTk +8vrAltj44CaRPAME8XnFclYaj0/voNf1Xl06xo1m9AZEw3CCklDLWXtl8lCxj5h1 +ZemwEhhNxiajNxbznR5DZaqwgfXY5QZtj7Bx587PQlmzrp3vs8ZgbE0iToKMQytZ +A3OFaBeLfiw2R6WrmQFChYG111PCPaFhuIqFVrU5JYoDEP1eeuIptyqQZYZHWFxF +EAZ71yp+g98WPUDtIa+VQOOl37VDEkpjg15azgvlVqYBuZ9PWZE0yFiNWMTAfgyt +309dz0+Y7c3+d6HIdvTCvBAQFE4VX2aR+J0hEYQ3//Qi1LjzzV0L+2/jno+ON7xj +Dkgeh5qTUW2q1BZ1f0h4WkS0hNzo1F4Z8u1ZPgd4imfn5ViH+J785fqGY0VVtELJ +sW+75h/cWil0DLB6Nk2SRUd0YBF9pH7YdXu+5nTE5ETtUWw4fT7cVaMLTqFxvptb +j3m9iVrBDsVPu9XdAKtLsyFTWLHXxvOtUbosYhEj6JBkcAynXqj1fuoctFlHqHeh +ZUzY022bH5S6zPRgrTzG6kLctT+4ORg7hjaBjJl+Qp1gMOvcb03oUxJ1lzmfn3s+ +mm9pU5MuktSVleucIC/r8Uf1uAOzTp8JrgspI9YBML9+KKL0Sbqye3DtdLeHHhpN +QriMZBLxsYN8k/5Reqs0yX5iaS7E8ZDOuobdE1/KIbJTXrJag8MpmQCtFc5rtDjx +rWDtsRaN5kM4J1stwgjjMy//T2M1corOEw6un0tWYY9eDoAyVCEGbXueLjEIo0zI +2TeuDLupAQqYZ/gPczylA3o/9dSMFPYx+GBaG6aGWlDdAeLa2Eq6aPDFgsxrxBMz +fPRqCp29WLLC90yNSjP0PLzHwbcKSP/rFt4MUyOJBQrHZud+REszdIBDQ8nXbyoR +Y6jegm7qO/Lv5MpFvqjCbdG9wUA82IkIwq0b7xXd4t/OyOtg2M3uCkjvXDes7udE +MF/LIPdk7QF6TVpErf/Tx3XYh2ovrYTs7lHbHqqwgiEOhgOQq577MGB94OUhhz1i +vsY5a6rSa88kayW4phvNHFLOZTQNIJsRDt2cePZ7GnIvxXo6EYO5jgIkWZjWtlf8 +svNV4tbmjkuQPRAmkcZFm5mX1xCCsUb11OvcOG2x2GHqD+57NCfCesw0Yhv+XCUy +6/ibWe6zpwO5lITr01DLTs0MhW6jOWMLU7kzWj7/6lTiA5x94UGSUBTMCgnd8Nc+ +EeGgJSV2e+Iex905bqa5HgbSUtvOmZzXXBnFpVZ0LdkR9B5XiUv22d7LF7apdCmO +o7gjyO6okCuo7z0yQnNHnXCsZvBr4fIWg6ZgZA44x5mkQBmHoG7wldVJFG0b8qXh +x9NwriX3RmqXdyIZGm6xOSaR1jFYs6LdpSRS9t5Uai1C8JDDB3osGoi5K+lUPl0P +ZxEVo4HQt0t9t6r9XlR1zIx2PXpk9TkJSjzS7fE1iJbB5rvLNJbF1H3+CrlpMyvy +OSfdexwnA7ilu+3V3zCxWl50/M/kPok+haoyAHgu402lQU3w+2by7cncY0Eg1iOU +d6NQPPhBralLMWG4kOaE35MM4LrZAREn1Lyk1vUy+YhI7ITr9Q2Mk6i53XBSTUqj +RhHrpC0i9YSUK33Jq7slmE+WlCeRnu8B3YVNLHLiVaF2p15FIUjIkheDtR0buvma +1TOB5kU5YkmM/6GWoKRvDv6OiMF1EElOpsomXSQn8kqlosPgMDdWpUUH4I2XlisT +LkkV+xySU5PQoEw/HyIhxBHwxIH/i0uvQbKEleBDCshoEp9YfPoaPgskgPHCXg9O +d4hkXrGbalQ6ZxY+hxrJL4oaCU6Z65Jhyf3TS+vmaB2aZcr6eTc/1a0JAlK+jud/ +bD1lbYUnxU6u0NFggTDzqdbVwxkpWKb1hnOw02G4HIl/LetaA8Ctp0K2Urn20Eek +WETN6ld6juGY8u0x7FCvDUS5XhqW2S46n+pzrOL8iVl3zmiidJtwGdNy7RM9FvOI +4a4bKACOzsADaKRXutRC5nwdWscQIYOiPMc2TAdnrLqnyviDAfQWGcroTj5acXeG +vVSpRYz7DW1k97INevIU+kq4rp+FI3DODp8YTi1KUxHQW8YEXQMkKnE0SDl3Ikha +DglAnw0PHr4n7m41wXqb+rqvR/K556VjlIm32RwIdbyi+IleMPKzmCIsUFKXl6Mw +kGbosZVfg1ULynJ47RCLtJEnR/DwDhFwVMqFfb1yK8V/T3u8r6n3+ZJuVsSIu6Ot +G1OimjlFA+5fSdyI8868PSZQTIzMwvSvJmcpLJHr3e9tp9JanZsaBiAZVaK0ZS4V +vkWv/N1C12oZYJbKwEmrulIpqcVayNlTUKL30ItFV0mdJH/aLRpAGVHcA09vH6WI +yvbLJaYqGAToIR7q6H5zulhSLxP1t1LTugDrJCRROZaZvZuIoq+BP4DFsTvwHf2Z +WyZXgH1RFHc+u2lamCvqmk1q6ADfwp/o6Ug3nTh8KrBVNRAcc42edQQu6CXMpFV/ +KwBH+Wk54R0mfDYxKTQ3bA8vPw7p2YlSgYDhWgF2jOkfsbcUu4vNVdUK7RlSwq/2 +efUrUP7PbwpoADzz/Y1lVMHkoyG/enPhstx6AHD0HmKq/XHqUzRFvdiv/5B04JAd +HfTdMC3Clx5uMZ/zrZ5YrDrorJa14v34uZP9Sr4qctLmYh+g/VJHyKL2vcPFKEEj +3OLDP0E2sMHCGRrURYlHll5RjeJ9GJ2/QWVxDltxOMRTiCqNyZunRB/lzagK8gjk +ulu2GFXMDifey6NluAivetkKG2eO63n2mO9WXFNTDZhHZMqkhkIDmY+PhOx+gyvH +tvQV6AoFRm5jIXmB4qk+lARV3uL5TDjacRrP9QJJmjtgy661mB3z8YghnJPD+Fqk +MSglc9bYTrMyNlSzewp6T8PUWYG5UKbMHjwA/vqejk2zsSs/s/d9jUILUGtdlYb7 +2zjwYT2/wKjAMrlA9bXIUC0CXqRmLTokmIpKWc8TnceNNVoQFWOATEso56Qjso1j +u8l9SrFQDIUREPB9qEKtGJTSIkdp1tiaPM2yGurzXI723NliYg4iHFZqHAFcp23m +B59Q0JF5KVYdMzTjQ7UHaP8obliOzvWA8Y1uaV+aVTu5bgjV8EFlco8NFB2mAkGy +/TUA3X1pMYTmyzTgEhgFJusTZ6VSFbMJC4Yd/vSYF5OxjTj/N3/tNqsYjBWlOP8h +ZhZaVs0r3T8hJwX50l4MNjUcLNDgdUm7a0YPCC7W6ItfDS8E51aP0cEOA2g/UzyC +Dga8VC9Sv2aDsbyglSMlKyLvI0Xxi7ErIAS+ctxbHL6WTTnWt2S9xvNc6rWg9DDd +55IxBjcDNR1P9s+gVRGyYOr9ETtpspinuozYFhzQMjjP1kP/62EyN4kDiOPa+/uE +Rdku4mjp2Sj3tRxUU/jBOSJgY9L3m3DFwAx1wfewQvlGHqTjdJCJzJKzwsfDed4e +rRQsIo9YeTU1pppmfWSKL11q1p/T1pq0i0CTPcq3eU8fttV7F6XMF8AjeecBRP/h +t01+OmhFqtq2aMSwwq+GKjx7ek5v0Y6xL9Z9E+AehvpqhsEIoucckraibXnnm121 +zXqtnb1J36brBGotGub0b98oTIwO70MPbGZVbzWdfjt1ZBvd0hc8qiZjLSV2LL12 +udH3XJpaDfeRbnpW991VSvieswwII6hftL88Wx3pqmju/TZs38gBImYoc3hmfsHh +7L1kcIMmTaomubITyo/Oo8dZ64DV+tJkA9m2F3YRPVWJmxXlZxeD2lh8chLs4krZ +a2C0ISVFs5wNwXF7amPlhdba+KgZSfs63ys5bf3H/xZfarE+z5Vtjrjhslqr/WI5 +NLk52Sq98D+Dmydrw8vTi0MFD+O+Ks8pFgjr1Kj8UdGIJPizlcQCq5IcLwhA3v0Q +YGcOPWcdaP2EFYd2JXkn2MwkJAkkxocudfdFt6avGc6bFgLN+N9E57wAd8FrKwaC +7NasTN6kX++xkkd92r5XO52BEAkT82jpsajV6uDptaRWVEo99iHOFL7IK2QVfx0w +v6OsCOK2gAsdwyhnEs1eSjLhypp0xlvr+jnccj4T73xcRFrkDAsHdt2A92yfNT5K +DGnJ7YiRTq+mXgNrnhXft+NFZ6LXhdQ6PnUECk1zCTN+IetK/xgEQCeXBwgmRUWL +XAVKeIpDhXLL/fYUUz1ETj4nhRWK/wy+ed0BfBshr5PB5K6XdA52p50g6Ro5XNDZ +X14m3NuJetuApnPpNiy5r2jMrJdNLd9RJhJi/Boc3xAcRdO1zdGwmPeGUrLnS2nV +Qhr8xhEKdATkCkanodYrlsbC/AYeFaDYmXfGR/aJneVAM9sw/yIaKaIKDS2fU41x +yg3NT7yOTYoSgaJuSFUQa9vMteAQK7g5XokA54Atg9xVWm5iF9mV/c+GG7MDur/m +gaaLspU7yJAAAng1vsDXD0Kb+mF7ZsZqibKOUaJZnf3gi0/ZC5HluBgGYU1n5XPC +9S7+Tq/ovB9mnU7H2pXjLQ9ZB/Ze7Cp8XOvuvx6xbFxx6i55wZ5uASGxZNStpqEh ++peeIhcCSOFDuJBkmFtf9vyrmJIPhRcEfxw0jPHqt4xYP/hPfdVrykxO2Nrtl28k +I8HF0jJlgONMyoxcmjFRL0v5ZVeZdU0MQstwSr5FZ8X5XFQysRLRtxCBG3RdBOXe +4Vf+3kwYBShlk6vcYBbfMdoNv+hJA44btLDvGH1FjjFXg7hJVmHcfIqGmZta/Nlu +FVxBIPPiB50LAyez7wrbUKkd7/EOnvQT+uec71hPIUeS9o3KK5DCIL4CSqxL3iUM +gKJoE9/HYaUeLPC2BqAmTjYvftsmN3HGu4YBQ7T2RfoYkoyNJW+xzetsuncI5/sY +t7GMILZXmUzZnBu3ZUfRQs+yh+XvE5K57wdg+alGFFP6WAbJk/feL9qwULCI+fxj +6sgz/Uvfk5hs6cWrokBeUQOUZHz6BCg898bfOb18vn0fQnzGcXx5eCKBfW5lVvD8 +FbcNo5h89bFeN1ntvH1qer6D0P1T2r6hwejPCR8bBcIxK/6PeV3ZHAUCiXHcCus3 +hXu3lyFz5QGRzYqkFXf+yXQeaMIhhUrIGI4DCz+zCFs3aTacaxvhu7UPe6JgheBc +95zG5sV7N3EqOV7MK7j/u2Vug8Ps3dBBwuki3jUH2ZYyylhQZIg+UsHmY9BPNnuF +fQpkjQ19VtcEJH3GveEhCX6hoagHNsjA59CmPwqG+en4myiDJzCSpadButBro1DY +EfaVs1ulm8BlscucZNjHIa2vVATPSXrTu6FYlqyJM3H4vtVZe05Lz1VJegFIy70x +KK8Hr9nQRM/8jhtpbKVFda8PlemY9NccPsjXmjVYjOZaiStkGQA82LI/T2qdzxHi +EByEi197IzXUXihbmt0nhnEFxQ53Wu4NwjF2kFeOSxDRy0s34Ocg5t1pRzNsjseL +gU+n2mC3ua5lf0x5vd2g0V70+drhoDsv1X1g15bf4jwWA06Lzc66/x/QosOpGK7u +0J207NqwMIJy0KfU7GOll7cQCGm6GyL2qFAKD++Ps53px5gC+AiBgxzVYmMSNjAn +t534fZMkdBStAFkgRUZlLH66T643qqv4L0zVsmXEoPQ86YmBmzWoergqozDSWu12 +6TU57h8U5mBzQqTwtUH2w7l3W3REjdQkgaso5J/ftHZCJTkP97B+QxK8Q7K64XJW +lEOOKQiYBujdkXMjXATHGTtvUGH6ca5LnlVD7WDWpf3JX+y7ZeJMGJ9nQn4c3NFq +81v2HRK3Xr1XgBHaDEmGbkg5pn1Ro5UOx+NxqHKwW4SRRmVvOUSmkmN+VpiLxZ8Q +nm6X1Px7vKtTD2WAfbQ32sD9j/zjdd7guTdrZURBqyEsJSCDcSDxCJcwIeOeZvr8 +p5sCUusi6fxexeyLjzZA2f2p73dL6m24aRUiF7+j5WZdIQTv4UqMdlXiD7UvVW7P +GwO5V2KabU2ZOpantSXo0YgynptRj8dBc4nnI3HdxI2tDFVE3+gWXtonKGXag7I6 +jFgen7A516D/thQXu6UW3FFnqxRE/mKSbAYT2KY58uIU/BYQiQ4xM8Isc0ZGxTrN +why1gfaNKTXX1OEv6GBoXH7YYG/y3K/UwwzA9GGb+qcH864Id5ntf7i17U6qD63J +sl6emp60JJV71BFrLnIXSHqgiZ4RFuBeg0SWSZHkRdICsFpP//PTyGC3BVflV9RC +QKFDwTh5KCQ2KFeA9XcNiCR7Y9Movro2Iz1tjw6z4XqO5bHnnuB9dZ1arjJgRXF7 +0zrDyoGCgP6HKI4ZsRtPTOJ1bptLnW4wEnlpA4h7SAviOarABRb1s3bbcvKdAQ7G +Jgh3HJ8w1AJ86qtWxvoO23bGZNbpeFYhM2Z3CaQGnfrE45T2i4QoVcuFQ1uf47U+ +H2WkXJVKLISDI2EoTXLTdOIqz2qF3256dkOM9RsE3aJLbABl5pXVGGDymOsf60+u +TWGU1iQizaAWnTdBLh9uDABwBZFQbe6fd9O2bCxQYR/gyTEIC2iKASlPJWjYO/lr +Ue15T5uqsVlHr3vCunW9lumaxMnxH3ipRpJL4Y9tkrls+q+qfTjf4U7CYtjfY52/ +ZzUyzExGSr6Awol1zvku/MVhV2KnMrm8wX54kjdL1ZnIcoWpskjrsjvxpy9vSl+D +OAygqJkUgSPGpuMo0oGn8SxrLK/GUmXm4GefrS14GwbMcOw5A7zdhS361cLLXEEc +LgI7on3DyzWsGdDcXnxVm6yMhujJX7f++nuwoZe5J37/y5qRhyE02uLPQbr3BH+G +rkbzhWY0XQYTGD9jqmxOrm4crL4sOt6NTW8FBsa0K576hmkEB6gko2GKrVnAPJ30 +I4b2Q7P+JCFUDLTN6QrzQVQzGmJTtrNjSKqousXb9yBt9qIoQmr7V+nMJPAgjL1z +hMcDT4kXGU1AvzKIletoci8cfSADvPgq8oB3XBJUL/iczlOEuy3htdwuYV7r4E7g +AZSS/+3zhG3ZOlP7K7I+IFF+y++lMcJoMmiUNov+LSNaeeyUeW8jEza8h3KAtRCp +QfRPvmCxkwnfp8g/oCmJVTZH0hQl36b1NwploTiyMmy/jJ1yM1J9BX01qqfaotim +AS1vFCIOVdgySjFDskZ094M7ULk8rnZbhkqpQ2JOVVtc7YKC7696U5ZqSP1d7Mkf +sV4PPBxGk143An8Wlf1XntxU9cbAf9oM7uH+G54Nlee/jP2kqYMM9t9ECHZtFA6J +sZ34RKSWjZPaPq31GBin0Ll0ezR2qBRs0yPliXDwPQRAuUUnPChVTcM2VJ4nb1e2 +Dwp2ozAmVvRN8dOyHgqeK+W7IILed0/VJ38V9QDIEjaBIaa4M5VciRFuV7oUzzYS +1Lj+T6Ed47eClA7CzdOg0USI6/LkNfztVHrJ91WaOq108zQLW/D6ar60jGAsg9AG +rzjO1dZJRK8NpCbrx8siG+h5/1NWyX32LcKfokeQ7/aGYPAwB5CLvOtK61UICB+d +GYwJnuanwsNqvX0h2vOK5hDV1AtpgcA+VQFCtymCG8FZvXMBZBq2xMJgGzI2vNA7 +D2CeNcJ3vkxxJX1Gy8ByBEyqmC1CB8hxKFerqDx5MCgaahLvTDQlruTMc10KcILX +Z0rZ73I9ys23xtCo5MyB9tvz70QwvzWe2zwcUQC78hZgR/8kar8Y9DkIevne/dzA +yNEJGKpXnC1/69GgcI6es59aIVYlDlkXjoPNful7JSJ1NdumaQQQvP9F22BBiEno +19zPAwwR1kTGyaHp0tuTylOm9zxo90Z6kMgg9Q9NQZPTXpXMjX3GgZbs5aNjbjJz +ZcztwGetwqDeFa481mMTJc+l0ETORuBDuSQLsdXOFHXJTmvVzTboQG2wfKDh2NCz +2lCX9CNVKPHX2lqeuUELBYge0zYXa6NuJl3pfSYrYyCbpwl2KaUzgrYqtFVaF/7R +M8wUpOYWZwEq0RmKtGlAlgY+uqR1dnKvY2uAuAelylj/Wh5qxuEQ9gyjyO/MX0j2 +1hFlYpedillSH5VwkySMLzLKjv2hPKVJE+SkabPDMoHZlkUKu0disQqrNlWqN8SK +5dLA9G6IOFz8PwOoI8e14dLeoL18IPUWN10BASDMLjfVgG6ONhgMaojjZ/8Vs1+A +KjUM2HgR9q5k4NLib3AJAkCCeno4rlC6a+DawY8W/87Wc98+2cE8j5wtc7AzLaof +N+xuMivKQjOJMN+duwn/9vXiuJUc0i2LL/ihbSxnflCzLaAzHNPotbemPYagrsM8 +pFU6XNraBL1JtU1sfgBFGzDGl/Bj1a/JEjZzHJChJVXDkFztWl6zK+jz4y5i4KhM +OJMw0vp2BxdR73TNOdNvgVrtaVmVcIfqBU/fte+U13MfSPU5P31yqaHIVGfTZJ1s +HtdDpLsQh8rwk/Q4TmLjPDGNuzgCU0bfvzxP/uowawG2vGxka/2J2w/ESQFV/89b ++TZtbcnhaOdl5wtuZ2+kzaB1MHYV5BYgUhbYqhSQwytf84DtmwD0xv69sJSH05DS +kJXOqF4r9JBO+iHB3l4SNII+1tGzd4vhnie8JgZW0/ENxv7dOshlV7Guf9dYGXdX +1w4/XERJwvvVqvEdjz+Mx52C9/+hmf8aOTAkUAJnHT7ea1W2xED5Pf+DyYtzPHco +FvZXU4bFQDuTDZrC7G5WMrH/Cn2/yAD4sp80rmKOs8WWag/czvemicbQI2QZGNcY +v16mB3005VmBF8XLlUpG9mCcL0zHmbNfLL1W+NAj0+xQmnzhe33gYhMiyC0Jbwoj +mGHs6l1zxt324n75aPDGEooytotF5wqA3NDT8LzKLJcsp5LRQDCBLeSrJva49aqD +HU+E61hyn0PkyIwNjcxw5m9mnT0YHf2p26uJ2k1aQuZUW0nrSSDgInYgSzOeGMTv +v8/dWQ9Dwj7E9vSypspK3lqzmUHkfxp66LomXkI59YMXjseOSA9zt7yE/cSJRVWp +681ba1scCfD9MHE/t1Q9vx1JUfPF43AMXfjMv8g7DoDIavh7Yk02Hse0bsXjWtZ4 +PKvPln5HdizihNAuOsibymaU0GP7+UYmwODAk8zUJptYPg7oo+Ne/u7FHM/Dzknw +PzjGxmwltVYdtIevbGG0Y7i4h32PrNsMSvOEP0bGoJtQdDFKZLE4XblC0x33NIS5 +D4mpxwdQlmfHgLMepg+jz5NxlNOKo1bSYaf2L83gFRZfFLP5twH9cUI8XEFq77OF +hXaKEjmDaAbImnfgWMR8ZkDhNGTT7eXjlXFtDV1EZMJj5k3SV5Fz9/NM6/j9Q8+Y +6iEcLpi14tg2EB/mzH+hw8AZSHpyUfuTOinEfQK0ZDbSKiz8l3iOfjTYMIWwu281 +m3KBRtE+vQ4i8EWN6zthv4oGuQYduhS3F+hxOLTHoJbNr8wilffmMHVQcBu7Y4Em +rdYMAXrEIPsaigweEiQycU1zfEN4kNXJq4Y9QcwOwWolo/lDwsM6XiVExrk/y1tH +97vNDi5lRmGto+r1o3ezODXb1cJxQxfVRYjjTl2UHF96eMg2tXnW+yI1GAopK8hV +AZIQwMLAad9sok85CoZmdceDqFLJUVYdvXqsQn35DVow5DMVNgGJQY0HZd++hJF9 +7esXMF3/bMQLE86omA/vKQVVKhcXnQzSd0M54FnjqENNhvBrJSLKkypBxxvb0No7 +LNQvSHNHA+6SwcixOYRzZUEJmJD90LhN6y8S9C6iNyvwrEoIUZOeMxQjdgMSwPze +W9ic8eTGNdcb54/FoaKDa6W7lxaGg4ixtO81PI+OLR9CF4egGtWGWst6/oau35BS +H9Iv6DXSFER8x5ooc87/+LmyGK6NACAc86d6xK7br/QSjCp4J+Dp/V1TqKZr83SY +hGRPvW0Y6Zyoa5mkcVJJzjN/ynuBdWfkRLcbeaGB5GvaRGnbCv5YVgnZGFzhazSt +CyYS0XRDpgbG2joax00roRS9zGAboJ2+U6IEwblOVZwSh8KcZ2j+vgjz8To7HR+H +Ptfm0dj62vto/JPjyMGhTW12ZefXYB11Dz7cH5tQvs334uO74+/GDVpmerJFCtgi +3XmrYubdkNNZpbzcOOBpTlNk3QapSZaRQC9yxl5Rmsk1NtNu+U5ynGeZfaHtvpSd ++la9MC/fuq4IsmUZNkjZncM/P1SsXxkQWZkihpxUOXYUvxVkBstWWj8WmA1BzdkG +9zEv/AK6HBEdzg9tOFefGRcHrokdrP49hJNR0wfbKXVYDXHGOW4Va1atKwD9Z4Ei +0v53DL1zLA6UpGB42pi8r70u5cTBpAt8MZAi0OGL8piGVCrK/2pNmbeEslLvIKRu +SPt0hj6x5PGvro8nDXa7os9SMw7osO74c83SrBplkDTNU7aV8XBPkI5WWe8Auh/H +bPAVdiKDKY9UhJtSVzcLMcomlJ6GDJneiEbzfBDhwVlTjbtyXb3TeHAO1RH3ik/W +EMNCQaXPuvkfi7x1z8E6LQHxiy4d/fC3SnTU0g6kNceY2k7wdOXhUIv0FXifkQbW +Bxv9vzxQ5mmyA3QGiC6aRSkNV+uTCZAhyBt2toqoxaArq4x9kX3rNGRvLCG4AQU7 +ddzWxF1PJmF4lYwOHJvx3AyH7KDr2w+nHHceGo/MLjxRRy3eJmvDkomqOPVA9AY5 +obxYXV09Ff+W37cGiyNSZfIvRC22SXyJWJEyvX1x59ZxkiFBp2+2McqpG11mXhxa +Fcg71bwix2x/eE7wSbWLoccAXnArLwpQwkjIVHD/jF2PUZMp2+zI+LotF6+mGRfM +aozcKTI/JqJD1pHWv3ANun/UrB76fEfoi4ll2HJkPt0t0vBl5rhWipgxTfnKagSw +kdzBF683cAhdN42+BSYtmhDvXyGyAizbZfSHlruC2pxemtOcNn1GzFuYvIN9uSNb +y6TdPw0tlaRj9KDB6wUQQgKUJ7kdaXO6GmoBuNwO4vBZxfgjKb2ugI8bh4rK98bo +qBH1Q6IxLRTZ1tccVbxlBa2dhxHRdvVCBMyl+ob4zN3k/QkDs7syWh/DiChY3tvK +nWqDYNzKXBY0rrX+uXkNqFrN6h6l/KsgQYHFvbEDMnHqR2ZN0s4Vs4rnEtEaET12 +VykrKytKtUT9yEwM5t9nCVT7p9v0VDVzj+6IxsWeGF7Zzemf2vDEmTpGU14etwgp +XMXAWbVIvwx1qvPTGpV7/BZRey6ugx0XEDDoUoBVSNztTmOJsZJzdSlb8NY4ocBQ +iO+uNbJUMWhUQ9oEiAz1UuSfXE7VcfEHMR9OpQojxBDVwzcCv6I30VHdVpUbB7iA +ue6nmASkyoJfc8COa3q2ja+Xw4l4uS5Pun8eCTJPBk2bqAJo8wQgUPP20tRej0L5 +ShKIDqHO8L6b4bhU+rZXr+8vOAmwp6QnN+Hby+7Zwkw6bHC56li9VzYLyeG9e5cQ +aS3JjKLVqhMRbfsmzjC6EpGKg87OnALb4Fb7uzHeLjG3jQ9j3wGvMnWkT51hVWmE +ZxIvF2vJ+gpM4kqbtz3naveD8fpK/9EL/5o9O6e4rdVw+Hx2x61HL5TvtdYdAI5v +X7UpLcTgIAQnhwLWxoAmjVmGLGIGZNQCrUj+uBbCTKoPw4Zx341DeVQNhTp297pJ +No2ALjNeOpiamDMZDTv+VwhAcWj8JqPJ10p75r7D7Ij5Kr4UkpBPxmnPIiJJKxm5 +S7OZ9rSpK2t8DkK/zVzjmetkVpYT0kbQ9uVLg5GkbfsxjFoL/iPkEwUrNVzhj3Hu +bQa/02uR2lr2ZmYpZNu9DJ0d3WWbxPDOi1ASK78tJ26+0jcufvgNLl6gLQHtJ4bC +wHkO6gfRe2O+5TefMCV0BmM4CaSelNB++Sv0ywXf8bAemZdQno3V7mKa+ibkKuYA +Gmni010NnahnZOs1cMq6n/amKFeSL/lBWYacJJRXmNC+vnt1KWznsnLkhJpn9p8w +9JIA5pO3q6CBqmPmQ8iEwonTeq9y1QMo+02UIgFmaqK0j8zScxwmaeTu/bchFhH4 +f4ZrP1XFMGW/+CMp7BgLnT2kNvqfceZ9NmT+0YemfMBs0zoK3qgsKkASlKc+m77h +6kY68Cs7fEeZGor7jUB2Duv3DjkIzpkMS7B+Gl81qh97kvrMkiTNYZKCuoV7uLVa +/QKNxc/AHUuI1HfkAzOKIqH+eQ5CVRg96y/rUeviqSR030YPTsSotGbpxnO6fvoc +X0KtOVecT+sbx/ImDEi28IEZwRXVIrx5ZxsnasqjdklnQsC6ylr5b9Kzx25xnjdQ +um2wm/tmw4E7nuGjVJOpG67+HR5IB9hlU1pGj3e0kIIrhtY2nwbAyJh8BDVN034D +Ovnw9fdmRvUqwmSf1n7xPtUp/K0f88gGppIeAuqEPASwuohTRfU4HgHJnWTGZr/n +KgZDaQD8SvARtpj8+mFt3BV9/XHNUapfFBhiCmvY3Qg1ofgWXpTwlZgOsGMs8hO5 +1SbSlpKZ/pE/dG0+gLDf8RzMrq02hCQCfj2BpG2nUo91FoTKP/1RB32x28SHCrHF +jqjw58swYyE0nFImCTjkYcxpOORKTebcZW13wJQjvtGyXr0j+URGVBQtustvbPEu +G3gRijiqHxDTviy1wAbBAiwf6YiWbKVsqKH9M+QbcrS8vbs5VVifoR6/Eanr1/fd +H+8/kJFRqVLk1zkIPH9OyHCHFiyaPEbzj4Y3eAnGQK7cb2NGO8mzkY3nxMHXpmFX +6TJu07y+HN20cHAVbkJkCIK3F+6lzzju1Dm/pZRm7ARVn++XlvC2KnuFO98mlnFm +3HBqVP5lYEFim0ujjm1sjrmtT5erUfVBTMSnNMARDN8eymb9dWXWvdmNBTap30Vk +NxGi8yz1JWL+XI6b414uFZxcdOGkkTJN+63mru4iAXcJXb/BqX1oiduROI0h6gnn +e3Q2v2AbWn5IJCvMEgCfq016HgQl85yUX8teUKOSR3Eu+D51AflwrzoE+VyyHCs1 +c1OUa/Y1AW1nK0Rr/eCsilSK5okgtdpQ1KJc65TMNDs7oLtMXA5zwSCW3SxQW7xV +i2Nhm3MzUxW7q2uvyrXaRBkVAfs2/9xYt/Bw2yI69ls2EsqX7ufMqe5teuzKbfVe +Lpmcdf+wNFI3MV+4fbBXCNI0KjzHJePMnKkMU3+fiO+6jA8N1/idXBaK8zTxmQHJ +H6doosIv2RW96AatGHn2GTENT7mYX7/4M3+Ft245qfZIiLDiIPP/6F6ribzYjLQ2 +ly+CiFF2YoY7A6/eLQaa1uuBc6oQAIMIIQvIXp/JxQUPTNcCKzmBV4dpx5pH10ys +XreC432ZFTF8AsresQWuBG5RmQD4AXBWOuRjEJr19+j8FozRYS139LXD9K8pNubv +rfQgnpVXaPkgu6I9lVHz3UCcfHvDixTgvtUPIMQM5YMsbSFqKSBYExhvrib7Xg6l +g3ZWZUM+QrSxBqnk/ec2niQgFLJwvm+om1GIEvZLkU0Fcrrc4YTrnNkr+9TYMq90 +sCPCI8PrNBbi2cU00P7sD3Ysm3d8mM8SrmDTg89Jkq7Upf7hfNLlnMEYb6HB3QRT +6UEelN+iR1xW7o1myg74Kwig/p012XYJpq91eFbuOKR8z86E1uRWAfgRZsw6QISb +gp+6G+ko/ONJkgEbxzR69gZrOdJA95bzALUhCKN/jIuY7NhMKxIKbRdkW9d5jNb6 +IOgNT7C/v9CUKOsYoeFd0LJKDNgL6FHhFyoC0LZqpgLLmgsoaL5ZOArzt2eGgaQk +UPzXHPI94bYzA/u5ZzWOqAb7K8+buF0hAYN7bx0ydBVSMKBugNTis1aC1ADN5tU3 +uBHqVfYSRkKFRA9Y0XkpXTk2DjjUWrgXpmo+aPMkt9NMtuzIk9vaKSheXSrvZD8b +JoHd1scRM/uV8A/D7TJFwzQTBR/uIDt+aazX4iK6BiBPrzQmuIhCc9+I3OnLvBij +/zm8ms5lC6AsYobsYazkeNGGEXpa5SOiLvPdB/7B77G3O5bkCH6k6xtvZEooKVai +Kg7PIhgCG+j+bbiVOZ7PB2qg77Osc9BnXBTrU79kEHvmlvpnzkk3i7nCnmrHIH1u +h2Wp/Igi9W2RFflbQUo5lFf9zMTxUQablTeIdpIO3AJID2IoP6ETIaNKHjXI80dM +Tkud8kFdTuKZGCoFxQflKhuOWB8rGQizfTj1bKWoMbQqm4rbUbIkWxFwojodOqy/ +yepGklYom7rWoCt9dZKtUSK1vZ4YzUOPneTwQtjYzWAxgW4IvRe83OqcxAUxLyoa +fsNYuJJUOqcUYITnMPq4sOM6UrKhRoGv3aoMhpAy5CEP2E2Jea6+lQcZeWn5hZtP +6Nsd0fJX8YdUccyqncUXq/bVbHe7Xf5aipMMYOWjXZ9nVbuxFPU7FHmVDE/3ug19 +fSCBnGOY1S854oRSni3MTCmDbEhj6oy3Y1PhyFTOg9HZwBAYJUFsIGeN+CLRAWwN +fA496zajzvyinFGHCizvVUoC1mHeKnym979Wzu/ZhKCfw61jxQ/h8Lpdy8zLHpbm +BzYtTG2S/kStyuUUKwj/Lf8MbZYiy1Mr2Is/PJZEVTC9hMS1hAZWsJ9dgYixHUeX +ULjzbFZ6T6WvQX4kEeUxVO73SKcoDSN7m9vm9PrGDfG3j7sa7goiV5ghexLTXgie +admRcjCRBBxBU5chw1qPE5MLT+Ehmb3p0nlaTLYAClHs8Oghg1XI4pXMJHkxAHdR +uYxw2OeIkWrHu8Ft5RqFDB2M/alSzp60KtevhfpKq99u16UaXKhWEV03GKqX3I6V +nZWXAx/sZ17L1Nr984Vo7YFf73J2RCdAXcl0Vv++xVK6CUJaX7aXoaIL/rjCDFk1 +UoH3v2S9GtliE+hVnGl7mLCihABhRwz6PZvVHyRPqXWi33weEO0z48EjEsNgAASX +Mn+vSZros3qTBFKRbenrcCKL+6Ff+rLZ2/1ukomuebEcnb9j0onimAbsAa+uU+Hm +Pihcy3Z5vl9bnHle0oSLfMsxKrnMfE0HuFVSIctk2mcGAxzns1BROb82YM7xCDsI +Gep1rORybbI8A9xp71jdIjFYac1R83oMOwz3ETKwSO/3MmiLKLPKynb8ha/ICcpG +SdsVOcHGzShr2mbH5sCiOyfEQppEGDtaxrUl0n5UDo2W0YnYTod6OB3XFW/+UnT1 +pru0ycJ/6RU1KCRORcfCkqKGcXKnLCAqFBRrUkkv8gfw0qyhiYkjUzo2rM7ZaoJp +CTWMkjiXdMzCyg1vw61owggD01SwvnADOfAnsJgCWOHzt2vX/vyAo/dTGum+C0AE +Kjr619c9QL8q5hHs6ra49yKIBQqEHLMYtBiOIWv7+OScBCBnuRvwHJY4R6w18gDy +79BeybQM5V98HQs2hU+vF5mD6Yr2+8ZqeZytEiB8iYKR7cHIUVASz17f8FsIjlmR +YV+9LOBVgTk9VLRiJv8qy8b1MYzpwAeO+zNe55zcH3KLx13tsm2nZLA8NTRaTIFR +n3v345GIBLnW9R/YVmbfgWlVdq9IX8broU0L1CiYlXjn3E2+Jhb/ODce5fIkMTGU +yQtPk57dP5/pG9ZLohEoE+lD0h/7e8H9e28khS2QWZFD3m8nFGruHV2jsTGtnm5D +mBGHhyBbW7wh+n/WEttZFotFlAan9uSMtOLnK70hqYt9WZIg2zNIjGqiVOUAOHeh +dHStxbVZxRHTQD5QavHvNXA0eUOShgEQewArAgTIMdQDGX26ekO70ktNML6Ve2yQ +7FPExaecmn+s7bFmr2dfmUsU1Iuy5/bcr1poIngCxM/DdP5cpQqz1Rzu0cbAFmAF +4zkO5BrFe6v8yODocCcfx32WjzZtb6ipmSWF+5VZCXxNIT2f2+PTgh7WLwejY5A/ +sHPKRg7DP8lhjr7DePqGfmZ2H8wEiAJ9qlTO3fN8jFVyDITND/IE0SabXuGBI7S1 +ZkZVbBNoCgUydxiLqyiN+Pxj12u8sgPtRnkzZkTotJB8V19DJ9UI5AXJOVT9FPm5 +whDSRJcG1F7VMZA31HloVgAS4RKcxHrQui+Dbj1/mRSJYu6RZ5vPf3RTX/5wzjpd +IurOJv0BYz7aQhKs4RZwBoo4pkMVv4cIE0UQ53DimT+ZTzNUy2jtTZzuN/IvC2OO +CnHF17FXTas1ph+PGhRkeFH+ZjZXlR8bYlvUQNd0G1Nx9lH3ybw5gmu49s9/heHL +KgWXgeQQtO4bopoKkH6FOL/yb6ojichxjsQ3N0XlqddUqlwbMtaomvga+CZrTlnP ++ykJBGm3MjFmD/+6nysXvMmBbQalXU/EB9fzjWlKx56UHztSZ8S9r8j8ScrT2dkP +fzKDApsWDOtFTLlcTHbiyCt16WK4KrLMuuPS/etfGibWotcfZvUru9PkX3X1dNlY +grEDryC19RqPIjViiWFXph26xITf8lx9yhyGankjtza2bzYB9IBQ9Z+3AM7OioWh +Cy6wIA1WwZCB8eR8c50JpGl0gBMO3CQL+lnaa0i0g995mDjF4F5ul2BzIjT2LBDZ +LU5MpXl5rdmL49CxFvj4CNKNAgbfjfqH0rcp4mu6jwE7T0hdj/xKWEDngw/Ir5SI +ebOaFv8e2p+HyhxUzh05Kp8yCENyWar2e4NVs6Z8bvh9nnfi54GcVLXpY+hoZ8Mn +epkDuoOO57WTlXJ9syCEIw2yveHghlyDjDmX9609fyuYNZtNYkkvBA4uDFKpNgZY +t2BTMzmrlABjMf/OgHcofBN5PmTCG/xsrR011szcO5pkyQVeYao56LDQJXno9OgP +vowqu4fbcsRrBQMn7QVeXg8wZW+3MAAHTlV/xAJJ//tmjShPkTpVFqjqw5xXaJOI +IvQShev+oxE+lEHa3vic5jnesu0aWHdkOGxQyaxqp8LWjWU12Iqryo/ZArVh5vur +em16qXsteet4aL8dZ9gkRBav/X6BN9BK7J+jtnBd1lPNCjsnSwvthxdM9FyZVNHu +K0xcJ2R2aDNKqDADXkLOqinZ3riOCyH/0MmF6q4kzpxJ0KVAB7W0psQlUOtt92NU +WK3WkHwybGfX/Idcm8B1PxvfhSdz/wTzkSXE5EN+8kh+UUzjfZspWgaRFPxhVtvv +XLDgkKXF8xyF1DTh+M0SGbE+8BzbY/r+Dz0tjCkOoa+5Nk26HQS9VdHWU/f+ywvb +UthHXOS1x40SNmupOl3EDg3J/Z/pEzhSNgf+ihyOcyACEgGLGLpxCZzEzYvvnNeK +5SgPpXOD0UA58oWRVZ+9zOPRlVqbMw2ZpXqw0uuyEDnIIko9r/RntyZX3qQBNJKq +TkcrmIxiytgIx/88CXCl6emVVdaFd4SmYNmPksQ1kw76d2FV+1F64tSnnd/L84SX +/ii+ehk1ErzF1Ulb/JMuvtLyW+/x2APTlvD0Vrr1pER0okgs5W1GNSxDgvNyr233 +50UmK1A9JrJmwVnP50ZvKXhOP5IngjIRpbXMUdrECNDCL1CUPKmgp2oomOo0kavs +C8zpKzfEvn5AG9ie94LRy6Pufb0tB1qYf116pQmG1yraINh+zM4b8r+MVezD4y1K ++vAUhdUrt83EH2+Rj07/joRW7mLAYxUriIZPpfVHgzNkG5YiMq7yBVq9PMEgR0sk +bTt2sflw3gC8gD8T3aerwVY1gLsEJB6hn34n6bBcnLUg98I6rGg7pnzLNaDvy0Lo +/tpVeS7R25Y8/11Me9uhha+HQTFd+fXCFIRC2qh/mHmH/XMSD2erbUdrJRzduOwq +NtHRCSZwuibMSy+qw8N+EC+Ag0R2/blSuCT1iJoQstyQMgu4MMmeaWNW3c3hElYt +JsAeOIHfifZ+NejcV5G1vzDuwl+SyMgQRrbf5IIPdylRx1LOdYO4ItoJNYJ0V799 +8UYK/UHcX13AfBcOh3cfH8Z+2XSLkb0zfklBd5GGkcWhTZq7VgTGzE1T8RgvU3um +UiJJatlesbvzhoVpNlqNkNhvm1jSgmVWbK9fpt6KvqIvNXrfVmyMlaKVrj8APPbA +BCwShg4TvXQT/6oHfYjPthKLgAji2fZL0I3rLllIgSR2o68x2dE8P3ZpB+J6SWRn +tQM0gvrncD8TGPp0vCmiwmrXzGjzlbLLXQASC9TlTfPvTJ8xvMlNGGYX4ma0mJKI +IJphSaCUTYt59yrJ6fHuyDtN7z8V7ER/DEc98tn7HXzjI1OPedFzlHb4OZCHprwW +hyUOp5xxCZhs0p4wVjF9t8HjH7ztMGCK16bQSNUwvJbJ9FnZqlTZDb7Gu6cBW2KT +uBA6U7fZo2z8uXGHDrPrKAmdjwBfVUcFM2LkvekgJWjLDN/XIooUuynfFfEDL3uN +ief8/FtXkm8LfrAOq3ykvhSszWgv1+cvp76qAyPrmWmH6Qom1ZEjD4J9+hOWdOww +Scr8vbmkXRLHS6R26YiR2LDreUgTAi5fmMcpKzo1pea55/sElcupWyIFDZ6GIlHS +HElemMD4Ms8HKPsxgiXygFeYHuAKzE7Daai6lEZrqHK4yenFL2zNizob0CRVYyLD +XPBKWPvLAT7DqCFA9orqvvOe4bfWXCwGPlIPlP5tNxQIPREROu6R4WfQ4EIk+8/J +wdW7A30hY3tCXOWJgQ5ZN3IVpiU5QQtmTk6bkiFltbS/WQ9Mg+xxduFWmNHXBpg8 +QmAYM6H0egiaakopiqSb86RsIz65AI7Yaug4jkgRfDhyRRAwGAVSL7WVh8aHAwdK +kSRtMHGh4Qo55imcKrIxPKkYikjJuvv5JIdIuZKV1oDNJ6rJ0Yi6fft2sG66kj/w +YwHkyj5hxUFphsIMUj9ygz+OvrnEzQ9zQFlaRYNU7QyZtZ8X4cFsWxcr2VQjIBE2 +CEfY93X/QT6lKeEIJMYU5i1hjoXxXmOA6w+54g97WlUpmE8fnjDd29Z77Y7eAoX2 +Vkq123BcQjIeOug1Sqjh8iDUiSiIACCuHsyKyJKmpOugdHjgUtfOHkNbVVT+UXkz +MRJKYH756UteP05W50AGu5iJKpX0oK6K0JmaTGmr2W+zWnB5jOTn9FZrWfxVRxT7 +tjeBFeQ5yjT7ZmDvKlGRdXuqbPMPFOZAIxkvbu41Ln4z18UrMfIYCumKfL6NsYtw +7H2+3UOiykLVaAx/CqZEf6MfgCCknD2AWl1wQq99cipS2vG69FyzQ5WReETnds2O +V4k3j05XYiitcdihKv68Jh7vK0cmYrx3/EqRGsjad4S7x26lfX+mMef3Z5OB5XPY +AVi38WAr978HYattx0fI0lpkQ1FqVII2rDOJrcWI/hiUfJBZFCoNVLlFCnEn4HPQ +FExU8IztEajHbIsR/QhGsBzZ2seWcwANIKxuQuuuVtZuvFBVEQvRVroLYr/0PxJd +rjUUmTP2ws98kfFozwZKZ/tN9QU+8TydLUiuF9atzFj5D2OkuhT3ZysYNP1YYP9w +WX3Va/cqkIVBEvDuyWd6YDBKYVpIBIVHMevkYDteoIXq9Ss+D2wpmvEcgGp00QR6 +3NV0JPJkdenbdJbVmtBCRIS/2DFxxz6C3EI5bFzbeus4ibW9F1pPSsorU8aPwBqJ +HocC508Oujd/LuTApt168D8IkTcnWZ4sN0ut3JFj8L4zVSVY4/Q6CFdX+aGKY/i3 +ifLwFQlLJyjw6WgV3c27dM2U0fXcEEvQQudsng/bGEx6YCC2qZIM8Ut4nDDhdBDQ +BPlZ9+4DONTE4QcSbmR7vXXpz9MMhORGVRb/kdsmBBEVrR/GaUDoRAqhr13JyLyz +w11QjebRxdAXl4FEKk2HAV1zdet0bBUo6HO2r9NE+9kYSaxYayK54MxozSAsUGAp +zsQutTL+2LujRbg2JsO8oU7npTTmmLVgGsVAuMpabNtQBJwMHXP/VsMZI5IkJKkI +5a2bID6VuMuSamn5U85nwBJdBj26918z5hnsf7hmWhqjGogsrH8yN0cnDKtc6wS9 +7x+7E9qHLQiDBQxRjrADXl6kIzVn4OtKVCIB5dzEdHc0O6mV2yGoha88bJcL0qO0 +XhMb7JsdJsCUrLYGxqATXIKZOKs4wfieY3NdZ+murT2q/FvskQIW4IyhsPmX3yhE +tj0Ca8i1oKkA53iQE16+NPXWpP4PFOHITmkO9NsePNO0xZZVn2FFMAhBuzc+1WCz +3+0k0C4T/SbCXFlNWsHc40nkPkERhqM8BdMTgQGxUJp6yL6o+xBjzXjHag3N3s+0 +/TX3aMaYxMUbLyVpAjjRurV5z1GMItmqLDWI910q1dOy/L32b2QU1bo8tW7m88oj +7uZqWt/3kM8asTFlID8Vg7hgNgxg3mpOG3TyFCDuxSPcuR79CA72sEC25VorYBA+ +wItwiToh6h0X8/aGHRdxuA3LwZ6cAKlNb0gxlSjPe7PZ8BkEEm4owGc+/se34LdF +3bqwGv2zHwqGpUTLDgCRd2R29U/+5BSFExR59I9eeZXHdEYHaCnyEGZuJRStqO8a +QNG6bU+yM8lq/GHge/GU2L8plvQuXyUtmeD91CrzWsunONtv2R9MkXiBHbQVcCpB +bCPHP86Vfkm1ROoDfwKBSEsr6GvuNnl0eDonlwBMyVgwKP/RfKQAtRIf2qDf8gtl +jcwyQkQgrNi4An+Bd29f66LcPvCdmjIto0e58q9/d6J/c+q7XUZr3pmBfiQQC39O +v9WxP0dCeMv1DhrCm16kDJsrfQDVhdr5s8D0byaTvaRag+Gx+gyxrbCUkzsQR3tj +BUcWDxQoeg6rM41vDy+mndRakVxU45rZijkk7HJ8LK1lf63E5ku+WvI9YGmhXhqn +7rTZfFgpybBPCP9NVsVwHhfq62ablTqXRJHSxqkgjPXnZDae8xcfD5t14mLZ37Ou +fPRGemxlYj7InKHUaLCXfcUKZAEsYX7Iiy7oUvVogQJQW5lpgH2Wc3dGsF9M0ttl +6RgKzYTxGAwCV7br//kBiZwwSmXfOfyCLMgDSNM8pVgwQIpMPPUbJxMuSyau6JmE +E+2NtkotstDIxoYzIpogKzowgMxtJEifd0ZY0iSGvksMaUj5V4cj3VCbn/7Ftq8C +Vp8MkIWbuGw1H9OL9jOnAE9ZYqQDZHhqRfh8P1SzMi6U2HQmobU0UWu7+1e2ePEC +4rulQK4qyjgzPaptclCOLC0xDK+nu+LmDCqZ1YTkOpnb/Hpw0jY1giOKeXPaI6Tj ++E9OTmRBbWi8G0uQhOKAicZvY5ds+GpeTuz4Sv/yEMBsUre7DRTtNjN+6YDYtqmu +n0ppYEBdwooPHy0d+A9/q0m+q1h1Z3wy9+omRvZLUur7fmdGYTG/GCfybrsH1YZ2 +Lxo2D98cKW1GheIcsgdmcSsn2S5T+e/YJ2YJHm5FrFuzH5bcavtGeZnXgPB9BkgE +PSVNU5xQvbbR4yJciT1p5k5xO9mUeq1ATnOg18vNv5TBbT8NKKWRdB+KufYJJyk9 +Yj/pRmQCHXimuDG/rsRIMPTRY9KoFsbHmO5GEh5TOmc9VHU5fcLZrzHhB8XoaZd7 +p6RZVOlFHhzyOyYtZvxhXsmOazGvcyzwiaP6dDRMy9uFc335Y9iAU55W3JpCbNpo +ldgQf6YcBMR3TNk/RqAxGBLPeqUD7ocFeVgY2F0ZOjWEpjaetq1+TUdz5aVpELro +6phr9cQmX3jC+pbctfKQXp0fbSkxwpQJ8V5Wq7ASMb5Ez5LctSRQeywRQ2SgkzXl +i8P5t8fUeU0/U1oMxAoj0Jr4i9HGDtqw6Drd9S/j/V8Gzolt6Ek2QBu4+RFMBJp3 +er5Muf7sOlH2dodQZtYEX746mWPyDMF8IzBcvIMwjKtH1L67MTjl+sxxxOl+4cZD ++5wKZdmXDysxKj2OMpnsAT31+y4uRGdKrhcgp42nIbZ7yemgKgdw8KBlJOXMTotL +b2CjNt4jm9xqg6QqPfiUGL8Mmc0ukY6m0Br/0wEbIwwbrKBXxmJjeyS/t9w4zL2y +y0bQ6DVB9xUJN5GCs03rfp+uVChI78G2Sr3S/XzLVQk0dRT3RcmGTmLsI6tyFfyK +RkRiKab8+pdbgfsXnzjhWhtKSOm7TlDBk1ypDZXI1pteL9K1CUXZsApgpGUuNa1+ +Ub4gxPX4h+E8IgpJleD6bkJM5bP1ui2Q6KqKEC8i+CyKrAU5sw440zhryyQkFTm1 +7EVDtE789TtpF/St/YUETBBDgEIVE0s3tRoAHxsHOatiHSCEGqKsdD/RZ0jmpS6o +Lm+OXw6lzbtEeebjz+azLNPyQd/d88zOJR68zPKXpwInfvoJWYVNMfTX5pymVi66 +RJuKH3dpXXWRrdtEF7FjqkCOBw7iyliXMXrfM/RPf+ubRK5WQ1tc0shTlaf3E9vO +z48x1E7la+gcTIGksFKUJJrKXaVwGH05J659OTSz1RmWQbQtX1VNNLGKpVSJzUfa +CGyMuaC9VSWyiVMSb/GZoN9UGvcA+H4ewEGiYhvSGU4mmN9OyAuIH5C8c4/bqOhq +Rqoske1zTkiU4cWFKvNmhCdKFHfUckNoWwEruEK8t51Plzs7nVXBQvRyj9/UgsqP +mju1I297llvfLWvoAQWJzk7xPEfHi42rurQtLvcLmcK0IPYESd8otm4H2kQh5Gvk +OCRKO1OiGSokgai+NT5t5l6Uk+/NvJxBrkKlx2oVhqQntULLO8MxZYdkjRL8zCLB +Vh6/AcI/6mhfb5I8JpRwsA5thfBQv0di78JYPMV6SKnoskOz41oyud2Jg9iTjg4r +w9ifrJ0FLoODesKAQ0+bh0G/t4H38aE4VP9ie3o8phzff/Ol/0h1SK26MNEEdeSd +CXZExDCraM6MRrahhQPJL2Hoyjv+7GA4O3uXn7DaczCI17XrKxpnSy2pi2HzFzwN +TCbnY3fZbBPTgwtlX3CO4sOdibYoj6EL7/OF/gw3XVUBGxcCpI4KI34O5wnPy/41 +gakZ7jq4ZEnpffOI2j6KP2mqhoxDo2plLslU6FtZxGTCdtB4u/BcQPpdU1ImEg2D +6fxELUXd1+blaTfnwQ4v5Q5pv4kFTuozGoFeDxeVROxXDEV4gYIkFC0ck38jUcV8 +MuXfcCynN4pMgMk6SjaeNgsoepbSgn0JZqvGC3ruDFd/XmhuNXZASk3w6j8fs3EY +UMpvUkgndMQddg5PsCH3WfTNGur6S7YHTTEqJT5QQJTn/FLHaiwdihV9hsugl3bK +cmOK+ckw7q5csxcJTxKn2M6X9MlaydcRxvJj+WRKhdVTIGYANELh/E8WU5pu7vOk +8AvDwCjDNmqqENWCFDAFfGkj3h/ze7ZUBCWka/D9LPe5LlI85snQRnyH8tmVL1sf +3vTSe44qhMtRF/scwolC1uTDrorld6iZOASrR/zQ/zNfB44rJLcIEJkyxjJe93d0 +ft/2II9kob0lzbnrr5O120VJRTCDLgUdoe3v5aUBm3hP2iHI1kS34UU7ZgYYtSr0 +LH5OYne8LdB+BcebxPv2vaWeFvmrO9OoIu0xd4txrT9B8ueeASG6Gi9rKoTsXPTe +o6mmk7p0+8XH4H4y6eJEktb2LM7oEdTRzjTuV7hLorIA220zSRJoCJLwY6DpX6AR +tySwnJOJl6gKg7RbOsoUBOCytceaQw+QXrG2iBbQXRYER1O1xIyR0m/9b78sKTzr +ERG0StQrIjGr1OZr8MiqGK49Ulk2ftwEpg/0ccJk+Q789lLnYGUutlGFJnKhF29f +6KpmRC1RG4vYd/SEYa6uPsXqQBpY95yMw4BgMAd2lARgNgdriBUDieLIL6r8xGpP +EWf9FUfvbp9YfftDtH6FSsgG+h7UvonxNUR4yrRv5JzBoh8EgM9Q7askDCyHgKHx +XOh8zwiw45OCWRxyLAKMc3QDeH03p83xa9sCihn/x+eJH+6c83S7IwFumS0llW02 +jicWLB483kwQ6yW78lkFOcIKnKLkUZM2GKU3prhBQb5CNEtJSBO7L9PGH0//AJEd +Wrv5CW6U9yxxKkgFLd96RutRGrTVJGUuIJDKvYadE7Cfl9zwzFULrGXr30epm3pk +NSA8LsU5TCpSz5PpceU8spaQDog427S1rshLpJ/wWvNlipyqLAZ8DdVj9bvMf+9P +/f3Dt0f9t43UvqUI4HvaQfgIJlBZUB1xKPw0MvAHJwfKpceha2rl3j2Lbr59XyxW +xcFtsb1FEcz+7CTOM3pL8GltUkhq5YcUs47WX02JYqY8U3j5x2de2tN7J0pKjdUD +nTAtIZvrDypURsWLzwZherFehqeV1GNUKSHR7qgSOgxsz5s2U/2/iNq7KBxYGlir +SDOqgZ99p6hKP8JjUlsQzutdlHGiBWEPsl3lExiF8TXsr7LewKbTtx0Bajz+wjcc +mWzAWTHtDI8kVv2ft86eNP+VSHAMn2mMvhcWfKJLm8NxX+hKgVOciXdtkiAXsxmt +J0BJKrTTeiNNNIcjQg2hFeT2Tlfeylwd4EV+O8CUvudF2okd0frlfsP4+gi+uKBA +VAGg4U7+84uXGDn6m4Ot06+4x7JQb6seTKKyH8OXEKESuEB/+rnEjp2MHtGOte8r +FghMMwse3OTSjXij/Tq1rjvr7cpSX5bp/0K2K9ntKY/aee6pMVpDOkg0pIV0Y8z9 +csJvwYxi9SmJD0KLCE1x15DtxPobD3R8uz+iOFZJNpQi2SyQZrBozc3cK39rW9ld +8Qn7DIGSDWjWw7KwPqMEbk1gG3tND3HFsM/2EhsseLBpUXerhK+A14GfuO0ClaIs +FRcAjDVOj/jg2W6rRC78HecF1lzgLrGjwdKt9z9Byc57Tscu7OxM1es/rJhuRTwj +MjN7nGYHRXKPUu+DdCC+Ckv0DNpnAScme3ICh2T+Y530Ok29FuaCLim3n637neFZ +1/S/yPwSbeHXAMDSi5K0SR5H3cDfwfksg8GD08pTFhYsX/vGCSts1nR4a+gmEqAK +km0Aj2qgt7h4YQCZhoRj7Rme3agtem6+U+qmc192B7R3Tv71oVBpsKLCEwuQGtu9 +QgTnN+ZikWLrgPqygX3MXJZsEfl5JhjMntPA1nSO0iUxAWBNDoDW0W+EPTAAxZzn +rkB5HLBLF6kc4mFs3WklyCmF5FySKtjuYH4hd0jT16JTpjvTqtOkcisYt2M9Z1Oj +mO6Szmtx1XvYUrIO5/NmAzQQ1MltSA87BSV/rpfeTGpCPf7UwuNxA3UyViH8/AoG +l+9fNqyccCABAm3NcU7OEYt+zexU30EkuO3gbdR18wLUlIPxDJVxxfVFFUsxTB6U +o9p7xjly7DbXOdHCX0n3k4X4hxWtcEFIEWy4GviWbwkTUQgYoUQMzgRXcZKYsAz4 +rXLrxgdsnRMRS6n4zOnLjErbq0e6Nx4hK5jKjMFJQikA7DfzngRU8ZIMxd2rbHAS +hM7i70QrhPu5IbZgN5s5HT3n9V1fq+HhcATkbmm/o6twE8031obuvM2HFFfyFRfi +BmjUrvaJItz98psnMyFgVva0W2YDsifhvAtRATFSeKilw0eFTV/L3oiFhHuZfbzz +beEXW/g3JjulRZ+IiUaGeEgHZ438+cX90jfSmxvV6q2cCh1KWyf+pPsN41ujtm+F +M2XPhBkkYrwtVDEGqT2EN3mkY9DJc3j7WqMecfLXE33N70r8TbKGjlET56v5bm8c +s2uXB8eWJr+C4r8xrX/4h5sV+brKjn2/+u8mDjXm6E+InHOCJWRJt28/iJLBFXbY +h1ppHiVx88k/dgMabVRIY/Q6GGZtqF4Q8Y1vH5mXugbf+3/OPHWHly0/ixSjPGbO +GnwVOK/TrZm/gF76+Iwql+oEN1MVbSJ5f0d8CJ6Nqwjbu8hC9qJklO9iwQsJASiO +F/U8wboI4ptyFCiANnP7gW5VNbs4XKvL6Pcym+TIbE3+pV/aOj76LqkubQIW1IHt +FW/2kC7nAYQlfI8Gl4VAdDtUdQg8rtZIG0UqWh7b2DC/+uVQiHyh54gpNxmVQLkQ +Mcka3hMeZf9W+Wunqyiu6kj7gCpGt0friO+fQ6eSxP3CSIIcowfgBKa2BiGT+Oy1 +zOy/VVirsEmSpEy84rk0IYPKgpa4V8ZGHUiYN3IaTfCkLky39Y8h7PFNmH1xJjO8 +/sVEry+71N0VZhvdFseDHKk6/l6gLrX0j0tADBYR9gTH3CItohxQJ208BijSLGQO +bwlydhO1IgZZZQAINcqhZXNX5AvelWmEcmt+EotYfMjIQas5XJZ8ytfoqwhN6Mv/ +h6BUwtqAu5JqdJbZVHJobbfizi7cENDTj1Vgp++qDBg5x9bhbWvz7+xQjakLUph6 +yZOrt7qUeqOrd/sPCtJmNzH1NnzSle9kwlAckl+QRCw5ggIgKPDqqIA9oxsO+0nC +umX91rjnqzplga91kYLisTeDb6YJksilnidGA16ANzcQA7HxPOnESOlBP444JZAA +x0fr7OpqODoyYfpMDJtMHwL24qpwPoFxEYlhptJbsbDLg+AimHlUE/3wrp0845F8 +HtArsdol5TM7TPcBxPwijkPsiZYh4V0D5PjoSiD9R2sSZNX0dPV0qt2U3frzsVT5 +YmBYO9z2A9wuKLs1C4h5xItdN/XgQ9jebc1YQrYfCq9mp0AEzkHBOqpPKaBPIDwA +Tzt8nmZxMpXVdazXKaT4ElKdm/y7BMinoqcC1JevVeyyTvQ2tXiw4hGiOOJtbe9t +cHM0/ldVZAwpO5xBfSQOBXm1/XBmAA2eaIPks2hVZYoo8ylwd1MsJ3z4eSLJmUv0 +qRRTNNgDN6BGWsJwNRNaus6l87nYVh6SJBRLBlD/qb3DX3LtdEoLqqVTOn8pEdnq +Kmu5raVq5p5XCGGwmpJMk2Fdx35iKE715Qo3JY4FFGssjYA6Cfv624sMDa/+kilB +sngKZJ42FzGJw7oIKStc0MGApvzTUaOLzvsw5fBbowMMa5+h5AyyHDQLCzaw80Px +fWmnuzm/ZYrHxNjXmDHvTElRhyYmqKqV2jilb6JQ79TsrPgUa7tghDKO168t8O3O +BNH7c0xV48sfiQ/DsSLzXuMFpko1E88Qv1/HbK5sgsrJk42aA5ifotAxQ8ovC4XG +EEb6aMAA1Cmlf2jTaQ0mcW/fKfXl2Yx+zpgbTVF8HccspS7NjIiK8uMAWuD3nClc +XrchQWqkyiNjZlO6qy0wU6HcrLX8LZNkxiN/C5tXQ+HiKamooONvRTjD5QW1wg6K +nj+lc9CBlV40NY9yTdoMDkNq8gG7JtKEhSQdywd+owSR/STIw223/H6130UNktB7 +iinxkSyJVTWtgIy7/s5pRILFgBTZ9WS4vV2YGEhvNZcawZ9dkNJZFgmzGS8v9uJY +siUjHzMBs2TMyhcfIVS/NXwVsYk2a2P1ekd5OKd1b82MwDUyv7wOlsyAzgZ/OZuv ++WUfSnCWEN8m9xcOX8EeMc0MrQWOHIJEQGPMEJmh/tHkxGLV3DoZjSGQhPQ1jHVU +ZT6rBzNtaTBkj8ZXMZDt9jO6tcf/Z0AlgOdpiU0Bi5Ivj2e9bVVPngmA/EvNpISz +g/hBE7xiY4GSzX8L7l9yigyG/fpvCnJPPaWmTT4Eq6r4haYGxPaY4XF3T9dz2Re9 +HxS4u/sCm9veggtEHxof+dX+KuIE0n+xbID0cu69oC48gomwRl3Gd+xLNbm/BUe5 +0nzNPIDzhwTybw43TueJlMpdWpiSTCwE68esvRYVn3RiLvRCKsemABZEujbfU+IH +MX17lIhu+LT0fQDdGenedoS/cy4GEW7yBAQm84qSMGJFQ61kHnph7OGhk/I3eSCr +sndQnBAAjEn7kCg4M9BolKhqoBzM96wO0WcJuv/CyPLoas+3OMRfWkm2RGLdToBR +pvjoLS3MzeHr9x/VNuGws1Pb5rQmXYa/MaBYFqLwHmNW7WSzO0ByNG31bvdp9EI/ +a22bSBGTvur8Ob4zh1LgMH+G3+etFDY93y9zbl2quPXQAfrnLfdqYyH0+/aJN5yM +CTW6Ub3rUSeOnMQsSNaDtfnzKe+JacIZj/uWIAi8Nw5SBV7X7Oc0WKgVpee0/G4g +W9dT5ZS511C8txVC2ZCsJPKSKAlljIb8TfdTt7fK8PEWRjK0dcd64Qcj7nao6Om7 +f5sh9sn8qcyAbEPrBhqUblMKHNm++k/LHxoG+sNha6ROwVCgDuwugVAVbvnbZusT +34UsiOyqcRC0k5OaM7eVPMNynHqNpmSn2gu3x4IjqazZ3ezIU6alq0XEQkRlUuUW +4kdrgdqQhAhe+U9AZjZdKSv8bEITvIDuHJAjwcV34j9NtD13NCsTeyKYJ794wbWl +e89/5gK7jsPASVTN4jH86gqh1ItVy1PjaFT+UxdN372jIG2BaczfzE/6+cgAoSJO +HUdsUE2sxs14t/EVvMbxKoB+aRRDZ51To8k7HLloDCocdKbT6sXX8NDuoeumJX3Q +w+iJwNdCG4EAoJspzc+QF/8tWYxA6Lb9Bkq3nhO7UF85nr6ZMtO1TOcPWckIQ+AZ +cx8fgx/xyMmxTDQsfSzaUwXMzaDTsZdKwe1UmLstglQ3JjqW0YZcaU/Nmm4wp5/t +U6INv092T9YWPTbkpwzDQha4/n64fbjkyhfp0k5Ydqn/cNFVxUL/MgJAzAwgZLmQ +QN3KYa3zgZR3t85nwf7E05ADOXn8ZD/ZRksWW1bISaQ+I5SworZpS+tdtP1V1tHL +BNvs1BN0kMJo45mOihXvIWE/dit8DmfWwQ/9YdqP/sEykiNmQ/bmMUhDl2qdDzXe +lnwWf2dkV39rRGnYlv92Tj8Tcz537GU/5E9l+KOdRnpernSOJ2pVjPMu37gVvGCt +8QmAXhmScLksd7sjmgRVHmC6aM16o5S/DGLPqACFZwSGzgTimJhet9o787l8I2r4 +lUpmY9xaTwOrpPvKrLifWAuYAAMpgsLMpMmhEMXYawM6nUJ6M1gqo9AbCS0Ivmra +5AKNC96Bb4/iLznJ0O6oDOVjY9bbpTiUX+CHwR8PVNf23BNi3gGCPKvTh/MBjPja +lvidgBeZEGFDwG3zh3iXi0DfKg0SEzkTOECCGiLsZXO3HIzT4y7EVs60hatSsAUo +104Kgzt6vXv4U+60Ge42tQlTKj5lihUp3h7N3G3k/dl1H6EBdAkfaZG0j9+tXF34 +k6eVN3yCVKdnQB/zUSjg6JY93h6F4FBIgOe/JdgvpoSrJz+OQXbFyWBNpoauZZ/D +3uAwylqmStnKDpVTVqs9GROEG1cSbK9/CTrDf8q1GVVTWxYOAaH66jnFGAx6EvOX +vV/+WCxo1d3OR79p8R8BttL/+h9ifk2aPyAmDSAkXyvr6ffMzt75VMg/TXlwqBL5 +IWJXmaFWdmgPNw3YUtZRDyCizoyos/0jY3shX8YCs1QTPYHthNT9H4l3t7vTM5KI +r4aklmEqP+9mVVSHRrCd3RTaBiu0OAR8ASTEZ+6NlL1Ceqrr6pdGAZ1Q3aFO7dGK +HiXiBmmQslXkIRb3PpJyZv7gMw2Ih/KrMyk+VfH2WckXFnI2lAB9F7f0BelFUFqn +iS9lQWOU/ixR36aJ/pBls8rgs36ddoZ2OqGYqqRlv/4YorKK80NbK/bN5dWvPXxw +KYUYgx/YuP6n4ehrj0Vcfbi/FK0JT4/XCIvhD4ljpx22GLsBGUqeb+sm8292GtM5 ++ODSKUgWdBOtaQxf01r4a6RQ6cvgbpi7OGIfI/ZDh5/8rrvDcCtDMU94bwEY2OwT +/zYxz33U8ucHvwEdEwkqNTtAzvCK+rsY3x1zdC++rp3vS8gG83cG9xd0VwkgkmIG +TyFffkL1Z9BLVu3W7WnKpp4147JUckStLdc70j56iWKI32KN47n/jAVeSkARvXz/ +ahmSGDOSUXwZugaW5PaCdgWCsJ8rYHpYxjD1RLvqZOs0UmlM0hY2U/HBu1uOqgjM +4y6MAfkaUfNaOV17xoxeH7jteGKeWACwBcKXaBZOqC0lUuZ0HFNXNTf6at6Bno71 +fT3At8uaKdTDR/D0I/Ri60xEn7abWmJXCfwdh6LFV/YDuHBOyOmolSYtB6+2uaLu ++j4zhkcY3vDY8SBF5+uw28H5ab5fCjBTz4hSt3YNaFM7X/7uYme5sLlXt8e7G1vW +61HYHwzg19MWpkTGCmjQju7ZTUN/wHlUnoTjdcYikWa85kSFQscyc+v0ZQq0N2M4 +IukmvP5dUCRoVKOfiYZYBPM2A8uECpWdD4bIbjZPWqNpCtGeFw+5HzjCCLQSF+LX +vXWcqACoVqQdzT/P9DZX9hj86KcO4gjTcsNge5K89RoBVMxkBBDLpG+GuDOWwDmZ +FrBMtT6Vf0zqcj+2I5Q5O3LL7dNggpUWRZFYRmqv5cC9smtJQTZX6sSpCqbTuwEt +TeB/pAsp0SJ5WTERyzPBDHKcZtoPRmIGxW7fhvtcvuGHGD0jIRqIz5JGccT7Mo32 +PQmcvEYrNKFYSyKeuAB7SRn8R3JFVyWsFnYxtnYMsLEGlrWwryuaMSu7abOADoA/ +ddVS5TIUvCqBuKnk+ogiuS7FFi1o6DeFESvaHEH1LoELkLNgso5kXLNeH6/yS8pT +Mco7N51a+hI4NkfW9nLsTbcv7XSAShNG7FdCTL5WESl5hy9xIFcykqzSj3w4aX7o +kLwARsD8uH1Ru4j5Y2P9/GuMGuo301PO1NKzAWBYTn7eaeWKhNzkHlkRLVM1wObx +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_basic_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_basic_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..c8025cdc92b5ddad3fe624f477ad4a8466f90142 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_basic_tgx.v @@ -0,0 +1,375 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +g+nL6ANfSk/6AqQhVI1ll6yzbIhAdL4FbHoB9h5hnZ9ZStxMguBImnjdOqj1GN0z +lK3EdvL9oGBuZ1MZypzIDZiL4OPwar3AtypijmgwOwnNnx9cX7U4QUQpYYdv/QZ4 +G3wjUMvysAkWW1B75c1wP+grIbsbs9aMtH1MRSTIw/M= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 16240) ++uK7yCAGnjUTkRtnbl7oWJc549t/dYoQZoNOKVPvjdaLwal4Ns2SlVGrVTg+B+pR +gxTWByuAahE2dgPr0e9mQGyepzfnwiVa5bxoWzHgd40KSgpFF7/GWTyBOy9RwVqF +uUPWwQzPNfccC6dvhHylf+XlTGyeUq7KiLcuw1D20nbVcuIIQULkuvH3Ew8u/nzA +ffo7UlSbHL0qH3daT3R94hViEVVVaPMaSt8BnA/lFBhgvm6vKVD1i1S7ZNM6nxFN +VBJ4/foN115PVJYtLh4lN5UeVX/Mx+I+KDyMtrlnAzDfp6++351WbprMhRq2zeEl +pt+8cHArymSvCCMbAibdU/0QyrBmE2yQca6a8tSXaI5+ROoj9n+pNuXpVfgonioN +W/Yg1sqxD1OF45Xo5dhFi7peljxGWdelEMXBccocfdkUC81z01HYe6b+OR9XP1+o +BgmPdIm/Zyd5k6jhwfITuJgtVw72qDSu0IfspufElv8G3E2HNWnbBlqd4zEhIp8d +c0fG4uvMjuBn5lZV7l7Bg84a50065m0UORKauyK/3rPK3taVuhmSCm9skNjvO603 +t8WXA/flucVeeFqvj+Q4X9+/XvLKQYDTTQfNtF4iOZCeUFlTCk5dMDWLehlcDoQr +QyZjtetoyJfoDHUuaVxiB6rZGrp/Va0uugxqfs/olhR7u9QFUt1SpNeuiYzxOIZT +M1rzFUcvQYfe69cz4MKhcIhp3z0BDSm3mOXv1mmzv8/SFHmb4DRLAUcd+BfUcLg4 +KC8ZvY8658H8FV32wFXbK5R8mH6CHNLg8g2BZcMNLrKmUurutS/IHZFCAOD6GPCs +BFglSSexyFKQLK0HsKe0hjHC058+LB4ChmJTRYcO9kFkAch+Ai9tn+ktXkLwenvA +xgBZL+9Tp/XyY1arw+an2uknNB0TzWHlG14nAcBbJ6jcehEAtxV4tZ99um5IN5i4 +FrhDkUAgco+teakLmrxlLeU/gR7D0ixbG135+i7y+5YmhyetjYD2wJp9RdNJtD9O +2nJZh7y+Srm/v4Gk6ZS5leG+iFrLKeH0Gq8pNKaZtsUj+qzTS6G0RITmPcxpMFlc +v6E0U2YqPnPV5v1lln6MU2OENo9r4ZylkhlObHCLtVsBXZALItPfYISAZ92LFvi4 +X5h1VR6gztze+0h/G2JiRiBpWd6xYJSZ4a6kxidZeiC/joq5WbLyiGLPWioYMPFz +AUq5qmckxqMeDgu1YWKZvU1UXYhise2LS4qXnnoXEa9tKrEmluxvxq5z5gt52UhS +l9Z16N8lSc8TSAc3HQQ+mQNlL9rtFfBrLd2DCmw4TRwScHxAl05CvURpnysCZ9MN +i7Mbh6XucXUytV/zE++1bpRc/q240HHMcvFl+bWiitbXaq013QenPbVbQFsnIyUS +5+2umEDWH0Ua8nL2hvQyy08dAk522ioXJqCOshFNAse8BvBhFkmbja+vH8p0VlLY +o0hbiaWdvSaLriUviXrbP0o6A/P6a5+lJyBYqtDiMmNlNwOWgVCnYjvipE0J+9HL +zDMqHFlq1VMs2oKEVjDIFy3MVWaOj6YC9MeBTAO5g9x8Z6JHRs/3FyPcSf6793Ei +MccI1hD5rtps+iB9gAAl/0XcIrzx37STw8L2842wrfApIMpr6bkOr99YNniZv1di +vxyT4eC8souJTtjajjdd3PA7w1vJUniJ3odoJlRoURbh58AWMK1UWj0/gBWHRMbI +m0Calnb6EGFCgCPOpwoUi6CgXMkqnV0HQ8Fx5Gf7QSpVUO7OLGVPnXFcEn/74PTg +2i5Y/fikdqTF9bmN5h3Xx03QLd0B4RVJ5nYqXZ/ZWUNd+/+f5eFBI9WBml1w+uQ+ +vHN6k/c/tjcyQlq+B1qrH3cq4b4xxii+Er7D1ynJw7wU5tAaAMt4NYbJA6k6RcIb +40BH0C+6c5Xqpa5TyucN846HMCTalNpYeI3lkv9Y5Z6l8W5I24s2wYbFnb5Q78YA +0NnI+zMKkwVPVb8U1Ba/7g6Ijpq+DC/D3iJ3eZNOuYu5MR2CTmgnj79tKAJaW86Q +F7GKCx38eBZq4PUPJSqeuHzad2A3TDNdpGDmPooiRHq4FI8/Zex+bZ5k0CXILSBo +Rc3iqSNh9zkRwDattrlV1l7+B/ApOPl69p/eRhvElgvRYbxKzcRJCV+0wgw4Ke46 +xDt11EpmmYdNonxZe0UgiMlnn85/KdZX1Ns/rU3s0taWK13YcSUwB1gMrygEZyPi +eUHamExNdn/6w1IUBGlZGtAppcby3c60aGiPPZlTFPErdNM9tbZYuSWD2JlJP+g/ +L0GFT47cdSzypji1SjPkbg8a/5NfFQ740Kesv75e86X7K+bRB5c9/8iTncPblbjj +9QanNee1qhpaejHUts7tK5akP/E0LJvHUbc6Mdl6HdcPSb5qDtFqkwSMa8YC+2HD +7tg0hjoOhznb8pevLD9Co+saMIJ0cuKaw9H+cThNNeMJzXRPzlT0lMw+vKf9Hzbj +hmlksKI/K16pK1yVRechctQisdpZOnwU+y59mvATvhs4CB6P8FmOQQHaHnBkNFfA +GlITQYBMqm7nRbPqSxykfE66DKw9jb2J1dBrYAfW0B0LeaDR6NGwCfJH3G5dk+/z +DciJAb5tMWV7XWBO52ukpEqO1u6VdSkDLT6lOVDuGLWqw+/i07rmI3RMuuVQiHGU +ZkNiRM9KKVRTEbPiM54B3GhllQjgT2cioSZgpt/z9H3Uw6erBjQx2JSsBIppUFI9 +b+lRAGKzpzNMnrxUI9g6mdrfCkvuPq6MjBFDkhiZDMMkv1eN5ZEUjXd2HioQqIHf +6WTlEl3oSnsqXTZeKCFxFJuM7rczfnoRx0qViL2cL3BRJQ91WeOTAhJBRL4zGd0n +Z0j69AT8Z5YK5NjVbT0KTnMR8z3Z8SCjXsl/sBpirnqgtnaAQAwK3enBt3TiXrfo +SoRjA+amGi+ZbkiUDwJlxGx+ETiBNLQxek/mcXmVGTY4GLJuG0qBNNYolDsBevGZ +JJKifu4NUl6Dn17rkdOK+LPwe0zUnmO8P9JsaUmCYrfbP44tmPsr0U23V+eZHPNm +LDZCMxEpVxnykHzhbv3jUnGXUPSBFCGMqHEHHAIwlItPG/RcpojciNbriEcM7skq +s8RY5FjMIOaMaxHGwtw8mLdOkzWV70fZnYr8zC22yFRzYaYT43EW63QUdncQHKvu +R/n/+GkJiq4h9qbig1wun8d3vyFLrKJBuSq51Z4qHjTX5HPhWyzehgcRYEWWReyO +h7bawItVbVHSHmvbuQ5X61lswqiWvufhFWXg2z66QFmWVzYzziul6chN94YoPo/f +t5/sSngVyQpb7e0Sm9GWY4+r3LBJsppIwVNJms6tpm2jzFHvXa2WZWRIGJeXsj+9 +R8ZZnnGIbiJub7vcxuas8X24JORqdOpiPkyfLgaf8NrgO0kn0EKXGQDHoHnAuxNJ +pH3BdrzD9s/aa7V3zw5lUfa1mPFJMbTcEa5pH2+mGayf5qq7xT9f6wpxHtSQkzuq +vzVJaLN5BmY5frpYHOZUjOcHu4iLIhzKEUZqzbtNlwqzJylK7z/hGqMVguX4irf0 ++kL3NQZFArJkjihFjY7dvJ3ArQa6TNhaEXtLzaZZKnb54rUpiK7v7OxWHiDiWPUj +EWcnJ82/nq2/3RKnqvnDNp+4K2V1eRJaqYmuLPsuGouP34R+hhRRCyBMlALA2Jc8 +yqv8I9HLuHBFL/5JrWs4RwmSdEjHrnf/zMzJAXNvRER/d1bEltURNBElKsfBQW7/ +PwZ//aN2hlke7WqaFjAfWlLaXgLJVgwUDPuSq/g5+NkfX/34YLW+iFLRoBmEdUR4 +zW3+TOyWk4+GV1zuDWAJdz+ImavveZHFNyqatwe8API1q7Ns8A1ipuO/XNFZkvUm +sAIeDvB1tdq8vJWItJ3SrS8n1ZUb7N0eX0vLMuP19iu/qC147ycuHpwlPKKcPpzR +8rCgFR68DWtpSyiRr9b5rRtYMd7aNYNfq/8x/dLFR3+pcNZdUHNDckYb+PEbls2Y +/Ac7vkPFND04sfWIzFu6PqzjPaYVGO1ayUaypvSjXsYeKS3saoVF2/Saq+FCcnFv +habrwaGyDU9ffyh5ZrhPtmYu4a5Mfz8ENxxTxuDjPODnAj5lKZQ5LZqZ7InYj906 +p9qCeEP2il5kRu/dcFAa4EQ5Hewfyp+SwHZXF9Zfvr9mXsj2L7RRchqr9z1u1gsV +6su4Gpqi86WhT8XM5suzWWwF+C3sMkIHhm94MJbXAZywl6svbEZ9q7lnyLNoajZC +EPIqiCTmQrO4bJqSFkJB3yuaOHUbNc9gjfCQJuqegdptTL4s8uGN8qLW03o/H21z +MdjkhGIQIm9w5cBK0gl1qXsMa/XNsE7UKn5gbEWO8L2+3pWwoZx6IkjpHLRzpTVn +F8fs8OChDU68K/iN+CkWHajJbBGBJ4FSLHBIXM3eumRb16YREinoLp+M5/MdhpQR +yj87YrOFySH87IYqgyNkftpc+xxwvvrjhhrkH1IpMMKj1YyKEOgCHQEd71SL0e9W +VTXGXs4GZk4KKqs48RiWilX9BGiYvq8LrnUelvI4pGmCNx40jriOlUOg2SabN6KR +tJarl+xL/+jeAtj/Pd2HUpNc88FMYJblkR3jVH12ohfnu4US7DgL7u+VESohlVWD +s1oWJJiGxqdcjlhVh3c5cWI1KShoebjXDvtoOrpweqTPckGO0C/TTkgEM8+0gDtA +ac8cI5AD9YucDZVFMQw+7bwjngdQaGRA1NaPyzF+eLCLKzdo3lgQY1+TQjMb1V1C +ANfsblz5A+PGP/G3rHHZgp9eFVQ8aIqvDSnfHxXmRs/HhpKjGpURyrPUxh7Ikx3G +h0gjEcjW6IoP7NLQT/haOx4W2aQ7e+BGxntvsXaDoaMI48LPZE5/5PxlyVGhm9pk +CdTJTu07cyDsCH+V1Q7W3nmiUJOyuyaQ/0uWeRaKsayBCqtDRrvxDklBdKJaYM0A +lmC0SKertVokoLiQ7lrhYTHoyGNhNesa0meGo4japzuLLLfmZCl4MPRrqdqVIpTY +JhwJboxI2EBgV+GWLTHRs3tKuKYrwSpe6PRlNgGneN5K0O/fFPkESvKeYD3ZEG3F +tSti0PuBeV48wH3I2SMoBeewUzVf4jzsQR62P7rhW3hZ88XMFO8EJOgUHmV/iElx +LjJTX2jTLF1d+j/QQ66HWECZgfq+JCpwNGDmb2eHBofzXtcv+24NXJ9e7pCWaqgq +XLiS4MY8ZdaER34MGcPZgvL4JIZfGb3rzERgW3cVf2u45+rycW98SD3Ue7f8CBKV +AO9Yu5WdADiaAsdnqw1/3t2YSxlGNegPDNyt+tVMwewWVqDCqAoHgzKUfJRLf88a +hAG94l8q+nmxt3X8yrgzqT2QjRgQfV7AQoRaedvftGddbXwj+uPO1tjXhlfeLovq +fM0C5UZfMtYiJzllBHdJVO9h1Nvgb7cuRt69n28/joyQrFPPz3Sivsf0cl/gscgG +SdKmuGffl3mw/CNc1ul1XjLW0WkBJVrYa6xU5lhyEC/yj9DtXtr8HLD4Tb1sIaDn +nRg8IUebQv53Ef2D5g9Df8FAp28TIMwEjlYIQL9iRLSVcelUNwMXJ64aqyz33LHC +FzYwW6DJ+9Y7b0arqY6e+PfHb8Mq17/eRVS1bGIda2JM64/MdCyQfWymvda3SE/S +I4KnZ7qzEho1KBGxOTVpUjomGoRdrOsseAuVB2U8+LOdLvUpRDv6yezOYnagS1g+ +WkukhSpjI9GTK6HB+ChdgK8PRrm8viUtgvgyvwbioo711mcIbni+HpWGGDopPVu1 +K5cSGjjVPGGMn/cxnYgojBr6N7+OMSxNCObfXCDEwAP1iTOc7sqsymnBsZ+GUp/s +OU5Go3M36lFm79c+K+7PJQlVEGWj8Sj7gRMjoZ9yc/CrSK725V3RDa6dITJVMt/v +y5esIHOdyTUyfaZoZkNLi0iqbusIFOiIlbH4icOOJ6VxyMT+Wjwhj0+rQ7l82RQQ +Z1+gG9S0B3vY3BZCdDGv8eHsgc3XOcgoh/9mivNRkTG4Us6gO1Ifd2MNL61Cad+2 +ttqbqEZDHdKV+K8BXPJIzZaqZBRSQLryYROR6qPigS3K49BSy0q1DRjXDvCeHufX +0tdN/Eby0UR/9IXE4frlAWdPApssIQ6260NY6ZLYbPjxVNg50d+3UD3f0RUJdxX8 +6YhhMGGHlVQgadxebR0TrLGubL+Ls4rUuQkxbhMB7gAe6kP7Mvm7IbP4nygua1BF +yAOb7m4UJ/GDp/DW7/0qGJuLiEFchSoUWL/SQIMAcI5wzszYTygsfNoYZSUecrCe +gNvzwT62tBND6oy1jS4QsWg9WjWfwIxDYIjBjjDHsQPZBwf29RNGOU0mAmOGdRJ5 +cIP7W8crnC2W0rwjRfcRYJg+kU7LFqsRGpM6iFyQLns57RTfvSqUZIdiU+64RuJs +yuFT6gpYK2NMNf9BSxyawtAu6c2W5aDkVeDe78S7iB6LoiXrbcIO2PMSSHn/DeEA +seX11IKlO/dzdqrMm7JxdMKQWIETYWXpQNcGVJWQoI6kQSIZ5Nrsxgq/jb9WkwuA +sda9+5Uzhhi0eT7lB6lV+py9Ir6Pn/PAA48pXhM7baaeZ41qGoqWkMVl4PyYsjJI +3vxpYVFiktiBMWUwKaOB0Z3DSjpNxcEiXdd4xIHAlSBitxRSOrhgS7ITce9lislV +C+flLxFO9NE3DUtVeEvGUMuW73sZ57Oob0+bbCWgMgnh9eiGefodr805IxUn29D9 +7iUqzYFxjF19NgeGHn7xxN3SLsYbNF00q6UQUgIV1q5NuH+viMM2BxLqfKK/6zMB +Y8EoQaZ/nadXHL1Ha18dEk2kvINFsuKuH1obWZwbELTfyGzF6ZjSpkpDwdlWqQ/v ++dUpLBDhayfrWDHmJZOiAngRf4x9QNYzHuzrNtkLXirYFN5ADObGUn+ky6l3dBsd +RjWPjuSiFpEZ3dwQ2xhc0kOiOFjnfHDJhJDtq2sPiFIfsE0HtBIW7JL3kbIKHdkC +8nu6dxKiNgb13gFMUxxor68HCLGoOETjq231oVnVrUnd1O6dsc046y3jCxUeGWMo +b9CuZg2hozN3TIiY2dJ9mOh2s6fCtd+6je+O4Gk+BDupgtM/M8xytwRMnvpW8QwL +qBW9mlfXE8zINGjYO9MRJIWTR6J+Q7wDTYJpI3uY5DROLhsyic8k4+7RQSpVteDd +Rxju5oUQlCck6pQs5HGbXG+JFJRreyAVyqMy52KcMwCri/LBAlS1tY4TrznQzbBD +LivYNktxp/iVhuGrG8IPhiXGZOynqKKTmVIAemZbmGlohWZnWxSMStqKAlzwUopF +R3jHeiCyPIJAmaOSJjf4lhS9L6xhWAbJxWOoCfp6lNcyaGnQceIQujh07QnQACph +h+fPH9LE5G/3r+dSvWWYdYIFUrt9/d6+37S7UGmeDEexyblCw5Dqf23WTf3hj/7H +wuCVJRrJe6kgpQ595TAjXwp6j1lJjH6xL80M/jjjlAmiBePpP5sN/TSKa4oi112I +pKnVGv51CSOY7cRD3jGWkbd8faCf+KlsLEl3B+W9ENPcNzl0cwXXs5H24jlTaR/C +sKEW1/q47Pqen68ZEp0eM/KcZw3cTyaT2qkqJisKY7Un7YmvC7pWTSuhlX5a6A8U +o/393fJf4eLo7bCHkQf5OUWrbcaKD34r2dckbGAk6Qy3EV+Dv1R0xI0irx2oNIri +zetB0BC2smuOcjpW7IJUW4oKrwmB5PQe+X2CI9P0b5vCtiUm7sGYf/nZckYYOMSP +ATlNqaRmVQLFji27gzmUuGcZm+d6Jr/fKdoIKGg5Yi/lIzLUIqvcXdePdl/1F46R +vgeX3W37E4z/B901Jt68caUVxh7wnQ10etX2A11gcHOZrRa19TQPjmU5LqqetCq6 +bn+OvHFg0lKZam/julJZ6jQ2BKBFTPBs16KxBDRvnp3XntjDBTy2mZM829jL9s/w +rUz3XsppcNYnjlATMIVKHPNBVkSQmFyr+jaNEBBSwoOZZOXose211qcP1i9TQvwC +YQpvyVc6scAEjbjQJAdY28OoclBW0WefsbEqxdKfw3PLUx+7sEi2RbgXVySZA8E+ +NgzRyPhUzG9JIZT7/orzjb+u/0UeItcjJiMagRERtMmeO9gvD8xg2szdG1E+JdLx +Z29QgMOiHj/HVDBBrWBQVWFMS65n1rYGQUJJe++VEuP5k5axFJ9t3VCNYrhr4Kra +mnRq4XD29ecLeKgb9sg2MokvPQgQqD8A/AKzs3hK+Eeozs+Zitn/KwedJp5b0+or +yA/LfZTLBezcO2vMyQQez50vKewzqFy9+LxwvaRxs+G+VrTwVWOWSmwTtQlfYjYF +SSjjuJrTXgvi1pVc6l9dh4TBtbcw+5mrM5235vCWvkbI8iXL36lsXjAs/k9hyghJ +DEwD5RKrS9+gpgDIxYabWNtNAkNRCAqCQpoQ9l+IGIGferLpNVUU8B2PI59LRpYZ +3u7P6pkU+ATbOgCGrarDO6W5+cJJh5OROftCgeW1HM6SfAvwntRQEhuriQvBWF5f +N1n/C+hYd4vGB5F8TcZMTty8ejg0WB8LXxFssYfx7BC/wxhKAchnyFT3kIJHlQs/ +q7JYxgOF+iKLSXTKi81xff/3XemioaVepNjFRY8WPVnS8W/GyUTxWM2Kvdt0xuBX +dvzZQOXnKAEeBYM/vP345OXAmDlhRvRh11DrUVkzg0do1ll1jHPenaVEr3CzCp9r +mj5oeR1BlJ2CWSyCyYxsmnEeY00O0PIBJUPGZZcmQ7wowQTyQsoOH6S9goLdWqgp +HCPQieyipe5BSudqHlMc9M1v8gaBTRsEnAXn1e9Cog/sxKmdfP8CLI8Tm9KZCAVT +mdd9B+tydGrE+7rbH9Gsg1z4+KCPw84vzJ8R907yBQx77rYo4stJooMAqnhMyt+S +cdDpjBqmq5JOgkfyZA0SPKkvgcHta27EK46giKTqedLlKjY5PROJJNfbl6MjI3au +RTW9Iyu1cDbzNfCOnUh6VyYBhcgqy2yuI0wLRIGN5o9mUVLb9eUQyYvkUi4voi5o +VTEzoV5FCuGnlVfSPIVOsHmTBvbUMuG6yoBgJ3mevxgeSrGo1hg7CvSTTPymK0Y8 +Mk+2ymfpHGy/+/UTk9nCwDQIyY0LlohJKHnSRBn89+jTyiqGEubb4HLUM08WgXV/ +GHYbmFmoNE9pE3srlhzKDOQNhrbkGj2Hdi2DoCsvWOBGV3KJq2NJwPvJfZFl5Ifp +oxohSd+POjim5mtz8sCQcw9e6pfwkdb/5xmJF00TgzKbwxyQcv+E92CTZwjAfQuy +tZYYBnRRBTaKHRnm1MScul4j+eeWcF/eXEoYO9NnGYQPd2yFSFnc+ui4UIBcK6EJ +jMely93h0eeMOc41zlAkJA8/MDE2Kife8I6kxYKFSGFLn/DkUDlZVn3C0EQVOMgd +BOBVkSLytTWz617getjt4U2ftpiP8vCya8WmNs/Wd9JVE/05e2kJjCpTckIkGj0D +1CO3NVuQzHk7/Ribz8nQaQs1pGg+3V7ItwyIq0pv6Nwz12t/e4L2kg+MTeb3gJuD +vjLl663Kp/vs4/SULmOFBQlrAyVAFopgiRz3ktb1wARWpyDsszxwcLOOke2+qNM6 +guFJ4fiFqNqNnR/cVuVKuLTYfQUINj1sPO1LdFe8ln35bWCzQfDg4bHRyAKeMiL1 +Y4j3chvGqcdwQ56o/kgPq/HZlasvPkWTCK1PvmehF20YWWWnFICqFN3RgaIpJNKJ +6wfnzAvYEiMHAQxAqq++SaacJk1nIJoM1BiKOEIjRLDuSKURkYLD3lqaberShX03 +BnbHOX+vRDHtIlHW++RfRODCubhZ+Wz2WoMiCeDTdnOKG6lMEyQ2cMLxbOoVTk9W +lrbfOy3O8djsnHKvzXhIn3KlzwqqiBuBeYlfiFPfU/3dcNiLl1trwWgySZ0WkNck +8UoJqVOTummnJQ+LxVncaWGmj6TmSfsHVYQu9g8A93NZwOOU2K5WtzGlykTcdBXJ +Pt3Ng4z8CZPuEqLq4M8pQFSj+NehR8DyvmKa0A+UneiAy1oXHFeyzK6EKwJMlILC +oGQYPjkZ3BxtgTP8Puwff7f7izFxdw4SR4GNp49Bv2MiSL9M7UF7IwtL/QdbyNZh +c5djMnUKbOVyOQnehWgEAzpplb6juyp/iNgSi4NFDz3pbD+1is7bK36WKDXX9ZZ2 +U0/8qU5QoHG0JFzQUl3vRXWDVEnioRslH7V992pBb+Q0eALUhmadrM5zitLyupRA +pA/CFk6+17f/CzIvApgTFin8FKqYhReNL2Kd8eEkxCfVfpQMNGykaKA0DIyjtTj5 +zwPywAtJmWrxnv3Gar0xC06X7DWjJU0qmD9mnmIDIYMSwfbRj58BRD4i9LwImQLt +WQkJK3OhjRs4AfTKCU8QNPy+9dxsKBIhAKkIvBewp1HFcN+sGL/yuTwiGcYhdZsk +w3VrTYcIZReFCFSuDd0/cGhUMXZYWxZq8ZWMQtlzdHaYqU34Gms21OR+9TpuQuFq +lGgkmYOs5k02StEIHOoz5m3BNzrdeV50f0eXgAM6IU892FWqKjmJu6zkpetl5rQi +umA9SOpIwAaWRmxg/pN5UOYTnpisc88VfXPyPG4k1QrfKN4+/gNDJlh2uCkQDJ7h +H1DoRgpuYjeO2Nbaq61V58K1zjIFCkGNHVKAwptzQEScOYME2tYC1baAReMRP8RZ +EJeoTXpvf26iDUfRKp/uPpwpbGO8V5d5ulXLaseQk0fiVtYhdTwt6QAXdEkyqRjI +i3hG4Mjo/mjUtXabvMq/C4nbP20gyvXjdGOL9B+rXgITLk2xWI3fNqmTrTCr+x5F +cAj6JeuWLn5wCOKRNXpDjcrzwe608A1wOGUzFz631dp8My2Kl/pRXD7Bq52bxE83 +50Laqqln85BtLZ7l16QWsX0996hrE41BtV4xivT8bmV1yZtNIh7mlpVHGbCWWDfQ +XV5UKrhSUXLGnW+jGNGVGzOuLlm8qd4LHqsyELlqj1aTasxpRhNfWQ2M7IqlWWTw +fUuSF/byD13nndO+e10QzFkdkEawmuYjaTwLTW94RplnDeEgQ+Dqitgwf0+d1E/5 +nlfU9z357g47unD3FZAfzuyWhyh8/Bixlm/6yyPz4hjRqs/+di3V2mYsSeiNdkoY +VcVlshEEEE1/gYGyE1JKedVxesFZCgsx2VgWiVniss9cbKR4slFzAmVkX30Sk170 +GlNsISoLMUB/hApdTCHsftd6btMPfG6HmwHdpKrLa33d630uZSTPsyEmtTG85G4d +Oo0D0BTX6ykJHkYxeF41X7WyDELPQt0JSXZsY4rS0tVcn/jEvd9jzBat7r8iXova +VIdGP+tTPkFBI0mouSg7ZY+SpzMu34uqd0mkoV0Owm0A9RacOhpIO4KU/scXBvem +V0WE3XpFzo2bcFmInsWO15sjHrjsnmTx4jyem33UwysgWWizojv4Gxx++bQCj1Dr +EoG0Zn02Q+bhJlS0GcvOgVqHzxIcCjuyOaaPNveITe0TzZPDTKhzqwL5W22rRQLC +XAnZ8iDnn2Zxo7DsWINbWP/Uwge868JS6i4OcK9JeWwShIDNkKYDx3Kq0McNStHG +/v2jarwPlseAN7+P5bXTyrvUwRIgbrWnlJnvyVVLNzgrV2jc2Hn5XH4VbeUDVVXi +HvPD0Y34Y+JdK8Uc428lhG3dmmGZWSSZb4JzuGAk2dgrIrE/aqiGErRKTQeMyJlN +x0t7Fo/aEWzDUHEmMoBc1ygotHUyFmShVpMOllI/JOanFJz4dBfJ0zDt/55od7m5 +oMiQ6XiFcmAqZcGZCrZ1zHPSQSN33sXVQGqcIV75tqmfbtI4LTlZIUo8S/R5QkLg +WykFLf1iU9gBc18aEneWgaoJcYo984fdjRXvax/5PtHrpRUuFl+MssvNnBUAncd5 +03GIncpfKzwGNm3cXcqu/UxhG2o7blwXnVK7wwyAiYudWjt9Oax/fsGaTyjKqjUz +q901rvtpPX7k7Uy/NdQ7U3FOourlBJpJA3RclC2RajX9ZtemJYsSehg4Q1SMTKr3 +LHMrmezvlpAQr54tUZl0BAGvv6vLlkUbMQ4IXxHmZ/igR8zMB5Uk0zzXhp+x/X0+ +U1ADA7OgkD317G9wojkSwHmR7LHK+nsrkxhLaTv35H7ss20RMA39X50zP30l8QHo +A7skV9zfH6ykpvuTDpJeIcF1cJN6nDsBl5MC24RNE9lp/o0s6ynekstuStXHaUmV +C2kl+R3AqdzpsE6NxkWgjYl+Uy7Tp/XtmzPi9y1gs7eLO9zqLA1yX3MTKaRFp9lr +Bu2YAxw0mfndYwoNNm+pJhGP/n6SfJW/XdD2ba1cnaaDZ1B46bHXMNN8mI2uGRFf +Gn7BkdmwXfmcBPhPSCID/nUzzYbtSdgVowYOmwAiKtzVRhfkWUDdyvw8+YPmQbN4 +V1qVCf8LZ4DrSXGG6h2fT3npntstmQvU3HVXz8WzJ4UfvbjntH1O6AKwXMKj/ZRm +uosoWWX75Ytn+BbcngQVGfppPE9ftWFAN8QYVmsqLETw/HTk3v9VUso8XS5LB7T+ +Eh0QctmfGwjp1BTJPJxPmYyHRwmPersSpIYGo4rakE1BOUDrAbME+zTTxwYc+IC/ +RERJOjLn3fcB+M0fPH4sMCbqZkgY1LMSB9k7B74C3h6o3tt0qpl1h3f19wdfvtZj +mitXtrJWPq5V98GkjKbi7VxDItUH/Ws2OSLw16PDENTF7c58dYKVeZorsXK8AChg +pZEDUxMTK83JlpvaiEh43g1Jpbayb7Agp+U5LLZe1m34cXnuXq9KxIXwXO46R0Lh +PunPhBaVsJOyV7Pr+zD83ta3N0AfybIdP10ernOJ9uIKcfsh0fsISH4EqMzwQF8N +Lu9YZap7JIJ+Qab6mHMhBQVY5i/tXnb2fFXVBpnWQ/uRQqEgXCjxYoTr6Dn2eAAM +DjFSvwErw2Kr2KD7wDJEilJoqbA/vLILpBzqoOH0j4J4QVfRBga8rdiPdT0kYy+x +m4y+14WxselGGCb4LDyRbiWgnXIz9fX5Gd9F6HFAO+JXmKVPRiFa/gQ1Iyj7d0j1 +9r0nlq6ZJWUhQzbXmEmtB6vjdRM1ix9bDbmDLgbI8hBnn3PWAcW3sLuhNyIS8rKP +gMQZ3UsOfwJBcw/WOiSqpN5ROd3a9KxM003wPhKwRFvSQgboY83yveIrgJEm6UuS +hOkTiI8HpIDc9CAq4JoOs7FU0yeZRKDVry6B/JthowAGgAHVnRpYL6APtEE1Eazf +8ZhDTUMfXiIip8qZUqkOmQVpBXth21xvGS9sGKIJn5YNSgC3ORsNjZSNTaX14JpY +3oTW3GdLh0gzd1N5DHXiTvB38kJruJh3nK4ol8lXXuoe1gAfyHVWS8axeqBu6vu2 +Ji3GncIbEGq0cA2Tt8lw9zvPCaPFmek7tBEVRy/dNfcQyrtMBbqpoahKgwTN0gma +k5um7sCPmlAuau9tS+/63JiIA6FvqcdwXnpW8MsFUlxj4YoCrCI/p9sg9+B9FU6D +fE8NOOJ1DLAq0k6nDPUVCSjw067yGsKWp+N1Jzl3ZgAWwkCe22NhF11aSm/qSwU3 +vbOkjU91lxg1jLEHzOJ4A+0vzPx10RPukq+zXgPx7+XYt4OSjwhyMkJP52bO2z+o +0NZJ4UnfPQlh+vdQnEmtw/3tNjtnucHO++xXays6E1JanZbPMuHPKJiK6cFKA+0N +KKMbB29zMsnLyzuTKXXAyB/K1g8/AVCmSQF/nsKaYga2Wqp1KCJx92rmD6RwiPcy +XjrmA3R+BOevLpEmJ17t6spn/gPkTjTRy8SuoqcLsEXXuUnrEZAUvq+sxuxwMBXW +lmvUarBhH1Rw3iOhV/DUSoX831cOhgn8GyR0hi39HJJ68EenI1dvHfffM2F5zTKc +Y+EeETswLUlYoNI5/fU1wgeAiBXJcbB5QPOEZSRQF89ZhTGpTAoabc0ecJFvolPr +/2qGOrbM8i49HG4zWkvs5ms2JHf0FceZhndjKltKrNENImssdMpGo8VZtkIh3DT1 +66xI3b+xHh4+7MJDBIW/+khPE9cPOAWqDlC9Byvw0rtc2V24zE9+NxpKbBgCnvCL +mF4hbuGMxcN5E0obEnVXWqZfNrc18heOo0sSqcq504FSKanlTSe8m6fa5P3C6Nxq +ph8lavTgQZ1+s+qBoAL7s697gMg/i4lnztBpjZKk4M/TDc2q2J/gosI9kDcLP112 +hR/hlE0SDhtRhjgWOV2Wx3egmIpbhz5mVphe9bjfeEJ6VIoJNCW+pXyGx3yGykb0 +S2jU+s3nrZ6FFbewQMcKs2nsrH7OjF3TguQsR4BfJqAB+l5yaZzNvZy1FGafDf1S ++/3LERmRStcT+y4uIzcL5LrGUyJ0zQjCY5/2m3SggAkfwqu9zV9dOOmWP0cLPqAX +kwdEpm+oCj2SofdEgDVlDMC98otx+WsLW5a4xqnB2R+JwHC2ViueKqIzGCfonZ78 +2wA9cqYlhHv9cZ2IxZLLO7OHKQInongmLlnIa9feUjxn8Rlzt3sjkmfYBeQCGVC8 +huN/J4OMFXr39pFsTTOcMwmyCa5uWIv7f3/Qgf2gQskRiF6aDGyrQXJROCLsJXYg +C2+GQbqgndVytGH3nAprHiu2yTTNOAEiWYdNKkSNvD95f7SsjPcGLkFVK2VB2vdb +Uf6aaVksEN03SQQ+Ku66zt2CMp8IDkUKYWU06PiXnhZN312ZGYzRK4v6kqeP19wr +maVtHqpAQdS8VMoakIdaeqv/5yTwRvE3Qqs4+WtILuosO57ISFkg6M9qIYSoDWX+ +1BMlerih5y91BHogrNl7YzgJZ4RxQ02pgqJXU+Sg/l3ImteVT3Lyl/8is+fMb5RL +4Aoux3z2FHa9YtIveMgRlCR2/E0TfoWBWQhvHvaQJZ9C0qS4RsEbvJgRaYFGObze +I1qWJj/wO8Tqv8scb+0t9hV2j9Wkm94zfRMF7zXT4DubVlbjQJnNLP2hCfzdUYUq +/Y3yQXOBLXrsaxt9AyB1OhQDJ7ObqeQvobeC3OxFdGY42eYswQVlZEAe0YS+uiww +pQKXRxlNKKnu0tCO8v3/pcJF8+HNsM09tsiFmRHqhKgLuKNga/jRI3StPEoJYnDC +pNH+vO7RPGCeq0gYGcpxTTUfrUU0aR03o1/kAhi4odDzdXMEqFrpybqrfigMlhEp +toKhmRtY5fPZM3Gwq78+LP1DoYcVbJABZvzqssHyx4NKJ+ho5DnCHB89mTBuheSh ++XdUt2ZDluiu1WqPuqB5zJgKB6dtrgoHXBrDzFwdK1FSZWtF9BTvWAG7CVcXjczX +FR69SWq0ecbf/vDD3pY8kWIPbRvjBCEGvG5Ng66d0vK3vFdgVkd9wBDWgb9q9+Iy +zqRWZUfNVw/2sb2RQcDrku7OubTpWDtwiInfznFW5s1H9Hn+b7D85IZfwCwaxN6K +B6Yu8wJPp7+VCSk2W+KwrxW94rEIEXoHx1URymzRRYERZL1vn8GJ15iOcA4hj4E+ +ZLrOJtiM4IjiED+pGzAOSIqnyf6p4WRz8EAVN6DXCKupN1C/clDzJs1xfKDDybgf +18npWM+Y7HWDHEfW89Q4N6OvpKpd/v7xAK3SZN0VOXHq3ju96N7LrFwfCm4d2RxE +7oXisHVcU09zR4lq6uVD6dpsu4ggLNGmxGkbRg7vrTMcd3tQtNFpxOr1E7jWH5ey +X11cpt8uun6P+U6hXw48onf9HaMAH8bKTbQfw/0E/bYTES4meevHWsZQ80OSOFfh +aC0Zq8G9ibMSvv+He/jee7zi3mJC8iiKF9bLS9e3lJmyn7r0KmhsbB+RzJ3xzpvU +aoUDxIi1Vm0UZMiEaElI8SOtqasJpQHJ7Nq5z4xVT4iGN9WGukWbL8IWUK1SWb5A ++JcMAGkyK4TEiF+ni+sbp5DV9wyid3igrhP0ZRUtyWyi7NnlsNnAsoFZo40aC19r +2qZAVkoaRE/ScMgeIaU/qOLG7BLf51nn/Cm48aiPg0R3W41DJkLC4QOSRPp0Ki0m +cdbBcKIkFkcbJMVFr9Tsb5wsiIqCXH+nWEfskbEE0GxPWMldvT5DTtCP6o69LtDO +194KC/mNVsWxyMa1ybQBuN60N3biCAXLBdI9HRZZiHrEIKS8crR/UKx5wMetAyEp +jKspdHtbV4uk7Qqtc05T3mRbKyPzizI13s6fTZnBKcdhSwT46ZnzUpjLOqDVJpQv +BPIuei2rgjnjjfJw38vIXO8W3DTV0GtWhKPooIDPNR1lAyiuZJVLdF84YnNbUcGV +jkYktUZDYJ0+0hdYIJyrHeKH7xxv0nmqm3oY4ErHoo6UmGqkKIeFKiDTyJ9hTDpy +7f+EoAeGqp1PzyHR/HsDZm77GdmDOJDKuXcjGB2w7FBl4cFpS5+nkCRcm9KAB7um +tZMSvIoWec+U+TIeD/ZKNLoqw90al3lT6TuCrgTbc6URgptEQABTN7JHj8LN60NF +Se2vSZIucH9eIdId++O0xw/Q8FkoUyHamBbosCgYdd7EKsPCuyocGELCtA7mFHf1 +s1CiqDDzAhfaO1r53V6yfX2hxxKGOsTXIDBVlBPlDVVV0rvWZAuALiq4475aT2z4 ++cbWEqP9rmE2mWypB9J90n2Ntu0487j798i39iRbUA1agiF4CKl6BO9sYWu/ttpx +Fv25wG0yZcM8adZX0i9g+4zVnFznFBjzhDQ4ZnbzyYQdF2FycWVI8E0qDrtdXtEl +BuT4yDEg/uWC6xXz5Ltmp1crO8RSC3870tH1hJcZ1bmZjaLoaFV0Pg5LQRTIhy3C +V+JUP2akgop00HnXsdVwdlGkMqY47BfZaj/kUp7H0gsWFTgsjcBH15bHRmI/6xlc +Q7fRhPyIrP3zwQjstuxPt9ELAyf/1260sxJZMVt/Np2PZofXS8pIbmMcNvu8p8j+ +VdVBgAuMlHlYh2MUzoXPtvsDgATy81yfLxiN36xi+mX5SefIidxEyCKLxx2/laaN +yiWlb9hB9zymW4304xF4xHB/mTaBOukJ69NVdUU2nuj9SHpojLOLTxbyxaE///0v +sZKNeYiBoXxRFVYAQKkZknxuDf46H+C0qzIQeojl1f+qSMpnBaJq04oTH3kicqgV +nqrbuaA7vR47kqAgjpHSbWu/PGXDokX5JuA1VsVfAEFoqJyYfRoeS651M1sNaOoS +CPqaCI6eQCuAgpn4ZcMTBXBl+OfRNLc/lCW8WhrW9QVyoG0TyfJZfdI59qye8swd +vacah/tygGLXC6nRSvjyNdJD5fY2pCcgMdNAbC7f9jjj3jEdEo4wl88lb9CjVQCn +SnH4SVO6lASpl6nVS5lGyDc7mXv0bVBTWP1z1lb+NmaIZp18NYAIlwjKqNPE+g3V +YOQ6dNg1iMr7AYCkaUp+Su7SFVGICvBoHbCMuOjony+DNfEqOQ7M/ia1S0mqTDT/ +gtirIi2zfNId4b0pWndsMTku6/yTFq7r5pN/bd0V4GNWGMx0IzIqnivGQGGwIBu8 +ARF5/S1a7+RMpaRsuDxW7rdiWnR8fYb+IuFaFCLpVremHa4w9Qh1wEnMyRPW++Jw +Mt65Gk5zeggewbiWavG5qeFPtGOm4F1+ALLASd+vAhsjEeeml5Lxn2mhPCyXUhLG +xFKw7PkhwJDIT8KMksFwM8p2Q+7FxzxWqGDNSDHjYiD/+mZdlbjm/8rZ61k4TKJv +jwFnCuBGA5cNb6hElALNgfdDwSCfX3oPUwHkG9Ja+2JgIy/LQhmSBAYJWIBIeYYM +LstcybB9vbpLmUlzAX/c4wBDHZbCVzyjpb0iJZ0nLlE0PP3r9FcvCDDjHYjhPdzQ +GulIBwSbsb2bkDKr7ohguDTvLrLrRcL5SfAlO5K7Pw9aTViv19NmZNCcsePrJakA +shDTZ41WFYCabD4P/LNq3PItlZ4wlyZ6Dbuw8R26zDRfZyfYjb90AMDH6yavcif6 +F+fJ4vTNTq4IvOJ6JpJn8bN5k0mEmPXCTkMcuQ9pAGVqvLxJ7PhVjKu+3yS+aphV +J5HQgwFbH2j4Ft1McXwmCf2LzVYqVWMoXb50XcPa+6g/fs5WZJZqPdQXw6jXU3OZ +vJZz9/rW2XoS0nSzZ8U/XFly5I2Pkvc4Wm4dTHrdYH+FRI01Qt+HhGxjMC62GoSy +ScfbUPf9rws4FHVSWLD9C8qSmq/wETbogkeptq+fSGQPrI4nP4aFEXEXcKlizhS1 +zeL/EMJx9zhF40K1SJiK/fsy6xKTqAQplMSU+8VpXWHRNs2itH6Rtyp0t3PY6K9A +p87Adoq4ixYPOeJRzFugi+/cqUXvuYs8McrQcPaaZMs+usm3MvajAXDdI7ZHt727 +vzVHOCGliKy5NLkvtFqes7WSvLIMOjXSxcmxnE/tiAajN6FBcVrkHr/uPPbjB+Rk +1VevOKiEEoArOMn2bi7mnjrjtcQv+NUbN0B561LHpy7+U+k8c+QIEeuR/IhGVajW +DX61o0b+2QLCuOi05t/8w2XceHdYzfAShhRTZaHkjmlKfNqyEoDvOYuhyR/W6X3h +mO3F2NBxL36VU1AIXBoSg+OQ4pu4/CWhW40L0ggi06hL8HdMNA0njV34btlJlgBn +1t7mMEPIhGp5JVZNSzOuTeBPCCbexb6g83XYZNcWOSARBzdH0j8BiHapPKnHBIq5 +VL94Rjk8ZONwJN3yVoZh3nIQU/AbRVQdzQ5jE0z6Vff17R+qmDtbrWOmpKTPDYRE +MfD0/uxDbuLkvs6Xb0Ij9t8xtmxvFGZARCCcEOyoqVG6ag6A5+g3VmNpuymZwMo1 +HZTpLltrSPDs/HUds/XA1qAyPHljAXRRm2IPa4ecjtSElk7hO8SD6R5QII+8Tcwr +xa4SMsv+W8bgS/Xf30V2288tGSzX2Lg5MtcUqcrnaSEF5u2W3qJuh1ffj4/OMr8h +LNc1JxJlo7u//MX4K5+AG7KRk8si0H4aGAiQ50CU/ctQV0se5okOrvL4PZ87jsx7 +5JbzuYGTelJvYYc97yPRrQRAUX4BHBOsehfHldvDjoX5wxFC0IYIgfkZi1pHPzZC +f3BDBwVlG8Li1IoyCTB98PQ3EyQQSuQ/QqPTG1t2TGcHtjddan7pGjG0i1GdbQ2G +j90EcLSOT8c39si7WYv9oS8GXoc8qwNQUwya/6GNOSuC/cbFPVjsm+DEzXm7/+R9 +ggq7cWVV6Z/xtkPxDVARBo0/OG4wX5Mrxe82ZhzSSqvibFOBplWEDHbHiLNbXmIt +Kr3x1+Kaa+kC6mARn5cR3+GrltiSeQgaANoDW7nbzUw+L2LrLeoEAdx+NDM56xd1 +H5lRkVkMsUs0F/LGrZit6bjO4clhK7oS6rUEBAByLDf8puUiB0Lm7sNZfY+uCCOO +76pAZ3CkKZzcjobX0jN51u6bwIzOj0gYkCjIQvxeFKOizlOXFlh235j0z5aC6vvD +V370Q0muKhTs/NCYKhiaabcb7WGaGSV4uCUt8iMz13XVW47iEJhPY8m7FMErrWbX +4Dt1CoSfAMmRNK4hUWft2Rjqaetpb9ELDXQNqAt7Ie9pRO47ZfbwiEocU80hbfOD +rRf9d1UZBM+Xaxmj+r7sd/MKyQlA4M0HA0SV1PVkRAymQ9ZuzUAJjC0YT1RMgP7W +KGjCiBl6zE6ENebiA/sfoK/ho/uYosTzViTwiuOhrfbRBJPMT8Rugstc4+pDnC12 +o2MN3rO6oHnDZab9aSmKG5JxKB8mYSKmDAcADTb76iHsl4o5ppYKs9LpygOg/ZIX +T9jrBmukwt6vKDhlriqlPA3/bsm6FpJRfKyQq3r4JBip+okjM6C2MyDDdkV+HD1h +hCsgRFjf+phvLpxCXGXKCBenJCOn1j1L1cK4ZkMI9fo9Ozk4jXaR23Cv0L2s3dA0 +JeUr2S5JLcwJRZJNw62OdwS6dym5hcBwPLPiyo1/aSPKfZrKBXtnmyYGz1pYgyNd +21MqxzoGAgp9YQ6PbW+Hv2eJKa9CIB4koaf8MH7FaYwVsl/yBkrUR8wIEn8gEftG +7hMjCHDicGwjrp37JaVQlJKKChscEwVcjuBnAFf14hBh+1phcc/djAXyvYNNfu3g +ELD92z3fAlohExhrlKe/dMk5wimZaUXzHRNTGUBjSnpE0ZwzdRGqIOSN8XIIJTW/ +KGxYbyB9bAEqFoGTcMjNfUVoTNHW1+thfSZGk1hEqlyhnkKqCr201EO7WS3OJwiS +QFgUr3yEJL2CXo2rIbleVRtozteo3YndjXpb1AJizegsrRiLBwgqX71uKxgsSzVW +U+dv8gqtdpEW1hNb0ZpWm3D6nqiYE0m7BSQ34Z+/5k+NEvjanKo/5NBgiIRtXhFv +LVrzoiLO4gn7bxoZKYNf6AzR0TtWKp9NsIxgc8korAA+nNhQOpULjI+6Np7mh5KS +ufLoczSG6YhC4O+4JXG3r8nm3R4fD9puXF5g3HlaJRnfAEUJXR5OGyFifor72IDs +9A6X1FZGqzlYnbrzgZZOQ780sgYc54g6hgkafE+1xhNmuALMORahLa+6bwfFuKvS +xovrjTpR/4gcuh/y7heAnWSQy4gK81hDe2gnN/rp0IhZ6GTKyGsGa3XazYYAqjyi +7D4r5Tz1WXdQ127X4zFVA2lL96qtPy9Lzk4ruxWTx1OrXXIuQb41pi9LmeL9eqXU +NMQswhALbB0lxumAkNTWRQ+5jePXX0XHoQl6TUAMJPnkigN40nMDyqvgjuJ43NEt +rpA2AxQwQ7ccUq4AkeZ6CsEP5G6hXTT5vQuKqsi2iggto/BH5D+yuuP5LDD7gk1h +/wXqomkh9GgXpYNPv6CVehw6z+/Rj9xgZ3jjpnVeAWIa5EJaNYN9kTQSH6exjdyT +wdLvQqUhqz2lJSkT1/2vOSz+Gj5pkRgRtKqkbbIUkdOKb182Y3oZoyQz+CxIxBFP +2Dmc/AwlDSKkcY+BCzjL9u6tH+CKi8WvY9uy28pFWZdnhZ3sOnRndArgJqRO57rc +YzLJgoJI7NX/F35h8CvzxO0ypwCsL7M/ldzaal8M0nEw/d+BMk+8mKGFHGFsCuiy +Cauom3XhOFQ01E9BDttTk1f/lpuRTeI8kLnBMF2ZJKb0Q8vc+RT1s6eaa2yL6W9V +CCTPxnVKCq7z2YDAjCcLbaK6qBXPwEwEXhJ6sH/kVtZD6/1SfxNk/GC1+nZ1+gja +f0gVF2sg3csvaCFDXKvOy8OFayzeBXOydf+SS8luqVEEuR386TqKvZYQ1/B/OXRl +pikuyONivji2CYk8oNy60nmIVtGSWnEmMSNss6GId006d8O5YIdeMMb5K1ZgPqj/ +IOPKThii4N2LjZqJ32EEtHJ4Iqg5raZemhpZJmq9rm9IveleTV3R7E5nX83Ags2A +vlUoO3cy6BPgsDMCoZrT1G2sMVYBCNCeoiGO0PvP16Vozz9tW+mQFQnp/WGw1HX8 +zOP3euIvtOkHdmp3X7ZDlcv9fN0d3fiygN7Sm155wUb4Qxg2cEupICUHpzdMIXPf +6Air+YC6quyBbl5F/SIC8ZT80UPh5cwso+4Uw0j6fsxKYTck9FVjSgdfeDl0u1/X +wK9XKsJDZo52K+9Iqw06iFy8cMAsDIR2M5k7EAXG+OWLXttphzA9y2zhONmKFsd/ +b7RxtNIMCTZt6Vg7HQ8p+g== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_dfe_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_dfe_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..4931754e1edddd25d71e21e04fc484b42ab66de9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_dfe_tgx.sv @@ -0,0 +1,386 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +q5baCGZTNcjDCuKvSMSdAyqgX/J5S4imUEroEZHdjhzQO3E1m3LukLvDYrIQhQkc +wDWArvYtA3r8MaAIqaErA1XcKX1BzcDuuI8QtT8DXPfA6EjQW1nK74zE+/wBi4DG +SqfVDrFG6yrlTOdcDIIXNUV1sqZqyoSUkbmI1rn83Uo= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 16800) +oO98NLeBdCLne0snh3GJCU4asgEm7lH0R6EBUKxzfWOfD57+K75J3qCrbPV25oHL +igUg1/YWZavqabUgaVPSv1JBlcDQc+I00m2brtqeQJdAfAUZLSgESC9MePcYdw0N +6Qeob8/MAVoRHD6fO3lY8s3AwoiMLceNZXMAcwSQtCo00TcK8gnWyU6PnkgEWR2o +Qbll2tWneqd9gg9uNe5lAC95FKGov2mGr+/q9D3t3U9hZgd/vr6KPxOZ5V1L02+1 +sZb8xt4wgwNW+UZUkKbTLhJa4SvPLuMO+I39FWJ7ykf6gfBBBU+6NTnnahiq05fY +XiEmOZz4VEoSys838NreRBVs1s2D4TzBEcuLD1qUs3dgvOpaNBgH+tlrwWvAGtkF +/dxgf9s5aa+p1/zVh6EilZ7o1zF8rFcrWo0f+zv89QQvrYGMZoMxx4tsyMP+2tHm +3BVjUjX+cgvN6fAZbDps5XE1z9wSvcFi5I4N2kZZIRk8zWqnDubMxNqcrJvdxe7g +ev8cCbTV3GPbHWFlYLwQmBV0ne7geZ96LGTvNcj97ddSgES5TdTwcHlTlJGIiaAI +EMF7tJCHUVYctprlQXucFrYnoArts/xn6IcfbHsHZsSCEQQlgMnextXJ+ShMzsO8 +aCd0NEPkztDS8Xq5dzIE/5e13miq1IgykFQn+cAgIXthhVr03q0YGChIB/CS2vfo +JrFEfykAihf9svWsns9/6sDP5mRAoBMd0sMfRmgkIKVlt305H8NWhLiyxr+v5rT2 +2bkBNY6d5MLHYnI6PIbJkAY5guUQekI50Uz3P3zgNde2PPO7EfNbv+qK8JwrkAyB +bSjUrDKFfOfA0KYmzP+qdxAf1UQbMBUgliqgxLi7l/D/vzWA3fIyhOu0YgXuHpoc +j/g/55pyIOsNV74LqTV9q/XD5N02W7oGO9C7fBzmP2nDTkwG5PcBqWl41zIfdSsY +2vt71oX9nqtkvyUw/Q3iYyNlqaBeYnxhDrwzevKQWl3YTV+lZHd3k699VQKZHS51 +cG5XCmcNfZU4wZi5WZOCYIEsG22exjEnHX64CTpHNVPxpXdI/l+OGwIT2TOOvL6t +xnOVTd4wtTD7o2RN6u/LXUx684R9TmeVTrm4EhJmXCoK1b0wiBwJ0i9P2NQax5d2 +BqDXu+COAKNeEmA3MkjyvrAZRbJB7JfWUEKaGpX+CWNvd/7wDn0kDBrDrrv9HOhY +Q2+mP6SGMRpvx0urxVyF6s0LnMbjVG8bp9lR0SlxWKYte3NuioizoJpzATyc6XQz +Lr7l/Qn2bSQWuCGFcSUd05yhKQMtXX3MfFfoD0NSzdT3RnLT3U9trP1UtiXxnEZy +CfJTmsjKxkKSD4AJqmk1kuWjdu7J02bwi4uaZzRebGNNjsYNBr0o3LVbxkTYER1o +xGWBo1nJdt34HPhg6DySbCA1j+QedWLD80XkgkP3cse4hZJNgbvJAnQ5Rwa1iD7R +B7KwUhI/IofqdbA5MwLn4xr9gMkqsJiUwFvwmNvZTYbiC2CnQlcMyBGnBOpihnjs +ftaYzG7j02L0wSMfZ4ELT31NBEODqEaRCdbouqAXycZ3BjRoYEy4IjX1hqvdjBvO +0msocSxkKI/mDlrAA1kX5zvIu5FGNH1V5dZ6CEreq03rmIBZrsprLP+y22bvivXU +Wk1CaqLNpvlQXxQgku5eyBHFLlMC9R82VgP+DKEvUtFtX+THQiyqfaTC3vAelmZw +vb/7p9yiQtkDvalKSV8r43o3IbiAepbabJeeqhr0M1ozr0mbV5ORV//VmlgaTSta +4MGpFZmUxG2uVMHd9fRh09+E5DvTuCV/8fXcwTVZzAR+cdN71aLnJdM3Q3SM5wN5 +hMRD8uRqUifbkRfFv/J84RAmqsv9oeaXCZ7hHhFv8JuGHwlXeEQgEyCMThmFBqQO +3IPv3oN9SMZcL09G2ZMThJGqfQgTpqCN/9XYAqRtBFDIrZ1iiaDyxd1I0d6RwrMb +B/fHZZ593S2vPmd0JK+Ujx0jO31YXntk8anqrda6xPmXXEzN6DCi73726vqzftwv +Ma6bJwepIhIXRjl1dbgaREcCENtsK5qTu4Mq/tjFADizkyddI2/vtzzL7hLc/5Lv +2pEi2x3ZYSMjbXBO03n2PLJBHcK4eV6WRnDkqlFcKBTYRiPkJ9SzV9PSqy36KN0q +VNVGKGPfLxzGJI9YOZMHyZf1u8rWUZzKFioxpeL3jY7O1+KlKFfHkQZuomX+XMQ6 +YJM2LktqaYbT5QmCm75x+dOEfWfkPTbGAyfSIELiRIMnUSkmrdKmmWFnWYImZL+n +IUiaNfCcnP7QvlMOfKrWYsAnJ3E8+PsT2Bfp6AihZK1jXncROLO7DtQpF/98eYBQ +C/fCpnCwvGUOXviztWhCcw8M+dWCrFFNi/1l799ktn3ADSeiukVyZm7ORDbU11mR +IQVGKwKHqAxF049opsUAuU2C83AbW71ZUwofByj114qv+RGpDy8ob3dhYn9jGTzh +1T9Ao5qNDTcMcVJGK8awa4Jd5t6/CD9xSqhXQJ2lYvYebrNwVCNlHMWSeS6p4gpz +wDFHMnz5BPZ3jpdneOQENInsxqJIB8WmSEMsIMCRv2kwNSwALD+qabPU/XqIUbjl +8II5A8HYrKNa9QSlcL5WzA6p3VlUOMEBvgi1hOqKJZQHd7A21Hie8iIEYLLbUxkD +m4+Rte0/IyWigW1d+A/ik7HWGwIbtdoCv/QZuOeo1faLn09lpk78nFvRu5et/rM+ +ARXW4SH1B7KHS3wfGz4aMiXPmTzlafSlwssXn5KG3IKGobiGOlm5NsygPKGaI8aV +T7VpsBlycsmOKQtXF+IlF9gGGT0lo7QBL6C1E7G0xih7QV28Gbbu9rkilu6s+0oG +BcP6LYNdRU/slK1W2XO1nrm1a5PtjCMpBsovE1IO3jfxwuYDPD3V9gNufM1wi4JS +5NvhKOD3aHlePFx5dzM1U39BIzidtpJ20wqMOxRFAoPeYKXGSoGZ6ON4qWDNtvYS +UWyvbtzo3vGHI0lFQMPT9TFc4mZdUBU9ERvkRKII7NM7CBBFkORN9PZ4vwVias1j ++LJrvv2CX5voiDpSfaBGtHMkodURdbORcBC6fglU3WV0yIsIBMwrR/UXP9Uao3AX +7SPk710LJrp8hgsXxCpaKo5GfTu72ckJ2dttIO4/vgRw7IHTY/NWSucdtF0eJNx0 +x0o1yIiIw+frOT9dIdOjtRovXw8xMJfjn0BJOYXy+kk/6chOak+nzWZDs7rADoW6 +H5E7/48ZxenR2bqlG9+E7SPr0W0Cn5X/KWWelTKAIM1vOYb6yl92sRMrLamrDW0C +1WDFJ+5qpSdLIl0qYLNrTKmillDFrbsqWHVtJIcvZkz5tLj0lYmzybc2f7CIBKhc +I2ZKaUXjPMZ4AebOVIZFFAHQBWaC6qSYtxoC0M+BKPgBgEbC45PYoweGyBb2+oiJ +XDQaDeARnue1Lo6fYF1bkv9yq2iIkvaZQ/Ey0rLv/mdDfDXub24MqI8ck34lNvXu +8WNaEDMdJ7GFK1tFu3bpdGzHAdl7zHsAEgbKF20qSPRx2Qmvq5KVFr41oLj0stYE +o0tMlgimzsbsUkQviTMVpslSA92C+KoJqYqSCNh9egc181NPA0v3lbA8+JPYfpu6 +yvyycskizanK8CIHxhWmbjHFGDBkG8iqS131ZmHnBnmqVM072qu5ogUnPdmN5sr9 +H5fHMigOkGz+5EQqORG/YY+G1BRKB3Myll8KEt8/IadSpjCu7AdjHgxtC5zGRTYj +tIhUnOuPhtSUF/PQPcjNqnCFRdS0hC2cOWIvOtz2pgQTMTPAGtToTmbaq3LQFQEW +Yyo/ls+h0m31+K7Dma+9ZKPaXDNB6MlORKD1AZgMwhhSv+HLbYSrOioh9FN8jYcX +2MU+cqIkHBTOJXvt597LaWr1CY/xGdKKaQkoDXnmKgVl6DefQV2sAN0o0nnvx1jj +aWjInnprJaYs01ZTF9ruvuGjqCf/jf2UCbGvuVo664FWL/apJC6h5uenbD5ebP0i +pwHa5ZnZylRhommhy2of7G7QwmDPofsRszhTEeZyVxBPROP1TOyHQR45g+3Tu72E +HlQGFgmbUDR6WkcNaIKxm9bbOH2M6clv4dXLSvVP06caKzUf7ms+sHmipPKWlPrJ +NRAJS46i7oRSZn/HlgbN47Os1q1hGnwxZ74MRTStuQARzzEAwEf6Eopt5wVXR+pd +np6da2i12a3B1X9kQ737hMEwjANvekmpH4v7QIHKCcDOOZLxcbOz6Kmxixihbuhw +YlSczhN2FXftVLAIJ/nMRpUfYcWH1WxyOiOCIEI86Qlrqd4BPtq+2+p+gk9N2x8Y +s2nBMOJukKirzs2TS2kwYGnRV7lTIyKPixHu2MBhBxVwECZF+0VPf7ifVBIqzF3j +gfUrX+u67IGopTnYyqgif1HaEW+V83V1PzyqHZpHf33K8lUxUCFm4zFnZBN7gCx8 +97e9A25OFSAePxB91k4o+Eh3KwFupfpSkSCSB17t5FiwUYZ6CxNjOziyWfks+TxE +6NPyW+05FQl/q3P/gspNg0ah6DK7gWKMSB+u98U2+gvuon+K3J30EOJ7Kis1I30O +Arinp3vDvYK0if//Hz8cNLdEVhRjMa6Ix4z0pYM7cJamxOM4ZdRlTtKm/RqGuwIk +sLMy4sxyka14+caEBFjaM9+mwJFGp/+nlgRNdatoH8tDfSza8m+bKg/Wk6jtgr+n +zo9JUrkwzSUYSKTSdKmjSiLsoyCBp6bKS/YAVKo1UWIaSDUeRX6jVPbwiAMZJj4F +oU0mmjaH0III/SILBpbIRYPKmXEshsS5rurCDamVXob08S3XRyyaH5EX1s8rVNYR +Mv9kC04aNalWs7YAvtyX1wjLEHHysyvA7tkASYhv0fOMBbY97Niax9XUYvGLlMMo +lJ5oFrusJXVCvMYNXQi41ZBL9o8L8F5Y3UqBCsaF7BsDZdPvTLvyJcRB1suX8EYK +PW5OR91/hoynMY3cGS2Njr2dq23dZVI97UxRnSJxLbrj5jcHOOWSCsugiCssVf+G +CNmsPv01w4WuwFo5toUoyH5m6/W27n7MAdvI+7zCzg3+3uWQjOFp9atMrvTYqAr8 +btblnJG67/7bIrgtbB3xI2cc5kqffbVzlbfDJGUr/aymwjx1JOtvR6BUmxZheCnY +ND4DBs6cuq3e2/e0OIrOnHxhCv+6XHSPeMZUPsxE88uWe5fTRXpzpoAxn50dI0Br +DUfJhNax2/oMyVOrWL/L/BEDPedNCt8LzVOJNslFFlq1GIngc18nHxvrgaW5kr+M +y6CdH0BB4/Yp8Er9kGiv5m70xn8AADcVzM0HUh1MeT+ayAyfCsOAjD9fIvE5T+GB +9Va3GA4wsqR8txE1hej+nbp2l/QnsErJs8okYOn8HZPcW/cngJo0FyGt2rvjy/vD +sLej3hBrAdODpA5EFmEdHLyzUnOaCTt95ytpbbv6npt1zKypaTX6qPuUXWL055yZ +qra4pVF6xvV4Iv879ZMdx2EDoK8/+hQnAq+xw827E7IeRBXqUS1fiCf8lJHyZgoW +qavPtXIFDeI4FpNm1t3iBXeeTIGB8hxAe8uzXkdO4mQyoV3OX8W8335oH4H8RHrO +rQyJRx+GePi6zIPQueW36kmvckAQ6/ICg1BVfHvkmiDxG4hf0Plo9fkOQxCbmTK9 +AEZXE9MwIXHRUZ+CoUdcoXS9Oxy8SW82e4gH7H1mqj1PisGCJVVJ6MENJWNBMOpV +psMk+HtDarOGKO6+i8/IgFhPkK4kgK299TYYh7qzwiD7byrpQiDv7IHWdXhePVRt +mnlV7xbTbxVKo6+Rtymp4gArCO50FvR7GIRdIbSJUUJK+RXT9ivG2n6Q/yqeV/E1 +D+qfh/NgZ10HVUOIQePBv4J8eYk1AeyhN19LzKmnObyvrF86KGONhtYA/VFA1u4V +cgKZ+EEgu/EiC/Ak/d21HYru6iRwVNJDwl/uDJXIfOciZT9i9WEVftcdX6unvW7C +agTNkbCh7UWktZv7Z2atqnDZ8ADhaQs+kLKqi6BbFDZJC4akgKqGF1Fk1p8fSWqs +7gTLpL4gAvKQn0GPseVndEasgCa7Lt5LFpQ/9BOJnmNR0CXe7jJAJsSn4FIiFjBT +ZAO518WjgVxAIv2sBCunzLKoHXxI83SZcOELmLskuaGArd1NbihWqIXDgG4snOqH +xTHdLU9M6/7btu0GhnA0JTz9gd8EyuL9W+oX3I6+tLLyHyPJq21/gQT8ueByxOyT +sGFL8LXgdD2tA5+uh1x5rxSCyYB7d5EIsC0lC/57Mye+hoEt/beBKWY667NF4QpX +/WxQPqPAeA2Hy7c80boV5zn17txr9WlNxH0DOE1V59nBtTfKtj6uWpuCSdtNe/H8 +lUICQU6NJadiB5KCgbstHZ8eCFebEtM+dBX9uElO1bBwjMouGlpsEz83xnCU0RcC +u7zY/6IceYtAEnq+ry8g+xfsb9OOV6OPCG2dgSu+qnJvwoLKx0FR4WjHhet6iMmr +fLYtDn+N9b2gUn1qSCSyP0SqbJh8uVjeh6Ztw2/iROeB3nCLfbkHpore6/8xZhz4 +fkCShvJCHykQmlR+aAJZYf4gQDNtydZPxNI+3ElvxJb6q6mdO9/9IUcLfjPJXfvH +Mf/KCwQqabyX0yWAOVJs+6Y4ujPgW7JF+ke0mrHFi0RgpvdWsUsNIb95ZPQxgpKB +44kJ2cPBPqDY0pbqZha6KF/PsY2hlP2AHzV1KsHE9sKRaD7Le0dcMC3o3B50+PIt +7+haujbltTNnWuRnj5tvCio+0XHqHe082Zj0qffzsw0+s12icSM+cZNjkERDM+Pm +ZLRJzvtCqnPj3maEaBAsqfDSDg/4QUGnwKVvywyzhtFBhAfB9tOV3lzSyHd/02H8 +DRhXY2C39jqAe6/fEHVmPPIz/RkqanRwHMemWu+D6NHO/svgEd3gmDYW97se7/2C +hAJtWDFeVDzb4qZ+a8qwmlnYRj8OHrG3o+AaN1XlTKfzCh4Knh+Cw2DHfgBkT/NU +N3oXSyRG5Dih6a/fxwgkeUGxdJEohhTEPxEW4/LCPzd2Ix8pnsLiyZ3n4uVYUEmM +J6rYAXjTpeHWED7g7WJAiphsdkGEN/tGGYkbe9FPkkeLbUfP1p2I8gDfpVo5Uc1y +LJMOd950Qkf4qHG4RyHiMqtV0hyV1SOFcSdR2NjhzcUsbx5DEYUptbOC6AB0SD9E +ZW4RXAsT8PaaNA7yLjWGu1uZoFVAZPqM/IdthQHV/tXxjDXHiic3ekyzGMrLo9tf +ns/9IpwMh41Ommd4ZYFAM1K7Snz/65ih8iqWtcJ2a7FJyeGGisYzIpywqQXRtzQF +LqevOYqEYeRaQMi0xHTUOdkOeZ6YWHi0gqgY97nBZ3daCDIdlCzNigcuqwbKxnz1 +rP/SsmuIuX5kC9uv4kywButdw6u/BfiPOY9QHpxqdCTjnn0+XjZu1GQzYwlp2k5S +VHodLQI4SjS7Op948MQRhTC0E+tor5xMGpgTzCvEBNPUiM7QFf21wvSicGAch1yT +rihkzZcQAsaS9fa7Y/k4qxWp1vQFOeIjsmU4foYGDKic1jyk1ZJmtZju1QKNZjWD +/lI/+7vpMadhLJnkHWV/prz3nE3melgsAZ4H5Bn5HV5tWTccKLVgo/blCeSonl/5 +EeR/ZdYTjWPzyQQgjLI/dAlaCVQm6wFhEqx6fybDl78xd1FwgoRGRzoK35wvqM2y +0KVM3idmaDESLYFyrjcpVz6mIa1OXSz8YmlY9wz17vZ/xNzNyK0kJCF9/4AZZ8AA +4uoMtcpHU2IoZpQUPTlVm6EJOcli/QSKaJszY+CFsOI/9pD/yfkTaSip+g7zktLh +ilVeeb9MHEuGy2z+jKqgqfT6LXJx2daNdyQyJCV3ozmRw+vJ5fBU65FBKo6nCSEq +rXt78o5kspuIQvnuaoM7558lTRieKdYzyOfkoPy74ZLjFl9wfj/q5kkrJk/Zlz5a +Ac8ltrnPOXQ39Qp0l2f9QJ30M1VXiIDkGScLMZ71WjUSaIV0UMwr2G6mYDVo9YwT +KDBDVqRhqwnkPm5fgjcOsHn6iooJxDjYXk0Gg6FuGhqz8WpSzpeggqJGo++vXbXN +mHSqahdP+EVCA4EBUxFhXoOKAOHZ4KFCov+vkeqyShvgrZV2UjWf1v3QYxqmnfBX +OVNYi0bRzsUPTRH7CNjkvTtW355WmBu1e7OMoyagnanYs9fqXRE143m+Sqx44lzQ +BB6hBPhKFqnfWHb+2Z6+rDp8qPEtQRcz9xhF49HUHJnz1mpCjYlWficjUEkevZ2w +XHr3NqkX+JBp/wfdoOvAb0jH6LWNK0aDWQwAd3paAZJnV8dQlOgcfMJpuCXFIyiy +q5GyKFIO4cq/5q/GwPPztLEPQGPNiavBPB05JsoyHJdaqRe9Wg39drzXzCZ8NKIS +6DxRQj1cIjBulJlEfAJP5dhkDkWkyY4CbBn+YdakSnhJGFAJGtSuDmyqn7czXmcY +PF87ZE/6Id2Pes8aeibQS3rZB2MZDV7W7HXyqhuBsSd6XifiNSyYBfkKOAi7OTNM +jSx2Fuvborgy1bzDgJ6qNhG7E1YrRs2BNKr8BaEf+MxtYm4vLTV7EHaciuQzHben +jY9M5NABhF6H4LMJqzg6cgkkwhxakaRolEDBwbljUb1+eyFHB+ap77pOtfYuUjCB +xNC8qeMb0/VA+Jb2ZdDrTVFdhuWPjn/x1iTUXJKCLztFNLe7w4WI027VMqyZv0UL +6gq2kN4Cj9J648v4uIPWHjKLaRve26Q7seKaUo69rC4w8CNzCskH0tQu5juqaUdW +1KmcpccdKtPOCbW+RfiZLwGCml0QI5m2rWzLM43jQYkOdM4P5jKQcv4BYhxBpA70 +t5FLXIxnjRjcpzatji70rEubr4TU7SjnWz1ctXG2P7sIOpfi+S3YSKYN792C3Ygq +5nL/t18dUBiM5VNrI4NCJAyzmMxF13ndMVf21VDETog9ZzyUoCgoy7J7Vp3MmRkY +DTTfVQBCHEQyvV8tz5wzPE9VDK/RmDVNluodqpIBb7o+KV8+hI1xhlODaSqWkiPh +AB5doe/dcXnwdh3O92I/Z86zDX/idMcRU0A6ELs0UyBCgs6GPvefN5fWLTo/wYUF +3UKnfr93ZGtNNU8wSbwfmWOfUDon9yhc61qertS8qD3V25oI5J7K4qJROH9lemVv +tHt3hFXhFFJyUL5AreJR1pnwv8u/dZyu0owLmH6HWuY7OWeFybPDRKLfPtpEbMm6 +Q181zdxHZ2WOztTCFLnRGRbskbp+0H1inkMXSLb3bKX/X+5Vwu/O5pyfWyOMZ5iJ +oGTOZ3GNOf5kXH6r3kgSUxFgUYNrIiHBQG3h8/dXaetHo4wSydtcDZC3TK0TSLuD +czuylF+p3pMCRj8eR9pTWn7wrN8tDePu5PLgZHbBt3N8fH/9s1aQtA4t7ac+3xwD +rsjo8HBPtV0F+JE+1ioN9L+l6/DTxOHywY8DnMacP5sbpdzs1pVt6ykzzd2fCDwR +VXRnomrE2isEXYxVvQXHjHM114lHYRgkxjgsnd37R0OjBi+FQg0dZ50qwFvUjo43 +4VjW4m4pQnWlidRQyC8RfelqbZhuCk9Ny+alWGKBZAEPcSqSgJUijhzyz40xCjNr +6rLNi7nNT+QusLxgg7rpgMj10sUuEyEgCGmsg/JfPT3gaFu8MBVgaDtThCkT0qLC +iZng8lzaRE5knAQvAPOIJJA5IvbYS9uShWWB1Gg3+4yga7yCvyx+STvZClS4Vh17 +06uYddzHUBg0yaVv7xwURy43x257N232ik1rMg4SiAKcf2hd+EjqTPs4GRIpUSVb +7s7qysofc1KpfbI9I9N+oq3gp4WbigAbZHqQ4PK/nuFZBXkEbIQ97qdEdAyofLgG +LYZGUE1nLjvzl2IabjipvCsgG234pI1dorirG0ZCJC/Lyhl5+978F4zZCWKxDm1Q +tgETbU2bCJHcqIxhmUktSkYMAqcqHJx9I0q9QkH7ivjgjfqa/XnoSX5Ao9I2VW72 +diXIVoejLCahJId9ERXFf0WJJsaQ6eTFkxvwcID99SOCVzr8nkicdk2PPHsTDIbk +/udUR4qs/nlDY7NCMkwu2YJSHUUfMegnFRBquxamPpXytAWol8UyS/j7aeKdOs1Y +ktSLNCLtu9axJ8soxzvHYnJ1DHfSaGZg0bQYPAw8tkFTxjQlz16BGhjiu70XQd4U +1YVc6MCgzgxJZEt5Z4De9L6LPFmiI8gHDKIZmTSgOmautyBrCWXYNgf4X0P2lh6/ +3uCllUFRu8alq7L7SGF3mSUk4ykqP0k3BDHYAAHWVqs36T8X/FLub56xLy/YoZs2 +JueNX03GbTWNSl3j5Jqt4f0raM/2DBRLHYdrI3roK4Ak9naZG0EN3WcXt9iB9oIJ +YwQiLKzB3C0wHTRcQ23SfVYfZMqCHEHW8Ib4CGlQepHgXzESQrGulLPAOObaKdIC +ABQC8BTfkljkp6PPNkleeq9sNigFBhriSIZeNS87oIuYM6MGTKmYGDq90ZNy5ug0 ++1q5JPo4Tcgp22mbeUphzglyh4HqNFf9Xox5TnHwagjxSZ394ejBmQz/7XTbap2C +T9bGzLB6wigjQfb4/UlhtU6rZpJdjyV+uYQijFhPV5T7AHHqRCsi8doAUEFp0fRe +GJXrfSfPsQ/Qb8fWI4AY+J7Ad3nSWiMGcEAo94hYn1xyewH0nmPs5ZEsuSqV8PxD +szy5F75XgQ7jxY/PKbJ4iMZC6u0PERwkIpbY2PKjQb2Jl4aUAI0PeP61xg6UO8XD +U16y/73gq7nPDnLf+3G+6NuVpyxNuUa2aG841b0/pURQgYdYrl4xeVaDmDgBQG0s +5A+lFZY9y5JLFMvQT9OHhh3M9y+nURRrQcj4+3U3SgKC7AzAoHzyedwrLvcUo58w +FNbwXEKODa0CS/5bQLwoaxElBsYpWzTBqaEhESw2Np3XIUfg+DuvudkU7O3Me/Zc +/XejX6Wr6fZqJNmOifoNe2G+vNsbr79oJQzQb9bUfwW63PGAfWFKS4XUCdVbH5fu +hfXqgHNvLgrLVaZVlHTlePmfD4eqfFLbDmsqBY3N2YWYajV3oJEjao/uWoMie060 +/0cz4udjH5GddOVgKdzwk/0XUzJzUky9MLRuMkF+cdsoatJs2IMHj3+0MiLFGygt +mgHpN6y3NeSZuUkPobCyB2cFczkBFOVWP8upVbn1Wq1yYc9TCBSD3iSiK8bAuLba +LxeRN2DEfq3qHoIUMY6jaHb5vLAWhn1baAcF73O9gXe+9Aa4q5sGYImSisTeza7Y +PXKTiOrg8rotM6QOUQpwlMIjsopyrSscc4o6PBb6rE4BjHSN80e7OadT8hv6v+g9 +eXClK83+9G9Orq0d6/Y1MkZqQ9x+0Vo6A8729/h1hzBunbfO5T4YthaZdQfmzQ3f +V9OKzPwUIbJI9zx25rm4HVLdo71quYnx9x7GiXbWcfMJyJPMsFv/jLcBeBVc+2Px +NW3GrSzow9TYtERAq6DkrBe4kPSdn1Qzvictuh3AF8uyDPS3kIzE6TEzE83rTCu2 +Z/3OnB5R6zotue7Ivz0cSv5yyq4lqicxs/sewY7/5wZ9lhL+zYrbEs/xNrZ55N+l +lAVKB2eJUJW/xNXokcl9zIXkSyuUPb0KoNcWLxdKLY5cg1ytfk9zs8mIA5ZInp4D +gfWcjahnTHgh/thyfnlRG47DARd0dFoUmXb0YjxlrSlbQ8JYBZhfI7KqiA0su87/ +R2FLjyYrKIJEKypwBShmlt0HYfUSxoQhlXBq1WFQgoMKDW1QZqql1vOK+2WQqfqq +2aNkdeSwnTOQEfVYK4BeU2nkLnyUJOoJxwUqeulEGMCsQ7RVNaj+ZlfNCUVN0Cud +VFkuuEVTFLd8I/D+yt3m6p60HkRhHMkp5PFktdO1YHgGrQ7p1SgHNNq+7J4B4dV7 +H+541xlxHAE+aIBKFLPfd5Nzt8ZYIDG1MdIyQnJbGQJBQ/cbsByM+BlF3QnZxGTb +iy1/dlmyOlFul+S4dzW1cfDTm66QdsxUGstdHuRZ1bX9IPapTAthz+4UyLgPK3j+ +EcM1XtP5z3S6rpPKGUCsxguN0msnf3klgRles6IQktpdaaFr2OcqiVbOKqdswrVi +yJZ/02uamthh+PWeukCwDY8mKyJWYtESwqtBccathD2MMVO4fl14e0yckQQ3K0Fl +BkxPNYLAquEHdYJV+VM34nIa22eu6pJa0B0H4Dn1Ks5ggrT27mGc/tijsa09fq/O +w2sxxRyfegyA2wqmSr11pvASKtfZeCrwRdexpkAlgegSUGBSsdWWkHRXFenx/5rL +V0qbp1FB9zo77xS9c4RzdJj2ANPy6NOziI22w7Nt4jTYadpEcNKxJF6tVoNqeLS+ +6iFhOFw/Oju0uVMwno9n6gpfcM7yq42uovn5HsXruSIgV6lZXH3t79XN4kizb2az +c/qNV2v2CpWSWvwNizH1b8Spg7iLOd/zvS7mRH2nUBd7e024Ia6pWE0zzQbP+ydb +xhxTWFpGbeoaobILpoUS1DzLIzHraNUx703E6vHJ7p5+idUswqQHQjuZGHQV4YZR +W/6WhapBgFFcMRdv62kQ3Q9kR9tUSnAwxv3ekqkhC+BlPIaf8yjwSmafAu0+9SxD ++9uDF3S6nlBVcOz/2XlUPVXDdaAQaCuOtmi/2xE4YYtIxUI7JyAiqfXPKiOJC7eC +S5qfD0avOE944VdDmb/b955efApS2WogcXatH9YUYK2d9+uZeCOS+0sDBQJTY5G9 +hISuMjw7lnBSqyuvV2umNU1VO5/xhsf64llB2TVzHOHPRICw9fkQDPNo8Kj/ch6X +OEzjWJKc1rDdt/FAMbsaxS6h5tiHyfiuDahf7839Wi0aLa7McxVS6TUz7WCSZEeS +wWLwx7MqB5Wmy7WMIXzeg4jrmpqplDDWjouFi8MvivSYimrU2Ht0+QAUmbK7Zik1 +fKDVM05GR7E1egQpb6qiKk96LXRkuddIMjbZ0vobV3Liwc35AuqRKBGhS3n4+Hsb +xktygn3zS6PtVlozKp0E4ju0bXSMp2LrxLXoGTP4sSGsXSCQeJ38YSfznXqG91/F +YrqPSnA56x9dRnBaj/fkQQ02D6cjE8IFBXBl1kZeOkILbFuVWIVw1SbA6H0EqVvL +fAgONxEXfPvoeZhsGWEYgVkdjf1DYHGPIg/hViSI8zCKeza1XCDLD82skCZczFSO +k2CHKW0o9CD2/3jFmvph5o5bLet/fPPD93J2tknf7oqqim5inoo7muuvmsl+8LP9 +Jvwk7RLtJp1rQkDLWP8Di8Yx+N+3lXUviCdCzk1iIgdSga84RwrKsq3mRKruPkdG +Yh/repSHVGctM7ZVMw+wrEsPkCgcUH823ment1lEgazJ9Zrt4JquCZy0jvE1uceM +DUfYrWF7UjRW6i4IngWWGZy0QWbZPbE4BXAgt4WnwjII3e5B3KC0wdgPajg7Dvkg +PfrptJN/QtJFo50eZ/3QdSJ8fZGGHQx5CS6ury3E3L5iJAu4nNI0JhRj2agliPMH +hmDKKXOAv+8cdM7j1UXnOLRoWoHQ1SFTA3Gpnzjyeu4Aep49MBG3tbFpUskA5diM +OjylFEeP9fdK/XZraFXLX1x1xCzfZ+1WMzgDBeGSPOb7QdD+/LL6b/Z3MtULOjYL +aDChhHU79dbJjE+QH8cFvQ+VAJlU8Z2SgQ/0G6wl+JI0tYRkcuNkIc/gO8BgjDVk +JJrA0t3br2jBRPqChHDIINCp2/TamnRFWA3o3BbPyhjoUOPoNaoqb8eXKcps5h3E +9hiFIveAkCPmohN7Z1x86HePk6S4/ogsIAzos1fUw24OFmRp4vQD6C7g+dZt77p0 +0z9xb2R8qdcrnOlGt7bXFTCKs9cC8yrkzSTutoiq6ndrf3Ral41skJndXTmEXhAl +aCXK9HURrKDS+Gecclcw8fMU4KOvqAEVW7vMajZX+9RNDqKmULBPF0k6qo853ACF ++r8Xcr9RVXZTWIwhXX9nbpC38gdLI06TdAYgeCwCNkLNDuK9YOnKXGtPesgZBdvr +UJ9f4SpZOR2z+J6oB0tgWns49gKsIEVMxE5F1AYzS8/4QH3Srj6fOeHLNSqqLmMV +OU/AsZEPdsEAfwH7mCOSxlnYsvPVk1+C1MGkg1RzMNdUCgCPYyYVAGDmkLFeLoi2 +ImUYKgouR2e3Rqzo9FovCvqxvZP4fw6GngRRIlhh/b9R6JQL74WpWPwuCmD7N/RS +dGaQJgSlYTgHg82G4DUdrA+npj2lA935RUKj5I4IlwgKxbs3+9wZyHeM2vpgIU8D +ZVPvljkwRxTuaMfrwKHGmOglClwH4WC0jDqW8Otzr5haNeLByU8n+1wTZadoCKIu +Eq3qwRA1k0564nciLLZbkCh5yhHgc3yHuA4dj+iZCtVnZnUu3eFfQMxvsr9+n4Jb +qNqmyFauPQ2pLliwBNRnPndm05ckSgUi1AIzDLv266Ay38OJn8rFEviziqYIeVZB ++eBfA8qoxaSgUYNqQnxDwzFsRoLlGRKgmEW1HumOLSkNA32Et/FI8Es6wG+gYnNZ +JVmEVVL9Lpp1Uvk6HT+6X77mTmWXcsi/FunMeZF5spg2ePy7qVzxot0lYnMM9G9f +62Jhhj9yYA9ZtxckhGOfnJzi+fCKoLvl3x0NaUMyp5y/Srrwp1v1QsN51hJU64bd +SlZQJIpPqEqEl7yk0/Ni3Xrc1ptwtqecLcAs8vVoVmMOrNbP7Jj6T0q8usZcgAaj +7wwUtRbt5pABZ1qQDhZ9asatEAXTsqo88hYqh9ootUznrog0O7DvesTedGmkL80n +BxC59NS/KFSWnpUiz/iJt6uNZKog4S5f7247BbnAoXeDR6idTfu3s9iiZo5TCnFx +7j/jNhYs/lkknklKW746ckgaIA98Y18Fj3vHoMvS0Q6i1UtkqZry6UGNR828SSX1 +7cB+YXvmfjvuKa11UUpxNz877I0q3OxC576kNso/pzt1plKkdYiUk44JLm1h1ZEs +QVGhKYtOtw5Pjzx9MKyoZDovgmhRdyJA+zq4xqC5aqza8xoSmaB4kU69VM95ftFc +LDie5K0TKCvw3ITnbntBdWgw717R736o2LZV13Dd6sDDSLnBXcXhe5sBI9HsjEX8 +KjITEp0lyvk+frrfYJOITOhovbTmbGCFA5q+Cn3bM5nrYdPmA0EKq/ioOKWoxlzh +7EQ86tLiKSEGz/XuIuL+d12XYsEMt50Iz5L+R3MkXC3DTUqmrecgxgAslSQA/LvA +PZav7hcI2zbfdgcdVNf0BjIO4KX9dFc9Y9tUBzfowx5EN+ICr9X832p+8q/vtk8n +WtH7ZY5OC32g1zbRro4cXDk8Z+tUS6WHWGzwe1B7VhaQv0yXQGqGXed7Y4Hf1Sj5 +Bbhfudo90PTnakl1cxEdAboi332MB7o456Il+x77LAWxztaVPv+m83FCCyvfkXdT +vkIMWd1wLdjfJV9GaJ5nhxRfljGZYZ/sy9UdN/bCLZsIhrRYRxwwPBIIIFkIaedW +ZVDcNt+WeSF6kQiYY4QAJwxMz1/bUyWihUJxwrY05H2i8PEOTFPGarbAQyKcm3IK +hTRS52Ka2oa/ykpRUtbIA0DzhW52Fa7im9DvsjddAIZSixtlPNTk1apeSycvfzI3 +WIFnVPEAlZg937NY5FNWQ15vDZRcNHYbrvm6BCYZ+Q/JiGEc41rXir7PF2MgA6Us +ciTVU7+Jku/On8T5DkBjsRX1Z2qX8OAQqiitdH4+dY9rv9yvoLAf9YpcKAmYW8CF +szdbyDoQCgzhb+kAhpLOxEXPA5tyKNqvkF61XD+W92yYF13FjCoLNlp0ejqaXf/q +jSVdjHsKqq1/d+sYeSjJZ3jMv75w0vWVVcqiIPBMdk07gymJUiVxjEn1bvBoSlqo +Gp5kePN/gPLfR6WgVWuY2eaUEHDUXEuHd03QOKzXZxraKTx9YWgi8V5r19GkcOIy +6OSYEyVB3+9HD4xJcCEzIhymjNwP4pxIKltbpYiO+/wJMJNyslEgw6SjKb0JGnGO +qgkozMCbKUGSKW8K+9OuGfbJKFYTcekBvBYeuNYj4zyRaQS1INRr3VdvSk/s29Im +tKEqHImYSzwfNWBgokEBPRfuig+OjyflIw+135Hi253bwn9fI+e6LRV9LNI/h673 +Z8CGg8Lf0K7vL7xEr4gNyhc2pitslDXjYPjll1U76jAqchRvCK41asize4LdmdVe +KnJTjc/XBh7j0UjVxe8Y6BOtoogoDg8ZYBHrbIDPmgjz2I6Bg8dZY5G/jArVHFDd +uTAEq1cqEtMLqROkVP4ZJvRtF0yS6K50GgSqhfELrrgwL8RsCbxQw4yS2OEiTQTp +p0azCnk3ffSbeIfg6kg/v1nnSRxMeQPZQqeDWjVvkSjFmB6BVZE7C3kyAhgUNxg3 +sKn/XHrlT46CBNzJtpaMYiTXrPoqkHSBDXNqxPa94Xr2fyVPZMO6faFucau3GJwY +8SBIQbGtOGMStPR0lo+R/oPPmAFv1LHtoZ5z2/VMgsD+yQuRZJIfaXwWW8Mnu/OT +xRxJWoabu2H6TzEkEflvhH2MXC7pOaZ3olluK0/J/hXXBoAklnUa8DG/RX2P2Ofv +m6FiuUf786RQ4nmOFXOYQIymO+AxLvpEC4hVaZ6XnGZjB3pj9UBNqtPG150PE3D1 +IFJXzv0VN3lqWG4zkSwkLEpYyYOGxE3rU0qmub0S6O5b2nIqfcqlWVikFkNH+/Ii +3PX+Alq45HrDXEiElJTW9TrgCjkno0UDdACj2oKU1GDVUGYYQWD2R+P9D+FUpq9W +lJ7/TOoNzVkhEDabZQ/sj647u5JfGerleF8HmMqXv8YhLdF54sXjTKSOe/y96D65 +Alh0BiC4Enq5D8WcTlgr1O/D9TYnga6Ok20azm6rsddneUIAdiLOWgFqzndOz6BX +wipEJX0sB44hPUOUUxg4sIG9tZ+smZF1opXSeOGJfxBfoNmh/nnNIY227w38Ejq2 +9ztQRdLWCH7xiOrMCBh4ZlpZKqv3x7IH2BSrPA/gefHYQfyrzdQIY4E1fCo9V/Yj +XLymwht1i2DMwXe65QjkHCvcrP/X2dFllzzZQYv/zphx7bbq8GlO6tIe/gkjkgW/ +PWB4aBUsZT8hAB55RHRJTGr8DxOKUG/RU/EOzC/DIb8rrZCT80KmBNGq0n2ukQi+ +XQN7tkPtasYuE5rUUal8g3bMM6uI0tzBwmyhQpha/j278ia6mH7GGpvtxT46QolG +8zooEajUczqtt3hB+nsibHwa5uBqFfNPruyO3tK9YtovBrzLys0xjg41piVs1tvz +Wtp52THdtfz+udrbyR30jSeiPmvpSZhUaTlIPtyT4Y0sQUyJp7KubxgVFiuxZhUj +lFrD4VLMvYPjlINdPgtPVzZghsuLZGPWEI8PGUs4tZDhXxTOpSiiX+ZnkuBF1NbC +VocHvsCA7HS5VQA3gbI9dwptvg27dj1TK6Ui8YaVCK0PR9b85HPrXBt+f+b7XiFz +IP5GVOMi6PSwDLq4OXMgYt4ECBkC7XR5LLeceeDUyx2p7wEeHYpZsu8xoP+WWFXg +nUfCB0b5R1UnL48X/6grCBCXrjMyTa78spUDIi5LDlpAcBxcaCHxPSFfMrfyb6Fg +DNHfbIaHW/erT9x4wW7txCXyzUkZBDxZ3oaAPaZxMMvVkW3LE/nVvBrLEsh88UhW +R2tZB6hGxEUpKaEKySnTmdqo1CtDdE6z5oc78pkT1xJGxcf19dNl6jQcPimnX4Ji +w8nVjdpEuV/TMLhtBYWfWuqOcttb9WvlmFL1HeBN2IxF5g4h3tn74SIMwPkSe6ft +RjhaVweGKgbAaueLqF9rM8AyHHRpDFpVomOLdjyoO4KUQCi7hTtjYkdi9zRkpx2y +l/gntbG9nvtv33rHY7zCVqVsvdFPmHTMY/iirUkurapJocmKmVkWIIQeLJ33+v7d +YKiGB0ZSFClbfCCM1Fbv5k+v43LrsMLsbJCLxjqmCpHJ5ME0EvZxFczL9rLBql0R +0gOrRz7EYp2+n8LM/Z/HjkNyKxr4/OWgL3Jr5tSpSvbJKvEK4qMDXAmlGmLB5M49 +1Pi9ny1Jjv09bYQNtfFqIdv8MjUAy3+hI6P6OTifDRFVpcdZLkyvgOI3OILkZ3lU +xihxt+c57sUeQOuFNMAVuTA1E9OaE01h8NAXG+RWNZjPF8/ruU5wFPKMasoI3hM0 +eqN2ATWVPxSSUOAr7evu5KESNENmPZH5ru4Sxyq9YuevbqAtVnTtgPiQex2S5dfQ +A1XY5LLasg5x08iPx2s8PmQz3YW90QvRMy8abKkqOG1MqFhdPQcYZyJOHCq3//20 +yxV3rM31vQH+ANIwhenZ0Ul+Gg7MoYTC9x92A9bcOo8qythVw7OatoL9v2n45td8 +fksHMdqYszc43/V4T7Dwndt+vtbW6MRCYFcHkO96Qpqma6aI7fwp1jpx508WUe4x +qGAoPoSBAmH0IjQEkyHZwpqznJ4dWzmFE39UBPOjIM1ns3r6ZWqTGzusr541odOT +ZC7q2WwwvTzSyUEggP3exDgODCx1Zya0lzT+u44SRht3xtZ7faZyFNlfIt6R8Bi6 +cUTcRkCIPCc3gFfXHJKYtAYquUyJYJ/Vm1G/srOGks9HYkL30YbGEcLZmsJxE3vN +7o0vKViFxCO1WVDRUB5kgAsGW77YT7U75EE+qMK3/bc4G/6Ekn+qHHOKM3lJzVHh +rJkmYqJVTDvdOcI5enIuh2GjDxJ+PqiL/fHuzn3vtkDo3RPRz70f2iKeg3UyT+mR +v6LyIZdKWzXOyr6W5CKqeMmQjE3G88xbtG5fAcYyUuxz4lp3qqZuJ9/E75n3mS1L +ribKFDiNgX58GA/t1szI2KqTRbgz09RUhUZ6Qc+TkeynmfaqYb8OATZRJBLEvlJ2 +OIvpcgEdVx7BFfLgil2NbBrQgNYV4kgiVzpJoYGYK2Ixoui0epmZQym4R7IFjVKr +A+K8KZzWTy9+TpsiBCYvqOaiOGnlrgIsjs6lmB71Fkvl3vVLpuji8t1aJ9lf1r9h +EBIJSkHwHMuWKaNAWxSlEJS2RQyaBrzFkHIaMFZQfRNRAPQaYIYgVLw4vztHbGfV +Xt5W25+UZOQ/rdD31DZEIjYSz8IfArZI4itFyyG4QTMIhgQ1/xCjqx3cEFbTT8wY +537UKIOcZFoK0ZfmYB7+WlnBm5asDmPyXAejFZzK9CVw359hpM61KiK49KMf/B6t +v7hzZW9aSbswxveqG4IhuLIaUvaDAdWn+QiJny11MEsyf64bBF1F8eSJie4cBbu9 +B5BCJC3rLNKxVr3Kq/qb5OjltukDvii6YkWsZ2Bp47dPnY2iOrM7Vexq34lbf0pu +3dC7oGCcuOfsW9CXaRNoDG2iRWztSeJgV3WPNNI8enBEFNv7wqeW95xWdA8SYrnM +x33fmiCMhhrP9nLO419shcV2puAyfPtvsgXvDVxAZK9Illi+HrJsUb2uo+QK7EwX +MuiDudQE3q0XkVbGuponMU5M5+4sUCu5b/ZpclI9PwJ7h41rVKEIJ68FpGUfUxDU +tNIlECQxi9WQm4/loni6X3/efYUWpWlgejK4aneJAcIXwK4epslGzpJAxcrliGO8 +3/2jl8J75wlklFiG4bVherlN3OC7DE6CvRX8nVM67wOHd8coeEQpVnab33YuJ6nA +zxnAmS7w0n1YFxucCW1r3c3r5rLXz45Tvra3ZsUaYSUGQXgnXD2Zw6cRewEN/HPi +yoQqHyzOuxaHPhJosKO75hLOgzRplKx1AmefyvNz9vBWlUpqVQNMhGczo2MEoRi0 +XZcQkJCoQZ8mGvcfCu6PM0++3/Q9Cjvrfs16akk41k92sra9zo0PhuYupmb0ey7f +SVgdrdoc1qpv6pO5JvmJdVp2STYfYhggqEd1n+FQSCp48cK5zhRI2nR56QJmgUg5 +OYR/vho26RAtVPfSgBduh30aHaicGpSfOZYAFAQoCQ/TNE0GQYXOBiPpRr2quwWD +UaCKiGa5Vii6e1rx1CaYDg0Mqx9EKFjO76yjeO0t35h3GMU7UDcXW8t4f5OKlOvW +ucD4E7y4iFSfKtyXTevwR5IdhRIaxCiHy2Nj0oyzTkzJYM8YxCC15GgmWCYYvbtd +iWA9EeOzD5LiopF6yNh/X+S1cmRxiTKHn8pkvJF54L/Gzgpzw8vhZfLXmo2tyydL +l5Oq4D8ga6Em2HCPafW3afee+zABKIIspepMkUB+2pK10pLFC4TDcEwssIO5uWV8 +NTzIxqDLuPHzYOlpCBaMK8BesYXK/irx++e2gGVFjSmHk7FYBKZsbz+QtQMfaps2 +/Ev6EVJSHvVi5/pWfvkXrbiIWDs3wPaz0NuO6vhE4drs8ax56fWK8oIQAZqQ/nVv +fYCkI9ycwvHCCRPaY1XQ21PvGrA2DFEnvTKNgEs5GWRsi+UxqoVPbqXWdNsjXXze +CxwIFMQD6dJe2ceRU78HFOzE3JtuYsUaj6DBqNONG/EPnS17g8zj9EMGgFpMexl6 +CRp7hv2c/ffxTuZywsu7ctxAbuRD5yH/gD3Q3xxzNnA7Ju0oUZIpFWgXt/L8BDb5 +e0emkmGKlww/Y5IivXkJAd2ddYARLg0nEBWAEh6yNRW4FbnwRp+ts82FOY/N/Oo2 +uwMqHVzb/aUUT3f2uc0quJEy6MdtwXu0MYhCtSEWEifsGtWFslu+QdfmK/8Nn9VD +aVZ/eTnv3K7tQ22oUdjkbKR34mVbZx9uF04S/Tf6ZqbpggeAHE0BzTdG0KTW2olk +gOQfVLY1/Y+JjuXpWhys899/WKtSqBIn4r3CS1U75CC8R3cVOZXW6WFR8q9AxbMd +9hzYohU92HIohquw8UyPavBCkA9UxB2/R5spcj2nAvvpRy+qgYs7WkCI/QQXz14B +DNnMjhKlsazr1idg430WidCH3sbNwYbmi1ulIJrKQiArXVIJh3EHU2/nDJYBvhCP +UXfMGvE6k482pv1I43oLb4F29uCux5GEQ2jXJpzxtO8NhuLYlu07ihsvcytlDUgv +ePVUMqCkDwBSMLtmtP6ZOEQZp0H98/FKnVYFHbcCi+KxteVjK6Z1ktQLaJDl2IJV +OMJAPFncdAXtEgcnm3f5uBiAfoGZ86MQF2FDhxL2qQNaXNWpFTCmim7sKaJIsPeI +VHu6xAtsr7RU9ayL+OAOuPZ5VvgJKL3L/wd8W6RCUvWFdf+wNWJdwdESYhmkJ6Lh +MHVRUPBY7jJpMkMF+bfEU4zVuRoSbNn11k771XRVzJ+XEVr5F24B6z4LThZFwkmy +dz/QqkXlfFGSO7lt0WxxerGKzfNdnNp8PpHgn8tEpdn7jVOROgGenc+Yq5G5WaW6 +M7PoeT+KU6B6Ja09AIP21hCwxGB0FnvliLwvoAjJPIMF24kjayb6RPCH6fzQu8ou +SnYR4ESWQ+oKaV9C0ulkFuVRBKM/xB5+fKMPtmA3JMfJRRVqfvJALqdJSBW8r24B +xxzBGWURMYz5B4K0hTUvMNTDiUs8C8xZnVLA80Bac5wcuLXnWrD6Npe/UCbRcPJL +saxh19T2QzyjTE8na7MFhtrWofoNEWumN7/mQ27HBInMrYWd/bZrrQeBZlWDCNou +WtrS2s59QJ6H8ZblOzuCpFfmuERHzeCJ1LLxBVysuQmIuTPmTPH531q84x/GmDoW +88TjvjRlnbJR7uP7yS4qAO0cxB+aNibVlBqC3KbYLaaajpjvf/0h0tVWBHlqB02Q +z9rigMs7exYo1iXdB8GY6OuQ2d5NH2WtYH3Yv2dl+d8F5fHf7zlUpYIVzNhjS4sc +7vUY5CnGsheHG/hItWvEWZ27YQvezoIYaacg+luw6ljnKFmwapd+PW77TLYxLDDy +L3LtSqvTZNdKMRkIqLxONFsQFMTcV8/L6Lenu1d8Xbl7fkXzL0n+HPs68YZ/ieR1 +6rjHLhIdDBXlzF37mKbWHBIUFvajqSECSPr42aUEvTDqGM1V9bQ0raN5PUMMcokT +ezNegnooFERDnyEtCVbuSKLjPXlryEnJufJ/N/aO3f6lNUPVNyBryfrx6QAece9A +jEePSB6N6KWuDh6/jP1WVTSo4Ja/QQd8WCEuexndQp8ArswgaYIZZGh+CkWiAJ/7 +K9rT+Wj8FuYseBN1MQ6/wdswTcY/k/qR6U1B/QmsnauqMfCEKkovDRF5JTCGSlZk +g+dbEd9uxhUhF2HVZrYVv4WxovBFRG7krxPBAUICfVGXwLuhqsf37a8KY5DVzeyi +9nEofsFe7fORXwiQDmLmo3oP9bMblRrxlCVlyA4SI0SCpm5GBzrqlMvdwXppNjrz +zrgn7+8Vw7OMEEu69KDDeVo8/BZH9xrpZXTbV1w6L0WxhQkR3YPKEN07GNv7/CQz +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_eyemon_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_eyemon_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..694a5541e8a54d5afd1a0a675e127d8547df47c2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_eyemon_tgx.sv @@ -0,0 +1,395 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +c3CenVzbmvCDW2ZGfgW73TOgRJw5E1ybJg7ZlTgamv69tLwV3RQiktdfzzsYjvh6 +rSv/sKFjua43n5Rup9oMAzTxp2V9sr36JkL7Smf6qf6VXPN0EKlpzBbOxjfgnqAg +oLAndGfOexabdxnz3PIBWOWc8rXxRBPbMIiBDdBaw74= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 17216) +0QYiBaUzNx35XXRMxC2pthL74VB9dF4kZegfwGnNzxw011NUDD94+yvWpMn27dfh +3leU6eXpIVZTvdQYGDhuh8PAe4jcyCM7T81V8OjHF+PM0V3k/keKMERDPIP4ziso +saHCkX/ISE3ISAd283fl9gJSvyaw9UzrQ9ba7wH0u1h5vT7qEl81K2a8TvWGiBeA +uJKFhKzhu3djsMLLDy53OqlI2duSg8UO6xeVZQaexjqBN4vh0juHxLFTYZupAjpM +azdxVz3v/yGpY5lwJHmlxUKvND6DMqozq+M+k1tFDc17bO3XCXF1m3lA86GJJZFi +dJaTjUBJxmw0T4MVhLpbZm8njDl0Ixyt81Ljz1TL07Bs0hRR7J01ASI1TRX7wQen +Ud+5J6yYGtCanf2UwfPGrQxBOJA5RO6ZEaID0xrHjIvSt8d9jfLA6YXuMtYCVXQZ +AOsGsx7LUIwSp7fRy94nUMpRtlHhyHDo4IiZZPDgCgm6YW0r9feUmW31/M3gqPG4 +8AKzF0CJ8B42EXrJrUC4eHc6VTnLZu8Eyn0D7zm4PpDSgGyEo2AmOyU7Is18t5DD +zQNdB7/e9aXViNXb5QT1QER5q4La39UZM0vcOE8Ls/u04KgsIqjWPRol2d7j7xdX +FSioX6Y2EGksyo6moZYjB6pnoEb8/JCGbxrtwD7rugQ1UW3zge+04s/vpVHJLMI4 +XTOYiF3nlVqW6qHp1aeMtxdrjdELFUq9E74hhvgtXZnTpPeHTng2E6n/ss3Foj0I +oa9aRliFC+/ebt9CWzVL1UBvsNgJ1YEg3VkhgbJ+z9Rtq4sXInN5+Unv8y/fqLZ3 +Fy+0WXpoQhIeYotnYcgxKH0KdqVvCBRgQ4kRvRz3mvkyi8xtZQHPSmVlY4qB7UBK +Zp9Xv35BM4u931UaQbRjsDEWO8MolV2qZTGQByrqtiTLd5tVZImnqEzihDOvsAQZ +ahDDRB2EVZAO9B1LPd02wvJ8XotbSFDrn99qUb5nhLv9dtWRsB2TmeHIvryk4OpB +GaypNtiUKR4DLvbFtwiNXp66gPPSqCz7iAwNl7YyfMtOL8ESezny4WEjAT4ObJRx +Ey1GiueSMdo/NlLtIsOssHW/QQG4oBkcQHb5JpkP/JCfaHAF0ZCZGN94yib7R7IX +/UVp+cPvSwcbuvKaRl0EaeTO9QP9lLFKwC09kIPDVKzK0jAyKKrz36sEtG9UtlKH +C7Uus/PRHyZWalnGFGx2c2EJKSeIcK5iTxthS+SP76ThsjuU01+CgCzAQyqqrJm7 +GbVgH20HM374h1DztCnPKRgL2WH3BBAtcdQOpo7fWRQdQyV11ljqNXVjLVrhiw6s +JjV8ix6zsKDSBTT5jzOMIs9xCo7Rwuxi3I3eTkcRvFt+5vTeAEOGbqAOU6p+zmwx +b95CUi/CsnNhp7xdhtuQSezLfLWMsJq1jCOqdyxLfPVTkgVGB5+mP4xg/FDhANwo +QLiB25UCs8TlruN1aNA8nB7a7dk7mEAoqmDKbqXOihOjTO5SIWWAqqr6fbZU0PXp +WaRKdHDkPB+MFtBaC6XJ5aOMHzrSHgWrn7XEBCVtIgfLeCaqd9DV0gMr3SyY2c7F +lIprhAaCeqe58dBsX2lXaXhmK5sdmWDGAja68fGmU+sAgXWl25K6JXPzErp9UvMM +wrAna8TfMTS1KjQk4B7ycDXBCcRbrvfrkbciHDAbI8OyH9uCSjbDL/rqAeQ7YYyF +4l7k/6aAChZLVGzvFlNU2ewClUE9uJz48uFrjVrz6EPx6sMPgm3p7fFaZRoCU9bT +GmwS0hcILXa3+ZItti2HGPeHy3BIKJ/2vDnNfbM7bMWFH/APBdvGbeee77hiWivT +S1Ge0+EoKOf8JKCqa4qK8hsCxaTt71aQJNx2euDaCuryvlaoBDfMK5v7DH1ph8YT +mUrxRrLEi9QcLpCXKWkQ/U7g9yBrZxZaTz3CR28DUjrK4rnLPZCf3eeNscPF6Vh2 +7XDP+GT7+OG6LFSMRd9e6W2A5Co5grKgyp1R67+9AFQygAl6CH/boGjEzS+J9qQz +8RNXixwpHcg1dbxs1qt5BSTqm6uGMFQFqa7rA2CPwEZnaSoB+02rIg9tJd5p4zBn +WKN7ZetFJa0fMSXlOQ8m00mDUi3J4DLl4FwwtUPH3n7kO14d1VcqTbhWwV2EnpB7 +8+iZmjQjxrZl+Gw6dywbV0Cf9e03vnnS9nGKGwH1XZhYitrhlD4N2kmhQIxlqGTN +RwYoYo7XASLzwIxFk11s1AxT4pRtwkdKz+55jlQQl/GtIaFGrnVncPHE/yCWFmY3 +0BCMfpU4NxiNH/IgirNt0UC0RclKNIPKr4pZYRp421fHHNSsjiqitO17gXJgXYhR +n5O2ZJToDFheTImbVljMRZ6Oav/FJrX91aR5fMoY5i69qS3SNw/Xpq8NBWfH7fXH +a+VLhk7kgDZChEL6dzRn/jKp5J6U2Ws+b6MEzZ0CM0N/4WknYIUwGJX+S/Oaj31t +std2eZFueuG3LW+eB7q/lBcShLPtiraDRZtvvgKavvq004QLCUdAPXm0e6XB0I/I +QvhaMQgzrjd+LuZ2PYdp1qctaXHR5RZ+9o+YokyIf/eSgUXEBgHOp5YU9eI3MIgF +mKibVMXZ85zuK4azrcCjfNH1SKv1cmiI5baowIzH6TzLVE868n6puOt7lDPDujUQ +7t9OsjogMKTkgSnHhhPsG0CDCP+CN07iMs4RhuWMHJHw2odvCietmqWsYNl65p9I +gH0vjxJ9ubs1HohM313KKEt6OFGEVMKT6E2YDM6u8e3pFvUz8/Y6Vn8ZMH7l2nrf +ENsRTDWyjyVGSKN7793qj63hnArqeNf07cplGDiwLbFOtAOpa2UUtgcVaX5/sYt5 +HchqLFm0NzTxupJevjUUNzNlhfuuybUtfSmX3hX156Vm5EQAtbXmJnQPirVl3Sjc +/JP1MKfOfLswZj91pgn7lg40a8hJL9Aqa6z9+5lscE1kh75a+PAGq3+sdMXTDwGY +IZLAu0i9c8eAzp8MmsKwvkUklDCDwuFJIuna7CcpULavi7OwVXbCagFZ/Hdk+2Z4 +5ov0bP7tcniYygOqjuV3x33yGVk2KBCNoA0YbavnHr5N3ci33xGj0FHSIW0bZ8D5 +G0TstlbHL81wXLZS+3xhKnortA3C9Bf+724wafIknsFSUOEX3QA24gpCQAZqT0sb +77Rh7FCd/XVAn2DFBeW76cnJDFbH4+pLlX1g89CumEOhvLbcY7vegxfOqP/Rv0Dx +WIUkXrvvYDxvdb9Aiuda/edYGv9RKoTr8ixzcNcspBafAIzr+Ird/QJc5GQQEQg6 +78Bq3j+aCnivsT0aaCbzYVOFlK1Ae40quQTsC/1dmKYLhNJ6UMR9mXX9l2Hr7JZV +qs0KiLAHHvs3/KbmhOXmc/NwzM20Dcf/DhLdmkyiRs+n7Ma+QIDaUNzFzE8XlpuT +3Srw2r1Vlt+bC77Gxok9yxIw3sq/gcFxO3/O7Qtxx2U39zKusuw3O7ZQNY1lf3Fm +hIS1By0bYz4YAqqOxBa9tsIwNdoDmubDT62fcMAS4vxsc52k1LkWUyBj1GwIRzKI +N9BwFWESGFBSDobGBywQarlAy5tdEjSaCs/gWaZi5QiRwg5YY8AMwW6Og46sB6cr +H7/SclV15S+/0D4SbhGaUuE0zJNo/lYhT5Cxqa/6mVR1gwpl4UqVlB9rjgKwKFgl +f4pmTxP6X1EAroRmsbg0REWlxUFWTl5O3fZbtpkHa2Wfx+lPG8XrjxsR+AWn4t32 +llMgy/rHFgXEVlKJ98Gdc0Jux3frmKeqJjkKM39j+1dsVWd69Xl9NfM2i+G0gYqZ +eLyFepw2ueQBQuFwFZpL7TrI1Y1V5SvDkEfWmI4iYr61es1rjUof0raxRPLPeJal +5HQ+WrdPmFsWPlirmqR7lkxeknQjVsUzKgBHPBMN+ANsx+rCW4MNWzdLBpm+50r/ +XMLMSLr8LwS8K1b4DQb0MEajQcYtkWe8bzOhdg9ahSqgh0gl5CmE5vNlALTGB499 +zote1GMyAU7Chv0VmUYSFRxm0yajjV32kRS3CztF6SsaDFe7LAjOsVGgNy1rt8j8 +8KnGuR1L+6in1vsq5I63OYyyaC2hcHD5e+tqNNvWah1WK7KvF5/+pKRnLZKZ9/+p +DhTleAKzs5dyR7f72WO0XNRnwW7yKoPrzONT/5XfsSryO0WP0+9WWgkT+9Y8G2dh +5F857ouHJ9O2ofMdbDPV0I9DUqqBlBYJ41dbKIz5hFt3d7EJqvRjvXVB5Q1KJ+sU +byXEqHbXvqaHE9DbBJWY/pT5wM/j3COLUOdeInBZbcsRM3SU7anfdsFcdQ3dNA2f +N4PobBrq6MRiCqlQWhpZod1Ff3TAnrxwqfekZ4krG5IP6JzBHCNLCVgUHmJVzGOF +BS1UO0iL9b5QfQeNwWdhtzXjVgpvob/Z7CLU5RQN+1hXu5W5gdnjtF/mkr1W/FdZ +kF+Wxrf9Sk0+7j115jVswpbkMBKSpOup80o+OyUlv2Pqgmequ66fREkcYWGMhCFd +KoMPzbKzEmgsu9Z2LOXDWxCkhNyRjGFnsQlXeZzNKbRGTvLUvIG5i2xOojI4viuS +inu6JoazgMhM8aeKVP3opxcHPPLBuAVjGHQLTlqCkQNrxiS4uvT3IHgwAYabcszE +qx2pCwJOJN3dHfUk0xLDLZLYyoJWFnmEMTmKS/Sqa+j6+KpCz2gepvgXOFN7eAa+ +vVzeoSL3kGaB3VAGSGM3zJQsfUOdpeH87r0TrR3lfKwY5gdv93Ic63YGfFzLhd5x +8BfBxnizPNiTO95gD0WGO70yn1FkI0r+D2Z1ZDr2iseXxBlt16CRXhzvxCgzvjsM +rATHoEl+UUETa9CEUOpa1KSROPqbGLSEP0i8E3Bfwk7g7xYEdf/v+amO6GOUrrlw +rJ4/6hFognbETH3iiDdQhMJtFRECL1YJYpPmgujawYOEEIAv9LkBlCMhnZk+GyvT +OjA8PUTXNXaeZLhHDPRxpJUsf7kZxwRdyp1Cd8b6HReIAsoUavggZD1+98g63SKc +z/ZYXIu+74Xiie8ZUZjHbNPFHBv8+aFiLiexJ/LEBUu4SccrXfoxtl7AhD6Uv4YR +Bz8je83OVSTiQKYqmA0ykSK37AZ6JUdF1IEGjWluVpw1HIwqodY1lFOvp18ODX6D +28XSy9fNSTnE8+QW8fk0+IfX4lVlj6HqAnaAAB1AgakRgR+TZBrvYcB42wKlcTaj +TLt4LMM6XCU3I+51x1DzX+2C4L8tr/FBBStZgjpetI5Ri3BElo6AMGgGAjiVoFt5 +d8aCRZBxMhqCmTnMURerCFAUJiZgUpX4rgXVYsXtGj6LsbdIIRFErLlj66ZJE1Tp +u22yDXjpCsc4iJvM38asMhxzCIJyyY9FDwiuZkoAH+2WCZi4uoLYikOLhkQJ2tiN +N+qX/ROMyS6RhK8wuVtR8l2m6RgoneUjKQ41o6szuLxZ9KuhevWK/QWesaabEGML +AxDoKVgYNxqHwE5X9vgPKJ9STSR7OsZ4MogXXg4AhqRHniaO5h1yOcU1ys06PxeK +uK4YbZf766m2vIxWaw3sYJGRM2EurLle6WCLuAnJpWZqQsEkhS5rXN2/rcka3KYy +JZlL+BunDARmvMVB9RYoulaBrfhtFhvtibNSu/uoGl7GLpnMg5OfFpJPMsNqwolt +2FsC5uUU4OYhmnyBPMIvSaVX0rwCZ95kIeK+IN5C75kAhbK/sdywaqdYGWp42CU5 +1hGXbP789ttxjPsHE8C3TnHZdSP62LwM/+qAODzCkQhsZUOvD65SdrkEoIBJhih7 +X4ha1DmiB0gLNXeSq7hZFEvrYqYgH3c4rGwrYFNKz6QPYFQrHFTnBv9aKfKU9GmA +IHkp1a6SClqQe10hfO4Aei18sX4Tv0v+R42zZDcR1bnwtV3ZKwlKfJPesYYX5BBh +QZaLx/KbI3Rg5Jvxhc6Uu9WDAYVMA8w99JL5/isQnMRjX0KBq53Rw+tZkv8sKS1Q +16WBN7Or+BS7mKcEoh4GV8sBc/YwY0+4Isl+ejqxqdTNk0m9qQdD6yMuXnPOX/Yu +SiNlblRSlPC2JJbHohSA7WfqDA5suKNTUCokMWKvajvn9m3usz2rPrGjTuyG5JoJ +AjgcDX6HY2RPHm1q66jJHnl76K6dTChr3KjwQVUgWoMzRrXldkVYdE0i2MoGtqCS +rRiOXM0/J+QQHvYKKbnmNv/zPnCXEhVomNJ94A/k+9IrAmI102xNq/tTSrhMsfW0 +ERn1pBiAxoP2GWhlPG7m1nRPHm9jg0pwosBYLh66Ch+YdiL6t5NQD/pwxmkLuo/c +YzbE6LbUDnVo6c9UwDuXWxv0Y2kCXvbO9L0tCzMUpqZaSxs7sFJ96cvuV7rvZ/bh +hdqJeygiQKoreSTLvjti5q+GI6setKBMBnbdwy37zSFmptBBPHDDmuueldlPqw0k +hvXmZO51ifYD24YA+HjcecAv3LqcANWntNsft4E3wwHtToVdaFSTV7jyjkeWFaXY +Fs4Iozes/XfN4rSR92lJ/j1jgPkoRuPXoX9uaYQRpRbQKBPNA4rm14XZEkUyBHXr +XyZXZf79E/XtEVhrRiFNTv1inucVFHIBotTuWnZlaOqKBV6TUY7k+pAJYCgEEQ7M +xosg9pTlBJXEPlChn2sGjv/X1klrknSRlEd550J/ouvVn6pbLuLYgkxaoTJnN/PD +C45dk4PuUa2Oqh9RNazZETVMd3X+yCbsoSOGZ5GDnwQffAbZnSpPCpcTRJZjvqH9 +0rbCl5KmcyVhm5gWPdR/gQJqlxOLQDAFj09OGucfds5B6TYhwWX3fQUOm8cnr3Qz +pRJZd5oc3w1T74AEBBhoo4RxBrffsMPVjTgt5vMWroWl3wskFcehya4OsNk6xs5/ +llp6+Buf5E2nc2qL3iCmKxe1xYp6JlQUPMYVIen74ozGc1IhQfXXXP6i6+U9sK9L +BEqrFJOG0yz+saZZsXAMI+PGkSAzDhleubfp/boRHBmwb8KUNgQByUffOUpSnL0n +0Q3c+ediBXB4tsqAlgFAPHqadYfXvz05lpLuUYxzU1vjOtM5a3VG2ls6eCPiR2t3 +7PvKYvFUR9GOp9LzDsGGrr0/A5QFd9yfKbT9/khCAK6BtU9yhvSedZNOXpcwSht5 +HvDdsMQPHzb0knDAg0+ukf3x4Rnfob5S1xUou1sQG5r8LIlhg7JfKPE8AN4QaocK +vJXFdNPXZvSANSIFsBP2NefV9L7Lxop3+PUzGdgX9tM+YqEJ8oJUIlNqiVWopNnV +a7yY4TH/ES68qFMnFq06d38kr4oPZ1977zEwxbxJUa/7GBKMFPcPk97dRmXx1k0I +IocKxIVg/9GmGiTn2XJk4kOVCPtWrTEatO0hWF4oTRLcZA3dIvK6oRM8RNv6Q9y5 +7eSjHDUxIWNUr7kuAxMnSL78SROIcRPyPzm0EG7MuTj8ozTsdEJ0qkdqLbMdkcro +HUb3+PU8i0RfbuUULQBztu9bDcti6U5c9voaNxH2FF5pL1a+9TbiAEW/Vuzq2R96 +X4ciDLualHT0l397xhtgySEzaTdnEiXVL2d/cHmdzaUwJ79g7itwYP2uKmGIgZHr +rpOxAggkkIIxHkVyj7NEZ8A4vWgvO8jXWl66aXuWcwq1GCp2XXt4KlrJHaKVax26 +46eRbBtl6XzjkMnGn2Xf+sXOY4zWEv49uZ9HNNhHEPXCbc2gyY5M1DPjM9PMBgmO +VcUl/spnqWAaBpW15R2fK6g0cVlSIjPfT9enKJ7qhSfyntMkH+ZNDCEunhDO5fVp +/id0x1rnSqb6UJ+mv7OGYi5O5t11ZNCQMS4nyqL8LO/sAopaqnEkvi4Mr4UfIaC/ +ZTO4wrtkXREitZ9/w9vwdXbqdGL+n15TmzfvAmfTvYcJEgca4Je05dZbk3jsZr6k +AKuGXOR1St7851xpQGeNVf6elicz9dl1cS6FYIUUI3MZVj/PBgp/YyD6pIkh1Vkg +an2I7Pjok+aIpQ4/91S8wnqBQiOz4UucjT5W0mf0b8nFVruX+6J6IB4MTMLINwtj +VRMkPXhfGNJjHyt8EXf8ZTRIgjtKf8L4V5U7PaNk6+2O8yOppm1sy8SQQyWMeFKW +V0cua+oKcPS3TcqV/9s0Mf6EWdTRDz+cvDp6MntIwgA/0un5N2txBc4aaENueWV1 +zQaugS1MkN12eFFyoITLv9VEYc1zn0tfjebtMn857zxSi0TwGJfInby2xKOEEM5o +H3a6jygTIWBugz7J7M19QZTSxoVg4b5SOaqVy9Ox9RsDu94c1TLv7yOcICiXmMjy +VkKepKYP6fx67XX7EWl4H6M+vtqKxwuO1oGYFNYxrqyDtepMTVixFHrmERpn+vRY +S99Tjjt6EJATz4BfVnXvxmZ7oWQanXMSj4D/4dNaeZjIb2rasRDmizSCZl2UIDFS +SlmcRiMnTonC1S9xfjQxqbHz82sJX1L8rjJQCGcl5ghr27XyEvwtX803a3Z89A6+ +GcuxOP2iGyvVJkqYnWuY/4G1Vbk4pobH/CIBJaFKOQ9Yv93ocphASSrOOmgv8dd9 +BpRR5KgHYLvtfQ0AVR08bdjKdpcrnnWkQ1N9Vr3t659Cv3uII7B9WhAK1YKD0FcW +PsDgUzJrPMtJCn5n5f/VzyeCqXLgd1M7X7BkrZ7JDZfhMs+GBP7bTZ3SE7QPEWeD +iOxQA3TO0+z70+gOXaO4SghjN6Q6X6mUV5RsKsVITxrsNAirHA91iyE1G+CLdjOw +eomonuRaXY9OC/7436Khdvw6yrOOqIeISz8n2afV4J9/zW8ZyDCUYoe7LZnmfyKN +eUPDc8tGwI7IRL7XTnXhZDbmXNUx40YShsV6CVkCSa1pjb8giBfUgdAseMfRMivL +tCpifoCpq34Puro7gwCCX7vA3nYNBusjVAVtSi072GXah2PCoD9G3GuGgde5SCV5 +KtyKLzIy30tah6xkctd1JooowWARCGVMiyme8yPO8ktI7x9yC5lPL5v3QpjVphAJ +oNp84KZGpnlZMQhxI4CcEIFLYe+7zMhyjFIrkTs3K2pr/RpU9sHc/EO5jYZl3Mod +IBX9DUIONVMEr+XjEHcoul4TzoNSLzdElQUw28XsZlI+Mb1Lwaan2f8DEyWvavWZ +bieQrC6w+Vs/CiuDq9jd2mQb/+/yGCZxkceeHuKgiPFJ2OLOKJZcV6VGI6HjgkRP ++u7oYXa7i1mIS+KHZyK6CIJKxz4tlF0ldmFf8eE01xvEJ+yHhCinkynvcpTD+fBW +dfY9nEJKYw19zihGxGkZ/tUE9yLuKR/EiIgp8Osr5HuRMz74oS0lb4ybyQywI7dF +fQ++iDeK9MWxpBu1uzuC/wOP54s3MnvK0Sq0q4VnyvBc3VPwoCw9be9brUAlnm/W +Kk/Spxk1gq+WyGEO573s6jIPHG+KpM8KRcmoD/RRHB38tOlU3oaPqAemYh5eAe0S +TT5RztaMEw5+w3os9yqO9eQiEaOSji2dpGM8IFQujbVb1C6Ojb8F1QvwSKow2iY4 +b4Al4+ln9l21XV4n4UzubOX+R8gUgu2zQ8U84Z3NIk7yttcZmaI8wSIRrkFjAwVe +p0sVFKOe3XGZZxq28FMIM+s7j85ePmwiZxuPRZWXkJYHxi1V7Odj0mjU4e8o1Ogf +I/oPjo5yyaWq/fVuWp4QAtoijE5yeuGeLHzRvBJOXet8bbiii7vVkBFLkmgcq6US +J3AwSQsMnQRNaNmH4ZNkjYVcTIZy5UnLi7QURz0IO1YyIxvaxWgjnJXfl0H+zRgm +yvH4nhulOJ2YYJwCJSAUTxZTX1e8Pi7jLq1YUNCNSUfACDpqvUt+6ffOsKMvUQ3F +YP0hnyn0ngXyuCjETe/B0WLNLowD/Bo8temgpzTqlB5raL0IoeeHejoXgJM6nJ9g +SpG39alBLhDKH+6jhM4ILUBUhhhSQW+Qtz35UjYF5DP14J4DelWa5QEW6COf7IN8 +U+zI7LiKtfBaB6OeUk0Go+i8+WDis9hwU16K7+HUPo97e3VNBXlQjqV3z7KyUDPk +w/AElfb2hXTk2umsvZ0roAa2Zrxy7tZox4J8aoN1w2B2naqBvNSaY+cvH79gtM5S +9w98LTEgFXHr/qytUda5tDu8s1M14sYIY6JJ6FZ927cybk2oB4lV6WOW9c2S7o7e +7QOm6nvtoZTXiVUgzx5EIUK5Zh9rpCG2al7OXvsBqEi6FjD5Ihnwtoc2+ONjkTtg +KFvXT5xdqkezhhN/bumA0uUheG75PlIgWvN/f+E07l1wPGNGIMaJ2ItRI8MCKuxd +6j/oPbcTg8FsauW5mhMMhICik3vPGdAO4Hr4s2PUOvO/XgD2GVbHQ3UPHivhgQGl +aXJpMSZJqqXRpBrOh368pCNbzQgTwKF8AJkRueR5tYBSgBp8Hp6UTcuEmwqz9dIU +70FIskX99lvKBriR4pQGJaBMStMQpMkdm4d1wmVl/fSqd2BBSL6STCa4b0jBWzHj +7SX1sE99XApHFYUQOa2tIcmiVNdmCF07qm+pPTppK5W/Z9kibm4kYE5UzjLOKypD +nSTgW8V0NHRLeUzIFSYS3kVapBri5/Vb0YB8QblQtNo0QTFX2X3vA9ifx13UZAO8 +3+wePlJbSmWrdNiqdv4SMBRrNVawM4HpA/ckFOp/zbQf7lIAQOvHnfqIpUR65C8i +BsiE8KtKX/UUAjexs8GXeXDBcbTCC4cRBS1OT1kMavKWfu7qJZziFdx/xLqPovbN +UfISheFcoW9Ibfs5kVRLwIhnbtDTaJF846PFkEYgue1lqpuLuNAqPezc4kmPJ738 +Vh7a4GDXLGnufq2KJaSlO/zTgRiCBIQYExUh4VhbLSYafbfQNPcRIf/50XzPOoY1 +ipX1RBfNBKViX1VnzvK7f3yewoeMmbZeqsVefEa56DKDJgHvB55MoMbU2vFh6vf5 +pRdWOiemy9N77TLmIHMdiTlP/SHBrJZalHh98d8RB0+dASXwBTks7naY+Uubt2py +QlqDtqXo0OToi+Zhy/uc2iAIBNK7+uWr4+aKRIlZva4w+ET+7IXyJFUqa4uWMXH6 +cB6GjyUHiC7p745YoQ4EYH5BUWV/DljSNWEhWfIQ7E42aMHyabpd84OybM5uvZMe +ONKdLdp6VGLCCYm1zRkrh8Tf9/DWa/RGYBHP2hzmZyF7Dgai3DaRUlqa/m6JUZhf +UOj0z6f+BaP5ZfYUEmdihVlAuISC8+4oWwFNgMkkUPS/DV47ab/zNKp54MEgfCjv +e6A1aMcJBSGGGGivcZwdQb0bYau6VJvqLzEA7oaczjcVffsDx8jtRtcHrXly/OTW +09VImMaB6olIfSEudgtlf4wW1wDf0uDt8l5GaJw9r1wnDkL08VQaZduNOreluI/P +mvS8BCIHgVwNH5PP1VRI/7dVs8/tfNPKvxWYo9CSGuJ9IC2wM/V42rzhOnAI3uA/ +xIgszNhHRhy6PkZAZRaZnZTJXcN1dJcyCiuse4CBG8xsncYwOngN8KUOAb/qVPRx +Z/9hT67euSAbykCyWXs/La/Ifhfobkr1EwtIhFjlJtRwHbM6vMDid6Tpj+NsiFrG +OHCvczILXmi2O7sQ4spFGuCI8qqNfP/jL1+oPFdvThJp65o1s1ijfrwGWlsyGZt9 +NhZW4QAkEvWzRm9Su/je8nQ40p97DUM1dXP1jb2e7jAXB8naRZ7AGjRhJGx4pVKL +UZwHdLQ7lUjWGTD0dISDuIz+ISUnuJX9iloMcRx/xLhJeKIneiRgwXoSv0tHU8e/ +zPeEG5vtU7aRwCUJQquaaO9q/KL/TpRccWv03TWgg7N/X8gGYJBSAjy6X5SjkucG +Azv5ZKhk0Jh5D65Xq1r8ecXv1w7/foZuuBMPfVUrfts/xxbPWujXtPIY5lHw9J89 +OCMW8ACIMQSdrMQrvnOCTropVh4H1ELprTVL733he6elNn3TrdE4VAVlCHOmFf4Z +2m8Cp5lyRSXp4TdkjUzHqvvU7ubGZ50SZ8Oj2srx8OSvYkeeU/M2zQvdM0/KnEMZ +VteNPRMRnycfIbKpG1EluAFafRYS3Po1rct/Hxzvi8pMAc9FaTAUaoM4RGy+WC5L +mjfdcZ31OkJLMlO+NDXEpfE2Fzj1XZcv8smutkr2By0ShB3GJBfl9mco4E+/eFks +P00YEIFELEGcm+kSziRraFCi00NasC4STHhUh/zpV0H7hQdDOdWjUNPP3g1/g1Ba +xRNoCatwKEglsN8l+9xnbhVZRr7jKSy9AAp/pN8SHc2I05BgKgKOTKfpUZrBSeLJ +iwLSXz2dbqnvn1LtNrhOxMjco8WMgwmi7iVUZub5MpPXtvzQiGgbWni0BsKiVI1x +01jpx6U7FlSTEaHS4BhFvO5XW+PI3nDG9PMQ9/+7dSDAl2zdPp/twb3aACtjCfJo +VjEnJXvxTU3p58uG3/J4usoQdqHQ1cYdDu/ISChM/2sHV0xuljgwlNmrb4w9nGiG +lOI4lBvRJeZcRk9CJCOQ9D8Km+hm/lUSGZLYHsbpRv7DHWrt6dx4Gw0wRXOn4trl +42wD71f1+JZazXxPCcx9rfq/eVNXlijH2Xr3N3sSooBekIenv7i8V+1+Ti9pb7OK +eKAnHGWhZpG/Ihw28w3GLEX9DUaNZKMR8Iry2qRgeY+0vov88RbpgSPdqwfizL3q +jQmbaicsbDVhf528oUijQacMEgXAE6oahphU19srboFsFOYvURpuXz6oH9WJgrYx +LEnpibdf1+bWa2ys+VJxyan5MnO7PXJH1kSAQvD9ZoKyjnJFoJfcUz5HmIffRQKO +BNaxwQjiewuTDVIb6lKztwMCrA13gwVVuB2tGzv46J2fA6PU747dFBrxeRE65D7I +7EKkP+6rodXHMajlGTHiiizKn/Dyh0bKsedEjjPpXH6fRlmr6AaABXZJ6fZg0UXP +aCKWsv7pUCPcbQgpD4KcJvN7q5e274NscbqneYCxi0aBdo84Ra6E+r90DeZGqugA +36VXoUy2DF6qBF9YgQ3dxTdtjkHQoezWM3ylyQ5xNKe/by0KbRTHuCM09TsdWZSo +SoVUl9dQFDgqd1MWoM/8sBMqCoKtHfe2RETbsXhw0Fm8CQjBqVdmLxlCJJSAobTY +EdcMmutrW/mwO5GTwnt67kNrrQeynNndrTH2HEgs1cApOQqn8UUt5KMEa7ZUN1Wk +jrHF5CoEZu3BgwSXSdF9UYx8C3AD/O5vg4I6JNzMZx0M5g63n69Rm6O6I95L3yeI +GNq3Gp+Fp/8h0viUeIxhXfA32f4PeVGYRR5x3VguwRzJ1einARYatHFz1ub6fyhv +Yz9shXG+SKHjk3b96yuvYvz432+jlfcVIbG3xpE4JLJ4hyerPyYw97KB+X5SNORG +C2dqvdleZGtZIUEIHWMIMCis2JnVlIB0D8VoHbYEA+t5YG8KXZ1DrVTL1uY3PeP1 +uRgG2wYYaWL36fOScpz6zA3htyoHgvSw8zk0fqruT9L6JnM02KwOYoka2tT6x7kX +bRKlZukE0D9GF9nVRjE1FcGW/8QTJWqNENkoEny7GbuPlf/yGbdrx5tRzvcfW9Ho +BdaMMGj3Y6/+eWc6T2sZOjipbpAOAuTsS4ts4ytq5amr6oMSk8R25tV/hxwFR+cd +Bpnpe+SyZijF/b8I3sR4X/BsJuxIKyHz4n4v1B6xczcRdV63b08AixGKr7wtvqeA +V6rTAW6DFQBX5+m4wH7WnysXVZm4kFqnMyjQ/2jmx7b2ZK0CaHluPAsnt/1TPo0n +IJlA3Ql5JBTDQJY9KqG0YG6kSmP3C4uCFAUZ9nE2z5yqCXXLzY0JET6PXkj38dCp +Z8izRQRjwd568E9knW1JeE0q+WkgTz+me1+BWPXKGrAi4eS2fDFyRd5cjNdzNtRG +bgQx4Wy1Kv7k5WMqD4qo7F+XybgeFqOkw4GHQg9JwE7XFDqFo33PmHnog2LoMnM7 +6mZyJjs6JocSomvI7lKPKrjd7slTknAY6UE3BtGoFeV15DeyEXNZJJCM/j4z9oZv +r9aU/ysKkJyDNDvN1gfpmC5Ojen/psj545/07altUAsrEav4ImCzazQg5c9Lrclt +NTjegoxgZOgymWa3/ESpAoiRZmVpWMImL376L76S6Rjk4c5iMtR4vYBIm42xtYRx +T2T56B+yzUmjfhw5DwIHP948YrpTHMHswiTieYPKlj9kT+Sby0WolXPzKlytQpPp +Z/Nbb8E+KvOHHlsHp48XDL367XqTOE+2McoL5s4xXYaQoGdBL/w6WQM9biWU3eOS +wnmXE5aYcN3Sc2HCLShaGJwEJmNIMM03RIz4F9jVHwOmzoSJpCAvsI2Quha+Agdj +EAYTfknVFxclOwukAn+ff1Iz5pJuMlxu4ok4waPeF/on3XRipwE+VdstBzXmbwaY +epd0dCdg8P1DXxJH3oI3YsoBRt4nBSULoTAsY+JZkjObK6kirMtWrBCD7X6XSjrC +4w2aiORiGQ7pMGdj0iYyPsUtzywFxOeVvG5fxteB8mVcviJ8zk0QkJ5qK0DdE+Ap +snGQnsUkg51vP2p/YjJdeyCD3/CkPOCxIpvKqsdzOd7NNwXWjfyPilMmggHbyfzd +m9Opi1L7wuJrFUeAB42uI85b7wX3Jzmf1JYWy8wlbZjb7PYcoABdF4R7JOR8fhn+ +tBL4vV6WvgyY9t21XkrXMXGwNhbjo1/XlH4eDsDuxEiGIvk6i22xmtSBHRihO2eJ +F51k6Z8IJsKm+2HWzzX/FlH1P9bKgfFKWTHbqOO/6O6yUu5Jx5Gj5C5f+t9W4aAl +Mo5rWok/zmG+3JlFlzugriCRYZ1pJB4G2tnTKfe99lLOCQTaZTYSYNZ+5mLDtwW5 +HJWtnxJVxo/3OVAm7DqpFgRv02PtXG2dSfsRHcZEjrzcnihIDFqf1OaedUMeeAw5 +xZuDBUQ45GG+p+pkmZc9/d5apA0aEj+vBRimsAxpd3XoKc7gWllr9UBmY2lduGFW +BTgxQ/55rcIYKdDXXkDPix0oQzTxnOF4rNEDcNfwdodteXzlx5BwwcVRJ4NauPhH +cO4EpzOKaDSeI4ykOQePL6l2Q+f88z/k/482yEn0mtwSu8EOQePURx+hcC5KfgR9 +pctvhte32cYfuZNFKWaBo+6d+sfYARpVPlHuT2ylCn6WxZ3r0etzCVEIrj2d/ez8 +NZdjhtvFIfjAthwOfPtrebzwLSjKGZYm+0VqJ7N3RIW+Mr5cdCF83RZu3Dlett2N +7hMSkuuH3ylICgwv1Crfnwlba7MgiatpBAebNQc7lIgtQAmTJFB6OvT/kzPS+AXC +xZjysqt1Eacu7sHLSfRdDBMHUjwRgnWmC8FD8nEkqr7GAuL51U2oauuZJt5fAroz +MAz3nwI+j6ozs3K7+pHaJSj3yeCxpsCkkty+9ogM1NK1mdZSYCtZ5GoLi1rtfNdR +LG/YYc4ftx3eH8u55NsQDHX9qbG3DL/q2SxoIENc3/Qu5rCd4ZmMmwLWKesliren +txdNuBGgNopTwYvtwAVPG/DFCK1pMr9B7x/l12t+HTDdVyiRV0UysVaUmZ/NEN66 +EfHZQ6J5zfViJ3PRHYIlbz50/sdlyIEOfjE83epT8855rf/B+/Z/YLlCJzHHbtMR +ahXyAaBNXTDA+V+unmVUNbmXrNzegEmv5LWxV6v80sXMlalFXDVUofKBkjL1YVmo +py0hqAXnTCyn0aw35sFAvAf6v0G8YwRH4x32rOfjMGQg0F37xxf1Osy+JsONaifo +cAeCi1Y/4vma43w1rCux8I/vlraVdABIaaonTg+X9cVj8XAHqxSsx8wxo7lQ/5n2 ++efBIXF8brFGAQjB6MCeIjDSqX+pPyfQqKs8BgKjaGFFJNPyQBPzoD7sqlMWDY0Z +cR83mUX+ZE8pPiKqYAQGwhVSco4DXUuF5B52p1P992ADOjgpcWw8aTKVPeIEmKDE +FL9GWjEqQgTRigru+cRNtEWpIXHQkX03zZlCMaCtSf/ncuREng7mQZjU45Kn0+GO +Lg++XdkSH9/7qvvenh7WZOfQqCZOkbbMPZsbTzQB94gQHmWyZ/01mBDtH95OtN1D +upmKTQF01IxYmnMwcLUjE/lD3JBoLm2FhvGf7ETZqbPfxbg6jyUbXXbvRQjLsc/b +4uQ5Z4bhUuKhY0JBa4Fx5JL2Evx9F3y5SrvB5Ku5cg79qTx8KmhGWrI/0nbjXOJj +2mHw4Sr0TR6Vc06GVwNkOQKwe+dtBp6JEdULKG4zz1O+GoVFE4Mptf32qyBKBgpO +HsboEgBhnkZWyqppuSsNJMokbnn90ebbuM7gic+FvPUy97Nj8F8+quvGLd5SxKdX +4oJjqA2XJ0am2CLEl7K+Mqkb7Y5uqYuXfSOF2LzUrES3X1O2Nj+OVS0v2p+lCnKx +lMBE6QR7l5oFShuwxeqb7D6YB3imOdShG1S6uMZJVrR5SoS9rLXypy++zPj/LpNL +3WDb2cxGyFm80puUcUaDrTWM3iKm/9ET0qFEP3JoBPNtYTC9gNO4v12gtPVZWv6c +IRuxC9/A8JCo59UopeE7qTMOsUJmBi57IDxpY4LOUhBoytDyRUYd/dCcCQhZ6qR9 +vqQRwtxpPIGyLSCdA5md18NPrWXgk2wYwJfSmO6zFVyqAWA1+UMiumL8cw2M6mQQ +d4bWLm3azmNtnRCTz2Q1prrBqc0iEkbL/U412Zzcf7PnFaAcb+MAWcL+0j3G5Mkn +cELIeAQMkKe9qxIFh73F8H9cZgvgPHGWWotewR4mnnv8GCuBpO5lV+oicIZxULbz +72DM+qXXLtSdkY+aUbU1U1LltKVGd86DaUdPaRH8VDp0QI95zzkIfw15iIB5WdMt +KoCAcxCA/Mpp6wQtfOq4YVcRPNdNUe8sMETpEpCl6wUoxXnZVave169IIZ8Q1S9C +YuAztM3GhiE7TS1hzFx4z0KushTax4wuidofwYOk+uwqzdXSu/wpDHSU2e34ZMxH +g1nx469EqCAe3tuWPM9C0fHWSfJbPIFd2m4neA4TjLYSJCKva8o3dWc5Zk9zfevr +rkUD1IfGOGnTU9Tva6NjjlnpWHsobfaanhJWuWS7xkll8DwLgOSdSIjajQlPgf3Q +AYYU64W6NTB/uCxj3wdq38clhDhj55+ej+8DthB2GC1jqjICqN8peX2HLcGUqCv6 +tUF7mqYY7+Up/ugHApaOGZcabNGaREzQy2yRVcPRoX1JeFuE9Yb/8uQk44n/0xIJ +y6ZRHw9Uo3Jqhz0qGl5I/UcTWKCKO/UOvURSxVXBC8S6us0OAo32Ui9gQfzdSr2Z +qI+Op3dmwR+WbO4ODQIFxDvgjf6r+crSZCRF9koTDKheabb6ouR367oFWUwaIFqo +/qxnMpIf23qCYJWkT6hySHAJ4YSwgh9Xp9YNeaGnSNNT8tTFA0yxPiMs5QzOFa3U +dcMAs8sPKgiqbau+Vj37KPYzw5fOlMc5HOw5kK22h4udCEBKVJZa3nAAdVNIAVI8 +3ECN+5/Ob2gf0zksFRP2J3L0hNa+seH47Q7CIsGSS1cneCTw0EF6GnIycwZu607x +2qKQgqUih43Aotrot2ppTCt+QdXgpT1mlK3uPFams0CeqzDX6RA9QVVlQcHwzecX +BO8U/qcA/cSTdkKX/FvrqvvNCx9ACdFhJokQIwwtoI+4Yka7MFljrh1eVSujkGVt +ki67Bc3Sf7ks94GHLgwUbVsCbTpubIUEOJxyb/TRdhE0apFudk8DeBPWGIXEAgPG +kU+u6MRvlXeheHUQ8IqvKTIcd7pbei0bzTsRBUisLXAp4cCz/EDCucD2XR7C2rGC +9zSxj27tORDw5lVmSpyaT6ZJuMpjyS0JMlK0xprqo1Iu+x6LGLaJTpK3TzbhoN/E +LIFgA9+u6zIoztk1NasTE87CRCmpFYv2nPV1CiXyESF0rGrusydn50YvNcH8iksA +KPUPaDd8vB59NHecLfyCG0+KDjYND15Na7GZBHRBFY3sGJk1zp/PjKGOscmzTaMk +OU/7uOvIZ76wjj52aJau+h7HlyXBVoZOY1pb/caIFNvoUN3f8I1HGuBHg1U37Iyp +J2YmrQDEsVSWErzDBzqfVFCbgIA2CUFy3U1Mu5JKPJVhD6UkWLfhlnwL4/o/MQxD +P5+4XtVli358FGa9Eo/wObBro/jtpl1Puhnwq4y18IowmfN326kE0VbJDq9msDCM +RBO7t5QU3Qcm9ctgOrXTyGmm0wy5aXxUY7/KIkneKuhYcstktki/CrrOZTZ7GEiF +tg9Lc+pB4AoVC+LDIpGwwiwGxBgzbo5mhnyiMbNrnCPbWIg3wR42Vy2oq0NLiWbw +bOitYz3QJr1GDN6nQEO7WtWMzD8XylbAAUUANKNh/q8WcXVb1CMSBJWOP6lpJBT0 +nmYL7/ZtrFVFjfwL74ckt7oV+cxCCMFOu964tvs/Ss6WjBrrYfIm5o0rSaDtAy6c +tB+j9pJD7Pza48fYi+eIt/6fw4mpLZWDwG1Di+/JOV/a0MMT5vpl4OT1clkUuXqr +MrZefg8MERa7wrV9lTKQ/sGb+thMbOL2RCmsJRQ+NJYt32DJM3ueOOuxCDvdpz1O +Z8dd8BDdMmHTxAYX8jB1E8Mv0bpjXjHkx4Jikcsm4f4DoB9qT3I7xEKTISYyWKjK +wmxI07Okfd3xS3JGn1SLDxWOl7JQ6iDNQf7UNGCoVGHtQRUgknZbktaGagOUT4aD +2jD4DzM9cHybd10x2tX51xwTXp2aCEkj6DJsfxbV8waUEWig+68LvrIoBT2Qsu6f +3L6fiWrW8JOuClrmXUKORhrM+364/IJX8TyjvegPXn9OAjukGwveD1VwPz025WIA +b0aDVyzwxTMbt7N/7v0GBy75E4B7PTukFbY4FevOxZCPKROKpXoSTqjOT5wBo3t5 +wha8KAeYOJ7MILyyyMWRdoi/Nx1/pHeV5XogcgNeM9GRfhk8p4Bwi/vmct4p0TK+ +KyudQbmvYjeF/m7nCnf6eW1oRgH+cnUnqlAcOuS6PIB+0ZXtMx8iHXjX5pyXAHNC +kfLPABxelNS4UnZMtkN9zszzdqUnBHX8ju7GyRk1gyKKEnwPIZiAT/foKStG5Lac +5kzytrZwn+aHBvN/0j3Uk8PFOY9fjVt9ssmPw7HNnOLSmnAtnVRrN7RkaXD2Y1JS +9VVWa120/URXtD3V1GpKfduwSyMuhQlbqlTJbN6+wMTAC0lJR5K1/gSxWupxVvpY +c+rnLpU6IXvdvOmYen1bsdvxkgDF55d1L+7lVuO63ZlbE2R8f0Xe7OXT6QPw4IC7 +b4dBa4fU9OyBOL9hrp3zyhlPbhxzBWgoF0l5ZLQfl1tAGVD6hxVZGmB/G5mOg7ic +fjQadwiVQfFEEWnOFxwKPGDxJ1W27LDGO4e/KxqQbrNi261vSTtRc3xUktaQg+Ko +jYt9rT5TskIuTymZ2lARhyeuJ02KRfTxGVeNVNVxWTw+FmYnOTBhADeyaz1k+PUl +p4H3+H3c6cN+PL6xvEZOMMOmaiTioEjNw6Lx1gv0frwMrWGDV21V0TdI/caDlD1v +T7X4j7M4v5o/QNiMhoLsFQLS6jLVMQx9BJIEilvuIwHcXQSBAyhsvucBdMCly2Kh +yJTi/CMC8B4ouPj392IwW5a2f+AdjPKKB8khFYCovlFd7sG+XZXHcEwEZSYl/Jx3 +CRmBgKV7Qp/ZNC9NJtOqwAQkKBnUpO0iv/58N6QuZ/1zjyZ+QNFNpGWEL7k43nMJ +EqTimI2nO52XLOZjrl0iRTxJBuyROrjE5hKOl4zMI3GE9y9gOzqGt9MMjaVgbl/x +gxa7YhrZpfoN3os+7vizxQrwDJuo5ufde28RJ4wRCBuDvq4CgA8DE/RauRnVfqqw +qDLeTysRvWYheWJ6OKQXn/rURBw2fxoK6HHikxTsJSMJWDJea07TQKnJM+j/i/jU +mKoihUySKZdsyYrd1uRfaBmSBTq6S3JBQ1sMJQ1tEHCREbocqcs0CMptDiuj4yjg +Ug9v28Jv5mXkPWMSrIWimJebKlpkUQMUsVfZWCSxFo/Ej+fJKrA6IPK1r15Q9k8+ +3FCrsvm2wKMRYKdfsrqiKSSUTzOeoC1JX0YhuHEn5nf8Orq+U8hhVvPp2C6Y0HZD +n7+4lzV2nDIEDOwe+cauVlquAPGPLt9cItpD45/rTaWZDbchORjTMKmgUP/3jxQ1 +YbTW3WvmEk3ltgPxX4DKsutS24Z/Sz22nQsokvyVvbwHsz6iqwYckUW7HmbXivqp +YnSqrHgery8O6blv8nehiW+MUKOsWlIVQPiTZeuOLaiFBnQPmlVKYbIZwvsbyiZk +oEtgWQKOiDMVQyfqFNlK9snA7i8F05RUFjrDxKFSgG+Ngp1RpwJZtJuYniqmcHvg +f36utOAnunmRStaQEoxKR8avyL2c/clYPBvrY9f/7Ftci2Cf+ZcMHLh2ivKvBFB/ +Oekw+XrK9bc4XfQOnP/vvEOastoIBEHsMsvqJg06w+/fni/2+Ahm6XBiJyMIVboj +J8v54h0LlihejZ6SYhXnVznWL4luMLzNdvA7RbdXR7qi82lb4ESbCLbfU60OQrt2 +00v41LSOnZLlxG17emSKdx5frsha9y97wcecLcrmJKzlV1/hfkqP3LKvnUVOUZRr +pV/jdgtY19h4E2gemdS5ftBMgdu9X+kuOibVFecvPESUOAyNpqyoqrRn3OVi0I7C +7ECrmg06xZycNpfxDRI8LScPJIYaHuHUoukVMZWEuO8x1PNG4scp1JsGKQkRouLn +sFtpeGmYhOAGXk91DEmb9+ocR8bRl3wNBGmfN/3vZDs/mD40YMVUJmcU1Xbufvnu +uudvKUaETfUo7YqLngoQyL7JkY7yngXHv4n9rOnXkIB2U4/3Di047A1l+KxTzGnG +Tf2N/mwCYp/rgROaMtUIziZMKaWQdPVYgniNWIhBmyPw476NvCTmpsCeirRHAZmq +Qsnl4BPBwBwyWPO8U7TeT+Ru9hDQR8SoTfEw9RAwdssFgN4qshl5A4tsYOtPOIDf +DjM4x8qsd2MdUwN5yMjZVMAU430EBcBmNkJTLgCnGnxeIFt7tAScm/M1f6MLvwMm +H9Vp2vY/gvopKqK7gmNLPQQFCD+GecYx/NmRVEd4wnptZd4TqaWmkyomZ8JgCYr3 +OkFs1FM6DV3eBnPmlUVDs+nFRZKGFtbzuXLnhN2MSb6fQrNYt4pnauKY4tnGesv6 +optRgSNMW0quXNOw8TWbEviBHi7x9iB+Xzqm4LyQitqs0VCFAfgU+25drXI7U90g +d9gXKTvobD/hRGlA8JYgtD2hbsRxX3vIrYp93x2F0eqOS2UrP3WNj82bJGtSZ5kL +8OTmTtJfT0Q0MxEIey3+KpHOGobfCc2LZezqqrmi/zZZ5+OdNMgbjbWainKoH0pv +5s/nGWoZsi7iKG2YvtnWGkwuf8nH+o7nCGfJ8YNbeZrAE3IH3J6y4cC7UYFCHb58 +puItbOhnuYmT+CWApK58X66ps4V5w5SAjvdLgDnKf/cN26eVnY1KSP1Ru8pE7EUz +R6ws0KyG7C/wnNNJH/dGktA+phatFKgNreTTVqDwQj56OcHPAX+NcSmrph/a1Khh +da0V0dKsKj2nLTshIGrq0VX/XIHzdeCVKlRS4Kwn+BrgWn70UhwJUN/BSTjYjA8Q +idDLg5wbO9UhTTWgGRWPNIYO2+slYcj5keOd7c7mB+sS/Hf81irG5NPenkQ4b8mK +aOJnySyD50GGJJPQ2R4HfRvLixrilQFH7DV0d/0ru9boMF4SvwikZflqA1K5CDOj +8g49ddXOePi9M6N/MkLfyU2RFhNjqD01mAX4+GSibVegCD/F4tn8EB2iwMfeMcbo +ETSulGEI7ieqE8+n50v++pazmD+vPlmqi3yg4yFH02xC7chYk9d5HxD5ecrLTgTA +AMq1opzNyKpn/LdP56es4Xz9UW55YS21SGYpf5lX0FHtBdhDGWQ9dP2gJ0q8aorg +37FeRGnxPgnyPMJbyEWJZ7FDwh99kO5AT7SNgXd6xz0cfAa7qIRG05Q+7GQup1Xt +rfNDm77TGmuTESJtxWjSTWVVQKSmGhGkQp48a5mUgQ/58a58t/vcoTyjQ0cKAGFr +qHbwImrlHKjI524mJCKZoA8uMAQzzyf6wLlz3jyJgLT8MQ/amq3plzgQRZ9xfqhv ++UeyKpMmYbkJdSfqli0KdWfOn36mQfjGR+bWSO1MBHdNKLEW7jxX7lhVLKuY/RTy +RYmvcjhNE5rrF/L+dXB3xHTauVmLJIMyViWitOezxzlrHFxP4sYgpY2EuhYRjewZ +H63/luCmne7R4zMycpU93kLBJNQNh6Ha9e2Ds/Z51rk8bfBHxE//LT+4Ny8FWphg +EAskQ3umi3ZdZgSRzvb2hE+13SD1chCFnFaPPefuUKmMzOZbutHvfDp1GbQpI0yu +CrI4G2cwMO+82Wj6B0VagQ63rYrGR0/H++dmXn1EUEWNg5mE6aw/JmxKi5kSOIEE +VUdNpB1wIbPpPg9XRWSPPA14oNrLpUYmQXI65syW1r9n3mXeZlWVVKFuyv08nbBV +BNXaAjHbFjSHj6r3/utTDnHj1T5Fl4dqomkAePdOlIJeMR+SK9Af0tft4D+hTzae +EGg08bYFqM1F8xcpGKv16lqmprGX9tQEaILHUjcJc1TBmTeSWDGXe99oKKvQ4Pgs +zsAEsHFzl3wK9fxQ/te+Dmu+r6sElrNZsXLLSa7cWSs2Olpy3TzwiW/6AUJdPIM7 +zFNkjcEekaf/dOedvBm1s1KAcXnUP3P+7jegAQX+Jt7VB+f7MryobEJ4MdtvGGV4 +Xx29ywpnG8dr+R4jgOT/pYpX25syQkg+rJjhCO2+OGz803uVWhGmXdSbD//3QGly +o0NBvAyOwlXMxFmaM/IQzY/VIcUGaI+D4OouNhcj9+/dUSlFXFdew9aMGuVe3/pQ +NSGfPTyQ/rk0PGJYXjLf8t+mY44bPWPu6NrPdVj0hjI= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..22240cbc9f16d013a29b1a9607b2afe16b218fe2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_h.sv @@ -0,0 +1,427 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +g1L02/U8Gzhfnen333ptQRgQIp512YMlN4LqKl25HhL1DJ0WhHU2RVLCxT/+Yep5 +eExu1ImMw60YgJZKUzx5UZ4mjTsi/wCvUA4Id2V8HgaEPbu0pc7eJauqcPHpDhSO +MKJaQmkikU4MdszY5erMEBr3Bsy2iOTRAvn6mfonwpQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 18768) +uDMjWzT/0fZ4ImTKIHK4BgFcdttTvxdCjNGSuepnsClWeBi3tPP2LoruiY7LC6jS +gPLUyuKsHe4TJDhnCFHgF+aI9caJu+u+rpsD7hF/KBiYnwp1ccW8yc4wlIST7BQ9 +fALkcypkAgc1SltP3qXhSny9U6vPSaDbwCJeM2YlrHMRzM7T9zEKY+gA+OhA0f1x +YJfiAdezXkYvc0ISJnxNdlLP/xGjZdYrJ34AEa+Z3g9/D88jk4lYd6NEqI4AvYYI +2MKujIS9B9OcEoWSKcBF/n+YPjLm9+BSQYSOm4xwKgEjSeW50M1eAJXI7fH768z1 +S57TVru/FSfBdAaGxrT47+GL1jDlCH0TRFuFQDtrEDMwUVJYqjDl7/S8F4rLgw6F +hz3QrgUgDXxBL8oOuEQmHi8wsIxGoCgHxl261hmUweQz2y2AR7xF5u6HiV0hgvSy +MFGph1idFLbrMlsu3mq3MYnhp1czTsg1VJR/z5G2H/zpM8bAv97Yen+qXXih4yk+ +fEnHTVoDmLNmBB4A0E/jM6z3biPlpuE2yOn+lT/r7oLaQ6sL2WYjX6g3N+Xetbz/ +bYNJC5WTaL35zo0+q5D6MnuiwR9a53jAGWIPp76a+f8x/h/WXk0sYSyTlWcoOroy +OVE0DggpvmZFPanW/DI0YfzDMd6HWZFwXLls0Hto6gOQl/Q6svxk5/LCy5Tf0gP4 +bWiXSO2BKFk3Vr8k0eisbpEG6x5BZ3anW+zCg927kb5k7OGWeQGdu/k3kxpDi+BY +n6BGCt9cQ5N+8YGdqK1gbDvGRsY8LTePagWdQ+FnE1uhfiWUOxVmdfKADGUvngvJ +TB0dp0O40ClUXsV5w80Z4L075Mm4ZUz/S+0ZI3THAYOC2wj1wtExz1KqDyaOCXo0 +XhrOViSrhm6GuX+ZtqEiMb0eo28VnOi6ObubZDi8hyjL3HSaJIBBiLs8dB1jFOf/ +U/jPq7w6I8pTFa5bNjEEyQBtTlZKhWcaqzOADMEmc3nT/ICyDynbPQcF8zNqrlDB +XSeVo27fkRt/M7ensrLngT4MaZQ3H++S5nAgw6IHDnJGsCOdtGKg5nUGjz+WnZYT +tz2laYqGk851lImK9s7f48vKDEgWxKMEPXTDn4Jd6LEO4/gA748XqGDKs2/XX+hO +jkeTuc2VkprbsHUgShQdQ4UEE6VUXpHMge8rkPq7H6xaAbO71/BVRzBvKrn5XRZr +STBSI6flL7esc4isT1YCN8XXLrN161Wzl5IsFZczje6FuwRlXfarRHxgWvr6Xy4H +D+7Yhxvl8l57C3TS9NJkmHKjC7SLA0ydOsWqoyKX1S6AFCY9pFgmDyy9uSnO7vtp +oo0/vFoZPbSUbPz9zkXPOddnLwxOspp1s9cDXxVgtaJFo7bi8Ruv35k4R0NnNKct +DGL5VDiMFKf+vv+LQqhSoTgjk8ADs4FqBAAACJmES4FkPnz47+DYNGxzHJfxeu3F +7Tv6rMCiR1y9X/a5nqL1lwrkkcL++bYtmkLNnn7eIXIwmiDXoAgpURNagCuE11tz +20JX7pAJqYRLmJit7YFpU5VIdTG6KGsGHREXAkEVhkGiplGU/8bqrXc4EBDJhUiv +d5MfUbAD6FoQ18Mjsdfn7hTngAi/kqk18H4eTT/RCwTjIGj1W+OSdcQ+QaKQ3XMk +gZyifsrC2BHinrrA0jH8QtPLIgspbAJIoh1IPOaCJqq9Dc4787DRX+Zge53qQlCC +PLqchDSzFNSMWL0vDlL6xM8GV3Ds3Gr5Y2jDIXQ25nHr7/Dzm6Q7kTlzT/oPPPEw +005H4maxkD0U8oZzq4mN4EDJKu/eURojQQ/GB5d8IPtcuHhXoZXQjfE8qAhM2Dht +uhWQle/nBlXlvgpp5b7JBOqQ2YFTbfIOwqHnBDDl90maEHQZliU+Gqdgerql9+Of +U/3uUcoWwqI+2zP/dr/SeeGUpHLbrormjn8F5/CzyMy21hvE2VQ3LUZElQ6bjGYH +Njey3e6oph2m2ytyIECQ5VR3vw26z05kZBiVQTta/cXOjcv+uearRlQ4uHk+G2pR +2j3F7ReiI8yVj4tVKXJU10dI5dT1YSuxLiMsuJFA7FsBQvDoTOQYBkTxbbsh0pJL +O30vp3GO1qZCziDVqMFAswC1kGWNJFosSYmX73QAYTFwmRz40IPTjoN3aPZbBv6n +dYnhdBkgVxFAFxtrTpERjJgspP6ME85IZeaMgsPmioYArQrQ/3cwYpjHMW5IngzM +f/ZiPupmcmexdV8mtpZYLSf68p2nvez6rULz5C+45SNImvgOZJC0ijOk6c8nBLpQ +ccOt4Alq3GwG6/h3x5pDKVHmqkQrJpw5GquxRKFP/8KWlwMRavIlSojOIElxh/LX +Zeo/uH5/3IkLF62oi8M1JETpK/HVogQGtBNISTJNTu4f/EXYiK93Ev9K8NhV8EI3 +s5jwg7IrtNUN4shW/M0OOF8jIzOsTefHgA0Kro4eqEHyg5Qrn1/JIITbmDkxV3FJ +0gIc5010cBGveTCufnfpRPabg+lIZ+Um9j3A9/jAjQl+rMvxoKM+yvL6O7cYkdCv +rrYDb0HmGkztAto5znm2v8MvWgv3bIozOnzgb9SQMBOa7+w7nUKpB0RBE4C2+hhS +Oppwh3aYqFQIMdS8MNaiO1RcSvkopo8fJpKp8FgNEkyftsDon8qq03o1gFRuZWfZ +AzxwCYHgrYBIIfDtt8ClLF7/thDGRxSQ19zHr64FFc2WKgUixtGfGMeouD0Wd4Rd +t8bCQo4oT8mCX41p3ypecKzYJ/btpRDYAp+1ey6gaXUuMrPpjL0ZAjDdhm9xRkPx +FFATkhMRUOxBlxdk2NbNcCa+y6qCopjKSvOvmCriBXSAotR4IXfk6IzTXn4+hPvG +Q+KLaAhir431uc+teg2jo/KH9FWDoBPXCumd+6yB2sfbuWHhNZAIKx1BkEy6Lzee +tDCksb699Z6ijws3HCK+zzFZaLUrEx65mbZDkBQIUm1VbmiIFo2FV3sWwhLXmvgR +msGhmyAd5s+5YanNeastinuKSUoLzYwfdGpWiFIpfpseCxfjVqIK9QcCHWeqXkUK +DApS+ZVKzDhViLmymecAlVIIGsa9FsSERa2jvC9fV5yNBtBga819ITrsoKzrY0m5 +8K8lrE9QzRhsIq2s2DvPOS7kK9iSPD/eLUSeYkwhdlMW+UKEw6DHHzweJKup+AYT +gsPpx8ynPNjz7oaDL7YKQd6pjakmQ+U4MOt2tQlKh3v7atkJYo0gBOyPlPy2CgR0 +B+NwPMajIGGPrd+tgwdhgh6piam89kx9XJvFOLG+oUElmSPAqczJOSSNR8UlfqQY +Yj5N4ipap9lyXTTxIvgDpd+DyJfZkW0ngg+dqfojzLfWc+3KUxE4uTV4OYj+oHEM +imQF9jdD9P7ALBGDSmgKQYISYcxOOmtnv5m4cNzNTex5WTnt3XLdmymwX9i5IGyg +5Aikys8iNKsQBZmSqAFS5KaW4reAZ0/TDxKLWewYLoY9b9BRg4Pbwj7ckzP2Klfg +ETJnxiXShjg6K/8XzwlUCAfIAA6PQmvkTtruSlgZEwypFFWo+vaCD1oWBHra8jGq +uuE6PMM5DXPe3c5zEFuo5YXEPR7PqFdXiNP1hEwKxk2jHRKN+7Uc06M5rX5Y4O3v +p10mOcGeGYb+iVd3nZWO6JTqtWW/ZlwYszkm+CeEEr/N/KQjIBbosnNDdg4UHlp4 +AzCmvzEwqFSZ+UfusaOaq8tVyPOcDRvUIwCxH8+1Y5Q2Arq4yg53JhPdxH8T3o7m +vIGjoF424VJInZ9eyYKpZzHGxjymMTiREirGh1JWR9Ew+gK4N/UrCT3e45sl42al +ax0oAQVhcHrEUSz535YO9kBefSguUSY8tfG0B/5uRQ/Fx6/GuW8nBkpE7g4Aqfvs +IORHTY3l0m3Vxy8cDTFvSa8pdnShGaLf9/HCBRKqNYzON0j3f+bpyQQKZmAZTAA1 +kM8rdR7wqXmtdeqweVqSZBZe1hEp/pzjub2z/7oTWn7DDPUV8Mwu4yrN5ZVM3+Op +7y+1zwD1HZjdXPu1+7yRVRuJPRwOgQoox1yGPnWqSuANroqZVH2XVB3P4+FlG52y +lDBmIOz4JJLUMdq3vgGq/skEnIxgQAtOXBTw40veyHZGVdJPkVUk2k8QSZXJGdrW +7nDw7/YAYvdqy9EVu0tVruANVi3L5MzBPGjVtgBLnB1sTyQKXwGgPvccWirCQfIp +eRl/E2BkxTHhcy8lveVu/dS9IQcl/p1SG/X5Xfn8rLUeQj8Uuaklb7qQXdP6Uutd +c8iRhQ6y/erUp1gAm+jenDkxj3FmuT4NAotiCGbZnuIf6lfil05M7qxkLaOie5Tm +n7gGdJIfbaSo1RZ90XGlypf8BHWubswr/W+pdHWIm4wugmdaDqf4hzWlpwwZ7Ebg +AgpDmQXlebNq/Rn8BEl5PSbUshqYHg4ZlXoPJktImKLIe6l4j4upUGOeeXIcTp7k +Xpo0RhxiV6FH6IMGNWp8hSN/fmZLN9Z6c2Fy2kemkEQ5VbacEiQXT9S+QFdkMLX4 +4Px+MzD+VPLuMKwSsZiZDRL8tWB0drTdp6FylJYPzEAkHUNkdHEfuwkwikF03hkL +HiMuuwi3VV6YYXz+iTagLgQ0qYWqoN+fL/QkXtGkFSs6m2bixo9GDwvQWEy5SOxs +IUcfFpIkTZMm4gBiwwytDDXNc5okoE0E2bcbYSICRzJWDpHJ1LH7gl15jJfAhvWv +V6I1UzvhIGh/IaU/gElCgz5/n//6WGClQ7lJvsdltdfLDG0ENd0qVW/YOst7QuJt +kcNyh4xtUVQUdx6ujRguLzoN7j54ycJeah8eyQoHQ8S5YvIT4ZmnvXZnv7X045au +v6AWgkgBcfiNqd/1N+CeehWcsUO4PLdUO93nnMkpHqGVr9mPbz09/K/AmjSZP2Jt +wk08/gGpumbel1xtS+vvvifZjl8h+XSMfu6S5ZXc36vpzOJa2AfjROGHzn2kxCR9 +T+JXNQcui10uYvjuPmzHgtwfLT7zekl9/oBcQfkfv7XqSi4bd8qBS4Q4bydK4WLK +W6TeOEcng3xunOv9nTjoCqKNvcStc7UdQEcIJ7uVk1ZFTKp25pC1fKb0YkPpgcnp +iSRYRgTrTWBwMKpIYkUfnO7d5GFAnnTiV/o37YocY4ZoUfSwC4Xt2/FWNTFvbBSj +NOyqm3L6o64IRzl6ybge4myImQgloe0lhJVSYzFuohHRyDMl71U6x3oZK1BHtzS7 +HztCCrH+3M+nXgmW1VqPeXMZ0sb5ICv/pW/Um/UMwtcKJSEjSczLVS+D1b1/Wdvk +0C0/13SAnXLUc16tYNDHqmHrLPV4au1zLERth3c18Yyn5WJLb0IFAEdTlZXSh7Oi +xdAgE0/M5qdyeCF/KKBAEEA9gIsvBFE3HQPT4QHv9jcOFeqYl/OOXyBMp4mKOsdR +aIUBfywiRrFxO/MpxWqlPHjXbsTVjv+Zynwz51itTYF5OiiqLPOW0nJLcQclybZe +1NqL+wJ18xlwBLbexsR3odP6xlmC0GP0OiJbCDYS5cqZOCOstW3hQm5SKekI3ndP +ui+jkFm6YH5Jj02VaNlIZP9Ef7OPd+xZ9PKvfRBl/NayLdHrKbSiokH2Ll6OT12B +Hy8bvgpeth+6zO1cvh9a9YSPszFTdBlRGQufT2J+nN4TtrjKQUr2PEJQqO6yg2Gl +BLPwrQyIJe067OUh6lfBKpQok/ZmJM6NsURwleD7q0bMnRSzw9uOv9ovVMderoZz +iOOFTRs8lO8AnxqtQtMt36PFtvsVJ3IM7ZYA7phODTk0o+V1JA0RKJKu455zfAU2 +6408Wh78OKv5kI4NfsTxy1sQ8BO8953BikjnHLN/nhd4ilfreSbl/FHAHzcpYGYC +MZG5x/emHv0krX6ZykyMhKWsKWuEG3JKRWBHKuPHoCLNtzk9TJbbC4iWQKy98IWg +wTJopOCxrT2JLllzT1qKYaVP/OWhGN1PG8liy6kVVZdm8H+2hLC21HzjTQ4ItJZ5 +/BQrKw7CGUUwFdZyBNX+hgy7/DWaVEi+2xjepOaxcAId26/o3RD9ifFOWAKunItm +SMkVJUsf6vP/TWaW2O8Z1F7YdfpgFvIITPANTtJVjpAEFMlzKV7+8s7C+2h8lJF8 +Gs2sTSMyXkSAGs2+EPpFGk9IUa88PUYRjjl7X0uFSO6sghKtXIBcJ2EJ+EmFCX0O +QWeJkoFfcTCzuOgnxBi3FJazCm2VpNfAWSRdRmq4SkCStK+Zc2PvD5Ev3oMNVKDj +JWA1NiS1Afaj76c3TWbpiqqOM49SW+hHbZbCrLuoeqOXCHyZjGsTwr2QmMjLDjMK +Z+iojFFdWoTuDDtEXb66uxgipZMNd+u0QhtdQvhAMUNNlFX6h5LpwSU4j/bbz6q+ +TBD+cNuWzj5tNd/XeLzlkgdmkR05zkcE/euQ8snCNqGQmHat2UwuqA0/3/cMkXSb +/LVqCJdwjf3hmZP+C89HbpdDb7/Id6TvuFTDJoE5y00e99oxLCDvQSLdUJAMSl1v +SUfYFHxfhEEASHPgVp5LMuLSpYX0Uraz8bWiyLi9rYfKDlBeSSWElPXuBZwR+FZO +PDKT6EW/DkZdELe2hY9m+WHRCwZ2+WN/EDISkP1IHf1xIcwPQh4z8Fs13Pzu/urO +Sv5EOWkio2MzV4XUrEu0SmnuDqTrvLJeIGD5HLtlvMOnLCAGZfMVqHownCBgN1rP +i8vnmF7xRO/Xx0dBdn7wHg9lyK77DZ/24Df+/XaeFqKb5qUeL5M8yJpYdMkXtW/s +QcoHLS9fRQymzYK2C0J+vyJdhAkG07bn1OENsS6CiVJCrMhLpnxnpDWOcaYs2QxU +g9QPxGkPHaMjHGB0CFQQAJZtyvlpFswJcRZP5ZMOTcTm4O/lnHmkkM3H8V9RtBoR +bXQCxy3b9K0J/EQ1fa+8Z0oVWjEuWztp+k7jBDFkGQoEJg5LkPXl0MpdFvuCxVnd +EIcRURoaeznLGHde3a1zRm+Gmr2vpN/pD00Skl6aKInrM8huTIEIkC7B/tieVpt0 +vjFIMiSWWxH944ZEBatrhgWTFxj0rAdivDHrIBm6hvLqvQfGMRLOUSQPNSYVMRiu +/bkwo35OCqJxPWw2yfUME+bNkZQMwZuP76j4Gd3QKFabR1Czjas8V5y9ZiYfiN+N +VvGanuw5r9opzZVRA3LLaXD0gFB++CvvAM2t/h94r+Yn8Qrh4zRM8BuP5BH64LvH +up0MMCSbFxnwur0i8VgdUjYnApROs3bpK1x1ztQuzXlj+0i0ch9frNDojDY5C6wr +V4BouMfSa0hkfbA8jyFbO6rGQ4ksGzmUjlRukf16bl3C/sLObkFzYHAZO2tfRRGK +6+rlB5AgykqSgV4p5p5fiuamgbDevTi/7mqTIlmll/c+dabx4/52P5fdKXignKFM +92M5FWd8n+rYLvLOGn77f3lDixUU/3iQS35U6peM6nnbGdzrBIuusbEDRHIXjGLb +CNtPl9V9rsUrvLmcqc3yK1oN6YRjlVVLBEgb2H587ZCQlFY+rBQvjjLLE6JSVVf+ +E4mjeh9MD0Jerms3XYHIoNjk6Jk0Y4tytzuf7Qk+3CxSHGaapd+40Yrux19IDPNY +UhWdlxoFJtuSFq41qE1yOTWvCmTkUvea+u3I+eHeboKNwMuQHDyJHVIgGxiUt3vm +zlDFTKNKvrSYAFRMqy9555Qk1RAb+NVi0FvS6eQrRp9ZatAOjuOt8Id89VtwVqyV +uqe0C+n15ZgB6ezu6ThWAecHrJ1+B6Cr7LRoGJ7+sYynuXIAAunrC1ti3UCQPna1 +IwiBwDEyuP8T97Fqxtoj2NyyUhWV5x7xCQbPR19+90L/q8yeA1SLCDcklfAH23Bu +SK2HjZC3AqoiWqAmz4Q69euU1zMINOQcmLKSF/XWC4jQ8yDuOGuBFsvS+ERlqrgE +GTluJaHeEc6RecAi1+9JStej/x1V9uzlQ1g2lcM/bG2MozQoZcssTlUfwUpviPy3 +iPAPazxg0eWYxyu9nOIdQXuFMg9V9Yhjb0d8KvMS58bqE8gpZSdzDPgQ4ATtXKWX +KqTMz+3k0mtUcgFr44SytuTYKhTCs+/EG1+0zJrVeSqw+1b7PeRYGWHppsLuu+H0 +8L7EZvSZuQcwe5aJ6gjc1EhRT2O7A8CSJw3nojUjVkfY8JtR1gbAcoC6qfogz+ca +U/CFA2OvSLBREVvD1ZHB4CAAngsygjeyZF5X+wmU1zJzdud+cbfRaMDW+o8t2cw5 +3OTL/4UsFL2M+DwBNb1OZQmMVrIaM01iIl2oLuvTzzwG91NAvFvXQ41sS1tITS26 +2O+Ai/6Cu/jG9p/yJ0Njz7wXWR0mdofIO90vntp30drREjyTfMay5vAF6avx/E4n +LNulvkL5ER/3TW0g8aSyiTuPZdE1ctgVQWi/gjUdLQf1UkibPLYGI+P/+OYoveZj +ZLpP/Uvk7zx6S2fu/q6rywSkeLGEDhZHpQo55su4t2atx4AE12OAjG6+1G9KkqmO +fssq3MRUIWDXYqXHNg7Fhw4lkVodkJvr92bUPk0pC//Oo4eAc+pROdK9jMsgbkmN +SakvaN4BMEa5sUCmQ0xBXZ2qmVyctRREqaBSSlE8BaH2eqpO94M5lKWAfoHY2THp +G7YH97bvhPsFN/h4lkiZNHUf2LikhAC2XdksMXo78MuPKFOY6m1HazZYE9qJleLY +TNxXhrGt4wL/7j9shtDNS+NXX5vXVWLBiYWLPxK8u0xq++w+1DBzualAiZ07nuls +exts8dk8cxGDbfPdglGLG4GqNKb/eYgKvfv3QqVl8gSM+0PegmD5H6UDxrggL6Sp +iBXvtVDcB9a/JEEhrLQ3Tjc897Zss3pqkCtBZMf2ss4/X1WE0p4AG5nDPceJhJQ+ +t+QwgeQffxMvR4i9/ToZpwtOJpEKuH1OmW3Rpu7i81NKHIj/pjja7y42JROTteHK +87A4l7Tr1S3DOEykfxnaRnSW90gGI5FEbiaJzovkjYkBdFdh90DHLl6bPE++swPe +RWnDKRqdIA0QVYm4BGa65yxoXpQRskdBAWBryPl1OKMaGOF2b18zn5+ZQKRmzcl9 +3DwuHGg6M8IzqnXQUZBQUNiEL4a1FvreT2jm6P39H1YMKlE6nGykka7WKQG9gvod +2l8G4EsAsrW5DUjbJnn/lkp3elmg+vIWpn7QzhkB/DcXoOcwtFYWrkGINpnlKU3t +kX+QHcc19ZZtYXCVgV3gBmW5Y5SAdPt0/6lBJa0zg47j6nr4ZGB65s8OSGaOl0qj +0qV8iTvhMrwXoa9JW68te8Iwystmxgyef8yVhDIjpLPIRUrXlf00TeOVGLixkWDk +IEO6x4u+iRuBJ5QN4eMCquDhUAIXOgDTU48iHAFLVF7gAqumLR8uXiTwL86JQQ8c +0qncc4+TQTH1YsHH7mrvSCQbDkuub5WnDE3hVuoobt/QgRTIQEjsO8L274pjG9Vh ++B4PFNejADW+Vt5tJyu3A6SFC/o29IgcdMiI1DPg83FpNixXHr6ZqAS6aa7Kc7FT +/SfafgG1UGSA4Cz2tPSXVUxABEEpRQTlkiCsQs3DeSrZN+8OZTNASiiqeP/lRSRl +QS7ecZoMUNV66zVqaUdoZppQVtnNba87294JUi4Uk7ni319RO9Ly0hLMfikhxqHj +LQ2PkLzSypxRrSO5w835uKgC1qXQg4476AVAT+26ee2a7/ax6nfjPVPoWHGF5C6K +L9EAcHjFZ1odYLTo92cIdLw4IxdpWWgsY1nWXyTlEmsoDqc3MF7426oSXQYCvt3G +TuoiYC7uo91PaxVgFrSnaufwVyG5AvGdkKfO2MSMpaeNdDJLkYXbZ8f8ipELYm2V +ffVjArEeFXvu8I6VLrtkEqJ7f5bVUkfMKwyegVWbKyYTHX6diCQwenVQ9Vvnp4ip +3002fiRShIdD7CF5vWP21i/UNsvCH9WElJVbU0VNPpW1QyDeI90xhNz78h8erj6+ +R/p7ebO1k6RujPPfcR6KuBAVu6SBiWuG1KYxhZIN9d4ek7noBHcg52LjWFxYTe5A +Tr4lZyi/a9WHiLwwjmLLcmbHJ443yZS5ECHYfS+AYdVDlUaZCZGzRUjvcE8qqlep +fk/uOXHXLqzyAUw8xMS4oKib4qZ4BUGQOjzI7e8DKjeZ97eqmlthzHTqnESL5c4/ +gMK/3jDqHMEE0RPKjEHlOT8lrHtMzHZ70slku+cDgD2gxgUIBgZxPoQ5Wv83wxlV +leabkzkZb3i9m1ThD/lLSqxPb2ZwKqxY+w73kG2YEulR7RrKLVIbCq3S09G8ZLu0 +BHCBIjaw286X7LgEVFZpVZikYbqZYcM55L/FqUo+vOY2PNg0MStSVjl2jCYKIXKH +EP1g8PAl5EzonYw6UJIE0Jyev459YSrUDDi5jc8TzT9sDlr1m6qQesNrB/kK//QG +S0AnJ51I2SaktY0+X9S7GrZ4V+OYrl0dn5gE03+OTPkpIhO9UIMuIIBCW+prezWj +IjKN3cU8vvMFrp9Rg/FQIpqUIrPkQHXiNeIsoSxBGmfBtnDeaiqnBRLOiqhN3ymj +ZYvA7+bXF1oW+tWQktnlOQng4wxo3od8SHb9RVpSFRlgYai+H8aqzwKATZKQ6tdD +LC/Jf6gzyXCf2/1uI+6Jcpkr3A2g3+2oQdWK89mLU5pQuDg4HcWdZo67okHWQDoG +80BlNlC0amnCbw7l+iLklw92uhhhs8gSUAwb6vxkcCotwz1mfONYr7y68SBgoqcy +Tyqn9ULLh9MlHzleEctdDsvVXFdCMlbefVp9UjiPir5Hcr15SPMV2Qz3E6KD5V7g +MOLcIiqXHr1ZHZkEMs9OydS9eZYKu7hrysTO23kKTBiXfe2WRWx6g61W/zXCg/pe +Zm45gysW1MtDBF9wzCdssmfWWKgCuwansNlYmTxn8lPP2hPhNne36kVfwWYE8BrA +pSrJOEDlefRnAWwn1SHDHQdpxOFxF8U5MhAMXKeiZ6Y/hNnM9/zERUlJVTXz+zvI +EC1AWfdTItflHc5DOrFsAogHSr6QWP2kUzvgior3G9dQrioxdQyFF5CMpbD+X6nD +WVx/OrIrZV1Gx9/Y5eau2qRg5pBK5oQspdjNdBLN5KZ+k/PfSLoV2Kyu6BFmqeXW +CdK0qCKw6Wsa7HneeQBbApJIfmuvQRWOnUwV1vD08mtGnXgwIrqEOu/A2uVoBeFD +klq3jffWVHhAJaia+ZwwcZtMaoWsXv398RhZmIfzt0FSo/12sMAm/euQFv2b78dy +WdcbQPYyer2OOz6iDVx3MZIi7X0NRSVycWJlC3LyPlT1nyK0YSZPkxYYeWllQ4sg +hJG6idKLtJQ+1Ki7T1XINbKWDbxC0XMUWbc1Enni4TtcPzq0gkqisAiF26rXpCpW +QeWwgzRXjKCtePgKAIX62AhcCYV/4P4z9S74Pg2pDn0Rlr9D5F1lDMp8/8azvu9m +TmyXa4f1Ti1q86gX1y60paALtKWjqSQfK0S4LdjPwVH7POyD1BnfZB8tkHSQzvI8 +69AzSTxucuxRdeRxnF6HpmGnaGXb0V3xLqG9wqFLLeaRzuA5mpoI927qWeaCb5w5 +Ae+nYhDjXRL1p3pToghB/LLbe8z++uDtU4dePf5AfsgLwxhL65lYRVlX2+u5x6Lw +FqVOnP48D/mPB+Pha/cvq6FzHfE+Z/XRLEim+BVSPFS2s+NSdClWG1ofxcAv6++t +lYMVsDnnNfmUcIjA9sqsYE5pqmzRDK8fUORvQuRuCS6Rw/I6dki8cDjjnov92YTp +8COFMmG9HPhtN6ZrgSOlN0fUuKUc/RCag4ZmjwjcfAXA3EpPIZTUHuWg/re6eS7c +0jc5Ndt+yvRx0q7T4XbSrtVrA04wRt9dh2y5dGh3Gz53TyXAuEcCH1VsnHmlb8eY +XuStihmMLXHPBSM1jnYwZW67Sfc8l13ZVQABA1MNCJgN+grl6Zt5eDZLNVAiCAGb +To6dQKp/xeK9iD/B1vH+ZdphZWvhlTv+sKLDdxpabf4S6wG8aqQKGoEPqmSEycp2 +/ZHIbWZjgKzxzznz9a/WjkALry30s81L6utnQt8buX4/naBKsvXADrEw2EPMxP9+ +vL93H4O2pCRZ0J7KOBN/30f9fdWfTIklAzh06iiUgdy0nfD4gRjxRJbKYDTB/eLr +3numA9tOp/suYOFyZ3hkQ6NdNtIE42n1CnV9KNcuRoh+cNfrkQy4VQ9lr8RzhGiC +rtnA5hf52AS5g5gPq9+N65TTN52d7DH7zhDvnJVhegDXWSeg2U5DUEU1o9k5pUnz +ZrsAOyDNOaPHUCTF6msDkTM1FyoNEPsSfbUBVlXFj1FgwHvpv/lUiAACyMEx/Cww +o6PnGbVov2uJS/+R5iTCa3ECTJiMV97JMjrEBC1sAB0a7BjKX87bWT9j2QzGG0Mm +Wdbw4bSNVoRnQZuA/KTlQ7vm4bgbuVAX2hD/D7685LD/spRBSMo/zkeFSZfK5L57 +j2+8lBYKGtWLLDTZ38p7VGwQGMFs+rtEcyunxtrYfM4zFiqQ9CEnTHfw5AfxUTLf +auzORxPVu3GguxEdfmF9uMMhLfees+f1OHlImptsefAsvcdyOdEHfZRKo+f5eCEz +wfp0bsXUQ/k1ucsx4+jgN0ct1Tl2z3GsyLuY3UpUg26BhsvHZGMX6F64FCyvcRA7 +AIVA/MskW0KVjsQ3gjXK+xp2iUGNTlLErt/A/rzYEOce7YAtQ71s0f2b4XMfhITP +XktL5f8pZ4/Ai2+wVsqSFo5Ah6PCchLVx/mgokKKYB62LYeGAjrLvgZnDT3SyIjT +ilPzdh1kfgkzvaMSYp+kN8jp3Ubj3fRIZVNrzfR3RZ5gwkVX+bpINjyptrexhpmm +X2k0HdNdww8d4XG/MdOWO5hLVn2idIntTA8jjd9pTaMknG0dB35YLvULw3Rn1gKf +A7tfHgBWzE+JHrBLlrFDJZ6mi8PTJSnbQxPYRxDJ5NZESchbLH2P8LY4iqYEJcpo +Nt529hhiunT7xAcxmpNkchC/x6nHSg5ARlHi163I6ic0byfLi3WDPdALSTj89EWS +h1kWgUx6ajKhsoz7EAsyWeuzYLMU8Lmk7Acs5cdfnuoRhAU0meLoXWsqriCsqHgE +rKmemkCsnrVZ4d/HoTGhP55ChGqERmXGa63qVMww3vC6NXFalQRWNiCL0d+twjY7 +vYsXjFo+gPVljavqm5arcNLOzQOeojkdVK7hGyVPEE+X0m4LyzR7bvBLLUbo30S+ +fUy4mWFoiM27TK6JXEPl8A0xQOfoDaC5DTq17TEPQrd1OKasjcwpkeU+mRgOED/M +2Z9IIhFzklok3XUG2fx9pu7QOnYyUElLVxLQFj6l1jGGnZZXLa/6aCzTcbGu3n9l +tNLktoebpU+J6V78Zhwx6mJeHshNMI4BAMbbwZfH0i0hG3jHzmXaSdvREfC5nhV7 +G8oBMDY/e+XOXbzt+d3it4TVmcndgmVkJyPyGFPSj1CIkubdnhPIYVmmZmhTu2t9 +lW9nxtP8gyYwcjvTMgfDsVV0E+9ec7NiW1Y9EJlhoT3QJxOkd0PxAsff8CU+pUd6 +mVID6fB3xQFSt1eAUX0etU5DUeD+mnepDRKRLSkeFd17v3cvCmTU/87PF6cdtEfl +KnGP0Whrp1XThRh+jpMnZharLe/1oLphJj4Vyud4YYPrmbyqH4x7t8CFhV5qyo+F +01rQyz4uDYOs/zTaHbR1VCRsujyyPOIJ2d8ILUZ4ldXjEqWRNt4i6A44jdfzmZBW +QzdptaT8Y0zPbobKM5e9Wjzcz+GPGVfwz+KAekMuUlb5FPGNm0Hwccfb9T4W/5J0 +g6yT+Up6HAw6Fgy7uZ0B3h/ewfAZE8ffuRf76afIAqeVmbl/iXvrjvHFY6sPdUWb +ar3T+McVPowh2v1nsqC8Fy9iA9LOTk6oieiTrmDHr5fei11XdqB9663po1SBOeHB +7e6DTtpDlue0WrbgnVlf9jqNiZkFqkKVLm6ALOC7Q/kI24VH4ua1veYXAaHvV46x +5YI5/G8r4n5JlXeui+2ZHup3Ay/fnOowtb9Z7VxEKb3pGf1j3Bu2ZRJUqDQhEYB/ +sCsbdqphOUgXRCxA5fHNcuBJFSth+HY/tl738Xp0ObBuHwXaA/yY3KhKyuTzWaEH +mw5Hz994stlHERw8DdCjFamz+O2jTl0Xo79Da1UcXkD5r9YegtbZjlpyYFOxh4JP +mAinyeI47cBr74vHLlKEHjQ1TbYwbuxKNlCdBmg/Ji5MwozzV+snsJHB/PDYZcZg +1kehFFWhyPt4JWcH3uP1osfvFc8fpDwBN3xBbU3gaIGfQX9alsu6zOUgVGk+Ve1w +3PiEwdXzj4N2IKApmSWtZQh38iE4yzMMjQa0n1SSBR369m/4fD66GAxgLAbLjyWF +grU0szokZMwtERzQ17XNQd24GTva2Z0jPdg3FJs13VJ98NZr00BEtUleDoSSTwik +hbjt7LCFtbbWYCyqYWDC36SOawo687lscHz2fHk5FZzo5f1f0T6vNMOAa1YQdTSX +jEmznV9u0xXALimPGhfRNbJb7bWKezlabGwB2xp/L1onk6xS6wfKmCqKI4yqnuoK +AbREWq3Dgo69KAFaCItM1zmnHF0zu3dAehF0rROqlHFazGI6iPbD0KaNt4PxTV8D +AGLQgCW8+6/EYZh5v5ApaXqqiP/YwgE3ylkY/32Sg+1Q3yGjgWYuC5lnqwMI6D8A +dPwZp5u5voUGT4mY5NrpHn+dKrvBrCT2GPHVdjEYKnDPsokzTtmQxGMt9tXyV6HD +BYkx0jSiHzQrLml0e6IT3ZOduxvf9xuHgOKe3UNyAM98dTheXqmrqwxWexwn88Ko +KOAn9Vwkpj7qUsbDyTyy04uOrtVopkiHEqa/7BnRJJynZHm93pBPiIt0gz/b6wtY +xJcGOWi59XJkJejIxMGdDpJdSb1Qg3Q2ZzGXVgdd4n2Xibc3zy4WO78smTGvBFsF +KxgqHIzN+jovBMcqNrxAhYgTT+RuikdPHuU89iOt8ZKi2xrsWRW0op892Wi5J5Bb +ODBf7x6Ng0fwYeWAJYFHw3Zpc1jY7MlsKR0PnZ8m+ciZ7STZj0brhBo2juozX6qX +Ftb4Pw6OHUrM8RWh+2ssjIHUeLpe1sKbY325w7BSIAoEptq37Gqr0+oKlIkuXSs+ +Zsw6y3yOUoubtAK6xl9SLyaYOeZOK7kt+jd2wvgpq5GxqDVRFmJseqiGxi6nekjN +BhT0NrqoXYVmNTrDqujv/P6gIfzdTGYCspQd6NCw/vDRaRitRMcjZnK4O+bjkjiJ +tVrXHdqCAI8hRw/lvViiuv34RZCKZAJFHgvtyjTVevgrK9MwUcGXWGUQYC0MREhn +QQzS9TJgQJxlIDFHqukqDbxuA+mUrykPd3fVtu1ARL//UYDWUk+HbLgST32ohptE +xmcSps7tEXusV1iw9TH5qXK5WDy0ksRqqQZtA/MjdU4gSwopagWYEGfY8jDRAS8i +CGbJgHKUZqHPqTl+UWQPrOl7xLPWsDnkQvHEOmDgop4xvDa0BUOIij5/42vF6ZbL +nOkV1JiQc+M8O0gwKwwTJ7BB9kRGKW3VUZ++LZm48nkS5vukFmf40bXHCGngRHq8 +r997ZcBZhb4I2sNeTG5hYsc0cRUwPHMGZz8eKqJMbv3YnMxu5abSiuKsuosttXOz +s0g4o9Ut/rrL1sbB5Xi9enQTcRU03lkg1JSFRKeXhpSJ+GZqFtpyAfuhTl8Ep3pb +i83wvx1H9JDo6UlvchUN+qJSx7pMenYisCLmfSvSZGDGZ61uyUeLMaQKReVmUqLW +GwjW0i9nSCZCnvEHm03wYwnzrAE5IrNVhTyxp3BmmXMvQvT9lUeX83JQB6gin3/7 +1R0yGB3moTKesIP075nwLAwUO6WhsbaWYOwvv/syFvpzK4KstaRYXVqyvS1d01Sq +vMO/dYQnXnEQdIIo1qAA5zeGVfufNrxQgXPTFFm8MAKbHr4jwXuJX33aHCVhcWb4 +BS/rJSLQMGabxzCGbU/9ZRc0WLfV2EmOEvIiZptkexSLTtOcqxftiFhby60F6V5x +ABoc3wJkhebjbXBjVnXO49DZW9agXfKuVsOOceBkKrQD38XqutWLn3CYdjo+Uagp +HsFSnaaMAWY+w7E1YFOhfkWUW6vfQu1eka/2dUAFJGfCMEZb89A7oUSJweWUZfeZ +sD447tyAw9ZJEYEtaU0NH5iTP0jEjdu0ycfNpmkZ6x0ueDmd4CKWlEScbaodimG5 +GIfAGSgUXBjrrgPgzgVTawJ+SR9YqkZPF7UVShX80+Ad1GJu0eUmGcsnshl9H1hD +NVqNNNmb6BRKfK3NkJdDSvoSvDFipvkxGja6tKvRlXqayMYgb0nb8uf/Fs7wxGlS +PUD7gTs+ab2Ime6D+luyNE/O0AsV4GMOM5ihWbHa1FKtHDGbOtg4gVY7G7U6szGD +EYupfb2Y2gC5hxK9hwU41kTwdDSZJ38Zgou20vaNFySle2dgaJTUZDTMXpbeAsmq +0fA9xMDL86d9q4HPkj/mMXIFVQNh4utk4y6iaBOY+dAnWq4CDgOgLR+9JnHt8fk8 +35QpVRi6NMEab7l3xzjpIXx2Uwt8Q6OOVqlcH8St/4UXc2uSOfriVQlRwj6oix8B +ZcB8k2TnGjO261KWa44M5tI2SYSIaVNA08kXC53Xrv2ktC4l687FKoYqxqezWPBM +Qr5WHNiMSn1sXSKXvU9ihn28TmLdX92MPmV1Rg9yJuIN192bjvsFOtNvF8J5hIWw +D9X0HO8AiMT0tx9bIlKZehhKNK7pH8V68v26oItpb2B4dcZKru9BK4jSQVzUcSOj +iiTIVlQHCUIxKgyHvAKmKTExA3m7cEbUT4O8tXgrEDJEkxXCWT+kho1eUM+8N1gx +epRqmvBjQHiU1yFMXoMUrg4tj9yNtrs+DDQOPDGZd7bRnNV4/pbCgaQXnz/r6T4R +BxlpuubBjWPp8V7JyCcK+vyMgNzBVufr3g97kZq03zhvYOVEG7JuiH1j4DjtQzP+ +yOju8/FGB5saPYTkSODE66Fut2O7yXFryfcRg70kd1gMsAv+G2gB5+AMqm8yaYyv +bCbf1lYiaiQ+5khrW9tpGVoFRZCaARBsX/Os3OMLF5OGdFcbjH6HwoOsaXm7IOrt +syCUBCPGMKv+zbKI+jTZr+lHTv7sj9AAkimVVYWcKRkztCZ1E06rCZTHY3tD4h5F +mmei0ZSXp2wHE8O30BVaGsYBRtloXnQwW0l64Y2X6HJEGx+IQg9Af0PQDxJ36iQd +6tpOJDrcQus4wHZpPEZGZ1s3tIeoVoIJ5coSqTEmvdapcyNag/b2704zyVfrVaJ+ +ElEeO0rdCZVAh2w37key7CYQzlzk68whLsj4NsNlpt1y5Dxh43fNeEECEeWhWFXF +qIzNJ7K7+uiooO4/1cgkI900cKd6qvc4PB4ABkZfSW4OXRy/eQkfwngZORpCbjFX +KaBBL+H8MJVOgjZe8b8crcdhUdoqe2s6yUGS2fpFYNZwhB6E8V0cCaI1iVLheo+p +dOg60rQqhC4OMBdqiTRZ/NHwPJI46gEgJ6TLXVn3426u9FJpCy9CAnHRjU1icKH/ +Fek6XwQpPnGka7rrGx7QLGPnOVbXJIBaxMHcQ/UvIJnzo5UpIq5lUYIOjtCB1MCR +f/rNWa80iiNtWdekdXZpxTJ4WUrBqMZU9cYseM2jusr66cBsMDIETvAg8lLasBPl +i6UqInD0j8sV6ZjwPxbxKrGLhqBUMOsCUOya+YF4r9NgOQs7J74BdohpAivOI6zf +i3qKUbpXTWTB+UEMcJrOh5yW+BdzCnyU2S92l1mA1O9glKwiQnfCyPU2BL6KHr2S +w4/hoF/gevnYqE79KZpij/pTOJ7QXlUTMHDC3+qJ2/obOvvtG05c79IHMfMF4khD +60Oy6APf34/8/Gk9MARHmfXEi2z+wO4ueP7SwXSyD55FEfd1ZKS5Y8jz77cWZZ2U +FinTZg6x2tbL//1xXnfwQD2Rk0sXghpPOtk9Vqpyk3KLQhyCRnLeNdPFS6fFpxuP +v3JbMjwoypKVtQIcTqdVZxXkgQTZc33b1yAKED003aACTH6XTXolSFoD4X6XKhWl +ju6vQp13mhQ7T4tpULLsHPJwfqvpv0ATxm7InO6daJEOWKu2pkBCHLp/o9frIai3 +nxIbbr4XVbi8G2U4jG6I5vVYh3Atl9P4uWaqeXlGcITBmEySI1XuGNDigP+cJqxn +3V0rYoU5LTNjlWwFEsARcuyauUooYKWp6detLw6GlO4Fv0bF+ozXAT3Yub9EqALf +yMSgdT9540qelsMSCu5i9By2AsxDtxDIM7LeiJg8oL7lWyOMRlbiYrbNg1+BFvgV +v0FFg8jstz0KxkCHJGhn2z87q0M7H1xrCBotjIxfScPqE+fiDNeqTTgZ8E8fI886 +WDUnyqRfkDszDeIhPLRSqlr5nqbUQ4xQhzs+/ZXHwacOxcAI2dPwN67dSIEbXQC1 +9Is/Wfu2IkEijmN+Xxss9i8PY4e8FDAXrfGBGKB6FLTGO/XNiM7ue7+6OR1mExC1 +rEzWqOufzUH9ih5SZ2xWbt8bt762shSjBzT4MuxveY7lsO91m6fOPksU2KMZs1GM +s7+Pe5zkQZTwai1amTIhhFpq8UzPd4/mfRrzgUm1Gjl5o9vWOqb57evKJuooaC+w +6q9nVdAGsuFdBz8XD7mMi9r7iTlDacfAxz25MkXuxEb+aN3TjN9BbHNwxIRVbnsK +X7GUnL/YzISuUakkm4jmZep6H7yLw7xo4CYPzR0hQZRCekxhcAjWVcbFgymBQCA+ +nqpW80VUKmha/mIiSW5AZeUtLWHmI5eGzkcIn6uSp2H7SNAlcXfvx6kDUm1ACIvy +5K7uJ6ZDbhS36t+Medbm+ziL8dRd8bBJEYlrSxHKnf/Cv6O8+2q184/npT3PywF3 +CbGmH2J/4PiEGOib0pXge0OdfGquIkAP5g7auNNn5OFVChPqtRZqpOIZCawpg1Zn +cGLlvz//FEKceMZOyUFO9KU+5vGBwoueUnStFm7nMVGD65dWYzq5TrwKvsNKmWNE +W+E41NTwNpb8L3/b+DfnFeNnYGKsarc0b7GnqxxjueVHdO6mq8LBNviU5nIaSrgl +PvsnHV/nXbjKmVlqgwTHP0tnsk47s6bJXU1ymAh6kFf+wmf+bvw6ySSAzr8SBQrI +JIjbV0FwUag342CpJD5XdVZ6HMZ248W15W8VyQCApwo+6xg1JDMBMQI21lwUwJ8o +gELaRlMechYMG7Meu7gLcIPd9W85ZosmB98S4hvHObNeolQl//UQJWfQh3kZwq8x +4GaZZ8OI1Qy7Ii0Dxr6rGKRTC8lRRCGp1lgqRMx8f2mOfLjdJ/J5omzTAXv+3Y1L +YES0FX5X6LialzHKfaw0+E5eiZUwrcJlpvD67FCVLK9ehC1+h7i9hzTu6OTVfva5 +bTv6ElHyeyMFp/zMrCcn0XEgFsN68LnIgSZIH+jao6HBARoQzKIo5I1ewi+/PX+D +KGqek/ZkLT+9Y3hCtz7BcGoDISLEJL0lx9Q+enwN8PI0Q3N6isTkWBTUcWV8vffM +x310ibk0BrUK+Zjh9zA4sNVFJr9L9rvLQZaKRTe+r8J/xU3S/fN5NVP590upVwdW +wazswhI3GOydp8GFHQYUbDC4MqKgPgGalw39i62TLgoucLbvz/I6Tk3p6WYHtROy +FMQMUamsrXkDGpzn8GXzhN+CqkEsRzCIWsonbdrtLhNwMZvudh6CEK66jRGbgIJb +Ec6bTsIAPjNrDdqskREhH4XlqYtPunQ1eJZmyYI2E/8H8r7tSqv7MjhbrTKmLWfY +UZw7VbG0y20S3wQ6lC7Iz4XIypb6PCsd9lBF3vbDcNjBwRo84Uh6eMYOyIbuGIgB +EQVzSONbtyO6r4+tuRS++eLqYnkGHPj/FPd2j5DqYBMqULZysxwoxRAFagVNaIBk +72RqGy4NKGWcIVtG7+xL5S8EsvsK3Wy5CQnEwcnxdxdTXQtwvAdmL1g/f/dbKasr +GIoBRlNP7Dkkglz0sLi79y8/Kn8dYKG99rDkBZJhY+7QZjBWnrHm//ndZ5Mlzgl8 +jincCwS2qO6bZvS7gA9lTvhuFsubku+QxaufN++9B2EscDq+g99OybDnki6vSDGy +nmJWwMBr9wdb3Ij+pLElbNVZ6qvJLzHSVL6G9JBE0BcN3Wnhpnk5M2PXwjLtgRpm +EKK4KH2fZoKvgYUwFLO/ZtWJoKq8SNrw0bFXhe4YlKi91B5eIXnFzPZGCBw9Nl8R +IHWn3GgOtdelK/wsL1zBDGU99g49VYvPqoLqPiC5ZK0RlWIoRwQ6+eh2vrZyQ33K +P0MAn4PdncYdqEn/BemSCPkPeTNA5bD7XYBowMSlw41RypLnhZHQ5dFi5dz6neeI +fz6210mwfs9EIj9E1Rz8Uz3ciSmqj4pX6IPKy0LcwhMqEcOnW51ZEYw3nIfiM7H+ +vVU/zUHd83qvKTCwUl8W3jai2w1OnFKMm7BYEe65/DaFHvIsDK4l4ytXftqLa4s0 +qhGUuo48hQRtuoNgoqWHKtDkkis6Sj41Rl/XK94xYF2sjDHQGILNIkCokF/mVetm +tCqaU0mjXIYOmMk7+upzpuRlQmUaW5BQxTEm5YJtGtxJ/oA3ofW/0HrIekeDEW2j +GQ3KSPGmOz3689L0dAbgmXkuKLTGEuiANoZSCSLHKvV9LI4ekb+rhjtQRJhShZu8 +K1IJLWwJBZeH4sVYPBYlqAlvKqxyv1kiSei+HtVdi6qU3yrH7UrGg21p6HzZvc7N +RbsOeEptZ3q2XNlnF6HnOr1ZYEwTe7eDw92UVg9/p6mxJXvTCl+R5XaQJrrt5+WI +KeiEmQrtfzGBrvaDd9aA9WmyCnLQ+7hVwMcsqEMaiLOyaVmsfOlm70tdJ0/WFdup +3DVlcC7yxpq1rJDmCc6lbndpWdY2GUHh0UmO1icjkbNleOY3HjUnFTTWJ/8/gP7t +Dw/4vo5U76pnVVVxmGRDVBEV6MtH1pJj/NQB4FMQ7WAhBqVvlStsYXAhCxgKvoK3 +ySf9sdL3znVSI3VLdPJSXMIvdfbhTRljUugRqpC4OVap2uuPg0HJ69mvvc1/jYzd +5OGmkSiqVnHWYSQwO8QMkzVYqPL29yq4Dgu6EwzyWoJErTKYEXwa3TBe+tfu+Hhe +VwpujqNcfHr+yA2OVAJQ6yvX2YDQWcLGhDdTvg1/QGWTH3U+5FZj2GLELLo89LGY +XlWE2gm8caKBbXogW0KiYzGCxUrhxGtgvwTz2LRDEcqXMIZXINX5OEAjYWIt2Znm +CzsQNbt/gu0ludddVlgh0FHvy+GbvFbZdQyaPf6VOGEVu8enrnKB+bPWQ0Q6cKJ8 +WJQn/bY7yyrf8KLg2gs98xH9jSBl9uWYwkPHmk+O0u9v0bvt62MULpzRoehNwBpl +ca8MZLs2vG5KrMoLQGUe/uy9podg11LAP0WZpQnlTbogEDaFM1eqVoSF4jBLAvcM +xMyLhCIG085+riGUJ4PfiNXk3v7LoIKVGMBSQ4JZI2TApo0hxHj0yOJLAA6Jcfn0 +bnyITv5R3Ny1t5U6kmM4k+BAgI6aLjNPKt0arkffFaa8UXQd9G5BPLAcC5WRWUYV +0twNnfvtWy2qB/X8JKlOeyueQw9diqKmjLYDbSeda4xwSLVgBcayxDSR5J7SJJys +wpompiEDaSPPNTQ+cUSt3OTHX8XndMnk27mv+SVEnuIsXhrjOk7oX8YKkImaOlWp +G0Ux4bxngQO0+x7/cf7QsSiPBZB6NJKj684aWu6sD/m4kLlPa1l+aw5FGuWYWGua +BA9JUeji9MdA7NljPWv3tLoZAKBH7TcCnaJ0A30OKHIUizLxT2fOGZcBGp5cuUXI +d4zILGt/Smil84ZRl4RXlxU6kIBXE2V5YtVrLcBJRaCnpQSwpLPLwaVdV5lUFq6b +RV+S643OTAWAx+7UOFAMgCsEROX0ZJCHs9taTUSRoUEmUNGtvGiEVy+kIs4fxeFh +RtrKGs0eOYTHJxD8KGG63ysdLke9SLJrI6eCNyGsuznKAlh536xEp8iiEbcTCRIF +Zxltyk6GtKEIZLiBzS9DLaGy7wKPF9OhsDlWh/dWymJ34J2HLTilHVk7vHw0smo0 +WJcJTH3vlCGBEwFA9vXzm09CI/kMtWdGG25FHf/v3ccqG4TiY2KSAcuXUD5T+3Jv +TnHQj4RgG6Rzm33A9R6HEgihZmVmvxx1fL2WY/og0E0j3BCOnENiF+G5rWQ05yZZ +nW8YIIOQLtVe30NKgVK5c69GqtCBamu4q8GcfY2OJtRjnTtetxAAPuTPr1PEw5Tf +GPu3/oQFfgfCdsJ9PWb07SZQtCl7ifkQ0uxFYtjYqYRz8tQJYtJdk0eG7kIY1FeW +2N4VQxDIYUBw0nK6ZbutJGhT3x/xS6rQacPBmLG8TUiUHz43u7mAYsqdprSAGgED +IAbRW04IF18/31Z9FP3dRweikAeFYDJxfuzl81ktmQkfupuJUeQdhEov1X6Kan2o +E+MK8gAsl77cqgRJdEpBKtbvZuRUtU8cuDk/WumliuUD3ahljpIKbV8ysKmbA+i3 +pBWHiDa4m66T3yZdySIvidilUwKz5T13dGdSGcPB9dVJH1+4Km4wvIalI3tzZEoc +k+uHJVdOgG04NRv4ks9qUe6Lmm5khtkJx7H8A2KXHzXzuPVUQiga41o+FTJpDRXW +iLjZLVLi5RhyIJ1aOHq+FFTsTuuj/6ONCtlxWGeswa8GwuQGIlGGRKLwCplkp6Nn +sevZBvzY6CnZZEHlp1A1gZi6rDMWhHb/T1ouRBrCuc3jKV87VOkK0elJbM/CbIw/ +WFRoMwnKDJa9IiyTw6dKHTEiuco6HcU1QdTy9I/nuwYTFzKsD3qnPfp2j+QhdNrP +Z2ycAFQuw3r5LEVuT8ETNQknXajTsOKjNq/l7CYYLi3vBFPWVdUnpPqlIe9Sq3tT +8HhcNVnpdobnzyLnFqywnRHvxtXrb5TVq/Wofdgnlz2gy319pBqG+0li50n1Ll7h +XKfVrcDCPira8pTg/S2hG1GpXaoQIWZzaKsi9ePMrtqeVHopuwHN8UCnHuhUT3Ww +wxoCn5WXXkRPwOI4fjDQMdpYD0r+gp0Fb3JYbqmdG5RCj/w2sMwiaw14iWWWS65v +IO6g50Vzx06y1VJPz1wvqZDwwnCwDkCjJ6g7TpJHtye9neneeHgFEaK3q4FteffC +3YhMSs5zigTHTZYhwe4Gly/05h/DMjkC4uPaLykN3riVBWOtq0hyHOEXXPRr8an2 +YvNx9rnDrtAJdjPhs+4onNPaheOpOVwIYbhAWZ/P8mvHcsws/HbYqBNDmv/NyzwF +XdUldS1W7mdBlv9OEkI818N4ZCUYb6l3aGH9tU/WSTWLbeKCz+VCXZcTs/9O1Leq +kXXPWr+ALFQsO8qdDpp+4ttnZJCdpDFejVGzOQ4LljeidwiZSvNbM368CBL7EY5i +iFG8gDvhpUBFCsC7620959wlaxG9kghJJKE8ASaSuaRbj4/InaNv7Fq6atCadtBp +oQlLTm16flsMelLAfx2UPXQ9KtjVyGSil97VQF/n4virvQgMzoQuNVpBeXvJakhv +tYEbpgUwKJDi8xJyd4M5iqY2wcDAYxdQmavjO+SL28VDGIdkoTzkwUUQleEV0nZJ +QylSR7YTP/51JojbQFc/iiiHUDtO2KeilMhsDEwVjEu5wg9cfehUMjqiS2f2LsVP +g57r93Jyq/5xUSVceKFIYvsJO38yBRF0X+W/c4nHJ5tKCLehWbrC9X0/wk0GUajS +NwZrjMp6FuLJLrwx3ETHmiV6tS8jSmNMumqHmsCWUDBfgFd6M3kWxKeV25t7YfqD +CHyalvVl1qxa1YNY6LXeKfc1mNz/UOJt6O/W0skN4JE3uLscXZW1DHlpvnFvXvK9 +AcoUjsL8swHOaYsXkI+R9K5aUo3SAsUSmFkprYfQic7oQ/33GkL+UJ/8NHazm163 +7CwsIjxlBBS/BuYH/YI6XM5iVDpNZESJS8krWgWtKFj80kBXprl0Iecfc5Q+SzuS +vo/VnxEi9WWonzOMgmbtA3PZLnQLFfKjeiO1z5/Bo8mLoEi30QIrTXlo2EVss5iI +m86cqaU8oYdGbZBJCpCdHggJJ2BudcBeL+tuhODVmXKLBoevI+zkcDGp6NHRNQjt +OQOVfB6oHrnUINhZ144KWzZHrycyTeAYzHsGPtmAIW7+iSOwANvXiBuKIKq1+3tH +DKYFYOtfd0q6C8LvbLAd+UbMlaWdxbtuIJ44cmgiXmySZwp5gyaqTDb2MdKzCjKb +MdBa1x3MM3qc6tT1wizc9HajsNs4Z3zQzdbGggqshRZUjDcAdpRmXJcjwJIZ93Zb +8PO0dORAmj/0AyNfgi/crKFJ1GQuY9OEKNxsL7G2dhSq4WDFTR3Ih6saeBtfEIDb +nE2lqrJLXXSWlFqrKpEnPS1wa7Th4l05CKbDhPNG0tUtKvzORcq77d/kvL20v7GN +WMERcplwwHqAQhZrLpZ+xNFrmTL3mmUjqHunt45UQURcKGzGerWOcOxAYaFR74JR +LMM0LtjgUJyZbzNLIutbyZIdFWOVhe/diguGviwKjsJdUV8uOhBXFyP8HNJ6BQdD +92q4H/rZvchV2BWP+3bR6reboybsLA7iGSeMBQE6Zdelf9zeFgLeXpTWeuND3Ae9 +4Bren6cF0SPxkrWL+rhZlv++vlrbevgjrIZtqkK46KzNMP0LPTd44gE1HCgA5YUh +qc7bjobTU8XXGbxDT0K2aPyf6LJt/26f5lq4TElHTJPkMGgqscoKZpAMXXR67lgY +y78ucaqAOOdHa42JpbX7BGwtXrXiKEG7w6nc8XiAqHdSc8buwXhVUUfLHFCQRlZS +5hRrOIA/BMi9sOLLtzR4/j5/VcogX+iZ1NCLLRmde6ClgcOg4+uC+FGhP/b9eONu +mJ/fB0i5fMvz4NVk2Mr8wu2Z47vu+QE6ybpnwf47jT3cphd+a5ucVHSXVhZ5zp5x +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation.sv new file mode 100644 index 0000000000000000000000000000000000000000..0e1898bbb251fd03e589fde44d76c3ff4645985e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation.sv @@ -0,0 +1,214 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +NneEg4OpPb5zjP8l6OXBY/ieAUCtLHiV7xtuh6wGIsgYV+MeWtAgf/tEL8skhGjC +MhoUuByae9Yohh8ZEHnOdY2GjJn7UBbC+RO7QNaPMqkjf6GKVz34GtPIwsK2Qmup +5nuKnqCTGpjN+5PVieEUmPvS87LfDdwKoO5+cqWzTWQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 8528) +DgKzRryr4NLBJXFhikBpLdA7pgWe+YuUcRXMu9acB7IXCWj7tkwyA8QuETMNONJk +mOQDdMd1N0HDur6IAb8qWwXwU89WHoejS/9U7BwSybUJTpucU8/8UqDfCPE9NgMf +4exyrROuFZ6lDI8y6jFPGe5OyQ2O5qZbOmlHMo9b2sKyIxltcg1I0nslQK42G3c3 +Z09wNKauZgK+DmdVenrhZxnKj3wWsmzlkHy0q2zXvbaQS6WyMJxPfJWPH+aKlu8F +HKLecpLJTFw2N5eqZxKwtOKGLkno1rgq/5gs6YEt2mxY7qfPI7oqyVRhYRuh4V2r +F4XzxmvnMYTy14TQ5O3HsDcSCR/uYsBkRti0P1/qrx6sa6wla60sLhrNH81P8/IF +dhCMvX3Zc3mg+j6T3PXaxCTlCM/FziVeTuL7811PWooRL5qKvnbRh/dJ8IcZgGiE +cKRlgORb+8Hpa9ZbbrbAroWlDXw8iuYa794kSGlAgbqUaegBYlGeoa+Jgix2Kazf +JQUuU4Mmk52VyxqMVVtfsyC0HqakI58/tW1TfK64KxcZSQU149OE/66rAsVhh3Zg +sBiaqLUwm8Px1jkrmaTIl/NJTBCEOUtO1lgExoQ98gHTRbih+rrGo6SzG1a3hO+o +nQOZSxOXkdmFUqpg5h3+9fplNz2DlUzCRWj8JsuvK9vyM2/uMblD3KIZZWio/ugD +buAs2ZB/Toqbte8QvET0lED9327xSEhcIQ2cgX4QVHZDXoxyNam1XCRA8zIXqES4 +KiKqfqkLOFxwQT4S1aEjuHitNtnTjA/LmtYQO4TEiyZW+QZ+Xfd5aqIPYtzEf1PZ +/z36DCSU63G2EzT32Fr5WAvvL65XKOKRTt8bWGTxjUNhivjlTBhRAvA/80DF5jop +KWpCrlRlcil4MhtvxJzjRERwdJSSYE+O1LX2y72khdpaAJafiAK5oOF1g2yXgZ/r +V/qO2BuFCmr9zy3Aa4PiTxiv/EeziSRi7XFITwT55w+BPc/Mr6TwRJVf6fRIGqA3 +HssoPeecMNHMKiEqjfDLeV9yxnlb/wWvHqBoFdMSRX9ByyH5tB5rUU2LxCaM5un2 +0sJvUp+/ao7aszPjG/VwnnfaI14aHXF9iI53PHmi4D0j0QfSA4mFEUmPB+pTvxud +s4DqC4OF8Rf2k7JIH6c00gHLG8BXSpqmOZpZOXfXyS3ey73w/jNHqxhEMCIJJUjj +W/jqoAYzCjyKon0ehedxUzwruF/jgl8NT4dH8SF3GU2bJJBUUWuS11e+8qh0mehE +MjCI0abefdCBdTql4RsLCCsY4rxG6mlGm/xuiBUHMOTOIS3WeyaapUgP5otsQ2vR +cOFiqXbYCUwGEdxb/A7wqAOJvntpWjHRQHVlUZ2s16ipuPSpudBw07HtVN4qlpcF +5Dr0grK4ENcBzcIkSL1nB8tuOV7RaLMIpgQdVmlq7aSw5V7dgrA166rLp8KhvG+l +kV80WXuQRlVMIeaYUHhNApIVxOw3Lt+Fvbl9K1nIaOGTut3+Fxb5ZhCl0kkQTFyw +9hPfhC2XWFwpTFu+2s1X8m9y20xracIn3334BWLvAaKh5367ddJK99LQLAb4B5No +nmFSq2erKl5RJBxp/Z2y9i0Du6v2Z7XkIa0cN+Ou/3on/9iZJi92ee2qdCuK5AHu ++rviGg0JAuVLLCdsYUX9PR2l/IP4d5Mumw0OPBPwHkEOwZxFES8iLbAfE2nKFWvS +ru895GZkWQCPzA8yetx05YgHwtX+z8A0Bk9GarG74kAOt2y0qaIBqMLEpBi3/JOM +8nvCJyOqb3Fn5Z0AiJgCxsRdQkcq8SjyQufxAkZ+e09I5Aewmn8yApI2huUJj2JN +ndchNliVQtYRsGoxPqAZQpz4ui9mc76aQn066SUO/3Q2L1o4jDOg38QTv6jatSyU +jNaJT7F38Z//db8nVL7XfvXTwuBsS0i0gon4OT0R+0oOpln8If3cUuu0TsbwbLGM +KlCIQ63KrUsQCruyZ7m8GEhQIaaCHEmgkQ1BJS1zIAMqTErx337S3GgKMunOGi+K +JbCyJhpr/w8qNTeMTIJJq2jAKdicYKqx2Zfooufuyy53TnnVdahj5TmegqXLLg0L +vfSAX9sf0iU3KN94EkWH89c+0q/1KqrOZrbmdKRYsU5VwHKUeelNXhOCkKBzAJ4D ++tXIE3thxWoGiSTzJLdvYpfXI0gsMlEVXGD2/lXxhW9j7uDWFzyXzJ//3wUa8DV/ +Cn0xPbMHQkDDOigyNGIMVvlEexnnkcOKRx8g46k8c/uQcphwrGeFTcHcPQUBRBCO +3q/2zFLVQZVxjd/pYbre1A3kGwBZLB23ib2OkIzwFHZ7QQ+k/GvscDfXzh4Q3bJr +ijZ1sK3cYJoOgzy3iK3Zhe2pgvnMVae5rnRfO9CdiDUg0HePEWniyxMNsolxpqPL +/kO2gnjK/kzu158khUfapqphXESBulQZr8CPvKtiXkPaSrW1C9pLzNnOTsfD46cW +d8EWFTwGo9pZRvybIkxr44XMNU2j9mjsV+t0/pnh79xW79an1sDFiLuw3sVFm2xz +/iwqDSONP21JjFNRwC4+xfcIIhvetpHM4TUM57oocUgRND/miyXe0XUvTqkIHvrU +l3B8Wh0NrxgcXySL7CoVB8EL8LoVlHxy5eIRIqOKK1hgOdtbG9W7AyA/iXfFAZdK +hPx2tEe7Ort4Rc+aN0hfkVlZ86CheckvMLFeRPzyDO9tZWYDfjeWBiKHbC4HOaiz +9/ntH9PbN9t9jX8p737d7+JgkxmN8Kn/SEayDzM/ZVHteWbNDOsZZ1nMb4L6Jg1z +BeOzoRxsLpDACouZyg+OZsAxlcfb4JmaSehRbgErhpJFKypWpIZXRyJnsxLuLeI7 +M7eTnuNpDdVtgNRrgtkXoO/IlLWPTIYB0NGJFwBgH0V48o3sFfRjyauNnd8YrL0q +fnbcS+nxLE8JLV6htYPD1WFgmYyMd0NnRg58SuIwXgZohSIOfMLSXW4z6JnwknZ6 +jc4IeTfiZwGwU9KVlmZqye38omFuO7WPnlA6MpCXrEVLH8na23PadbCZxFLYu2P+ +vx58YZsDPtoV1Zsh8Dx6fB74jr6rpQvgCoVZTCSIleyJtU9rNDtOaIKTYZq7r37K +34sbaUCOMObEpssHxHVatwMKa1BiENnBBfsUkuhEsJTOgHSrg/PzIHn9KQQRZrDW +b8FrGWMLqCkWxogat+wM+R39/GGoLkCGjVnOJkDu9yNX5eQIFKTCKLLp3Z2A9fhV +119rAC8FxvWK/Rh4B/d3GazblGuEgz7oqtCXUb7kcFUoJ89WJ4Ig12hoXhE9as6d +UHouuCRYDWuqMDwwEJXVGB0ZaDJRV0YZ2bmWYKzCZ15DkUUB0ZybhFydZzftpIbq +FC1B64QOrN9pADsLgdX8SSGS9rU7UKeO7Dj2c1CdHdu1KfDZQ/CpPmnt/3nC1QrR +LwN9t6EMPe/vfBKIFZjhbFxngtuDJdi/UNqCta7Y19Qb/XrG8LoURNCVpq5z1t0k +8ry7MRpaPbHGz208xp22oDfRyXitXWw9gBideFZGm8wFqBxum4kIET4tjcFXjV7A +ccEnar2Z7vTy3VyUMcIBRldrSD+bPfsfdPSOKCWP47FqtkFG9BUCS7lrpxakCO1m +Xa/3YtJdKlBbn9JKwrGUYjcquaqbeyoTw+aBSEkail/dt4ZQIzvDOYEXnxd/FZui +Xo+U5u1LA9OhHrclTn4xEesRVWPXXRQMjCXRyRZ/DPMs45j4yIShr1Kl/ChSMYwN +X9vhSuMyhYsxVDQAmdq3MZraEC6LKfTHSzIHmnh86M9RUZk1FFwxWbN4ejejXunV +7mv8berWdifCpMvj6dNsY/wpTIpDJbnr2MT3Cq1v5qfp9TCAfHNWBTS7sC5l7cGw +2q0tSdw4FMk6LyOprpcGlE9uEXRkF21yJWAKG6eW4ylqoSXvl++XJm5PLsGe9T+B +Mv8Vr5Hz+qiehLVX9DRZif0EEdioOqcdL/hfIDEfCf98XE7ZHQNMhhMxMYHA0O2s +NX73qKdYnG11JXSfWG8zti9xYa6eczI9lXVZMkBYpyN8chjTXboi91QoYbivHwqz +qFnlbWWYWk4R9/93v6Sndhgm71deY1xtyFiM2G3rmxjuIgNM7HlMoxSjSEYb8Jqz +IQf+MT/JXV+0QenheBr1nNIe0cSSDIdAxWnhD/MIt/OX0KQ908FWanImc47Vgeq4 +as5YsvzH7Q5v4KMwB0LRdVev1eYzpKzraUC4QMLaehr5V9J0Uze3lj3D75K+nYtN +2mA5/g1qO3bvCqXxkxLL+TgQB307MwDNGnYo9CTnNmHqXGzbmIdoAQKZIYOGSHXQ +qpsXaYzCwdHpjjmJT8bWe9EvcjppkojEs6Q3doZRGoM1CwXNmSdr59NxbyjFDwtb +x2APtrxBxJCeWLQPMcJobXMJtBLWe1MMsIsJimD2iHWeO1gZuZTcUtbEG++aeYz3 +5BXIXFlNGbOUHDldu0hrLpAowkLfEfjmxsKYGSqREesJl6rDotgiKkX6AFRe0Tsp +BhPnXtPGqTAjxprRbPbca+7+Wmd6ULodg6BrHiyM7Nf/A0dPi2f3LthaS/drlwoX +0KKwWD8ax3rUFZCz0m5BWpWx3Mj43OvJ0t4pf5xnz2JRMVB1nq2rWN7KU9PuKzg4 +g9RDpoqm9D/ag+WCF3W7FqRYcf8sX7IUGGvB6wiRDVRhF0Wv9wlGoGEGXBu7sUtl +RKsk0u4tgjq5qR6jIe29OkJ2F74Sge8MXv+Av6Ca5NIZtIy8HiAMvJiMQfiLz8/c +WXRVn/n8vhIbxPKqhbUFObWM/cpBE5SImHIqPjGmcZ9qfv+D1/Q7aGzffCI5x3Zn +Q+W8TVNVQL903mgTHgLGvR8ig2gPK1ubrrk9faLbCdavbvbAapg9bNQuxxfdRX10 +swNsbi5a6vw9aTnJ3IKUlgXiwLIqA0h+U6qvmF0AHTQehnGtYYXl3PcWLLS/nlLd +MmfYCLkueGbBUR53ZzifaIMZHZLYbZxbV2mKUQrbvdxBAsKEiF9AGZO8iDkSU6La +zTb9JZSo7Rlug9PL3eZO8xSWum8S2RMWMRyZS1P17mdXE4Vi6W63nSEyrTv1LOrr +KR/Gj1eBQ3zgzHIjb8DGlmYOD0bCGUfepdrox9leqJMaNd3Je/T7v+3Is41SBHCM +InU+/NGJmo4UKDr7YG00GgKxuf5N+p4zYkf5XcSS7rkqADpLE5CsTRHS9MJsMRMk +//BLDH5/jBqacj/gz9bjHZiFGLZ7adT8zayZ+rpFQp97NfFof9TJxTS+v/T7LM1s +liis+rxjThCV716HrBzRkJCVASF2j6I04OHxNDOdf+qRUP7Bf/s1VnBNH50/NUGm +bTR0v3maiqGYcWnhwW7m8yFK9VPeMfHQ36UzUlkIh8ZXadKgDq4H5jINd/Jjd9EW +ZIOboClAONh9yXe51FTNhH6w6oU+A1I6WR4bu9Ar1O+nCkApVrsmo1NngzshRpj7 +KXWahR/sz2yghjX5VXsxzg1KNypaSDCA+MFXGjRlAGsm4qj81tZ59EexsRbfy7vi +vtMqgBVCYvBhEMNBEonpldvapkwNMVz87CyMhyw0/yy7tdG11gD+pzDxx8TOeB56 +oIV0vLhrpUJuC/LhzwkC/sSDHkpFKtSgzra092BG8om65+3p65qw2H0GMxs2s9NO +36EFzEirYgH51B/IlNkMXnuCHOm643M+j6+Mv7hdwgGMrQm0TFeduIPFJFHNIdVT +AZBntsl6+/oAhDPDAFaL8xnaP3y/XbsgMahtDVnCJ0Bg2Ei3mo655Cgp6SeOq4W8 +lAtmmCdJdkr4LikAjotDTlKFS8UU+rgiGcREj+C88vT62qLXzKwOcYj+88tINI5b +GdcVtqKKBECoGSc1sIFSKFMqmJ1FUtLS6TB10vrKdGc8fN7ANKpFsc0zCs+jSXxo +0RlfmqkmYytteeVuboZBzmxMENzLTLVhhdo8ji3HwrL62DfKBYS9GQb0niMBxCDl +v2QfhQH++LV6Lzkd3zl97C8W5WiPIrQYmX1wPBfmWxMzkF6CTyKYnKUOn9u8sIA2 +cAQNHaCLZbLQ7serd+Jr/8McqhMpqsQBwhU9MdCKM3e1KxoTB8BWhRKqEPya8Gkh +cXnLhhFeUL8J6dnPXjR+L0rthMw1nqEcKxKzxcGIfzNGgnT8mnOIFNzYGsqiwG0K +hC/I4NVe9Wz2MTzcqwWzjP0SbKLeSuEGeg4CDvbpccrOcrjZNK9ZhtP6OALj/ypR +gk+ItBhjxabLsXHTlNvX7OQ86QbOHHJdnHlo4iiWOae0ujoOT4TyYPxq+w0b5Hze +UOgYoXXuzHaQVDi9mfa0z/Yr2AMZvhaTndt2pM54drSvveqqloUTK0XHLIZS5QT2 +gawLCLrM0E9b7/3F4shQv5rWyp5a7b8aGjmDAVoaYL+QkDIE4qejR2Lr96QTfXsm +1yKz9dpXPnXnb271owE2hgrBuAVJrpQK9JHqY1aM0cKrandGGQo/0xGdTDr7r65H ++4woSJ4qk9AfJAxPOJO9Hmm3L72Ub78mjaZ8KL7gs/mj1o8G8hetSkI9F6G8s6jG +oZwfevZMys5KmL4xeMo0CwCagrE27HpNU344ogstaQMfthQLlDm/7OITMEVhxKCe +qqUQWHRoZbEpTvjjnim5+08TEJLtqksSJTB1pzOlYv3+t2AncGTOpUQGbvhbbq6G +QAKOwsipt/NKA5A+Vnw9JZ3BzT+Mf9dgDCi58wKJZ5e4YgSzuzCmHScRbm7YEk4j +UVunPj9rsj8c5wXlD6QE6KkC5aphKxNcomYncjmr9OAs25Lyb+kpBZSRnKCejioB +923LWxDzVSRfMtODSUctxsVWGvdLFlOKpN9NQl6uTsnGrTYI1Aqp2kiqdTUQzpKA +ChHO5Y0xPAlpa3CZLr0HcpNniYsDVgBdcuVFXSlueWvDfDwXXfu8m38uIoyPY/zE +juPY0X9SAsese9BpFHGscUhWIii4lCqceFXvc/VMSJiCO8CnFtepVc/DCBJfKIsF +fhOxt6qR72g3olAwYX9gJgze4T3Gznpt5ymcGu+0+TKhfR4IhoLDZtEv5cKNfYzH +dTbjbKM0Zb//osuZm2G55+v8axCd76GZh1DUFUb/5HrKq1mgqkEQ7LBEub5p0fQ2 +VC2RtH1HOzF6G7b3pYIfp5Vghdbz9gg257KKD90O9gfu8O70B1LlGjlXINATPLgK ++c9y0JfcXZ+Dco/hlZ/DGrbJHCGKVnEQVvsnakQCbAuSoZvPZIzE0u6LLy/j5w+f +BD2T4JocauJyLIkUfVmE6zf1t4tgXDpnaJodPlO26utRkWjFyiTGzNDuJ0DOp7ll +nXYciegqKjyvFZxSQZ/C4zvJZepGDrPiTUacGhUXxUjTyfQL3U7S8ZPcKggAjscL +M0VDkuEF4FYtOhBfPKFQ97h17oLhQ/YrsxVGPovv5c98HKkkHabDAdvfP/A1MYX2 +mmXKOr31oxbFSSwQBPV0O8McNBJNlYzbbiV8BZv59TGznwop58VGm9P60c69/LFA +9mNvSdUHozzhW1HD+U3JE/wcAElkad4HfSWKlLPfGcGfec1lnPQYUOFXZJFc0FkN +kdz4KqP1PFS+gdfELXGfG3sTZWApOLi0LfQjHVG+78wkeiWdrTBHFkrfv9pUX1dD +P4tKbqJU2DfzE45EOgcDMeGvYduonZcI9QrCR/jaGZCunX3+/GsCmPBaUWIgPdyj +NT5+hLu+d6OUSBg13tsXsxYtPjjLM3og8hQFhH1DI+mAixpujORBP71v41JJnlbX +5JvmdN6aObBTP+7hfE50APAACCMbah6qr7IaZPRb+FDRiR/losX93x43RIz0u926 +lZxKOD5evuZibgvEng9clf0PdjUJoz9hh/rrUZOd2gdbLULS8DGzYLiIyUZ+s6VQ +rK0ljDpbHaD5zHY3EyAg39hLgMZij3O274+70yaI3ldVt9FffTGfgephHVVhatDq +aetpi0xYISvfofF3+0rFiPo3gZtJjvCTjLMGNgZmTv5smGBKtWGWBkmdK1V0BQv0 +9KEzKcbDiD3sjeiV2TddcuuUH4hKTEbhNLnGmCeh+fPoJp4brtp1lDyJ+WBNKAYl +b/TdQ4YB7y5o8aCb2zMACe23n8v8KVkLMGT1zTIDFeq5iFZkjwPYRWOZgEIeK5BU +UNijf219pEBtQbHOmNMC3ryGT2MVnX3VgDGIxZWklF1VBAaFLusLu3C7lKnzZvkc +OajPeWSWiqGzge7GbNAQtQYD73ADBR+qE2FoTTttGXCDsgJsuToo7gMRpP7fAhJo +rFiMMkxNEmO+TqsuDDDl02rVuebpPAiVX6x8ReDRSWy0Ei1naYjyNGHUXKLajFtN +IrCL+WZt7+Fs9A1XcDh1fTeRz6vTQ6Rp3A9X0FG12HYlnTieCv0mTaMUrtYGGhIX +EiS3vVTnagEYmRfqiyLWRoBTbtGBL7imDNAkJhYyRHMT9O7mrCDR79qdHHn+1dwN +aJ/1oRd2eO2NFr5Sg4QU4ITPKIWnJ8ZMwJFo3sSgufwgMhlpDSz7paVRIXlzuFpt +41XYq/pXRy84xJMa3unDgEjq8ljOtPTvOZJ3x4OY491jB0pK/MFr/raGqSZFJCLc +NgKtFIRS05h0918qc6fEBXsDwdxwdWDoxnnrprMWiDzCW0St4uuJBfB3ETekAN3y +hlfKAqAzySWkXG1Hjl2I7vL2oXcsjimn6/M8VH29JkzWhIQEpuxpLLR6oeTQQjnY +T5d4cetYfamZgsine5uiOn4X/peBSfLjl39K5lNpfdCGjrU68uIAqR0ajhrz5bJW +zGfKfdfsp6h9GJZVYXIqCqtGtdsebC5DGKOM8CqNZZRo5XD4S/xs/+Xg/dyiZK8O +EZdr2asA9gaOQJHOG1hfeTxQMPmO3An59e060SVYMIWhdkoMded8bEiWT5LTBL9S +EVhCRB96CgrASffqFIZMG8WwqWzFIwN69eRzf84qJS8476Ol5VbXNetqjhwnRe2g +LXZOF1XHSXgVaHa0zi1CDuL+dYctIJWY53yRyPGXETxb1tjZCE/TOzAve14i3xdj +6npD916Q5+reFpzrg//TAO3sgdQEMJHvuKfpWtoCtluq5zI6QzvzcsoKZhOMLWJv +BHXPgBzDRhW4U1V6rdVklU81AJALrRrnzfdhD1DHsTb+8+i0o8jZW2hBgA7SDnsD +LFIvsclxkHgA3U5waZl0daPnQdXJbIRYW/wNAivGKoGnjM2OoCf4rAd1xkYPfC0I +8DabFCiZqjG9JUDMKn0o0gZ74hXTeyY/nGhFAgbfBfhTf3LeeNEszLVvXx9/Xdfa +2rpmq7cvjcuI+RYi0HgF1v2mxd7RF7qIga3n2QcMoFRSqa12G83mAztI8uJn1qUr ++OkFNu9xJBqp4sUMLwZoxfufYpscLUujV8/Q7JedmbQM66T1xvzzZK+ypg325G9+ +x3Pa50ZseNZrfDWZXQTOkTQ4iUVuQmesz7C1w7FZSHSDQKDZCzY+U6Pq1L/ys+/t +UgL75lR3FMnzKp14ooNgQmrKQZhT14OSuTGOk+OAhCeGij/1KsaGKTuEtspsPQ9c +gDpwDr0A6jDf04iz3VwG87yZXTeGr8Edl1tXQ7HW+VH4n1K2cYIQWVW0i5bmCLmm +lMVO9SSZYj74YA/guXbR+5uoBdKyQklOnxmIaxgZczT021nBJAEE2nq3NSl7B8Uv +o0YhsgIcwHz95ga0q51/niAkp1IFdTGz+Z0fi4tJIM/5s1ZegYBcK28ewdYjivzF +3aiOzIAn85wHDIRsXlZ5BXfhuXQqu5OCl8LOVfhLWpevmT+M8E16Y6uk6mQvtz6e ++RMRAX4En217S1v4LmdbpP5hk6JU9lqdHQQ3f9ESWu2tTUKwz7MiMEb/6nscCQjX ++wzJ5gbucKr+PI72SWuYyqNHN5+Ek6bGKa/1DMLFlpoHW4yOLY5NTeNfEZEYobg3 +bk8oA8hQpELISDEBkAzmdPDzEda/A1pbI8k6LMq3iGi2Dv9nE81AmzPyk/r73So8 +xMVVIdXJsrNZtjpCHzT839LeyuJG9BH1XRSVQGyN/h0TiXsYJ0btu7GZ9kOgczFe +x0V8aHxl2WJuv+zyWNF3USBQZkCF2RmghMSS6q2YbVi+oPFCUEZYTlF0Datd5Mac ++xDoVATAJTIEsOmM6wltJWe66B9k/sYayV8dOvq9Sl4muzrVmwX6Zsw21zxa0qh7 +flKh7ohdZnf7LJgZLjkCNyUlHiK+kHeNZKscvsYQ4vnpKI/FDkHAPDT6WwRJgaWF +z/wQ3C0kOdstCINgxgQnZq1vhe3Q2C7N1LS/qKlwUMfwOQhGFEeej0cSegvmNSOP +CvTsJMpxtz6zye9ExxKhLNE/YpR0svx/lf0vKGY05DhTOY3zRIpK9QO5o/hHkdL4 +CBrNw+edjfE2AcIwtmWpBWcue39q7AkuGIT0Z2Xn2pwnmvbemACOlHA+q7FCTksX +hj0d3Rj+7HmYp6HNvzJUdQYvraxw+apnXTDyiL6hl+EH239Oy/EPFDzxv+Rk3B1h +G5BfYzcIomgGfVUzTCFCHQTJW1AfZrjNWBQpkcn9tMVJDmlsurhCmvOrTYEqeAqG +uuN5gNPQjtx9CVgGiPBxzcKlhNRk9YRJjh9YqmYVCzDYPmhqjpOruSoXV1OqjCEG +C44oXAf5KFhvf5YSS5MQVGbvgz2tBQNgi8nygKq92fDcA8c3Qy6VOcBsxnuJnf5z +L2GbMahd6YthlY3GIB9qmo1lJ4DkYKIz6vfATDVdhwJmTWQx/D6rICARR780cmY5 +RTSvkBEW1rj1OiAQ23sMMXKF3D5Bbsiv1NQJQNLJSChKnDRGzNnI3iH/eyK6r0t7 +Dce2Ikn5/WFrhfd0CHj6PnorKXimALM2s2bThBLQXn7UGnBLEmSAhec90B7RtNbs +5xhLPh/7GKB8bH8ty9Deqo2JQ3JWVBJfFfu2IwKd2jrPd6vue/87mqqKlBGVcUK+ +GetOuj/wnV07acCxpOeohbTHrAPy+PF9DwcZSSGb5WoXhPlX60460NgYIWCbQ+dQ +v9f6uP4/Ecj1aReItO6Xz0GTpthi14vR5MF1j4L8ZMVjZck4Jm/h6wlGZtiFE+e/ +NlFk7Tup+zPylB31YKAB3GCpL2qy4vFo2khuhFyyDBPOmhOzGMxcn5BIaFRkXnGT +VU91yGYvlNUAA65KnFwoFWBT/EVX8HX5GHnuysAhViG7a6Qnpb6ODMAY1nURKpdp +nxD/bBLP23mPeY08Kopw/xNcHikxP9DCyDLsDD3OqFKiUEiS83arJbax2Uq5CiET +tClSSjNKRkyGJGLwb0cdEKwZBXsKopwaWGcZhUXuwCE= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..fe29ab815570c89edf71a3e5a9616e4f34bcda21 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v @@ -0,0 +1,452 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +qBAmjSHv+Z8doKXkNImVODAxNeYTM+a7w66DtnaCQTXuuM6sXh994D+W4yA/JJGO +85svWCcc/zTNfQVhYna0zA0wdjvFkb4Lz//spYWmdd23zbV6DbZdIiXsusfD8/XS +UtPR1CVP+bkBEbqvzYnxl3AGhMnK+ekzfkLGHuxbEWg= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 19968) +9917NGGxV+fRbWe1uvxabcOXHkv5MX9J+W8DN6ZxxvAk6zHi+vToBgc0LjNfyGe3 +3MyLPSBuTPlx3CLrY+24YWs5eZDk+RygQDEOfs4HuB0IZg+zTWjY1Fe718UX20D5 +wYmCegOELjiK+ouoKzLg11gtpaqnHYISU6jBWTKpGzFHxsATfkQb2o5EBk1kxRGf +nSuGplA3akWN9faMrEbSZRvfftOhCuZAM9+FWghQZr4GBIoYp98xhFrV/Bvh+kEU +X5dNBZcjNBVCnsrVVxsrqRRXvCK/Sup8wnrd4PqkgIws5B55shR/Fs83Bx7VKpkh +GNAyahh478ne9/lnffKcq71EzQJO7PIdR+PxHHTRyT5iKV5AcZQlZcEaKwc9cHm2 +6hFS+2SFERwKa2gOv88czQn//jmBVYQ9R2WnMOw0WGCMTJx+77tjIZsRV+q2gu/i +pdPGJShyhhQy861aCaYKWLZ+/h6GCEl1FvfoQwjJagnQEzybvqWKp0jnHBu9o+hf +66Mj4+HqxHb65zDfyz7MvL6qhRVNQVYyd5o5U5sxymHI6+9bxuiho7p5IhXYwmFq +n2n/NMf7MgOhGVTwpyJ96qQBtLUF47BpDzlUd5BseezjYRiEFl+FcLeTpEX1xRwk +HjWaFuI7wASC97FGi4toXYNqbSmPFgp3/idaRUIsnjPHuzN4PfkD6xSIQFsrradR +ZyfYkslJ5g6tDTLjOWTlOX6/nlLybhLZYmofTUnueapm1A/BIUMLkZLAn0PsIt84 +iHh4y4DDeDFwywte7LQYJwrfKu86Yl1lanHe5nSVE9zmYnuoK3P/Cm5XiXJZpYiC +05iKDRkkOqHttRTjYUcJlq+KCjiF+BBCtvZp8rKedmjiEw+WY7bheWDOKpbs5hIA +NX+X4YGcDpnZcECLMz2C5UFs2hJcG8B7BxSx2sHNGpBtnaJMECsFdxvcNjUQlpIP +/7J0P974zoUzpT+cP3kFULoXsmFPJVY8De7i/Z5ZbFoAs7Ti/CM3RkdgIQkVuJDb +yRTBWGhLaYw0bHqYdcqke7MwwQaDNSw9y2WaN5PeAfvl0QalO4mmF/+ZzRQXi0LK +d/VL3Gmip27Rt7XEb+s7Db2hdkMsRY5I7YNu5MMTYNDWYo4wuI21oXK5m7twVk9h +qfSxzbEFBUCZOmP8udG3I3oclOfpOrm6qhZtuLb+aH4KgKJeMQ8nXJGgL/cr8Gqd +VjLqF/OY+x18h+BVL9UF2MS6lfZzSNi+JI7vwnUs2uHXAl6KICfIXWvOI8p6w3jH +ed3LF/sGy0ITNHRzFwjovBBZ0prTBC9SivEXNnce6sXvTYnnrAZSrqw1QUx5t6hG +0J19VO+YYYmZbePAN2i7QR/0q/2wmrCohIxP5Wr2szM7/xk3rxKDxNjGXKv867DT +PdgHNIOg+LRSLMS1U2QKY4Z9JIcWI4LtoAHOg5Z4ZTvh15tpoWfl9eh8phVfAcAs +mm91uGKHX0O4sVaeyTP9DltVfF2XaYv/nJNF9b4rdP47+JcmxSqoZrOw0j3bbu0b +LoCeT0bfeSAcV/2AborPnQbjSmbKQcBc9GDCuTdfLTdpDEfie2ozxwDkWEHk35Ft +vWSScZ8tj8hgU7SNmnD2y7v74tiJg0Nnnd8LNC/mez8Z/Xef0u5J+Aau7+aEeDNs +BIO0Vs4CIp1RIgpaOCZu//ilOTO60i6pyeARmk7sABQKE6WZKYeYOZ0jdS5MycTb +P7Klu7sNr58m2p9aa6CuZJFYcyTEfsDmlNQ1ETqO+EVhZF8g/IlI7zhEoe/ZVb7s +nIh3LohUT0TibvgqHYS4YdneOsJ0hBi5BKKGQzkrsGr8X5/gstXMVUaWtfmWCeM+ +cpP8XI4ZrONk79jaCaLPDuHjo9hisB2yOdktHtV4WCjyMfM/m+S/Z/UQ6o4ZFLEd +m/5ec/wld4mHA3u7PK3Md4UaFkDJzozHlUeENboy3W5aw6fmFC3zEKTggohZ+hdI +BlbCjxCgFRH8O7NUbo2CDdwLJWMh4gp3vUGphKdY832AUyYRn2zuWZmX1Np/dZOG +XS04SMqPqdqm+bIjM8A6AJg9d35/DEpTrHmYz9cBc3X/JTqOzyUkJyv+TFIdd5dK +qSgYB/tcCudgzcxXRFWnqpRnylNtKp+C6ScN2kfT+nPQZZ1491K0I9d8zlB2dWnU +bDScJTXwzYMUMjoK5ER5v/N6mB+YWJxp9gF9LxeWY5Ce/rOTT7Iwrh/Om7PLSefd +dKVZZvqqGu+1FuY2TnOx5K0377QOAXsn3lhBUh+V48YciYUOBrE7CTUPoi4ufIyY +9VgtNvvWW+/DXumULdiClxnE+I/RfqxrNZvK6hV71EYFJzj2+MviGInr1V/tmLRL +sgntFLLL2JUSrAvi5Vo+iM9ipiE8Cefat5fjV5n6CZVLFox5rE3Qwi9wkoDi3uEv +chQgXx6AQsf2YGWINqYKcQws6r6FLqqqywXvafQGoTFAjgej+sKl64MCNeQoZ4S+ +pdjvMQcWvSswydPESb2psnLLqGbxPfS+L49wgzvbsE86UcvfaS5KA5BDbnyC/5CA +WyyAc1hkMvwbd0Xf+ovHAjH0eV86pl7P7/uO9ltqaPyJRL20j+tgo8MC6l8HxJRm +7MRcyWrhOeZ7v/+LrR/cfBOvaaCLaCq94FoXaeWRh2leL83hVnq97rlsjLfdQA4D +Vvlw6GXP/t59Fa6n6MszWT+s+Qs29sgyjTP+qmX220YCgi3Wxh5lABNxCLfw8fPW +dHRtLABhGPmsVYw7aspqimLwOlxALXCsgrwe0GjCJ1yD7qh0HYqo9Bh+/mlVVOT8 +ehuqf2Lrs6h94ZW4F0h1XLsO2qSdk5ZI4c6Ay9WccTeyJFGnpENRgbzaHoepSG7E +v8RL/1WoUV75ivAI2l7gQ3oDBscmN2O2VIqz5z0pTXJwn8fWO4Z9jrXCe+1ACVWf +8FVrU9TGf8rGvGVFllYSHNkDUWIiq2cwZg1hXKfqBYtN1z2Vb5nfUXbkxXYYejBI +aDphm2pZ5vKitF0CmkaFN15KA1we1IKhEfqd7IORU1GO9jBku9N6+VCqKcWL7Jp/ +T0lpZr3iWkHDY6zsbWjHn3QMEqCvMUcIL0sS08txnVmmuw57LBdGYsuTR5tnmcFI +Q1dJEptmx83vCAuQqkT5HMAkAyombJq8gCLjVPUH90b5T3y2jxfzTUzAP0lE1gBJ +JS1VJO3Nau9LlUK31iZ9UrlCOSav4pGYglxK+9kRPHPEFdncLUr4LK9OMOeLMmbg +iMHYs1r6e7tEKAiuY0ZhKfzyC7uySM4hbnMVp7B4ysaK90D5rZnMZrrzhGstCWNG +wn1UI/ymVW1ao9ipUvoc9pUjOmeeZmsIo9o64wH02os6XAAgfVIhL9BhQPYGXRca +Ov7UI9UDLjzyQJ8w0rVR9QP3E7vzNFyZvI5MwRlItCcK8TCA5rzdG5szTooZhag5 +s+iUypszYhsaO8Huwp4PnjnQDmF3Y5UE+ym7X0kbeTNbq2dQ39+bXhxPfMsiZqdp +Je7MybrcQF8+cYc2L8o57HE8XIUEoI1XaDDIxMDJ60QO8ljZTIaRMvH6665g9jRf +qhcBcuRIVMF0GGdL3+zG49zPUVoFIa/kzTkTGv9ZNhxDX+nqq2HgkSPfYEozisS5 +DrB4rVt1xX8vK0NIZ3JvitSPOBn9avwI7G8ydrZoPS9xRloZTePZG7InOmRHXgz7 +mieZNiPFUihAN3+rEQ/8LLI7K2ghfeGnOmCFym9SWnmsCadJaegKnjq/Ii3Ha9D4 +ZEF9MNEl+OrTO4LZb1+u7GBfybWJfBgqWig9ospv6g4wUHDrtNwCxXd1bTkZcA2Q +tRtqdmysxOmAAtzPmSaTULG0Y8PZni+3010K2tQESr/9TOwMvEVVGJkAJSbLXokT +issYnAfAQzAveKgT9PHhC9UWcqfEVWE0vhWgM0UPFZfLHK5I3cjpnUgQBgZj2w2G +e6O4ZGrCMx7Ib1NgKacFf6xZRroMMhOksU59dEKFkPZVhPcH3UhFvkgF2uhEP92W +7OPn5FzVIOME//YbWSobqNMcbOXrDgV75crEY28tEjObjJSZT4zuuRyGO18TOUqG +dW+r89r/IugRFT5hbCKUM8ocvgxwhMGvjek+M021YbhJWK61z7BrS3nuRyDzGNnn +pTEgsk1a0R02VaYD9u7BOchXiPRtgG+/mIoZYuJP2A10Nfv4aXwhDITzZKt9D3nN +SF/EkO1S+p35ujojZKCvrLMRDDnP/WoKwb2nZjZ2UGkEEnAAdUOZ3T12Uwms4QzS +wxl3iEcPGOQzUv8ZDRS/noTd6CPFQs4Dg/yN7Y2dAdkghfVsVQIiAT7sBxP68RKT +4eWhbBVrarUEeHzyoluudQZ6JHU4+7lEwUPGAF7xYNMn1T2YCEhNG28AkbOhINUc +eGvvJJ8j26LsqCQDJAOWD0kI40vumc4GN+mzG9p4Aq2CjpJxvjTjsRgQHQt71dfh +DdKujDpb3oSwvZTUme21cu1qr7tGOEahrkwQ1b0pjGQ8WRWDc6SJq3OBM/SVzCV+ +YCu9bwPKOUO/0Tyj51Ifq0JnfD6TzqP1wBqJ+yb47wkEd/zmr9wvCTFh51ZK/AP8 +PoBUKC0YFFUl7F9XLjSpdAf+cEEHIHCKqtkiIS3Iswwy3HApOM0E1QmI+Pj9qnny +QD8c4O/QFBSI1EiPNp2867ndEGPntPXkb41eTSlLow1EJwo7v7mPmNW5qFWNL9zP +OA1X8RxJAG5VGgl3CvJbRmOxaPffORgavtos3Yt2zINhkY37iyrH6A99IFKKPhAc ++b1EkKJGxPmzo6rkukJE7uP0529ttHcmsBc4DDgKlLlvrUDzinNspZglilYUY72l +WFEz+Tnpwop0STSnk4z5XH4b+YqIPCklMpqAMGXfoFOJz7Bi0cwKWcuuOpuS+9E5 +0X62avteHyeU7AcTJHpUHTMtEntiuRvpYHF8y9CWN1MIMGmitpAXKWAdlL5ACuIR +5DZl7bA7cXk0t+cz8kCthNZ1UvgFUgnvXLGVC0iROckWAtLobnAAsF6WXNsBTyk4 +Qu2PXzesvtYW4Re1HxQANIU8Yusfy/9M+sF9xTsj7pmz8An9hZNWUMSV2bHUeyE+ +f4im014wji90e1RknFiCmsuAhzDKK3o/aV8nudYRxB8rHmNQIQGvax2jEJsu7jjv +zq3FpQPiFNb7bn20GwmhICYbWht6Go46PQkcXhIeb/c8P65B9k1oXB+uN5dBTZST +6/ldzHglceo01goWt7M1vPMYY4Zppui4uLlpHg/7WHIaxYJcTxvVIVkcy5WWXEmq +O+vC5rbSpRoe+nVQBsdqSEC1YeDvnRvrWfTtiZA35RppxSzJuLCgx7eeY1fcDNrA +r+iPEBKnn9iVJipTOvCpUwSTeLW2dUZxsAm4lQw+U2AMocJhuaaRo0baeOhUJga0 +8j5xJpJ7gX6xn90GgvxgHsV8byFSIXxBYF7P05JhcuOY9TdxIuMF2a0DhozgiMXN +rHr0N432js8K00WodRdUwgX5EekUSEZ5974hIY928l1TfE2t9Cirh+ZknYh+cG0W +WaojTCRlC0IewwIBTlwJtkmxAL3lEeYaPdrgicVVOc8azL/sg5Of97HUrJbkyRfl +jIgeS8+DxNCe/8zRTMfrlQiB1z0MGJRPU67y7u70SBoZ5JDB/IsEHrX8bUpg0+B4 +ClTwDyhlAC6c3bSQJWl9iFpjdnmKHMzfa7ofY7OtBER2dzZrLhDfooiDCpWy5EI1 +hl9co/kB4uuZxrBdFpfC0ycxMNI3BmfRKT5Iq8cl0NIUaM+WEF7Lo42vWqt+rTOO +9jr1xBqq/5MN/qXMWlId3XzzbQtz2MvvY7CI2r1qiFTsmwWOHU9IAoSxDRSfvjaA +3klFY2SW1JWaVPThdDWoaA8xYWNymc4LBf7GSc4XX68vPt1XONPU2WTRearuB7B/ +VG8914KauvNa/8AbPlH91sXPkug7w/1l4M43oyGnTI0JhAxzNTgr/suU80ILpT1A +SWUqRMdJGrBt/zu8FOMJ6YzpcNJKivfgLwO2tOl+/Y6qsIA8548MwWr4ZDfLjSYR +1X6vfzrKXs5++YzbvyEBcgyyYIfiTDtsAEFFKBnPwIAl4eauFRZ6aoX+Kuwwxqhd +ri6ZX3X5YwKnPgJ4R8xw33ZauqLbz2AJeEUjKl3oEE5CXEcKN6w5A5+2e05Eei9S +eBJZM8gUxMfAXjQmB7pPK+GCvZR7dtc3p7rukiHmQogmDSf6pGhnt+OZSVtuf5zk +UiEoFCIi0I+jTuq8fQZFoD4YuGEZrSa5fUScUc+RhMt+9WDh5mHDx1ZMe14B8AeQ +enS0NEABuCCoQ0oHvnuOABnOAMZt6/RXTsn96JquLwcRDur9rTBKpYsd+LXyhXfU +J80ONxJIrKDWEEBJbRFQF6l3VWmO961XgM9wzsPCfRcRdyQPe5KgvcnQ8PYO6PaG +W48EJJ72pqMuSVfWIC8kkYxpJ43geRRd83b3tuK+r7RjkVYAm8zEcUUveJXXaQR2 +O94f2paBAwFENklnS3sEB88vs2gVfohelbu4Fx9R+o210u8+G33cQjzolVDVxsVB +gWM+qgMFQUnjsVAMV6OPWdQ47gRSSiWn6oT/xYDlLbcisfnEq3Us2hGxOVlNrkWL +kGOkFP/HS6HCNwVt300MBRy4vNtgLm4jHNywnxxwKz57rPqnol0e7zc4PUdjM8xX +hhauqWcE62ivmb2CDFlK0Ent/lzErSEaPbf1Jrk2pGc0v4LjMiqgIKGVPyOE1wd+ +eXhxu3zibjoejpSmMFILs1dciS5TJTZg+n4/dY8O20a1zwXnXMsj5fT5FNlK5e6v +T9nP2wpWncD8xulh1BiVZ/ZkPi+EKkSc89EIPNYsQ5BWg0Bn5Z83oCnzk23jnSSx +9H1Qp9x1sE/qkQCCk27GQJHlcWZujNwYv9tKreJE7ySdFnh2Nx1GxZ5WQQqilAjK +QfC0AoTEmVYaRhWkuZ8LbizoNz7CDjHuXYdr78Y1ZEB01y4QgBXEVi2dHzYYv93V +TluIiVrCQS4L5pu+CTAJsnoPfTYixCxu4N6fyFjyu+3vaXEEOHQWacFMM5WxO0yX +E3aZb74irdK2JAROU+ETdsomE3kQdASsChIDA9zZa9WM7VwP6TY7OYNQXqQBRNtb +/5skUvECJbbWS3kLvfK1zZ3I/DXeamwKHQd+vRb+oQGZ/yyjFOEdn1d/BGHbdDVW +3yRXmHI85MpbFJnKyzjtMNBZzlXYdId+Sk1clKkwOK6XbR40crKHqCiCTaw6F6PB +57VV9GGtCkcQgFUcwiTqjf+ZYpUUTzLcYG3YZulmzw7WXm79tqkVfGHxT4XJZc35 +VgVkip7xf+QpHDngoKHmNh49QpyYGzMwwJlhqMDXvGcla2aYwt3sBxhW2al7yU4t +tNr0TEj0GKKuukqFLIQV0tVxmC+MtHyE3XQAQBJHtBvP26WW6keC6G1rSbU8/+Kb +3GhYTduYgDGXuq0oEO3pYhPbjGAXMfuidIpc6SE32eJo8fHO96x8QyS982FfUkpf +KWnjB1ZsCBqTJI193NXJEglDVu6tD3evUzBQmcc3QusjVQpUSjX6EVpPOcwL3SCG +ccXnB3c1ZxQY5bJsxupApUSPirR4k+d/EI+pnMEByLLrqgpYbIR8X7t9IzX28War +5Q8Xc3F5Xjc3+iLhhBJtruqJL/WFOh1U9FweupCGWoH1YRWTGvCuCD98HwTL5Fuo +I/Tpcv8gG5iGM3p0+EJEXrvr+7yLmWgbCb5lq9znFbix87ZZV6AcLz6/0EqLxGsE +pdBya8pqF+3+K49iV4+cSACwiptBLjmPhPd3p/wlvoN1UkdWp+AVb6Epdq/Go2nD ++k1hF9wd+m7VwH0D11WCViwbmZyGnjRJaXAkRlarxPuTJf8EHiHYjSWkYeu3TQt5 +B4bnKSmfGnznzLE2dklBgccFPZDnF33ESq6S+4bzfvPqmGf+qSM8CfH7+tvdVfqG +ZKx7F8P7L+gRAtxfTKaVXf1sbXV9Ahs86M1oHKzf5BGs3npOzne/My5uP8HkRSCj +evjYWbVh/Vq2f/W8YBarkm1HasEccewCMgis2KC5lcD91tTxV00vZjynvALG3PgR +OX0mq6qChuIV2QQwQ+tXvEMZ63FXgXwwZJGZS5JaXA2aB2q/dbUhDMHtu0UYx1A+ +cx+I+WWifhVwCRAWg33HObKskhXvAipiy+ckAEV+2jP1N2xbrTyAPqgaL/YI+yUz ++K5AStQD6s5B99mkuDeANoOM0uygAc5fHtqKw9KWMxMF0KUR9XT9c1vKIf8TO6Ue +cVPBfl2jGcmABsql92bYbZrrDSoU46s+y0HdMznkdKXc1HTbBJSzG5iS8ui9f1/t +zN6W82zwlCWo31iYMd0nMdnndyhuS7avUQUW1uWC8jKlEYpYzJMBXY80+38EsODV +Uy/bDie2Q1GZpjSTWYFDMwZh51Ovl66oIBc+PbhE+i1aw4t9eglV1YB08UBZ3JF1 +GVghf6CjPnNYUvsRt4aULD8+yq0G5+/DToN7dlQY9cW0u7t0Y/okwncUSta1OGOV +JRy3VYi6uMTl8+zDa2RQYOvin1RekHMr5vuy8fXSl9pRRDt6v2ugnrauP3cL3v+X +yNu8wOIX/RcHgqVwF5fx/TqeTOfHqsNlak/Ps+RK1Iwpw3Y9dpdcEYfPejDQ3Jxc +f/JqyT77fJm1/YgzXX+Ena+XhKP7f9obQl4HBzWrXAjv/f7LffQNqKthc6EJQXxU +1DTPujGtFZKNwL6OzXzf1K6/qNkJ4adnXRshk3FC7lvSU43t9jAaGcHXXHbVWnti +aar9c+yfjfq8eVSjUadNYJoWkQMrD2wDh81UiLKyMX543nXan+HkF+gnotfdt3vb +53isMWKtKGGuqeY2eYr6PZ7S03q4qw3vngAjSffq30wBDKQsDKoOogHwy5Nu/j5M +R8qBiwyv+bT+tl9HhK/qnjMWO/b09asPQDPy26zhlswHiTayWr4uUt4xA7eyW+t4 +WyXT+z8Mx/Ek/mDwW5nqtQWwoGAlcD5H8Q5czUHRA2cra2s4KKHprGL/Ncy+uZIe +YcamH0tFqv/IXqBS4HkxjR2cVTwOtkXxisgylzQunlQFUpgxBEz9yCwBPAONMm/+ +Mh6qrf3MJHTxyPcdvbi2snsneGMHswEqb2DGKICezwwfb1IQbgvHqDE5NGlROBZ8 +qeSMiyz5AbY2LXwkHZEAFj6pxAd4ztMwHelH42o9lSP/45w8Dsr8Wt9TWKNgIEoY +58KPrxDlO8MMmNxgOIAgGDlSo1BBGab8MySvbLq8feVY4GZmgpr+A5fQAFqDX1uw +OJC/P1axp9OZDTVpFnViSdZyLrG6HkOq0zMOG5SIenlTmg//0/a2HXg9kloc/fFX +ZMHOACcB8r259JcNyh530UcLSfkkq5CoDGJSPt/pUNsu2D38677SQQpD6bfSrwF5 ++WOGOJ3T0tJdUOyVBiRL8OBADU0ca2Eh+Rh+3vhi/hYv38u7YWZTSOGThnIJlvl4 +cFa9TIx53ZQjHpUT1s5QL+u7vLyWHYTosq3sWjSjQ69U6bq74gDQS/gq5LbSzZqy +ORVuTpUzsjXQsouhzdYwVA9/yn4LDv77+EwRTViCNcCX0JVsZdP1TVYUZaggBAgB +x+16KwzvhKi0cGK/zYWrlytpdYxKLkYDm2o/ctDcvlW1mzYU0G5kqtMpGfhfHpiT +APHt9b86YnzFP/anN8oOFqWemDDYcEDn6yGv+25fjGiBirb2mWLViO6ap6lZbZZV +IbWbZanMD0MdjyBQhdEtw1h8wvCv69rgaHmuSoYhcbD61UDA5dve2790Kkf0kCAq +c9JQyz0HTOfCbK/vA+BY+GA08Wwd53w0FHPTJAtXsN0E5d6E6jUQdalaDNhG7RDg +e4FuTz7+yldaMdfn0cHPtrDmB8lSVCKgE9a0TlOkRL1ZiU64sf9+K0+86ghCBjQl +M5Zq3lZ34o+MJ8mT6e+xqXpukzaIydZBHnbxWi7UE4b87PIc4ZjjQtlnmc0O6PwG +vZkqCaDhdkCTPB9bWCh/OmeSC8bRh8IYGqZ5EmfPmuOgMHmBWWR7BOfzDS1B3XG0 +xPtWfT/ecYvOcg6+Mp4gwlMNGu33a7845hTE3DLMnz1knMlMpQSUlR8xOZCk4+J8 +acZMM6y3H1MOPWypm3953wP7Wnlk0VbAst4sAFjla8/nRirYNJopzEuKAGYuqt21 +nADroqY10KR5FlX8EEHzTmciRdL6aHqI2oj5OKY0gaAK6yOp50GNODF2gYYo4mUN +Um8YnSpz+EMbjvpAsv+pqd5uIiTYpC6z9ySplDp8bJWZHK7Du3q0fZ1sSF7aDiYa +zSYWCGC0NzodBfdIb7YEAupFn6xOdj6qS28eT+g9gigwY2K9v7/EA2hdMP4yD0oh +/5os9IrVSdsO5WmnhIqmO1UTKRWFcsBtdgznq9xkFFEDQa7DKZMJJVc91JjynaQY +YGH7r/IFRGsS2IB63Qa2aiGhL4fCVSAHBFzGPQ5MrxtrhSxqRYzcS3bo0N2TYh00 +tLAZ+TGiek9mgtYqWEbcgnKev8a0l8scrlWyLJ+MScIx9QfmsOggrggKrLvafYEh +sdT/ur4CbBRiW81EiunvRO6T4/wVcNzXRsY3Wmoybkgs5KRGz5OukdBxjP6Owqpk +vbkD1hdVysyO3onLdfoF5jTsqPtc8zogiPEPlVdl+yMLEABE7hDXqeByxZRrjVgK +Y7YRC2BPqQCJAft9Uz5su1cUxri0ZcqpvGeUZB4wrb+H578UzIeBfOVNEtLdh7du +lzemmLIktKl0C9maF3v389jX2a6bH3SmiQklJYvAilQtizDS8V09Gbxk/+Io/R5g +KQaEVJp6zDSILeXLoN/nKba6+t57T47GR8zgYpqZ28SHJtTA/JUrLcffxPZVUakW +gD/jSNZgdUDyAiSAboLdxZOQePONBaRyu5KS1Zr2jPhh6YOy8Qw8CQISnAEFkDF1 +l7opQWqUdUqysowqadqFAJ7FwCDzgP4iBQtu68cvOLLM9yStZQTWKogvVVnxNXGo +OYMCXiyV1Q1LKeRAkWxZgMG9WF857Rb874rXCOpcg4VUXnkSp45TGd36/dZRYYNK +YxL7gYGlRLtsdpGe7her99/IMt8Uzz6IK0eNrfPoU7S0ECZvYQK7zsyi4OVhb42d +N+LBAf+4bQmF7qFu8IQA5JxnVngpWTI06JGxG+NjLywAPaMI3g3cCdwq4qwTNT5x +tsRykHA3ZFXwUM42GGDb0HaeQoPHOSTzvybq5iSwgttpdv+7BmL8MBpMzWgckQhb +m2TdXtEFs7TIifiL4Pn0u39RhDn5FFO6bink1StEvDMmhU3qtRVQ4rG6GzlIE29W +UXdNJ/LhTeY0SIpoABIyBtIB59wjhcHwIVTqXXiZ4KDsXpSdUo09uZyoAwJqDE+c +9xYCKPhg7MQtHuZ03Jb2xR/9rZK+jLEc5MIjPYZ75Vx1hVXgqKHru4Qdsya8zMoU +YrrciJxF3zoy3/IOkpb+HerkJcZ6RXD4QZtZ1diOYsDbjUgeVwLmp4i1jEHqBzif +jz0SKDDo+2crP3NKGg75ixRkV+oLCss8UWmnJz3UFm4rhZD3MNZUdBdliz9gc93/ +6gDUeI276gGd+hyd8QGmi2SOpwEf8aOsV2Yh7Zt6PdrxsQw6uvfkgFR9uka4d47+ +nHRaG3agJX93XnCo+s4c6ybDsP6zw08t76QCa3mOv+MMzGsmTvQlshZA3J0kTQYE +FvH6uK0RtW3ttMXFXQ5McokgwPXo8FPvNTNx6a6gV7FkBfrbQ2g5fT0T9bVnf6Ji +YBbQZYqI9YPIX7Vdesr13qtevezt1yCKOnr7StA2d0Be45uMsmJ924S06MyhZfgJ +9RyHLfajmz8wWCxO/k2j2nmXEGhTgutaboIILbeuSHsNyc62rJLr5u3AOUHg+L6Z +Aq1xoBNUnrZXnTzkVA/iuiifGWCWKs6B4jAgLGI75vpnDz0gRW7k8YvVyI2XD5Gl +BG/AM7/3/cTQ51PXpii52lOLfdHYiL0bUryxkeu/ZWHUjGhXXzp4hiZGN43X5uAM +xHhRSwkSENauAlkdEWFdiWI/ncYSlPiX8thZGCL0egIZxa8/gUqgwONl+I1XC1cp +IKEQhe07JV3yvBU4IYbu5yG+qHWt+NW5dOrFQaBqBvY6tCCe5Kpt4A742FXHqyDw +qzr0pWrphY7ZNN/q/aFAJK2i6gEH6ij7iMztpPCBmKyWGxTiFEyfrPu5bn0ai1FK +nP9UgMH8oOWld2h2iPxgFy9B2M7hhZjkwhIzMTfk0lQ7xAVTYmGUZ620b98yDmIE +/c+X1by1j+c0K1flFvRbourx7nhB2k2+tr8jz34Vo8KVVbUl/Uk4TigbBJFbwVK6 +CrIWT9Px+zEHuwt8CgRTik00seB3N5qIFLge5j/1U1PVeXg1vkvE009ZcYI6TRz8 +QwZ2w6L7kmOv9PPjlTesVzbs785UeTqc7ERbPt+8MfDJyul0vNq4OI9ODk2xA5e5 +5cVunQ1rNS+gtoQEuIkA2G1f8zJWB5Jfp4Df26QJcEYRHAQJkXnpO1cJXO4Fv8XG +yC/5eHQ66+3QiknM6b3iB+vp/n2a9Yme2w0Zh9J7VYoI3QlS034TxUwE+hQpsuzJ +ElAVlpUEvyDKy3mpdCy8tLfN9V6yCv6lQGcMrHh04C8Z/1iro+6G8efdjQxYWL9b +c2dbCTxYkydR6oP7cLfLz8dv24aqMIdJ2Y5VVULmojo3evkqrvWIUGQoq5+ZUopF +mZ8ZuFMLJu6b4LHXtwF0XRjCcQwI+CJ8JvQg1TR3dAoLeFdRYJMtGodfG22kZ6UR +RWjFRTCBUvpJTed4uSJIkq51PPHAj3oiXtO4yvmSeRvR+AQM2JSIzblLArNlr8Kc +QHYiL4r/UAv9nHF3oM03zlbNEbnekSTS1GglwmmwnTUTmavlR9VyODh5gT5M6Xdf +JJUQfWV+wJfqWLE7XRaVEwTBY7+wVvbWcuGI2XmHzc9/j1Z/B76DugqTC+Ku1dhH +oM/RavkaCcTyVD59FvA6wI/edATfs2N27w3W4eon5itmuSHdNJJgOaDJ5OokSjZa +pfZyRGxzLlgXadH5KuCqca6VovnJGELjXEJkxRVT7DdrGgcb89Dfr4PR0iP3smGr +M9b2eSdNWCKNLQT+Xp3I90ukv2n5v1fwTkPjoUEcLwzoa6bT8ImKKsH3Lc4e8JzN +7unlIYhhUUlXzEE52+VSXCGm/zXBMDQjlAHwrl6l7uUTca+FPKzuCnlOvXPS5mAa +jDBL84lQX1BhU5joc07DRnYxIhHjrGGUtUhUbbWTrs+lOz3r3qQUOlL6jeNGBttS +Ja/2eHzaULXmCJ6mmC0+MnHvAw0DDrkw/q5ou6RytlcVoLlA2nhGDrfqaewq3vY+ +ffaMk7cZ1pqjcDprYp4zF+3Eb9lvfD6DdQ4U3Wzo1Z42H53yLBhVKd0oPyVLja7/ +nXm2La5YCnfxjf7IQ+eIuvWvTBvrvGZ81jEYdR8CU/5U4yEloDFum0EIc4pbSud9 +5r09utbtvNN+qsJCP3vHmvNHvrtsanyhBFaniXXBUVPyVT8FMNgwdUoQo0Sj1/PB ++5AvyB/U5e6V5q0MsfxZi2RGyYybxHR7TW0Ruw4p2f4WjXmeyfeGtXdSKIXmWdMS +PBy97k/UWHNiLxkP0XUV9605oHelgvMe6BBWmhkMwbHZG2pRJCyGRprMFKjAnSIK +OsJgBJifqMYvbyiR4kghtVdnQuQA810O8W974YEe2sXkmr6BC5KzEnykYj8xJLEF +RdI54c9HgpED9zjjtNVK1BNZENwq+DqCkEhVHOGKHSo0Z0nqs45LsZ/6XHocO9Ya +1+iL6WW/cKmD0L+Gu26A84dOJR0DfttDc+xCBuU35mVzEeVtQXASPmFyttMhcDjQ +YohqKuSkX+IeuzoG+5LuUvgzRPUdJATdI/UwWl3/u0Ig+3yNUNX+SMu36esHnPcK +2zWoqdKlzlI0bkoF9eGPOQ7soPBp4BrFHPiATyPfnqy8NhEM7BTxQEiG3vDY2OIi +Iy5/PM5wMkBW4a7FYOxZDxnsTrlVDSZDDVv3rp+qBDHZynKQWyxlNOSX0Yur1oEf +jjgTkJiCccpnVRvn6ECyuDn8fIwfZz7YCcv+1MP4RLCnwKGbx8ZlEmempcU2rzoh +uynGw7+L4ahy+LPrT137u0SAc/Nb+wkA1EkpjKukjL5rjuRieOpcNgEkYJR05P2A ++tdMtAn5Xa0XVkw4Wg15tNGACYejgHEeO0+RORBTEnnYbN34Rl+INnKELhmiAwya +/gZuLDIwUxrgniNZsCQz8RX8RBsrxvjzfYic8Sj/OoP69tUw4JnIvAH4/pFArboX +3XdCiA8jc+WaR9vBiwCcYZUFyyOdyr/tGuQp7e9771dBdubYecW7FoG7w8O2PLc7 +i8tBGlTY0X3yQuho8pbojM1cjljIz1S5jkKdYI0d7kP9T3NmeRA+f6UCCcpIH/DY +ItiNoCUUPh7HnanF1m8wutd7XtkWCFhjGUezrb+hI9Y/hMfHdB1t1hQsQ5XEEvvK +PqQUcooY/fXYELd9RTCbtxmm1/eqDj9sEid8N4IexGJ3CSq2vo74YVithmjBnfTt +7b6jPnhOqwluttFjEW/RXOUnS/znvOyIOWpfVhOT71kqL3i+ifzxyJtGcrqkLM6M +Mj5Tdz8eOQm+5PVhhRnUuuglSXwmLT3YLkF49Hp68jl6YPE/ce1YFkDxirRCPwKJ +XPBWE1OLzevFwvDycdQe72Kve8EDHmIlP/HJNRxJSO9bnEfNcUHsD4iwPmhDV2zl +WT51XlkORlBLS9LRl2yc538YiZjL7W4bJoivUqUSXYNJr6W1Ldsx5h12WK4Wj7NS +ayzjMK0vJ7kvKJEoJl6EEPHENOV05wgFfSRT82+oBBjTvOktym1SV9GjlPWDdycq +zcVcFeYeNSNjTLj9iaN6KbpcZhx7io6Sb6BEhkkSkAemMKA/A6gisJvbC93dv1Gs +19gYMOOFmDz/mE9c66aLpibosfoyFuxTLB5Q0A7M8mlhto0cAB9UtUzjkGI8+eM4 +A4Qf/HMo8cNrYvcTOetp0bsakQ/Yjas/l0zGlDjC+gFisU8uZOi2nWeVrPaTpZlU +F0drh0nsOHxLcgi6ac07VM6PoirczWqlVolIpfnFZ0jf0ZqZPzW+hZ2vSLyX90MJ +RN+ZsgsT7Asi2NRQ1OnYMYIuPU4sU3HFtxe9UPaJUaOYazWnMZHprwMN4F46M2Lp +TWrauzr/814UxgBLHKehQn3sXs8gP28NuuuvF5lQb+nUU9LPHpm0HAnkExeBYzvI +Kc/39dLaTvvgV+HVfiObNhnw5bURj9F3eEDWzusAvfGRhwFRUw7DOVP6MPJxH7by +kHOG3nVLI0uSw5drLBWMwvnLmWnqKjSrvzBpzXGXTiiuRkIG4yXYYO8ybAVDW5Sq +tIHLeDcoNaBI4zdllLvgy5fiWsN05FQ4pjvp0slzThhj/hBTODIcZnYGUJVPNldy +yyh9ZXdQ0Dbw4vA9TBf6Ul00mM8NTZY1PCzpoXwDnWaSK5bXO8l4iU4O6hX8zeLq +6eGjFYwEwRoL65l5/8Gprrn22aqtu8Hxkpxh6XGi00nPMchqmwmlSgi0cb2Iz2v9 +9imohYJto4wyoGKd+N444D2LiLK8JMG415wPWESUM3MGSrXpwa2yX8OGHMFOq/j8 +6u50MvM3gGj0CT5vwJeIWZO3AvWD2rXhTTqYxKT0mZX9m3Voc9dEG+KvKcFRw1EO +D5Hz6LQMBVfgZF6Y12iFm27T6yYXFmPMX/F/z6wD5jxlqMqeN/tr+BhYomiwCWic +GhwiH8cgUjjRSdoVGx2rk9aThHacY75xNxRkYmputDitJ3kPlxGoGxoneRNXfHIk +ZkOe/xKva50zpOqFwBRkNCf/5BxtGUSGGhmi1B05pIAiPbtyxBM4GJNo40UTTzDA +CK+zr8qpb+1XmxWhD+L/gBRL+yTDvnJlexTdkQAf2uTPINjDmmbEroakbtx+eA8R ++vvQlujDZw5IK7ztZXfo0Uc8pZqNKDlOBpXWYO9iqhkTPOfk8eqc65yfrV2cawZo +wD5SLTrQcJ10GypHG4jrY5zgJH5fPL1JTKtkaSimEdwVDbUYTHS/sEK9UDM8T+6a +1MGcLtbXKL3QI6pUtWZ2wjk/8/21T1IhmX0+bDokjdH1hmy3OXw8FPJCXUcgVeJj +JFAOwPSAG26s84roGvzMm5rdBRmTqLhBemznlSMok0sQcoO3IGPcPwVVwXr4D4Zd +nioPExInY/x3yyMzbBsdYSYz1oMuKrroK09MV8Lmy6gUJ3lNvDfYS37a+EQELqoS +VUhmxLbM24pUnOp80aUOiMJJNTgYbMgdBbDM54z3bVDahYH7S6nP0hW76sAa12CQ +SWq/34XJImXGbCXyxVssb42xZdFbv/BQXrCtYr1VNQYlLqDvzTLsDoFXw92BsGWN +pQWdefZP4FwjMO+hFcPQdjHMLVeZV+Fcy9w6SwDt5nEC5pZUJAQu9zaELrNp946L +efpBypi1fl8m7nz7phv4lmGjIJbMJM8INVzFI4jJ7kpCxblibxFaXgQJIYpkRZWQ +MvBY9V7V0DsBRoZE5buRHEZGRhFM83mDBj15AyXe9Gx0Q37aaW3oausQhkdJalLo ++qMR2M2fRU/3pxQ61OerpI697pSCC+fFdtZjJrH0DUI3c5Y9+OEmPTCcv5INGnUU +mazBCCw+SFU7TvV46TvRMDZRWHRrupgvCXiWPWDPDUEO7ua/exprhbcoaG8sABzS +RDSIOY8l5ARbun3n9K1uWmBNVs2kk6kG8yKXXlG6Ar7gWllSt4wi9AyBPai7kYSh +raOGElSBvRlgrvKfjgpt8goIrK0FIkbRAm9AU/2OYHtNQniFWEcNDfGLt9uVqRjB +GBBhHFg18AxSaDbd1MLiMhSHxuQE5LKWD4LB/T2Vv/8BOkP6X8IWtdSdLypbkIqS +PPmz9GidCsBS0T3QbVyu7CUH1W4YemlhhbcuB6c/92EJghMamO3IIif2PD40Np9j ++3DomnhioCPpgctlynd051g0bYkk6FsGWr5gROxBBI7xXZw0VuXAWqKUR/axjXjs +9J08TWKe/6nx6+Jz0OZnYw9O8HyAxscD/tb5Qeph6bNMw/6OB6Ew8VtW2AmO3ndp +kGhH/C9/KC6DnuFKti+XlfqgqtsAYfzedQIXnZ7QYshvM0DdOIiquSFRDqIJivXP +1e8Z0yhZRZkvbPUdgY+guL6AMQYsALzbzzcTuM+CcYQ3NbQGKtUAJBesqPXnICM3 +iypxfd8hr16Y1TkurgMnj2Yw6sDX5dRBe8LkA8tPTKaiiUVsZPapKmu4YNpRuY6U +FcRRL5yHNqRAKNe/IVXmFhMx9oGZlFryQs6+pG+vAC83z8xX31EwceY91ReiuwZ7 +N5e2NeYNgo4DL8117cLkde3vnKZAEWCVCwk4mfuUWeSQ0IJ7TtyeqQCk4wt6RHUx +LD3sO3jnIzun2IOgj3BuD91KkQuWelO0OhPhwLRLjNL2CEtc6T/sLTAebK8q+fci +QSHc637j7PKycKl8hyCtt6zdWtLrKMxf7BQ+K6ssFGkLLiT4TKP5hBShywcFDCbG +HqcbJglCctAkG965gzEh5lwJ3Kwrf+mLY5lHCw0W3Zr6h1hBQAim32cdP0DxXBew +lrV3fGt6pcM5QSjZdV+BSJ8qE03eRxy5hZeK2rpgna/Qf0DqbKjyICuYoGwsKmQ4 +w7BBPvgGRH4kUPoAkcLVmTyEY7314KBlfoMCkEOsStKTD67obEgUii7GpnIbowj1 +u7CAMdBYxynp++nEgN/7U3gP0MMGXAf3SnIHd4yXGSiHOdMlgpj+gPmm94wcRs86 +JJGF9v5ytOMtBIII9r+Cnjzk68wkSQUL042X8el9HxpYc71dVtNDiDprHQBKkZEc +98/q/I9d0x1NCr2R4gVuE6iUhmw6jP8mYrestMlgv46jnzIMlwa6ZVJTbW8aO0F0 +4sNKCSlmyk+NR9kNL2W3GuCBdRLjHJP5p0Z8K+iGTNSXpxvZTTIgBIqqnP//uMNB +U2CHsdGHeYowejViH5eGnWResllqZrDiIiJHES7YdwjatNC6mSPEpVigYUfd4U1r +FAzsIK7KPZXlFPSa4xmJp/biCfYvxUX6emNxKrudA/U8MMvsYfzz+mRm92QJ5TSV +G54a5Mmzgxe12qRbA77qlKwpv3RGlK/7a+oVKy2aOzbOH8tFB5emUboPd96m1fCc +T2Oh3CBggFio/wRyoy6UotRFORRxn12pEmlfg6L/I36dv3gZHjfU5kUZ24YRllAL +oVW6MCsd+xAgdNMVL6lNbpHox8aRqEWCUSdyVHOWw9VQZ+5XHIy+VAeJ5Ru5Y3oT +gZkwUVxnosg4xf0oJSVr473U1UwQLQlsZ4SsXBZ3hU9B/jz+rmgpHt0fJTUuL+RT +SF8potK76fionCxUTqGwjGhXLKE0b3RZlUStMwDfpfDi13e3NxaRTOSIpYRjNyo1 +HOshDT9hrlhON/STBrluCxwtAPLjB4wK26sJ3vlprJBbzukGjbdHe7Bfu12Yisgm +/XdiZ7BRc7SGfTzIN9jA0vLYwbIjqhKP88OsKqIEknM7e7rE8NGhd3JKJUCmKr+i +qNX+KUZarWibgXIKSWb9s3SOqONUag/S/KHMtMO39EEC6d0+ZsRdFfCd8f7BHg4F +Do9hbInCgjg2lngASyxI6YqR6w9PFSeNP/PBac6z30VzkH4erbKkOkB5UaQ6dLaC +RWa5BRAfiiQZ2b5aVWs+OY4NdkLrtUF70OoVB/nHfZW068Gx3gFsCa23oDRRx7Z0 +1FamjfIuVS7lRGrJtmXiM/bqSWRSkhhhuI7g0uMaml1ElyNEigai3JoibXBozQ2O +3taXcbioJsfmhjucXDOZWu5CfuitN6Bmz3tMa2sr50iIgnXo0KEW3qlDb9DUc6Zp +zjEcDNjZ+mLztYlO/3wXrF12NjHddG7QEF+erdrVHdiyDsk1KMMdqQ//Y0ZWxqkL +1ipxm6m0dw6Jz8e+BE7jxrP9GsA6RURFi1LXbuGlDuxXTfMQPGSTU8JvIW9dgjxZ +/mFN5xN9lVb3UGP6MQNeOSKm0VlcQ5hZzIYuAeRG1wMJYDkX2oFVyekuPtl+C3yv +q8uMhOdQzOmvvbAvzVioy7KDZIZqbT9zd296NH0q0PGdPps1uPCFrbcXOLBW3VR1 +7a9ktE+vqvjZi3AUGVbJGPgQhm3iAOQQApo5F2RGAkPTjdOjWtE6lxW4Z9NJTksI +5TU1MMnsndZHaCsfSUDu4SUofh+kZesyccVsNZMxP70HMF16M7K8YggxudxbLP5T +6zVQjodGNjXpyHgAEygOTn9+tyLytLR96hy/HxIsBgIiR+OD4Uiq7aDi43gC90ri +BbmUgV1DOQCTOkqt0DJzj7zvpWIhfU6YL2Mrjf0tf2aSgiXd0GgZzHf0uJdMNSRE +nG0RsO61wtvnuoSGF1PsYRihCl9Dk0Zi/d8d26C3dEmE5rx88t3xJKz/7i5dYfhT +VY13PXdaAURd0TguqYTOh0uXqLdWqFGP+Tx3LMFf6Hn4WqeIMAJcFl/YYXPH48sG +bfY12QnzBFQdm6iTdVa0faiKLK3XTmHzpWUOyDaC7w62yLzH/MKDVplU/VbY+evI +fUvsLNP+131FPmItAl+l+qjZfJVyyk3mLljzHqx2aHuOFFJ5NB2gBoLbNxiEQ/dn +HI/uUie8FofvWkVEl81s2GXgmm60xjhELwX98WuWZl+RrzWxKxQmPZ7YZmqocXgt +rK3GwBjfGD1zuw4mn/4VvmcQGAe6EFYsnV2CyS2ODHaPRPgixTFgaUL/LzqzPbEO +G/YvBuXvT/P04Zq7YiQHCO0/ERbRecD2dyC9CPayFYw/KUQiClBUtVCtUIIE2aAX +rnpF70t9Dg+/SOSi9mZMU6vbp7mFfc10u5ChNZ5spVfKjhREBJ+5tjr3R8A7Fb+8 +JlhDg/bR9agSh4He2chwTq8yklZe8wXnAqmqfAbA/k/9PC5rINKIrZxd/waCJG6X +KPO1YSX8d2ys5siPgClpmZc1p00mj5nDPGZa2CPui6+dQ0ow9QlNvcFm2W/JJE3N +wWnSAQOA4xrrg+NhIpIUFaTDk7IXPWCIC39LtAD2wRh8HgtMUHKiKXzn0rUNUxKQ +nbYDfCyH1ExwyaL7wIMsgPCtk0sg0DRR3NX3+QASN6s7Uom4t4FKRqABmjI8eZeH +zZNviBN0pYXlaEFY/aAV/nh/hZLHfi1gSu3wx974fBw8DvO9oY2IsbJUjzGHPmE+ +6Kiy3ePz/h/xRYDOblKzXWujsMrt2wJeBNadCzUvspmUHJEmQZZVoQGy5BFcroby +tGgF9vEf555AkAIyda+lD2I+Ulg3VQBDcxl+UMQ/4vrcpCVPxuOKCW8VaVbqKZdt +f9NI3oIIfhSz99MSu4Ka53FYj1pToXj0q2YTDWppWf4LMvlzQpvgM/Ncyx5+xEsD +vvC03Tzg7Bd9a6se28W/acbY/gJ8d8d6iGkFiV31xCRSyA48DCeBfCE6VG+FBAka +0emg7uH9k74mo+ZK0/lExPP0tqy1y0mwB2MfW6ijlaSvEaaEXqLbqT5ZMoED/jdh +MPBqyUTfROk7ynNN9DfDOfT2IlDxyNsrbnIeje7dWgOeoSCI0vTqJIWngaWQ55Ko +9CVSRVRUvHbV7aZ76qSLLkYvKB/CPLSbausgivKXbNTzvlEgl8LErhjbOrpX4bnv +9mKnkYk4RASfQQHYiQ/FV3h5umxso/8RwqgSfRczQ0B6AjQBWonW5S8oQnsjzcNn +7yoFA9GSylitDAC+zs6vcJ4qHCnOiOyOa87pcIUsu3Bi7F9GjmX+x//5JnbFfLPz +yiRFVUKqLSVxro7jL7kaaNv/Xml8NAmz8LVja7OHUoXCPhM5w2yAAIem7ooEp2Qb +LD5hBonYiqb8yMsi1FkBs/tXVoATVt2nPhfSfXt7Qb1o85gCQMvQi4fPRaNSKoM0 +a81SWLTuqFAbCZATbR4CSv0xmSyEmkASmUZxKFFy1wYgygNO+A8JfSMjZ8Jg/leN +9fxfSg61rFF36uqHDUfpn3nTR1nipWm/vLZR5CdfJCPSb6k6qCsUsZb3+wG83JKd +ohSrbKUZfsqwl/4RA5o1zw312wua6TU6vwgucqDlUymwTirXDp9TSoZGkk4vlm5j +YxwjeMQpkvovk0KtCptqCiLKtXcfAdOIEYWr2tMXLW9lMtSOuWj7Nlf6QsnTcH5S +L3kWg1yeV7XWIpOxDRszAy08wR9tZfX9K8SUeZncbl7rZGTlVguIPqWtBU6BMZKi +x7/qllbpPdiI8/ShrUA4z0ojJmHq7qtc5zky/xJeUy+fLh/m+Hk3UZ55mAu4skK0 +mtAUiqdbTmMgCU7iNU+eEuJ/3TrJ3ib3QUnzl7Tr9O01AeK4rE+f3IV5SevI4mhU +Fc1kC07KRffbqndwp8c6itr/D3X9KIW1B22+EbtjreiG/pU4Fl3EmapM657upObA +uWPBEqYuwyj9oe8iUQg4iXh5Gh9tZqW1wB9RB7y629n1yAL86PMePRxxtnw/jnHG +aKfYU5kZ88Etz1rUJntd494fLEWE9YQIwwX5V1WPZ+mxqqrid0G1ZEVKeR67gnhu +32hmANNm9QThUttiVlGgpJMgkpn9cJi6O006EoAyIl5Os+kkf8fZhRwgyC//kedl +GIOzu9h7SnJeWgbf6ElZvSZKj0GlUc7oLPb4ZbU5h5uVWp7Dr3oH5kKM3Q+80A6V +KJuuPGrrdirKfnJJiREBKTN3bIlkGYpMiZipNVhgUbP75MM6i83X4I5r07GM1dFx +DPv6SH9cHg4Ja1s2Yu5MHeW8U4GKyMyvkqULYVfF8ogy0tWLM0QJ7jZJJomj0wed +C9izr3Q2pyRHQ43aLhHPRlAZHOWMpUvmA8Ld7zHgac5IFJ1LwV+IIjR6nLW2y5+p +COaLMYrh3q8pLxbf69wmGPch001pAfUp27bUTn5CxUmOEWINQSlilIoRTYAGz1qR +vaDGW3vUc7K+7t3cHlsW0V/5L4WYf21C1rQj3KJK2nta4qRITQV7yGy2aORzwFrz +PUOoScN+gERE2wNU363eMe7L0ZXO85Q+91GE75k/shnniMnarhmSN1tBOjVhGsRL +QrlErRQ7VhGnE5MNqX32/JJiI/JPr5If0Wlq77q3cAt0juChjH6ix6Uv8nL0GqII +OAGthXAK6tqk56vGRCkNIFsCBsGuPrPvA2HfOH4faUkuyiVR3EpDGCFPjt/kKnym +Z5Dd8bul1uhS8vcXCn5CavYE1JLreN7AJLe2P0/uDifaFxTw3517zBhjq0wn4Yyz +rJX6YwPrmNB8n1FsSVztIXtsOYN9J3zLhOb4Z/XPckhqurxw6a1c2C0SZQkjVXcK +NxLu0PkRLUB2L1IRF8R4feGDjoBeG677TE4neiz7Nghps0wKqyO+L/zdsW1SzWLE +N7uLJkM2bgH8gWg84x+IJAAOgJubF+963gwbjPqXczIQO4x34g8snJl1ppSG1DTM +qWrwKT2hDqQfMaO70brqWUbwKxfqj0k4Ze5OZPCe6k2rS1EkZAqRGP/3RB3bD9h2 +clZwAyd0v4mtmXsX5oiRdagPJmvrIN0Qq3I4PhBK9zcgA2CsqRKMqEsx1WmJiBtz +wuu+1lqeNfrJTGfnp4ECjMepvr0LAWJE9E11HDmDZEufHGN6KQ7tZA+TANkacIKy +FiUkyvK1dedyPzQNE05ALcvML5tJRFB7v4HrL1WHvZQozpyr09suoiOJA7TRg9vn +4jl+KjlzpnxSgiKVqtYnfLD4WQizs9NY5Zsvx5Jipju58ECigGPwEPWtqCK84ocs +sJHapD5541JF4CPeFt8VnH4gQkaJQm5DyWapFMiz+ZklmSVET9ZgqffDPt8Vgu8K +SrKIej5u26gXr7d3yA262MkRiegVn22MvUN+aDuXna8hSLR/Cp7CMEDgLLWzUlqt +z2bTeRiOIXbnEAojF7NFoa2u8+aSnGRvuroQ+w7HgaQkta6nC0Qg9ZaJ6fFjGMC+ +KmQxKkAPAlUlFy+7/8Mzfj1vUVXpPPneqNkziAIDUkXVqQyy3uf5znXs4LeBoNz9 +YYgoJkNmHQUhc534FUXzY+dPsxqhFjZ/0cmcZPbq+OFOgOsnJ13u3+vGK+Qo5bz1 +en1tFnJQu/1lfO7teX1r+XS0x2N7TARB9tWkrBE/AalWACtOIbf9dCWRcrvWNVRu +5rHSC2J/pgTig446BOdyZNGI4k6TgDDJlWj2L9hogo6aIn7ndht1gH/cb+UlWx3O +jIeU/EkvYGbz7qUNbhybDZ8/xMy39GHF6sehfvvXON99bTIfWJOtuGpad14RYIim +ukqR5p7AFdmrjNy7VoBapGeUIUz0wzMwWpNUw98zcQvOauwQm0+3NTWGwa5mnaYv +QC8NKWZ/M9p9Bz+z0gJWYSULbP65B3G3508fHoP4puAa7lejG5VNY+SU3bJ1M/c2 +EkqGZ/VkyPpN/fFS8b225Nmo9T7fBiQsS43/Pedq1sqxp7v+hQwfKTsmOnaOler+ +SpE9swio+ikK3J4StVhsJTyo+sP2gvTzPlSMZdTEJsiA2wV1/ME/uaGScQzecnHb +ATyPJjgeUCvICJf8WLEkGYDjtv/LNbfcI+suyKiRvqFuVpJdWFAU+INyilt9qKpJ ++x9U+cpOwxNZsGNsW46eIrGBBm8Bc5X8ejRwbnHT7SGYi9pZAbBuph7Y0SGeNESa +7CxgLD5PeLUV84JYiBDgkr3q97AP6qdu7maNrAYoTFoKPdpOWmHrl8KW3WcIORss +fW1dyInNnU/YuHbx+9+Ff1nmcmtTk8f4fu53F+Bt/giSUscErZ6vChFSWBmi+0JD +HjwKzGRD6fSbnUj5EvdL51DNDroZbQaNUZFL4UirMBiyjYEHG7fAGegKa+7k2Cs9 +3ep/K48kHPoiZmBOQDSkTdseqkBH7ZZ9CC9ueGnjbdKznntjowf35E8wO40oYveT +MRsbg5jJnZHejW5YAFkCRhTO/u1IRa3OWRlAcjPbccfEcgm9xn5CJnii/+pDbDRJ +juC73fcMFwcW795Lh8XNv6IlFDFVIMmiR+3QYfsSq5EsvAzK5dS6Dpjj0blp2Q2q +swci4UBvFB8yyvRxZLq90cnEp0D0r33Adl32QUsypt2lBwUewrLbpvVonuN+UVRu +UjLqG8Y7QKqvqBdF9Sk8lYbkv4Cvy1Z/yaQtFxVqwESDOiIfGqQ5+b/csR5XsRAG +14N9/hs8J5sl63cfvO/gcT1NZXRoVlxaOZO8V5jgEVzeKGLNjvny+zSa2OQ3BIWx +MwdE6557XGfDk6fKHPFPdHYqrvHVmWM0krtx5g6CdtWP/o7spnCU18HYBryacQrt +gKqqvnpke1e40WznZngTg79IsWuUW+759LpGTsFRZblbK99nupvHKfeNeLHfi6K8 +0D31MTm9ZYSv1nBIOG08VRYib87NWti/Xzb3TKmHyZVuyuBmZUppSoE6oAooyjz+ +K43xsYzL/yiCK3w+4eoEmpFw8skE2sFeJKsVSrcAm55ma+ScDzROMopETQPQURFS +Ywub9mHMDHN8UJZQ3tg4Cbd3ov4SUVR0VcZEvM6s9ESY1/K96cgNoz/3JkZFQtRU +V+mE4acZl78UdRpd/6P4l/sMmtAQ2FU5RYiWtHdPAxj0cDMKApcp27mLvSvbv4Yi +dMQ/wn/T59mLN3t6VtDBMtbT/AW1/Pyl4mAjp0kxdxrvGi3AcZfAgeeUD9VD20Cv +D8HWY5lrjCRmdVQFrumrLW+Va+aDcIPhKIBKvDoYu9xFAYomE7nl/G9qDOPt3R5h +osvGJwVj7C8erAa6fJoYPnZj+EkyjyxKjNvnFQxVIkkxOLFLCs9IRgeNT8FdtB7j +8FrlaZYrX2FfMBnEQvT9Lgj2GpSBQD6+CsWbgHxTymooMSRvtP14Fyi7vmeDbqtA +3hWBHlX9QIikP/i43645NAfzhAHN1oIdtBZDY0xxZfWlLhihn1RZrKksxznygfGB +tjWH8pJODCwrW/FmfY9ZFkkU4SFvoG0EuN+tJ2DybWsbOiM+ypc8jzQiUNvYb9vx +zFwbJTHepdutR8KdO4RZ19xgEwwW7l3iNVG9Lsw0+3G8S+TssSHMynngaCXv5thJ +kwy8DSHopbbknf3Ds2E+IIPFHaWg5/2uVRD0ptc6KkCOh9Qj0o/BW35xBxBDGfPj +dB5sBGuCQ/3K3C5+PnVRMD4A0yjQ9KqJ5wt+5XmRfuBEKFfGqmwVkxInj8uX5ic7 +7GEP2mixOuha15GwC9aCK6jj6doaOvBZ3YUua8lgfNHzVcvVpRr5Ze5cy4ZzemGL +N/ARe7rJuNUvh3JdUv9DYZvh7DMrRMo2xnQAgQ63cz90B7chd+L31j3abwtLgREE +HqBK7gaSU0paUiK/alkhouXUOEdP9f3dBYn5AzmKC/V1iB4WIDkHFpVjLylj3iyJ +uqYq3vtt9dvi37tJJ+UMIa0cjLRw/7PsBbNxIVeuVUT/eDRY77NMbfGsvZ8itkIY +cgNj5w7VJSP8+lj2UN7BzsiSQR09LMgsj2KjOhSnBaZIfy9ntuQ2MLji7PleHfTt +35WpbqpLrudXMQ/JlRNnos8K7E9yJ+MWVlzj2tzcQPJB7LGd36yDZ/+1cyBNTy0E +yc5vTRquqwwXcnQRgSfKKGH8pNfBbQiq91cGnKZCtNt6y2cXEICwThpSkpc2bvjO +EfPTPVY3U08waQeGSZAXoPF9OpJP+HeNzDZXc10nVk5JYmVXRYG99WXCjW2eYFnd +JaEh18oyPv29neMVrXRwua1qYoZbjl9gS6H89+54VrW/9cEEjh9RBZs2/zi10UJ0 +QqqwV1m72kkGXTEmU4grYFq0GCuZFLNUeXEP6zSiNJ0wDXSeLJPKV8wPRhIAAdpZ +r0z1EVJIg0+s2/lbEMGlkt9SxjuNvwgjpB9A3Wqm+a/f0iNrxqYo/4aLzyt10JQO +Dz7lIBBCr5klo4mn75JoKcc7qLbFG6+aTezJaoSHlbo+1wbD7Xqmy2BiO1Ea8RXc +n9N45CYeHmRgQcv0uz+W51gaeUiJPiyIKyWyrXdUjHHITe/l4I/iwL4Qfx0ghD5Q +wouiqT38cfi/Q4zpQdnp3IvtYWtNENadX54DeUcwgLrjF092qtEZs3YECskQROfZ +RBnagxFbmX8dsNPwYjFHmpOZX3+TYfHfYTRhuHxiCPHeACMW060ZJtacjFKtO1KJ +SVt0ODdbUtBvg/OXTxqu/i9W7Ya7/z7SqoI/RYP3wmxeGf67rQ8JkcEtFyHW3Txg +jJ4nrcdjEQAvNqa1ahCzZSDXASSURG3zzxEAHkbqVspryLpW2u76KyHLrC9ipC1J +qE4buV7jHACBRuYcJ+6yDWpTh6tt5s+20KJlg6YaQwh2zj2xa5z2LLU9WdaeZV+f +sw5P8ZTE9Ld5WyOjVDwHfu6ZpbZqp1YxoYJrEcH2Cifl1vcBJnFUdNEsDahrFs5s +jh6w2tkAGWgZXZXSVi5JJip3AMtnI3cdkxwaEIDEDe1fO7Sj/v3mHIYv2ky2vFVA +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_siv.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_siv.sv new file mode 100644 index 0000000000000000000000000000000000000000..b458a1f9ed061719de8ae09f3183d825ad0cbfd8 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_siv.sv @@ -0,0 +1,388 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +DKrN6oHwQxp3uvfxjuWdJvnGCC4UTf7IU9MUI6DUTjX1H2kUK+73AGW9jsegRHi3 +jBX8mi/X4s2vD9oFEh8JvcsNFJJTiQ7qFj/6/lGQZQPMpzPDESNGzORcza8bezSl +Sme+DdEA8vUR0C9Y13VZfsPzHqxIPHiKpzqfoF4Zg1s= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 16880) +0quSyelBFOdU4GzghTYncIDjSN87Ubsmn6L25sfm09aaPQ5gYL9dyN4YUH/NZUMZ +FoclV0vH/vTWfP+mv7MKMpJwLAbShqsdorZ91nS4J3NwqI6cJU81l4qeqo3pcc6E +iCRuwt0heUsUAhXl3vLoh52JgSJrwMTpOVRbiwuA6GSWlvyafXBHsWr4o/NXBor3 +ZEJ4vu8WcVrHFipg+BzLosZ4GQ3c5ZZlI8Lh6G2mbDMHnTD0X2rn0UVp3TVSqacW +Yi0Q7+s0waOpK4v0Ona3Kq0ajwXbpX2xxnDen8joUskVgbq3ZRcmTqO99gMHx8ce +iXdCMoWC+/VaQ8sChVY26eul912Ie+/g7g0SUWQAkjLRkEhOgDNcVfpm6JMpz4bc +pwJLPn8vAoPHWHvlpq+ZgC8MawYSUnTlT0vKups8k36Xcanx/vMz1GgsHV/gYS+E +SBJ8nExutg0PtpLfx56+QMyAAb8ak85aqsb2UI0E/c12toJISz2e5ewIsCLHkHGA +4UGhuFeLeaXMNEbvLaBDgYNjFBNpaVo1BGVEFZmVl4+qs9Pqft5xQvPgi6oVY8Yq +TdVsoxSx+MzTTltqruwc/X/A36TLRsOXdv8VvcWrlMkv3GTJnWkJh1Mlp16wiRi1 +wCF8GpF0P7ftiJpiGwsgGX1IeYvyOtr7q95i5bvNQFJYuAycD8kpCH+Jc3Ovtt+v +rawYs/9uc351yozYZEa7QKYiDX9OeSarLDMM9WmZeIaBBQ379inzNT/x/UkCW1Wh +3mJDBwh9ThC1TI5MWqpaWQfNMoPVVgzfdvR0u6f6CIKnL41grbeXHnGe91J6IkBl +JyWemnhwJd4Wae+ekMeCV0pRAaMRXaAYDBb8SvN74Gnoa9SdxVfdNSS5Iq4bNRbL +Edor3ar2DvjTwfLrOManue7CneDsZsAu4V86vGD6r9o9I3swTaWJBU53NrAdmx5G +hW3d91KEC4lDzEzojZz0UOVSxH2IixEyIK9VKx//7WyEXxRgEysi37RLS1+atrzx +LNGp4mCTZaGC6q4F7/4H7dO2Cs5kXmq5pAbk/F4ji0Y0YsNK0QzEXsOkTsghQkvF +Y7mVjVEoWb3VlrqzaVoUH+N9+EqSMRkWF1XnD903tTcycyyeEdYxcJbpYEkURoU7 +dyWcasjuU6p7DWHErovpe91158+jao+Lq8BJSEaHNaqnXIEkMqlLFgeozurEZW58 +mWj1JGRaNOf3pGQ4KlKGP+YBx9Q+9Lbo+CCypA8gBuZzBDKX93nc+OtHzSwEjKf/ +Tfk+bS2a1L1mvWpBHD1PoV6lV+8FCFWVV7xxg3ORF32Op+7p9sLTvttX9wfDzmpC +BP0Z2H9OXsgse3p3gdC0vCZA6ZK8j/SzcxoRe3v4X1qglpJ6pLRV4uP2ivMWIaTt +rbKsTNXku5uo/i/Wks8SOFMzzkg78OveXykro1lDGzDM26U6/tZUuw6L2l2f+0Xt +NqomSRfOHdl/tf88hKjI7Si3c0C+HxKbJe00gTpHXaSoCiPbxF/gsCPEY7E0VvmW +mrWMjeQVsmJGu5jgGqWv+nlMjRRm8O/f28joF5GNl2g508vnkCpwZzApFCwSY/G6 +gqAKOv/v+Xk2/RrS10byQrkq+cshVKyog4nmW59y6dvt3a2m2jea7AYaA5NtwbHZ +OBtojUIPlVXrW2OrHIxzpVcgrNQkBUCiPMkq1IJhrHIoDWG6EryI1erWQBeOOspe +3qKgzweLBLVYZFx1mGSHag8f2tD1my/kLS9AiLAipQlNT5im376fQ4IfdDrMFZ1t +ynxN5d7+BUpf9X6UB1zVtlfq0+dmYoYhqqQlSKIQGSiXsV1OMdUc+rJjNtumi6kj +qDHtysZ7em0je3BYf2t/MarC8EiBojE8LNNnQXA2xdvIqd9CJTMeTBSpSaDZzNFD +h17Y1SU6jFchhtES2j7CaQC2kErr02aIVzMbJXBJs5jFe6vzIUUyteO2D4QRYyJR +3s51o06/O1jxmN/mlwEaXnxK/iipdcLYDWdwwlpmFjaT7BjG7nKCOOcLmhEVGa11 +0TiwUjEa7Gxx83VlOqkj+G0eMzy/nzgU0qf+KvAwB9EfAU/x7UTuhtczRzVXnmp2 +RZW8mT9ZQyP/FS+FmF5T/n9+Ee7o7SxE6OQMLuk66IBtAclWm0VomlqAlPaRiBil +QkoZzTdNOUspl+V/HqDuVCzjlrKt6Pp0CQV3B9S3iIwp05q6Xfyj6UfS6N3fGSEl +iSlOpuaDYg2iI13iJ/Rnk+RJWFDRTIUrLGl2OKRBpBo3EE1bS/g892MmuT57XSx6 +2DKq5uUhZMdpzPgkPmc88ADBcplodAKbulgi/JnW6JcQY2YfKtxNqkFYjVLreV/q +c3z7qpLyT7ClRkUSupG808tveX5ob6kBzDsk3eo0uyQLlIj5nf6zlhhTtxhoOCeU +QL7B1kZbUCJKhIpWvhEj72zASSN6TVhJG8tuBneZb4+YjK3BbzwZsIBwM0pgbGb8 +RsME1M92aDexAjANc40/lgJKK04LGCFeHTvmbNqy6Qxn39vLZ8uDH4+OdaF3+5W1 +oyK8fg7OOX03kgdnNdnivAeCDH0Z1D9XM0FCYisbVBpZ3CvwcibntOiM/HgLHZh/ +/d6M/EUIcDQT6O7MsCVGuEekrZQzCuLVXD+mnsuqvuDow7Wv0gCs3hXbXDEVd1TF +/z6kJLhhrAbMVYBWhWQMDCtrU2gNE7BZUGNzdu4DIpqhof/IBXzOQ1WCGBxSSQoZ +E0O/hf7/7vL0s4h/4zK6DWo/1uXCf/iwJUUKv+Z0tnPSlOa1AClbqeXLgAebsjJ7 +Dmue6jYMIJ7rg7nNo7oIZkcR+mKIKfAebNAAxc9H0WM5V2aY7rew/4qC39TurigI +Wk3z9wwaf9ezB1XIH+bOnNZSiZpAdYzLEgKev2YZGEzoXDZA+caozn8ojSM1S9i8 +vM/dey7UlvD7Tf2Ed2OwBRmweoAfRDH1O2aCpHeEgvir6DZNNdqtuhrq+ri6N1wU +kEYxVLz4S7VzJfb6+zxu1zWuNT7eT/gXo3/lB8DohIiKdNKjhzfhostt48BDwgdM +s/U+qb/+vE6UOcAf0vxTT9RH4/gnUb5XXb+P5Inyix0Ni84eFst2tyLD6lL5jvjR +2lh1T99vHBxuo73ZeDU+43zxA6ujtidyroXYQ7Yr5YSL2O8ArSDUJ+o3HeNs9Lhn +W4QQbv2dXujJHXi8ilLcWcKzHMcZtasM365Aef/kB4KrYCYbpVAZ7co9rkeZWnrp +gwJJI6ZrdSsGc3JFiErrEfTh3qQFXjPjArLcqaHjK/7Bjg2wkNJlX8qXU1/4Epco +1GxM3plQY1PlznUR5q+fmaxQRk6ABKGHD88vBjh0aY1DzaVH+upgWVKisjY31Sch +DRv7fvWw7Dn9ZjtyrtIRD/zrxR5rJWlTGB6IBoK4qvqne3nj8T6JNO3fsL9yrFE7 +is2AGWDdD3nFYC4MPKXgeH/wEHsfUE58BQngioeArmW9MD1VUobUsCLcWKnTDEEZ +T1BHQX9q0AD0LjO9qNZKnnXm9QGa4sCXFSq3i/RkVCrrB6cEoKhWfIXmdoa/DpTn +zGQgyyksg0W8fKcpHvHIt/i/803PF0abWEkaZwvgeFx91EL8Q6d3BfflgTaHP0KJ +2CX8CE8KCumb6rlMX3PE5gRl6kmYHJ6BezB07n53NR5/SGTLJyp//bp2trHDhPVi +a+ZX6O0qHOUdarp3zGDCl0UsOWAXnxhqGK9RPLVMj6r0mUJpgH5Z9t7uPBwqOLrg +HhEL46pnUzpDd0ID5qSD5cgIltdRVqFDOGTG6/EFFwpkoVluzXSyco+nppl2bby0 +MvHVRe5NmrU5PGXB8hV7dV+LfFy7mkT/rlNidFQ02o22pRo1lhPu58gdNNFXSVWH +W6UChgBKhX53SR3FxvqXKxtarUq2jYBGk6x1hi61X8lwiSA0IZChkZHvNH49/CaT +ruEOmSMBmxv0t360bWCacQv0R4FmJxlKpLmoblZGPX2i2rmS0QarhcCoJ15IGki6 +G92ei5ZKPMyMOFVpAg1y8Igj4tA4XulIXCj90epRrR4mAKEUCMs8YlH0WnWBtwEa +tHvz55XLMpDM5YOE36/X7pI7dSbOyHl3xNSTdQRFNOCIaR3VHF9gH9ugCbSCa/l/ +BqRec0BW5HscRq3IYWj2hBed+GnL/WIjwT2S1eGdqQQFRGbeFtkI4/FVXvjt9Tz1 +zETD5nCmQN6rjfoXS13BTiGAZGZWH2iiIcHmmSKZOGhzKt+ww1SAhVpkzuulMK2O +hd6GuRBLqbO8I7V6+IREg0FlcYNBGvw16hKWpiYTm6uCB8j0bUYuzX/XqK8BYkkC +D55emQloaYX6ImbwICS113rBywgNgQdufKxvQvDYUanu/kgVlg2/j685fHUYh9y0 +ya5uBCUuwKnZEHN0obfn04Bm+9jU1e+0YkKUhAA2PZeRxQTaJKmNd2Z+dzxXdXfJ +fHKUVGi1atdA85C8z4JZVI+1HHQpIKSxCrh8FOUFKjInaEJXS3eY/t+69pV9Gn7P +RfbCUy+M1+hYlSWFNr0/jDBk9TYQO5c2mizXkbc5dWE/FmsI0QezPG8TJPDvCNf/ +ZHr0PDvQHFY28Vs5PhM5wCUg33Ln1x9QSA8ov7H7smtHzqcXT+Q+Ddbj2b9VuHl5 +B0d2eLpRMhJ4UgW7JyqDhJrIXd1SKjIgn1uu+FL7zoQ/5AmltaaIwtP1sQF0Fivz +AHu3+D4JVkSUxBPlEQLrrjW84nEsK4m1gyY3og4+22iYmcTJ86FplBBkGlLKVETU +lsV3mS9cjeFYO4ljH70U4aXAa5sByJCcxGVC7nChJWVBzd1k7TdG3/ve58NJ5LEs +eDNS+DvMWVwErgye6L1faNUJMg2CI14kf9hxV82wZm6zNH0MGTs2KTFHBsc5pHJu +ukC2qf56MMBaLXamfNoRPkfkCrpV88ipF6l+jHI6KaMLptIjDC5j525xscBTa6ae +VjSy+m23iOQd4rYccixomVPA7iZdu3xZW6atJMhjyPsTUPlIaKqzT9roBGmDC9Cn +2nV6c/NQ/Ww1gzwlMNxoOHmo2xBaF4ym1UnvEGdQwiSqGSUKZ+KrbXRG8Olnyfv5 +1AI4AImmzc3KT5AUQJtLS5FzW7hCsdMWgnHZMQbq0AWapXzdjalGD+WAxEnxSXt/ +FyFAP5cgWIRNF4vPQtiA08/L0PtkQH61siS4Ah04joMAqucC14au2oEBA+F4k+CQ +1nVG0uYtf+9ESC+giab3b4HrFBWyvvWtsRZvS79MKQYh4eLaPaH+Sc9BXZYGSDw/ +BxXDUVu9HLeJroduqFSXw+WQ6tad/QvhXuWKNtZ+6E2fiEGWjyYc6+YNjXLFwckg +NIEEahNoPen8OtTj8IdkdGyvavj4xgiU3yNcNDSmb7pLTpqJtBR2TxLuHYWmL21g +uICELI0eiq+riUmiqQMI9qCcijbatBLkFzEt36JxFkqO9qYBvzmxOEPo6/J/nva/ +1xOcODBQatabSw3qBVidIw6d+hQVpRcMC00d5TZxP+3PHn7zwToYSxbIrwTbDbaG +iVeg0DVHJVnXXZtz+ktkMoHgtW8gpK55ZjODBD1b4fBg0bhYdetE+0YCCHwGJ25V +b+u+qpQEEPzVrEMwCNmmHjGLu6hjjmom3rqnHO60WQAD1lgzWr2KcGbq4gibvHKN +tEShnYoZBLihYc1b5/s4rtWDCdmA+IYCZGUsA65a8lGgs13/KdD1pVzVKK2kGBxU +qAWN5gA4XO10+mXYoV2/Zlx8ohDeGEAeyMctrdarFLXp4jAwv+TSop4qQXUW/V3z +jIltYhbfHzZiSDrXizG47huAA/BHEJwA+GGDHxOjLGkp4h6nzAoXGP4S4H41URYO +F7x+ACfcLpPTT2jAD0/As/5ulZiwe+GiCD6ixmELXesgvkethkiSPyb1tVcZIUeP +AO8gAeFM7pPGBsb7iLQTsJlhmTkd1EYWJopuBJcPqHuUBlDgNOvKa4deH0FL5uFl +H/suftdSafjy4l16Wk04/LXNSq0Hx+4/N5ZezhOtPpRWWOEUGV4kjsUf2InAe1gi +PgEjNEBlvUKt9q5aPBI1+EpIfJ71nBs/pNt9OjlnuUzuP10MfFt07FQXgOCKAYPU +ovZyaTA+0opeCacPvnyzhJK+8nRF6I6VmfjvSNhp+ABRxMFL/tmYCZlxzs5W8yCm +qIfDKxsAieBExoGCLOjDiGb0GGFRwHHqhFH/9oidAZCzKzKdi7TojC6l8cvAEfi8 +cKmMmU55qzvAoA6DCDOZy6eel3YdxF1hG7I2/pOK0ZMDAAK9RBJK1VZMRaOQN8GX +KdxflEpAAmPsZO2lgZP0BKcZvlrquSp4RhHd5cW+fVkpssd2rPKqcYmEd6AnIPED +ErmpYOnhHP/E7mL+boplBeQhB2k4xeBeYGTmUa65A5xdxme068J3Tn8NOFVONGmB +IVIvvFKN81zurOiKZeZbraaTx1PhgONmMoHgICGJYiZjxJMBGuVlUdm+8s8dEwmC +VnN7D9hxgZk1q3zPORjvto0Nw4Vwx7J25Y3vfh3jnKMAbY4GO+dtlGtqBxOA7zLg +on4egmthPJIlWX8iFgXY8QOEqcmHSTXOBVP6PStPY5ZGdtbrozpDBdExrTgNGCks +juJvPWTXYX/OtFJxFvsNJYJZYkKCEa7WjMWQ1zGqECMwsMRnQHWnlYgwnLlnaRbK +gThQ7QoVuXVbmRzA1IKyXfTWgRMGEsCMGXTNCGyW2zDUQyqUs0fCONgtj1e+jdSG +UxOVwpDYpQXzekWpoe7gO5WqxpPgUh/Vz79mhDtwSSjdsIBZf52vPRcQGW/SAW/N +OJUAqwwZRVCllHE1W3EAwvrjXi5VrLC8B6Orvb5OwmvsKGscjIltTyhotT6wE9Y7 +6AXIvtV2Z7UhTOyS0BRzrYxdMre0wnzUbA9xPFU7z/T02Zjf/jdWX+toMh6gsejI +6sSy6upO5RtxIPkFM9CIM1GfWYUAi7uwhyC0D8MGQTtGsBsuZBUjdI6NdkM2IHrR +82v2w0eyVFEulWHfNgfShwBopImlH1VezoFNKLOr/m/UspfUZvFQc08Z1HaY066i +VajxdiEYshok33xWd1LAm6n5JKceT+0YBqflU6RtLNp7qny6jW2veByekzaY6YWL +AfMCirevjQdZcZKY0UEqDVELGHj6lt6529ngMGtc9dPt2sjm7punOAmFzEe8c+pm +at9Vo+esbyxem+HQBOQQSg/2x5cG9gvT+GLAWGwZQoLF82Em7JPYeDm8i4pynFSQ +Rg93J9zlZCSdbbZ6KlWaiCzZ1+xYeOM+gE2ho5Pn1YEDEA9WZqLoDzB+PADeWIpR +t1gNedwK4jOJVrirvBFXoLbuptgWrUgB7CDsTRKMYMm2fATbWY57MtwemX1TSd99 +Kz3khziQcGMJ3ESp/2iLWrXRbOa6HRPkCgZ8cAZSKHNF5surb66Q1Fi8l1Zz/aPI +V+t6x5TdsnGzw02TRiAp1oIyWbJkdGkJkmIOGkVNOPMzHw4WYmzo3oNU7c6Af4E4 +STtQY5y4qLQZcEP4Ermj+W1+de9zWbPHacgwnOfVz1FBplI9/JN1uJ8Szseuxgd3 +QnM+/fNzZqkebFSMF6W7qSc/tCnJpFGeePz3+KwkejyVFHi0Byhia0Qa6YpTUITl +yfz8v22QHc9dk8+t3MElid7nuURX6PKm667Xf2JYpzUepgTSEIgC2fwFDp3VrkNy +05NJV6E32VUyfzt5kbldvEwsm8Y4GQVJPTdqasiirQUZcV9XD86dghCuiI2tSMX+ +ANhJNUU42830dW+aOuH0b5fd8cATFPkgJqy2kuK5CTbsxE4FThiLRv26vY8zXFlB +huvFiKqfjYDpazqN8InlJXmwWM+sV3cVBwj71iRXwFHL9FBi19BEOq9MmKWxxUdO +ZWfev/O61swVbFWCa/Vy1oT20NVnx4h2HQ1wTBhgVXM/3sgFj5F2RuUFfRiImbaI +KKtMDqHVA0FPbgHAWE7rOjWHFKQXe1TeD0gcN5HJNF4ikt/M9N6rnmx/WlOnsiIE +r5PurHoyzBS6G3DpLUNyL8dZJP/EogB5js9vgVprGBh+TsRiV23t1zPPmhbNw2RR +lHYwrClDKbbNu/bQM2O2Idoq9qa+3WIE2MEtOtljPERUHxooAIHiI59gJNicYSuA +FB829LdDj6rKWXAD2wrVxfcIgTN2OPYxuU/dGNLnrkT+t5fGK7pAMixBnPstnRz2 +QL6Q77q2eWxuacR3//TPhW5p2gzFfwJkWRWiVfGqPPnsz1GHrZUci3/qa7J0O5dj +osOzZpE7jezlpdcxmuDwcnocX/Qm3FJ3Kf3DpGMqcdyz1UoBhzq04hNffPVaufO7 +PrgypZeyDPo4vv3q4NX4WCinUQwsX6He8/RpZYLgVDiKP7GqVzO7mxvGuvClfyr3 +J2RoiT/R3/7ijQnGcDw2Udbbc6PwCcxUF+WOjtYYg4bpvDMVz/gqaFbdbV2J94vv +SPsqL5jvf7rbZ2ftYXbaPXGNkIENv660Euh2ZtWmgGvmt9or2QG7+UcKHT74kqRY +f86dS4vcD83m+f+9/O81q0NnSqEU5Wc5JEYYj2jGKryi1YmDDcmKBW4bogygG5ee +UMbe43+GOFva5QXrUzdJsDKpY1FsyZbm+ojASg38MkIqTvCZ3Autl7TEO2ofTdaK +UWlZJ6TNg77y3jUKtdSqpC+48iU/fQEduXIBRssucP6FVrl/2gHTDB+7iD/Hdf8j +dePwZL4NMwiPvBPinZqQx1eBr1qpgRycZvnitp1BGXyoofLMqYI0LLABVh4K5vF/ +ECYG22JaSegsrDCLGAALYoWAFNWFrUXmv1lhwk8HOgEq0RtRiXsWiEkcaNPNNPb7 +O7S6I38k39fkE6KgY7A/5IUnng0yHR3jzMMad6Tq9TU1y4yOTajOzrT/JdD3n936 +HniTCOdfJz5UIKc3SatTWsvLbQTroLrNw9cOtI8294EPys+bBVyxbH++PNv1vg3H +/o+cQwmW6B7ANAfyy6bAJePEe50fVZe1aujiLBVnHDx0SVIvgxiEGP3IeOqpIRdY +4U2nCK5yMN2cCwANKGC/TqJuH/VqeMQjncnnYaRz44ynS0078GRhH0cASg9V0l+f +AVGNwLUCnV8ey0F/lRxiYkJkg7NHyWjwiLcBqvrreTixYotufm6myI4TMc7le7bI +spT6cxVOEXImmq8bG82DcL4L2sx42C8VgoZOe9ri6kb3Gq7GijxihIlK5ugSo9rj +OrNJgIJ3W5fMOLSQDv/KqYLUVAoZgG6DW9dLa9Q7gBqfNhApoVdfxwOmg1A+KZfw +3ZM49zB4UHPEKQejFDiHJnUK3SYHs53JiiwmFDx4ODhiG/9P5P9R3wjlRLu/ozP+ +0GkPe0dM7BGyA8W3PZc6uYeuqlRMUkAv7XbLH22B2Mm28VTVNZq8PLeqPjjZAvKX +L7DAkkm8Q9fRPnxqijGDLryj94/5VeyAIMLpViPLnM3DsCRdpto04zNiTI+AdBxI +hOp52yDrO4R3Vio/dJbgOD8p12hg2vdZzsWP5xixFPtnK040dl7GLioW3m6ytv1t +Q+qYC6JgjvPjfT5lcidc55GL8zb2FRHjbo54N2ghRZh9d3ddiPAoJINFLiwgOQ/P +e09KANk79sOj1sjjEsGuLy76Dnm/uv7jYubH6NvLmhpZLDf7nMGpoivroAoXMtXz +KaTliy8hGmf2XoOkX+mFRM/uc0qfClMDLaNWKcPUXbT+ySkdWLWDl1XheFuwEilJ +n3H2WCKWOw/34Vf5Nra5fhNdp9mHBD41LyhpvO/D/GEStvq+ZMIE104t90/oKSqb +nq6DxxOSBzu/cyTSYDW+splJVPI/KqeoM/9I0u+2RCAZwKJ4GGng7bplqaI45ote +06X1hXqak68Ziij0OHqOjkYP8QerfCd7CmQWA8YgPOrFiQBZA8vjMENwXIEiON0I +y/2tSmGEZHo0MM4DzKzyP9GksmwSHxhXd119q6aZALY/ZrGGyNM+FpHI6BR5WysD +bSsZkW7Gpe6ywbO8OcIR12UVdkENOT5UScIwiX/0SR27quFEt+tnXzK1I/UwVcdM +iFrTdfbgE2VxyIUlS13mGUCZr5oUZhJrUZ5nXA3LmnXDkA4Is8lVP1Vetd+Phj6s +vutANE5Gp3QArT51Crr36cN4WKms83gtE3Xsf1CKEqGDiWJS70lC/aoFK6kjiEYT +WxBzpvFeDq7ZHsrYPXOCsTScu9/nngxB5HbhpOxT9KkP6piauIMi75D0XIDaD8yd +GnsM8dPPqXs2urpJ4zInASmX7DwIpzEAgfKovkYneMppEmf6Z53k4E2h+XYQXfzI +vqmDMcfFh7uyjgv7Ejw8HCm0HB1ANwvBtcH2vOmN91EISz7o8USu45nD7zLNypNb +jXh5oe94Q3dIyK3V2sw4mFKj9bYwEVvBpctPJ5bc8a57GjTgS+zhajsryML1ceam +RZZ4WcfoRKk7joS6//AW0NUwifX34Uw5jHWLZes8yqJW/ZarG26xLF9o5FSY7Jg5 +mRp9ZSZ6UiZ8SnGSiebW4GqSsiTnz8rP/e/cdyU0FFPdl02G0FcoN/RPtA2vBp/L +NzgksWZ9Z30thi8UnqcE2PYNArjKAzrA7JIKkxz/aWgZk1WEtnSmBKyQSQbR+47d +H25PdKEaZv21SSlbZcwu/tgso28zuwLGn5nfKgKMcN+K0kd8saDgSipNL4w8kblY +SZggZBKhDnWwu+miYBpTpWdnShJYEJpYr55aRnaVgcFqbupU7z+Mnj5nQt/2YzZ7 +wd7CkiCVozTwPs5bX5yNQU9N/y0Q8+RmPZzdFAUll7rW4Zb16F/qv+0euHxZncva +jkDDyifeB3ycQnwRLlXGMJaVO59o0xXapWRNa3hd57mxbznsY7etnipGPZpnsGb/ +NZ9J+AuVJwhuSzEv00/ZLayL3Bva6co7GP/l0t1pVxR9ju70v0l+7uKkI3hIJTw4 +LDBv3v3dOByZOVTS2SucWtTrvlOIv9q9OuVDdLUYOu5+kj9T8a1rwZrsr65SxrXj +o9JJKcQZ//byaJjLlvrNJqRr8dlJTJT3Wg+LWKWxwCT5LE1a9x9+4ZwxVC0cdiHY +z7TlsUZ5zQkCA0yeDKynEbzQbi4kHZDU+AsYbH64QuRv4HiThbMep1RG2bonfCOs +IIH/9nvwnGpEDF2spNTPFTx97jb8HfNLRESTdEV5I0ZbANqGbMbNMHDrTxiL8LVh +hIxRAPqViPXe7rCJSDglrfo45QPXYGyfC5x5QshpTuA+lkAIiwoJR7Hn7nOzS1YF +0/IW8qzc2+cSJWQA5bj+iNjF480JKfTAJJACKPDMST35vOA88vmEXDNKDW/ot6OI +hTXQ6BPS5cwg76eEjjE18yua6hwzfL7x/yOYipkst3A/IznJXFbCpNuYs+BV8q60 +9etJG6jvKgTui1igCqS3TJPCkg0M15XlNhT7GyQrNSJNClWJIRcwsHMBpDBOOzgN +aZPDR7a1loZMXdlqcdzM0zel31DH8GGwks6lfOCTtLkI9k/fVlXPhKWtAtE488T5 +wLTUd6Ln9bWK8YfVWAFG/hjyAV2neDBkiMN/NlxqAjZFTja84pcQx2HAoonfdyc5 +pTv/wevr4A2rFKhIJcCmt+SJ1EVz8WvnPxuVXX9vaBX95/HUny/rfHCBSXWYWzz9 +tcJCn7bI5+bYMg96l1xEeoDV7OSoJCv0rfe5ds5XRaHDIp1XsKNSp7oVsKekitib +mpNtWrHlra8Iazqi4pIe+ruahNxjivXbhZ1KI5IEoK1EjJV+uTMPAhqaOt4EXEVl +q2WVFDIMWhh30Vb9bkid4erHDXRSRuG3HqJu/30V9HagaNNWNRU7Wkx5qARVItOk +CDWekeKyJDBV1jOiZQmvuFZLKRcY4tsziGOewYJsioW6BvWzhEnfOT9BeKe/8oF1 +HiKP5kjd5BeI85qETljOsEd5jZK9MRsjLGNEeEKeBtm/tD4YiNMdwqH+5GVSi+NA +3ZTAlLbKZ34cyn9JCx0pjnBi5G1s3cpYtkB1kaYmYLCP4FfycV6RrWOrYMYMh0Cv +xhzP+5SdbJrkV5KsmnxmVd73IJiDG+SJ/srmShichaYR2Mj0Li6aY+gx0qNClmDw +dTID/frxnsdJlJnSEwRzwE5rruVtdcs+yvkE3gmASv5rI1VV3IUMMU2RBIMO1hWu +Qq2scnUOney8VaWQFCrwK7BUaVDD9c347/wRQUfJw5YjjFPO7/iPX9/jU8hcgwwZ +YDQvZQpvJHf82cqv6Z05XfQQ8mTfLXlskZmk7t4+vp8mV9alaUE1vYa7hQvfx7fe +Q+p5SIfze0MJcsCMmh3Kqse0W1NxHq1SoT/vANrRGOWZRur+qazEr6GItsQ0VNlr +REilf8BQTvbvihgDA/vjpO+s/DhjSnIb8ReP3SkUmfIULp1opsnAtQKxphr+mH64 +G5MiNIh9XKNXfI8YP2yR+nV2yJqElPia38TbDvg7b5COQeGHqlTkZY0r3XVAal1M +j1Nk6G2xBv5uBAgJouYj0qB0Hr39GSQKB4KimNHiwcTa2EDFivRZjdIE8Vc4QhYY +YWW3/2Hs6YVb3nVsZT0jb1nDM5pPInJsd3/0fTk+BUc3+zf6L45SCRAAEc50k/8+ +v0+id3ecSHw84Z0H5ou32mBB7j1zoS+0j+IuNCbj89dJnwUrGR+VGAa+66qle7jD +A5x6jhFEnhuVYdDBCsb5KDMX6aDooeSMdV7KLfvPYqnq5fDMnU7X42vxgXRqNrPs +NR3VMEfib6nf12nD+AUPnpf1PmakyvsuHD7n80dmm8kazbAkJpUXCuZl+M0+MJSo +RO/j1KXO/sY1B6XbdI+/pLa+HpdO4ywPGiCspsv2oXBhOE6MgIIutet+pLOVhPcM +zLeTurpN1J7MnI34oFXQowLifC+JUH2oteDmWVkXb8KjOcKNi0fqYnZ4TJBMpS8d +YhYQVZoh3U6JV7hW34wWSjQyZb5g6De8tg+XTMS89m9KT1qNJrjqcdwNVYSdjg46 +jW7K6B3E+miWgwutEM5W+kCBHThTepD/rM7+IUCL+uwQebJjeHlHIzJrU1cTKlgg +ppVSYSyz0cx742XLpsl/IZ98bTV7HjAMKkZsHqjA4ps5gSqc7LwrcSZyGIUDyFVU +kIntr7Sdb1nam6pL6uxX8UupQZC3e+VSohDMsl+k8qOJ0VULbdUEkK/lh+hcR9cA +vqugif2ZvTkoojtCDCoYuS+dws1UhXCcijM7QJ8ae6Bn/TAIA06BN+JYHatnPVR8 +uGovY7peY9KOREE0+s2p/bQXEkYtNS5wss4EQbBMU82S/Tk35twPUOdEmFiIS2wS +SoxBmcpgFxQeL1pboqkd/JJ0aHM9nSlBXoYg2GplmsTo8G86ycxo1RCI2K2v15K1 +LrFDlp7nvuVyRqBYEROSbARGIPFOXbrjPjb+cbcTiJekkRdROMypcS/JnIHWcsWj +fOUrFbJht/gd1sYjXsFj7XwXNER6pBmZLA3Xb8vUWWRJcCLTjl52Kn86UR9lSnc0 +zHGJS5tcVxbgEolOap+76YULh1clWhntBZZpPiwKE1Kv+jtfEgT7PB7sI+6N3GIs +tg9vbrjliY4vZeRfjb1zxj79zjBK6sBlC5dNGMgu78EVwn4dndos+uC1rq4mIwPe +oDOu91+D28CxP7/sfb4DZuaBQGIGjdPY+dEo2hkE/UPewTEsUw37uX0sqA+QWCdM +wib4UR4e6U8xwBgHpDsl1QOw/ZvM1Sa3yGVr/uiwaS5y05B1ayoqZRgJgYYEcSMn +5wvqKW//ENbpNucY+Ttt2VAH0vDE/jAeZxwTLxroCziLUyNk53ODcXprrmBwghLu +TbauingJuauJgKL3oQsgBTPDP4PebiFEMOESU73nqm4+tOzY6TPLmnhao/0fkIf8 +VK+ywHSpEZIAGwMgiJH/N+GP7K+kzoR4TW1zWNPPb14jFhCh1Qj38zOA4zyYGmbN +dm9cdH6qltnQWCqp1HPy/DVOA6ry5bq2+/pEE3juuyARU/oxiy9Z5b1nz2HZHH01 +Vz8rgcv2L/QO3GKfEzREGSjOQu23rVieJJSSxCWJW+B08E+3o8eDjCArE6BPhW7j +zFI3yTEdWjxPHOSGvtiq3VdrZ2dRcU5Ib50r0hzmbklfCPTZ/Yzu6j5RxsTNCjCT +e04f2ykRjupS3XiwaCmGUtpIONYtWKzbiAgts917NHLE2M2McruySQOqt54+BsxD +t7n4di1d2TyCF5t2QV9ck0NoXXrdkQ97vFz+bH5IXdhO+m4NKLr/pj2XRKWMZZy1 +kGYg4Ypy8YxSqu8O0tEV8LYsWgjCQvfH1dQNt3dVtfL7Mkboi/V5G97wSlwy6CnV +HiSeNX+x3MJdoYBWT6M5LWIH0sDz12QzfcJ1MHfKOx0I0WxBL3w0ShmHHFWCDJ5N +ku7RN1ups+tgtI1YqTPGuR+0CQRE1qQKH5GHfceiOWpMPO8lfTB5M9u5IybdFTP8 +Vf5W5kRN9aakcNLKD+9ZUA2ont/uNkala4fz46NqgG+8bqFoDd4z0aI7Othq+Jr9 +yaVhu2o7AmYd+td1Q5r8Z1hxdNRcc2tPgfKNfNK/EfAEqNaT2aubHHqp1yL2cSsL +fs2DWl9m3k74DkX2ggwPVS08PQqBEugiKOr46sa8oZz7WOcc1Pdyuzgs27Qrme7D +dy6lApNRkjaNQnJfuk04an+IcIGD00hUdfecDNiDgQrr47IoEwv1hy5lJBnGo2QC +SgTgA2+vhQPLGgFq6rTGn0Hi8auOK0SvXFNdJ5NGGkzxH3C1C0QiXCadMnkWwrX8 +vgIHot3lrkmxCnkAX9ZB6g+shXNV6soQ+1kVbCcz3i34EBpziuhW/PSNWfZhsjkh +i9iXbxuPTB1L7zp9xAttEOHTZfE9/lchDnzy0WmgFBn+LQU2I/s5YvIIhphFqz1J +9lZhHvWNCLe5wWONck98cPVDFxQ2uEl9hJgWBNEgoAuzn2bJ5jnRzURmxpLiorzr +c9ZMtHZPYO4BrGqKpbzejy18/96AzreOu6XyqAqj8Jnr1zWE2hbOWoUu9yWijDNE +CbzI/9/vJbPLBIwO+33b0Dnv7tj2oZKCgAGxlR56C0laW6N5RzyTahotJYaR6azi +WYXiNangaXGC8BbB+CLM+GuvqYQSDBLqguN9moZ06IuZdRvKd+ROgm5Km/TDk+Fw +B88imwJiD/1iaaoTQ9Bxrww8UCe10FMrhvB4E0gE3lRBExBYh9+mbHnd4oEIPF3G +s1mhIcyw/ypdlJQ3wyYIpm7pER+LYwsNlFqApqxqBTGtQbu1zdarf2qXSn0HJReA +bTzrCK4U/nfEUarhe/e6nnJGdzkpU9SXEr98zmG1xhsJYXi1GQq/wL7mFsWAY4l/ +cBWLYCC3KMVPNyUwxnAMK1+aslrDgOr/3IBu+Xh5wbJn7RjApLh45O0EMlU8hbL4 +VH+sWWeTUdA1B0LvRFq9Y3dMNaWW66Wk4ezE03g49WsU80AeWLN5aMV81CVaBFY5 +N9qA/IWXD1SVt3wNMt6mh3ZAjNgXsqbkOV7quFgO+ux/oyEiRsnwkLerM6/zMCAm +u7VEgJZdJYATndAlGa/P3db67d9BzBb/ChusBGVBKSa+205aMcCgDqolUqJlLN51 +6HiMoT7FNFzBHgdljOhYrSyqXrtZKNIxUuXg93YafYO+Hq2h933T7GxCPB/KOPBx +VXrJMOBUA2+VJbchulNpnZInkWgNvMHk7Bj9ldQn8poXKoiAobciDk+fY5she6f0 +xvy7r8Z2Ncxoz9MDr1CVVNPeFp5iQW9YgJT9OqY2Q7vB5rV7QtBOdt9qNoEkMmOp +xngC1Q6pDNUB5gu+hYgCkcLvNy+OIl8fpqpsMOJPaIuYi3iu/jPONOqUQwNLx4JT +D1yfDGQh9valqJJi2w6Ahoa0SxtJFqAaoOThPykdbd/OdOvpWDdVEzaymb2swysk +L0ofl0dX0fVAr47XduM8SZ9aXxBc7axRgR8EKR1d5JDOWXuXl9yty+gYXm5xo3GC +6b4on1jO0ADCu9Mnzgw+q0XvGobaWJlv21WqIioy/esuVzP2b517dFe+uqXQ8BOB +06sQwDDW3r9QTFOf97yu2/RvkqqUqJqiJF9Tro/H1OsjDNm+Z0lvQ28r8RLVbddf +vPMPHcaVT3WWD280gq7cL858MPFhtEs+hqBA8FASGd+/Xvee4dDkxqs1Zfs36Je2 +HVZT4o1kEu80kOO1W78BtEFFMsOUmmMY4Bcz7LRzFetpk8dlYTLHXgXzjgK3Ya/R +E8O+MAaOFJJS2ptiREogs8F4NhyQ2KVPJKsq7Vxi3XMjOw9ohXCuLCzLNbbIcuo4 +C3VJevr3y9qCFoZE9JK+85IzSU7WOQoidJRwBWwgs8FjMblrKI3StyS21xFTkxEV +QQPcCbHHYsCq6PTYi9iz0uWH7aWjAQbmslsF1I66LnTc8y1aB58Naa3zNoLPwG7k +lHmRgvsvP/eoKJjYTntl4IWCedHs722Uw2ljVUEuiLJ76ToGCHQxTqgccSTi8/EL +sbaIJ/PnGb295ffZa84i//JAz8X/0RpmGOPosrIqGpLgT/sY5T5uxLdbLH3ML8VF +9rSu7NUTcCqlHXfvi9/iC4D5tGIW3jHJMHwF2YCnInsJLqt/1OxMUWTw9rosvwWF +lDw7LHIAoXc+jzajzZFMtuoOXCGdexZvUZDZyiVQ1t2ardOnEIs+ap0wEA1Z3c7D +ut1J/xw/XTVUTlwk72TbWOPw0IGDWtsiU6T7sKi7w+w3z7w+OXBWcCwLRtCQiVp4 +BIoziSWzHoP8OZc47Arrn2YOmsEUclOvkEcGNJP3A/8RNJEz+WSl9pE6XL6eeD5R +CaOB9I9+h5Mz6C1AvoT7tg15681Jx7zvJfqAgdhzbLDdzWfr1cN3oj/HR6elbOQk +vrFccERn29Rc2bBDsM35V9deXLaQMyw7BHx2go7xcPUXFh6qM+Nk8J5Ye53g+f8/ +IVgujs4grMyiKu5eAIO/VRufNpzlJ+cwiz008KiJsWNx8WozmVlJNUjs3M3UjTQn +sKRKLYYePnLyJ1Oj7dEx81plv138QKL5Jpbc7lWVPlrkejT3+3iapbhdduv4pWWf +LWCpNgXm3riDVlbreh0R0gQ+EO4o7gzDOHtTN0SzgbOuhi3kHr8fffgPj0+2cPj0 +4SyEhjiMNSwCujA0XKx9T9hvPg9nD41Mm76LN/CXPxQuZubmyMZL1swDAsVd85aI +1drojW/I9z6Gbn9HRBe0ZRkOOgOzoK0qsXrQkVFF72341O8qOg1kqVDTGetG3Y2f +8rdXzWTGb7vAr5a0Fr1D/LqIgNtzRFrOZRccZStrxcgbFa/i6o/CTDk8jvRW6oQP +lIDdMPp6Tbof2FsPuusLz9uLJVXBrPojfbacLJse9bK9ewnGMvXmubNrvCPeZIo3 +H4AeS/uQAk/bhPqznrXksl9Zj7bo9N++6uXIhFbixPBlxcdbpJnYK2JMZkjxy8RZ +hE0FenbOyqRHMigtFlbApOjZWZKes9oe6tqTOlrHe/Ic0k9x5h3HbmsUcRnFuSme +FN6nxhv1Ogqwpgxjznbxv7n17sKhwTS0eLu4RKpcOtEfW/CE095W4fu7sb9plq56 +FDA98k8Cj0DxNb9x+gpBwrQxxkV1Lxf5HXD8tr3QSUvM4fCguSXMKvk1SFyDaPhk +py75oRFBl+ME29f0cND7nmk0GNVJJZxwMev9aQ6a4BDS0Q6QUVrgTpeqJQ6zqRaW +8EnEp+s908PlX7a+CsGXaKDbkDt9QajTtfJBKbCLyVDg+V6WTHGuSWvoNpfMF0Aw +kxI9rRJKxzkb0uRjRSJNV8i6bGm8WosIqX/wLhi+gsD60QLiSRrCov4+QjNQgbed +HEBpCAyoBg3jAHC+n6WHhAvuvYGin0hQ/hMm7mqYxu9W+HZwHBL16YX6nGDU1dNW +PM7PGR5DSYpWbFiPQ7X049yeKjjF1mdqu/d39U+h1u0xBAlqkVRAASmlSxgJCeTE +N2csD8DAoOCJWjOCwC2EohqL5rClFuwUR0d+hXl0/kLsW186YzGc7oL3pYsvfsYy +Z++5wDPyy419QJwvOVb/89BwuZM1g4MDjl6lzVNOoIR/XccRUbn63e+OH3qES0JL +OzPTtaIfQVJMd9hto7KUqfcHT65uUJ6u9nNDelfZmdw4W/C+5+8oAqLI9aoUovum +OcKcb4q7z2Zh6el2aWWVtryPa3feESY8nWQwNJQGlaKTqjkDs6LMBMGL4t72TD9F +ZJdseyuu3+wG0QHbC1gpZ3glwKDs9E0O+Kk/59Q/ItIWKB5CuPJZHqDP7joZnA/m +wqgaJ48DsoNTjenoi+Y7BCWeO/r9Cls+eyV9X2XQHyxZu2YhkeOqqeWV37nIbZ2H +c//sPHtm4rJNAX14JPvpJ1iu+9O1Gwb46QSxvIJi2FYJEt8YozQ/lg6O7FSXplaO +sIWVCEyR3ofXPljY1EHhIvFhuPfrnzHnVQz+OpYjbdDpkkMPKw9K4rtBby1lu7OZ +YZBbeZYGQ2Abb3BvXN0+ek11+TmzhT3VLrLim9aT8/2kxcQvk9qSq4y6f7IvuTZm +i6UQ5QCTAeUtBSXAVTtC10z8nfYY53G4BIKL5HZeDXtUsPsMk4LFB65/9g4tf0h2 +2XStK/7UA8izC2KdIUuU4uQAlSXyJ1cCjuFEbKEj62FgCKRy3uJOxQFcEm7wngJt +EjGDAbGLBFrtOy9Z/RyPqpJJeVBHY32C3Av3V0tZwrfeznnISmrbTpeM/VCArd1H +m/XE7lfG0DjFwVrWBB/oj4PpzvVB3Q2lik/9dAeX5sRK2Ob2AMB91Jr3Uj6p+0Yn +iu+tLz89+ZdAPbrRQdb0Sj7vYVjA+tP919ACk7oyoUz/p8JLpOSoYI2Sn0IMPHHM +gbIKqEpJYYN/DoHKOTiflME125k0kyT9R3gku8mFicVvLFf5qeoU73LgqtkOnxb1 +etO2z7cvF438YhuqKzrMsrM63TQrot1WaafcIn93cwYOsAP7vJCVN1PV8XQIpzbj +p3e5DyXqgGWg8QjNwf0WYYg/dhqZoYFi1X2mWxUxTCLVU812Clb0+Ck4ZjwqI/gE +fKiAG7X2JDgYVLtQKza4D8B2JTvbGyAXQ5pWHsPY+0bZvYs5uJZb63TrKAOpTriL +h4T7+/L2+OeK5vpzwosLLb+/GUwFS95IbPHyHZ2BimCVTb/OkATPlW2otljl2X5d +E832mFYSvFM8ZQzsWddHAOYsFXAnhrthJ4KFo6OzxK5K1oG9/WahI2nRV1jmh3ge +hjJAorYJMrXhC56OBRh/we13SVfYUZsrG6Y2o5zcMx1D/lCIS5sDBBXSq0BRol+6 +C+dKmRX5RJtlyPGi7CH4HqO2US8g7fkc88JErlYqnaqt0EvroagbqiAbxXwj5sbX +1ssUa/I9PvcwpMtsXj4iGt+2+ZuEQk9KB5moW98rniUYbN/NTxSnnnRfFBCZeM4Y +YDyHykPeOTbho96Nl3C+9f6FI9huiS7+w2BQeGEGuYwHjdn13OGdvrnOa5OOTeey +W0QtnLzU6xHw1u3hR1eTxaLtnU/BNnI52faaJ+S7+p/M2+C+thkLLhhMEhEIfc2b +UqmmDN7nqJdNQmym51mnRdMwSagvXGSnrLPnZ4pT9AkGken/Q1STTrCkJc65a6fE +cQxGlwTlP0Za29uaL9WC1LJAa/qI45Er6P8bV4UP4oSZuyDJUf1nLR6ShO4crwgY +WbK+zUHRBboJqGyzisl2IJ+kpB902TsDIY+iYpGjc+99TbdHIY/PZsgnXyjKHUso +YrR+ZY15TcDRhdwttZ2LC2wB7qNode9twwu3HIsZMq8zalk3AgU7tnGvPcZlKKlP +zY4cTpSATBKw+IfsmzFn0qn52oIWjcePtCIuaIB1kx/2Z8R3fpxe6ExEorwj5N2n +20fTUhe6I+gKe8891iAOQuzQoOvZr+KpbPCic9pln+0jLw5NnK9w+I3LyxPsqbhA +JL5oiN36TR0xuwzRIPfT9NY5FWo5R2kZ6wSKcOmCTYdyLNeEzq9ZlUf8+iiKka2G +5Km2PSy90MDaHs7qBlS3AT3o2yazRrN9s4yqA4qX/DjDfPmG9QaNKZfhh4ghJhvY +7tSnlhIACM3MVNGPP882583JZxkUU+aotKuqqVE2mFZuP7r9Q7/Ys2HxMitakIfO +U4CdjCOfc1PLRZ51K16plrHY52uaS7s4lfy3Sro/VzvxPjBzStTRdg/lMnWUH2Ii +XqwgG1rNlOk4hLRRgljQV0H1iDG7uosXJP+Y3bhn87fFgXCAun+On49P5HqWbn2i +qo9IWIIUiZoS93B9YmA3evb+493c69Mh2IiYXCyuVi18souywFphGu4eOdPoPTJ0 +06wG6cWAuqVIbFOx7r25wMW3UnAYhI8uzNd2EDAUuaPNfZkHcPOD/yA0BQ7SJ+DD +XkN39Qw7fUD1u0dAPRT6dvbos7AEQU41m7+qXCqYA7bMsKDEtt6YRib0r+xw5RCM +LwK4jXiMhWW64S9vvL5dDwHRzZ7FuAopXHKOWObOxyMNM32ZLps6+QNB8J7k+sed +L/KiyKVMdrnC1hZdAnTkHTG3oercLI3lQh4+gsK0Z7h5gu00oP2ywcLApns+etNm +U22ue0J/vR0xiQ/80WwagBOzPmgYdt4Q7i3NRvyyNJRVF7qDYULkMUa0bFi1bTCy +cH+/uLM5CK+Rnv7Z/U+FkEIs0FCnWYvlICePA0nLgQcFCunCi+FCjjtChxW/Lznw +ddrJULZduZuqN0YhnEiu7fOFry/wf5/z3IjrjiJi6GN+axTa4hR7O82I6pre9LfI +N1XiOYvo3H2qXuK4sREuGlY53W6c5GMbxEGV0UCT17XFdgTKWKGI5MtPu7JT1X4x +YIS2nHxsIMyZKXxxsJAn7Uo3vdLHSfDw/RTlDEzuTUPjtqmr50bJR5d4aBzSk/wd +CN2ZZqA6ETj0XX7gtRkJCOH+PMdsbo1ChkcNpNJrjgGE5y6JYam0OhI28GRknOOS +ejNdwoawMX/huRG1VM12VJFj5qUS2uHH4aKgOnpjOjzn4f6NvEn24vWsh8txI7a9 +oUXDzHSb0ouW3CyKTmD8BNMnQ65XayksgNfwmfK+GLFJb3a+xQQNiX4WBGs8HMrf +A38SYmIRnqc0rbPI4mTZ8RNPifSCZe95AY9V3iQjvtXw1hr7YxVhNCFfRjmXGDcF +RYi6aeEIRI3mJURhqHdlw5WH3oxU5L5y2eHPJHfeo7t1kVbMGn7p1MdJR/BFKfQs +hnW0W9fXA5HT8bQhXa6ghux9OdBde8Po80RKakr2O3ZDQfDcYSAmjqCouAXviH7r +zJUmZ+bonqYzeK/uvsfk7ktc3vp5I2c+THjE9f7YxCMqvj8PNIb0kO3UP8yN5RtD +UB+G1mWqQXq9hRR4vgQ8L9m3pbGeN1m+GWhPinX0TGqaM3frhXIS7ZxUTzVOd8Vo +RvbDL8T4jytojnfqlg1aPE8z9mNdHEMMrzt4f7rvvIQKPx23cV670xugauYMPDpp +ssFH54gaXwz7Pu/lYzNyZNWz+/2o6wAJa4u96q/PVH1XTJzSL6OH4vqQLIauKqQm +Tol+/N95IG6BO56S461yQEISSl+mrCOz7fuV6Vc8Cmry+6Am4tQ8LEkZLMMHnY9T +J/zAqXRvlHCYATfZCVXvVsVFvRqAe87BFmQb9aJXLnPBZETdJ4FTfgElIgdpDC08 +D8Gw+YSGgW24H8bL+r3ShqYkLfoiQGaxIRlKTaQFOx0/z2w46eSrc6rFJrZKFUHU +Z4dy+GoFrKULkT51Ckk6LOwXnzTpO9j2n5NujaQlz5uL3AFE+yLd4dZ4RuQDuTp1 +zBirSccb0e2j7ME40clbblNiGNt+q/IOw2YDxTEym92kNHFjFXl5RydpqSZ51cHk +Y3qcc4PRA0K5mHHe6wqiRgL5vMDdurcLV8dEsg3LX4ytoORos1+ZcWSmG+MArPia ++MzDgJB9G67xktegzSRmlXMji2mrikBkYV7LtjeNlVeuYgiqZ1FKHtsSxgZD8QMp +yj82j06O1X87KL1FzqR+3osOOx+pIJ5MomrGJpIM486/pHX/XUzgbaCiuVDlFxRp +xZNkCm5/DE195EwGiUPTGmfOro0txFHxaCEbzYCL6FvNNdaKljRF/9zTix6qi7Jv +jMTYYXa8HHFjSe4kJgdM+nFa+mF0yGYw5FtF01f4fou37iGxkrgRLM4zZv55VT94 +GSYfn22jwzFZWBO9T7xWW8sKVSwuYDguSKInu+P1VLDnZOwgqn7bTdjnN67gONjY +5QlV68XfG+Dh4wktLamnWDm4Q4gOvcVZ0OcNX/I0mm7NMsVQly/FZfri7ADwUMyK +TBu4GpU8fAcCuX/XaGk4h/0DyalQRJJ5me+rhJdqR2V+e8IKsU209AyXYVjGzFDl +enf77F1diI1bLxqSQYPqAJBui7pPLr4TnMOmg+yRJKo= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_resync.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_resync.sv new file mode 100644 index 0000000000000000000000000000000000000000..b1e6c11c30214d200e1e3e3c547f9f71b640545d --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/alt_xcvr_resync.sv @@ -0,0 +1,125 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +sDDQnmJJCmlvAGewg4X1JRBACkHioZiLbzlD+YRwhK/25Ho0pp/Fm0PbLq0pnDFk +Fy6DW1DkX0xgyTh2ck/QLllaoDoIYySQX4SMX+oTZa5tY+eqMRUsXoJFJWXG7BYR +NU7nEWuiODNrD7ptbammFGF4LSdELgrfDkeOhWmedaA= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4240) +0dF69i4vpmD+fpzqjtqYFcaldeoT3+6oKsjzDxl0Jzj2L+IaUT6dRmaJz+c0pWIn +QkBcizesS52Zm0MLzy4Jx5zHs/+zoHG35Zv6jc6kB6lUhidw+X4E3gRTMvA9gPBC +IxnrNJIIjghyiN9/kN1iGt9D4rRy5br4JYug0AdCnsE+R0QQmqRe/f8lh2r40TPx +eqTdk//g6pYYHCXIwKpmnrTjiCHUcz+0vZP6Kptl+8deE4UqxXktGi1AC3E52tqw +q5eMdPHZZBjEOixBlTSGPvu6UmG0IgBr/adl68jDdRwHCPnZl4pcX5Y9q0f55Omo +pK5msAEuhi1h98e3Lt4wybhfJSSh1ykCeuiYgZ0F8CK0dFPjyCXhwkILO5ht/1BV +C25tbIrel07DqUHgCvCh0iqgSdTROfGAoWeOIUqYK4AFFRFSN0AHud/ww12BfReC +G2YjVX6AknNlXQaF55jiihYfHAZDSFAYqcLImJk2DFKI5zD2nfmYrlyqlSRFieNO +QDgaE7pTkldf6pU4mn/mLEAxlwAji5HCr1QN+5ckGSOjjbr9tMnNqNJX5Ub5EPPY +QFkkW6PKpp4mqFWwegZj1Ljrl9rG+pyZBHW8h6+VGqgnLJr4to+q3/MWHB7mUsol +EGqGhAdehuC1HNvY3AyDq/b4KdydL11OuelSp/Yq90SVKFgNEe7OI6CFV1qHOFQa +lRRkU1MSl6lxw7E3nHZsuO4rOlv3PxJNEQxqmVZXcnmIbU9M5bIFmD6YHZnu58Yi +/C4jfIgjFFfMOUI5o43s+cTnelvHhmbBoE0sRvYNbB3fCGDdZaeY/gKvWk2YcaK5 +pIYAKwwrdIy2W3n4FZ5YBrw8fQ1+rNml827AsBJCRAS88kBRJrrsn3KnEJSUi1Bl +oZbabWVEBbZxYS+u1FoYxOB0me35mctkL89KueXVJqgJrayQrVbf3vQNERGJQy6+ +NV5W6fbd9ogXnEAHC/dInkmZW7+2VpHeFMZ/ZozHE01w4cUqRzi/IGWI8jUiNOC2 +cXuJGvOLlSpT/GNKnwj1vDCRfjor4iUPX9YDl1Rdhst+1LUktqGf44dlOUBVWb+C +vabzE2MJCX15+8qDUhlx1P5MafDFebgaI9wqINwQ6gvT06ugwoTdJJDKhedX3t7I +hx5QwNk9qDwaA9+gXJUFkUkaoQth6sIo+EeDZ+ZqNXlEjTX+s17RW6YfaWnu5co/ +HiV5rA7WugkTgpz7MoOFgQd0syNicZoto3Tw3ZiU+gpwTrfoiOCVoLTNbx/9CINm +l1KyYAqRnRarmE3aI+2y61uXhg27iHbOwpaPK+gt83cUT44QfF+IyuaqhajVQOSP +5qYGMaMJGrAp9gjJMiBxlAdFvtJLl18a0Xdknr2Q68qYqkTVY4YEXKV+cWxVUqgR +DT9H3TM5oeDoI895m92twBwzTr58fRMIG3UiH+XCdBE+BbVYhqhlFqpiNcFUDeXi +Xd0F1H5iSL0//pS/6e+GVIcJD1JO6qzJ6EAaKPYfLZ4VN11g9KsfNzGvSYXafVny +wEBATjgaHS4Z3FJv896eRyfNEF1sr4RvYbOh47AYbSC7G1CtFm2EFRqM3PgmCmEd +6owDYwv1iuLEPfztyty4uO4I1udLy63aRRLSp+VqV1Rqz/zapbyDQryjbRNbn6tX +gW8mLVehQ1CyGFgwV7sIfGEzzhFxvu2eHMwTLl9h5ufPjSWj/Y5OMcJwUbyOrsj1 +TjKIparRXWbfPuP+X+DP8/GQPK0cf6HfbCzEe+VHjMLQQ941LI0+clO0zneWK4pi +YGB0wz+OOgibb0Nt8EA35pzMTXsOS1Qwskn1U7N3wCBWgonPUV2r9SBGdd/rXn33 +AKXHm08DsE+P3MMwldSsPGJUYFMu1Ct22Gzip6zCwNqbTk0KhrELeMIa/9dJHm4+ +GytGLcVGrlgAooZWUKyXOsk4rPEpDOGppJz/LVx0nq8KjvHu2SHXxCMGWJASm1f0 +NybceMTOuBznVzlRAya9ipyGcmiHweZUBAtYX+7gU1Q+SF3MOiQ7Ws+sJABG3p/e +lZw/ZcbCbjbcDjrNeMRn1lIwkYtee87xcZ0PJ3RGmeOcD78E215qPk0jmRQ+b0hy +yEFHEOSD5MyGa9SevhAaTNOVr/11A3Y/8FE/qXLJ2Ncl8mrZYH8jh1MNv8F0wfOS ++rbNGECYopZkacB82uPpRfwIxog/EF88/eJH7AJHcJ7fn/fmk3hloaNQcICyYg6C +Hdg6CAn/IXvX5wchOGkf2MXl79TBzAp9HtUM6qHVJIyiTByP4WxwEtLcfCpI2kCC +cgIuWTuO3pkR8N7j1mNuNLoN5ikjb8wQsRw2WYr5IBv9WirDYddQOdYhvcK/SXBp +08fBEjsNLpRdr3UDbVVk0lYyIckCoz39gcgfn/sipYhoue1bzJZKdFS+gn+R4Qcr +U1H9Rr/sc9T3nb5jQTaWQyPvtGW0LxNYLn49hr9XFig7B5x/5LEd9naGivu2TyBf +Ejs/s+oNLxxyfSDK6Yd8TJEeRzBpe7vd7liFJrZ0D2Ns+YL1ZBgKA7YDqXbIrZM4 +rM8mkA9358eWKiM60+8TR1scDgUoNbaqOihIqO982Ent3W5/cg5JnbrNvd2qHMRo +Bm+IEyRHYRDks/XchnMgBz8mlGTJ8MUL1cIGa6abBw1k4jUSWWusX930FumcEJx2 +wN8jG8mCjFsN8+oM3gq8CLzJjZNsxPiBdDuCsmIW/r3yU0dbtwvkdbG71fn0LYOw +lHQz3+MHbx27yTpc/u4AwG6ZL7VykyyQPzOm/UBhZkd6yH3x2Weh6uUz8j2vMl/w +lYt8vnvwT+jRCy0w5lIR5M0G9BeRE0hmKU8G4bMmUj+r66gNKFAcH7oiXakpUAIM +Wz3n4x4d7InaWI7pGAwfyL757CxZb6FOiVSWueGyjr3aEfhYOELDIqsglIl2IyL/ +hD0wp3xm1BOxtFCgHjqkq4sVRmi0Eo19T9BorlRoe1P4Uxx61ckNtageclZQ3/eF +izr49FEA2EOq3LFSQEQEc9jyhRSBD+u0b+QJt199OxOxnQecJ19n1tg6kH9FY0OO +csy0CN6bw7ArA7vLIjTjujS2oQcBONhjFHhW/8aanKBVVr2+Wu1FBwFL2TlwAB8W +bMFQCBNK+aa5F6iM2WfFRzX6mJy+KOSHpFaTDtyEEdzmRYx9Fw3AEwe/j1NDIaut +irhGcbvz/Jkzwai5nD+C/6Puwqgp6v1tIuFBDIEiyqZJA0ZC1j1GmM8/u8Ag8z3u +q32qtR7AORxdLiv+szS3wEdL0VvgkXhBR7Nr1oeE9By+KmYFl9yiyugXr7LpHsf4 +pJgO7f1HOX4l0ziHv92lZdmuLgd1Tc9JYQWsxDPoG1i8p7c1tuprDc6IMAQ8quwQ +qgEYJZ9M2pyf6EXhQU/5fq6EfiKW6rXLj/1m8rw2ub2EQPK9GdtQqxv1CclCYDgS +d+2d3EoXzJqQlfM5V2E8hGML0xsHMd4M3bQTXGSo6GYg672hPTsv3O/4t0ILvOAz +rT4c/g4Rt5jAzDtzRFerkPN8x+eFPTHRzJcvPYUlPbXIvXb6Zw1F0oV6mDhOhrJy +WJU69ICb2Bd2UE1FLKcn+zyszLJEgJ8CWoA6SvM55fWgS/zLUuxRyBtgbC5M7NYu +pvWzARAy2gk3pj/gb8Q5jirDLrijUFWzorOd1hdOssoQYt9Z74krpF8oof7mi37a +9ZanhtIlidGInriOBi22DqXNOPsMzeryuYpndPygCG8XnRtMyYM7BAMY6Qb9xMQV +jzQp4MjAKbbp7VwSE5C8YhwbdqAKIj2jQ7zV+yYnVtJS14Ofd+Eh2FbzggZQqTO6 +rgDSM1+/Zs64EBBT9WAShuuG4W7Gjjfwc+vRg4qbDJZwo5V3CEHIdxXPiNPLWc5T +cr+iiUeRjQIuIp2fOvyJW+PwNuxsPA6dYt7XfZEPm5S2++QOy4x96lPqdf1iLJxF +Cf+hfRRR952+arG9zKESoU9vQ4DDhWVnKvL+XJOSAA85tLw7/Zzijf0MGYX8X6jZ +JQGvZHxiCHQJcEXmyMRtqzb4+utXQmzTfY/HnMIS+sBHnb+xRI/JWhYAba7rrdFU +s02F8ouT7mBxBKtmzZ8stfrlpIOwY6TCIsjqu3wffGy3LZj/IuoPIRiODQEILh43 +H1UR+zm3O4tS/jCTfUoarfGMWK7RTpJqSRlN2WC4SfGyyu/MHc+Vkii2Oetcx9dl +BFZ2y83zJsYha4lg9BIgn0OAsADeYmfayTJAqeYa2f1BwxLpcT0XOY5f1GESeQAK +J6z/jbVwNuGXmLTP9kMOiK/Lh3FAQRjEH9sXCLn42Wc+ua96/FtOY0EXSQFlIzw/ +O6LURLoA97opjZlKyZdod7wmccc0eAipjHYto7DNXCoAYg0l7BFzn/ACxOWaoEsN +0UHLpy/Vo9NfQwEmQI/cccCmIZkebTMXPugo+Tfkdf+E1Nfy9MZD0wO8u2PNFaF6 ++DRta3wt1kx75AAg4zBiAN8+qWI/0XHSzeU51v/oZavXVIWW/dyxZgZEU8MIcso9 +268N6rjl1Opb7HYjbuZKe1J90zDkEK0OQhPTxHNK6P/gAUKNhdbQ4f2uO1kKv+NR +/pvLSxwbFwArH0tUxth+ODeu6UP2qUkkU7GHvec6jSFAdqN1x7PV3KQuOC/452V6 +kXB5PW+gW2DVS/MWYqJBjswjBMVQELebRvyehXRcPWMYYU6PLFKpEDzR9GrCNT7Q +9GPe1Wd29yfn84dMfH8ZiZHaAewsy20HJrnl6bNdgNImJ3H0fEegufuJY9W5i98m +dJ+c2wDJnse3OUJV2US+IRymm4JrCswL7fIeU/5O2UxGKLrsOjCBhJF9SBuvbCHe +5KqkREVEtx/pwnHeMt+pAY8/7Nza+E+7j8HmTj4QSqn/3gEKeEok2bEO5bHZwpHF +Akt2r2cZ0M8zlIZ38+gmvK2mL8O+HPa7NJKyWwn+ASOaoiRk9tYbQ7pOxG21z91Z +Op62WCoKjr1pn4k59FaTmYamh8ejWIv/g5OnjzjDpx/gg1Sck2bfgM5bsJGQeG1l +/4zfIl6VYsrp9iOyGLTWf4QxPNqlQxqpCcZMvarkvXNSuDZSjoHezf74xBbWLAIm +e01bJpmxcqjTz82ULgqzaqb+oDueXQ5T6LauD9r0FQnIU6J+06T11ymF9tLs1N4l +hSMQfbGNvAFBJW9RO9ekUzz6lLIp+P2zA0dMg16BiTkC8OsCYmZFp8t9exVZZbqB +tSg1lSaQ+syFuRnZmV+p7d9uAFz5EUYNmb1PkVNsAWdVok8eR9I3FwC9oXbI7gMO +s6MQ1LfJMuSirDjuRbO6iiTngFtg3IvVG4o8O1F4z3azC9cpuEyumOLGv1ZIdtf1 +YwyA01HFTeTUSdqZrcLI+sHLpx7S/QOFRBPonFlTHBXtyr6yNlyEJIuFWrBf9obk +f1mFQ9GDW+GQP8A+54vFKRjUCf/UYM2CUfXWcBTO14Phk0MMUG3BY2DvIrPah2d+ +LMPw6W9lvFIRDG1D3V2FJyAumlWkKr7P5H6yNAt36HRbDNidXYf+6ee6fR0vHnmx +BZ7Rby/8asrnMFPZ44mjGM8+d60zl+7h5Dyylh8EaVoa2EBuX9UNkEBsurCzhXq2 +K7fcKFVqylEaw5PEizuX8A== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/altera_wait_generate.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/altera_wait_generate.v new file mode 100644 index 0000000000000000000000000000000000000000..ef008094f669832fc7edb6f42638490009bac90d --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/altera_wait_generate.v @@ -0,0 +1,76 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +NTatKXD2vaAi56tZ5DimfYyEBW+JNwsK30ioUF5+LXwIzabb/re9ghIliAm41Kbj +zbloRVszlY3nFtz1WHPT9jPMU0pItMwKUzNVmknZX54s0QnNft+yXWHGFMDhCqww +Ebf5ievExkme2joWSqIoSRCeN2RIunNQaCIQ1jdUM7A= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 1888) +GmriwwnyuxUO9Kb8dsFAFx3Mz0qotDSw4V3K01NFzzSitHuWzcwuxsMMadAiof4g +akxItPGw8Tmf5jyDK0zXYmaiwyTJvQoH2rCqPQiNlZ7HG0i+al20vzwwVLZImwkG +N21DOlLLXlByhR0M2iDFYwcRB1JKiuiVkrGKw9YV399rOqogoO7OkU8aMX1jSqjb +phd6Josn0Ufp6sQlC8mhDNCCHmgH1b8KZcxoS4lhNblVkhqgzT+k6EAzGP2RQ9E4 +P7SSifAERpCSlxLMLm45wygRLfrwzaueVAMfAUYnn7pdRXg7d7RE83APEMdgMCxC +ll2j/YVIYpS/oumYnXw5hmChfMD3mQo/uKlau5mFfzlXx5O3d0TdJjjwmOH6jnTG +7kLDGSVl1rQCT+GZuLtGbRxT6JTEWsJo1qvnFat0zzqCUvAvoorcnmDDoX6j5n9N +onDpAnoPc9vpafBhgtne1b13mr3tCTDgqOyUaCAO0KWtinCprjhn1JTUlf9jdqxW +J5pm0JhafMDPItj0+3+wEfBazJnZrisfFebTnBwW7D0KMH9acVXnkDCTPT3vIDLi +6z2AXbyfsvV42khqHzT7RCbIJ5hwqYGPanrg+SPUnLzArhaIYDs4jaxiSkzENxPU +6wY8qyJBQ+Btc1xdwiUFUCHD5E/ffXhufnAWv72ZeTjMufyOJAU+vncERpbbx9iA +Sam5UzJ9ZQ/NeatEkQHJ0KL23M3HX1INJQb7CzXXkfqG5kL7cNjmoAdjNwbApK7p ++3PfFFyY/TzztVThLRICywKk3LqrjWU+3sgb72apvw4HSWof8+FL/ANGAiLFdI/y +wbfHOjgizSwcZlwD03o8YymdXmVU1tijW7J4PqgiMuNfDHR3MnidXqfpyl89aHAv +cucpQFcJQQV7JeImnPKOrGdIBUIU77MmZiqfslznxYYvRmq9MiNPUmA/ToSkuNOC +9ayXuTUsGzhSKnd292C4NCeE+yv0nP5vwiOqob6leeMdC6gKW50bPccApzWS0on7 +xoZ7efJHFNYu5mdETFqjC8AT7WOCODLwlHOfl1J98CRelR7rZUM5qZVqAfX1cPBb +YZ6W2hlwlWKbvBnw+c6knSZtUAjTgHpv2bxNFsk9hgs2J+EoAGmVzb1eUWM2aUOe +f079GNYnmAOXAr4uIBLqH413OsXhhrPWkkryf8s/br43brql2iwVFXKL78gojBiA +j2zRjp4NV9VAo8fyyBu59d6fdcKiS8gwFvfML2Mt99X0bxNjxZQW8pokdf7jVLVn +tWa998GTkJDYBZQboiPQrEluKvTzAN2kj4QvGDaN+VkAKLC/I3PaR3akDRkv6LKw +glBzKVQyL06abH8Y1uxUMSM8llDNT/5sPnH1voQjiZ4gOMmJS8A93Qbr/yxLz14Q +ndoOYAE3Yl1IN/gLDg97lIXf3ozevYsIajrxZzbj25u/cJllQqK34+b4Zijo9U3P +wqurly4RqeiaP4hc5Dwzx20d/enYIHHwN2BDyYFRYstKRDv9ERJpxXsM2TK4BdCo +i3Xutwlw7jS6v+UeG+sfDym9seDw4yWwN9CTOSGjY3pOpXjWsJd7EBoHyDTpdvyk +OkCNjX8wsZDO31ZT9oMiriler8k0Z0ktzWONwIuSCHb+lo5xyPxEjbIZ9SyNUQgI +/utggPQsJEL2MSPSrvxQ9QkrjkrFIb+/4pk1+3e3QTqTxxDdIAdBxWC+0FTDnq5D +voBFImrR7hy8rxRMsYYu0pZEVlDyxMJjcxlTlmYUh8UdKFd5NJ/Pgf20iF3FNDEl +IXVafVukTx3H1wbM05Z8YLsy4EBqBaTmzs+7aRUVpOjNlHr3y2GxMG6rpzbbOw7u +gpmmaLO5D5TInmZueFw4XSZbylHzq19ZWbP8Cm5VMLjZ1n3DGkGnoMi5u6FJ1Ulg +ABk/PuEHt/uXyMCQ2nxTKwBeQWn8YIDubiSRIVl+emq5cHMODhqjmZ6PEcyXL7uM +XamKvgVkrOyOKdtiF9Zm1dcMrgcmWCTu9/M7eIJ10VZjJIGC6Riftm5LuGAuisF9 +7StgAGt6QHAzDmGNnCH40JFkEln+tmVSC++uXNYDjg+5rcXeoMCyBYc67/jFCxrd +BRqdovNmV5xuH2QQpbTBI+RyRa2K/TkuvpEEKtjBhChA0TxFDvClzG8VsGwan6XX +0ErndHaclEsFbGxfP/k7lYpEb1tDCsN0AgC/BZkh3y+sLHAybRrc8IUwcyxe7FOV +EMg5HPOOwiebjFbaNHPsQ7Bdu5O2VWwWN8KJKFIl2lTj2okEEZC71XAU9IR/DQ6k +sGmjoL4U2knsM2EjQxoa2tITclN82WbDPrRPgKAAPoBrJZqrbjtGThZQbact1lq/ +rbXfHIQ+0mC5EcTxg95nDIIz8gBRYHG4w1MKepaUCptDsZ3+qDOtoIrchvzBTAia +BYBngDGBJAKTWEi1Gayxo7k7xQcB7PBhUSwW4LGawBu6oQwahqddjZC9fOIwKVd5 ++vCKN0rkhBcVPddr9EUQdw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv new file mode 100644 index 0000000000000000000000000000000000000000..bb92d08605ea29f7d2b2458456ce2000eb9e6a44 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv @@ -0,0 +1,666 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +pRrIJQeGz7EPVbooxqUk8+gHN8vsYnsYQxfwYXc6oQ3OyWm0ShI/K9+U/IAxgVtE +ja0fzV+9+qf1S8k1QWRuP/ccmMIIgIxN1JCLYUT2EWoRPwjYnPmqaSpa7iYJp37Z +nZ1qLsgZjHQCmBZQO3ECvHA7fcd5oe6LXuKiYuNnCyY= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 30240) +3U/nAmvn/4dun14Bm8hyJ2+5EOFAHvqCE96SC37PMi7FnzZf9e+6Ne6MjP4ZzgIv +Id8ybIeiFEHMreleT3N9q9lGCyyP4yY+Ze97w+RllbhBV8PmINvA3NFPHFXV8dx3 +CtSSQfKjRcfWSxGb8HR8crQi949Bnn5x5Egvpid9CRUoQNyF7W2br/mKmsYieAIw +9vtzpYs0U8w4+DQ+Kxfh7rAnZYzicFqSk61Vx9qDcRn9BJLIlm+nvvVPK0vYwWx5 +F39EzYoBx3fxVyJgnp2Wryi0mEB4o3bt3C62ujIruk/HxSdjgpz4qqS4wgoZZ85A +/dWW0Bhr/vtxvhdJHvEImeOWz1TvX1RtTo8KSnIw7VZBNuQsm+grhvdGGhSVU/KA +SkhzWO1YePYLLGcvRd52DSKx2eUQ69p4CIh9kpYUTw9qMlQeW+Rj/SOoTNGmNP10 +L3VbHSiWVqErtOELG1aHuDeDd4Okz9POnK3s7MeAQUeYLChTElLEmSrvsTTRNfnA +WALpgSc1PEt6G9gAI/+dG6mNm7h76Mgw6orqu7TtmvkgpuXi71mSZyzViwMQrvsd +LQ8q1uw+tD6XXGlfyLNB7r63M0kimGalob8xT99wKw3yFJN73NmnICbg2bK95OYt +C5Gnl7QY9daqfwT9QeBoo6kryu0s9hQyHzlnkLthHYDYu1YYK2ZM5HPnJvVShCDr +ddxy2Jb/3vkO0xrewqgGtShPLJRunCf9HLlSaaCpMXUAVwFbqDxdqvD184DRtfHi +Rt5W9Q92luwKz0/Eh12/T1jni0ybXH898KGtLTGmpaRmVThHaCUUTrRVCPNbmq5F +gzjGNfgYh57AT/Pf9Gw+j22LrXn7TlcfBQeNBxqTbd+NpwMU0EKm7VO3V6hQJk8W +kgClpxOe2rBziVY7ursDl7W4CpdMf6Nkhh54IspExZ+GwkMZ9MZOi6BNz4/k3Tdo +GDQlUdPX+6fCxv63WBFRG9cm1m26xLDsRxBm0c7Vks858kJc1saF5TVebGy+i/GR +s6ortFo97DUI3erHxC0/SJhaR9ivdkiHbTglHvGyt4Ws7IWXG5HUD3+AXrJ9Joq6 +Vt0JZdEBDAuUB2YaXB2u3inZfRm0Uhmz9LQTGcr40MRv7X2yKBoFoifrp5hAmpr6 +KkSxjrK1hk69h57y2VemYOpkEcPTpdhU62qBmanZhh+2z3YT+c4H1Wl+qrZco5sU +nH+p2yRflGfYwhPr3vddzeJfBCm0oNV/nliSnFwth2WWNyQ+DuXhVQIiVtRl9ATy +EaDIj/PIswip5sftanhYLMbdK/NwzMhYEVlTTuk209LbXJBhvUqMYkbMq5VIQ4u8 +Rb1wbSWKiczAhg0MXN+GqWaGW9Ws0/mbP/YfnbVfSg7wIMD8e2pXrM1Eyol8kBGP +LNkD80Ua47lkcZVo+zVFJid8dJhhef5zNOa3HosVBAnIsuTyAz9sfAkyqMh43tQE +7Pa9yY4C/hcbjRsT5eGGtlRKSn64BPN8ObA4t80RUcwYXIdPChAc6uw9EWzISIgN +WsL5GaWhA6M/dJoerawD1jENi+6dcRyw+TPsdCRi46R+qB26ghg2d6/9+D0kyeVH +9EQ/ytnSFLIP/vQ7OBogqo3Lk+dnAmsuwQ+letyinCnRW1TfEMq8zWB+niP9XgGn +MX+z8K+jbvtVZtqjuwvBKSw7H8YaSbCiHmBEZ8zHn0i/V/nU35EG6B6ZJI+cc5Gr +PIN+5yLhfMiNv1NKmpM6uSjXrn6y5+DtkHYe4Grp0naXi9dD8Aw7+QMZdRIigWiI +03IX9ValnrE53UytogBg1iaSqY8WHjDAD3m1IP5mkBcaWuqzNq6VzCVot2Yz1cRt +3/cvVwpjbxlXL468IRhJVbFxrEs1k505jqRgVtPUnQp9A7Wu4GdYC7skoBUG9TNL +iOXU2uv4NqYOVI5TM8qDsnJsyWXLmmYtUQryHKD73N2niIMXXUn31Ueo97nTOYSX +1Q3CPEkCfCE6pOsTDC93q6xYlwhH6Kvc6s1i87CHwVErtXZUx9sEod1ZEIBSjlYt +QMTYKZ8eJC7ap2rB+PHYVCpUXNQBMNEAdM4/PGpcYQNNPGt3hm3RSXHBgYkf3EFs +ETIyeDCiDOeqtTpISLsFkfPq3WVFADVb+jN79RH5V9+ormcV92IiEs2rsc6et3+G +i3XvLNTPCDdoeHEO1p2w3r1M6LR/OeS3vdUmCGYUl5HbI7t9+p77qtp3rC9U1nNh +PanJ2RhcF4Nvl6+D1Y3Rz1ENNyuMrlnL1r24OXIoOnfk7s2mwuHp13+HE6KbeYp8 +Q/+txgT9I1G5KdXz5QG6a3kyIlNiUkDCvVWW1wXzESaj7Vts+Iv3eMm9+18BD9qg +A63AiCFrOPq7MNerOsi/zW/gz/STWT4W2KFpXRmzXnWn9243S76Ai5AhzsGDapLk +VomEC0YFfGpTFla69710qtxgqEJtBOWUqyJ3EptNW34D8eyI1CPdwpatbWRiQl/r +ZuzV5Pp1LX4Pofv7KRcSa2p9QBBZuFt2E0mBPU75CoPET7rPq1Dl+YQBPSk0mYb8 +E4FPrItQIO3SsPe3fsKGlq9ceEW1AQY5G9xNow6lh0JTN8RvgYxMwAk1c1szS+bn +W1IpvAYa2BNonEf5kJe0K6tuAXuMwJFkVEk5bvBxtdmEYN3j7sr+VSuFhsbyHAqn +3zImgr4lvtTQWmB7eCX2Pk0dHTZS50oaovSBim6z8aP8KPBUSLKZ/c7qNm+iFGvC +EWjf/Ncvr+fmmyYGKw30WMN2rO+jEabfoIadENGs2MT0BnSCr9pc1flC5Lorc4Lf +lBzExMaAFG+V8SCM2ZQyIg/WGKGdEyyYy81KbXNfbAuCp1rFaMsv2R6FqoQrTt6D +j00pNzZK7STurezRIaEtI4Xm93AmOV5MEMi4/2MsvHdnWNrB7Lno7TFvuVFZQ02O +vOKhhQ1GrOIGI86navD0Bh/KzN27mCqrJ6WshZG6cv5Y4WepoVnV/P8ArGAhb5z7 +UvtHdwrLw62iaPeswEgFKau5mVldfNLSLFqqH03jHQmpNyoltYaw0agWzTpDlgR7 +sdmrU0L3mgn/IQsi5Hz1HSMBsGDYwZ9CAFxneY0LEEjdw7K0UGt3PF2a6oSID8E1 +jMQK0wLnmlpVXEJMYYhhHKiNYLfgvA1tHWgfJ0C+RKjfmbTeTWXvSfdtaLCDp5xs +SyAHwRHTzJYX4kBe5YSGgHr4SL6BROBntnwMwPZKzTx3T8E3xaUtpbO/Rhgx4dzY +jy+v++A0OHuR+MSyzS2rif0eKSKE16L5vBHL5qiXNGIyMCYgz+IpIjs09B4lBf1h +8yqIHjg4QMHPalfXjAGf4vGL4WxKzDgEnTYOgDFt5UkQmlPUiEw1akT0qsWcsq5N +3mF7ZL55oQgKX/p9a/vwKrfSu0zS6vda9n7SY7btp6LG+WdFXCgF4NRTQqlAWnKu +32CCstrhAl6TwQPjhexZKKvpEQ6g1V1gIwqyhba0ah5LpT5Lnn4hOEeULbLx+0Xb +UKgYSDp3Ca8MyADlum8dLfYVuvQMsMkE2+kXzRoguxmREYTAdeR+NIKEx9wUnBzZ +U7n0OxiHzfoMzO7rHxcstEgJr0Ft7j2pR4qQiQQG5D6c3huRgAo3olwhxzb318Wg +Fq19S9SUM86CXvML3vIqLaencnwT38alGwSlyaO4sr9oEQrBqZk7HJRAMFTMFHFD +XAqupPa8u/fAaoNVqQfMcEgqz3GsC92dH0cqUZPGOAIMtf6AsYaOrv2XsZMEJVKx +B9isrLMqLpB0MXtKdiVcwMhChj/uV2BPV7M/BEOjJn1o5hDNJbgHDH+XtkB9Hho5 +sZhL7biemDaHXADdh9LZx976KqoiQBhsdoY5ikL/9p1yIbS7OYG7XC8ZMjAn7crS +hxz0RoSBYns9Wi8vCrgk8ebrUbP/giP0J5bEKZDuvBS3NQDGM0t6Qq/Uw9FOb20I +eO8KTZyjqB4gS9BJKNcnxHbOeKtlf26OaeE6UM0M+Uqn27J4yDtjezslY2kG1B8Y +wT1hh0TDbt8iv5WtK2CBY0l90SPuQP3VZSjy9eKYCTf4QhHH5uYQ5EIacgGJct8I +K1V/C9QJ3zxH8FjvL2Y0ZiIejgRAqaCxzL3+K/+tedp/55v7U5Dxw0mfuYfdZGoZ +BwV6vJyBDXA7rqJpw6NrzWvaqboVaqVOGh66z/5rvSQBOae9P92M/HsF1TsH2T+d +3IBH5YPiXoepxJ5GnL31D31a89T4yiT6KSnI2eWnhnTlGVzSQydUb+yYYD0twB7R +smSxNrjKAK4ekexxxlfns86KnSYmcCu1qO1/C8YZZS1Y1R6gj9cwTvxyHo8JyPTI +C621tHU/6vLLJt2BncKDSTpoKHv+ZULeB0RpJ2DPclQBCWHXVgYqdvPamuvJ1/+Y +mi8u5yFMWUkHfmxEN1bHjCGIpqJ0WGALy/xCeROB2LU6PLUffHUZXrp/KkbS0PGb +8W4PQHN6FIKfCbYJ229V4QiSIiXYiLMOKYl2XnW0zJ7bqslY0/Fw0LDGt8npzkKE +D9tHa/j+Rr2BGUIgTKS3Tp2s6RIJuIOdbYrAnbFY5Cut1vDmY5PdI/QbtGY17dUw +DzrHMfcK03a0m/B/CkN6CkNvt2Vn++YXo2w5X5BkIH5bqfva8s1Z7/GJOtOqA0Kr +Ue0N0JANddp2TXT3fs7Gl/D4ELjK1yHrgO0An+vMA8HgSXUT4PB9B/7bRiSNULCL +UORLrcc8u4sIRg0zQ2PHWqqyZlnNwJtTJ9ZoCln6OHckjfqbXbdOABhL8E45zd65 +XJtpcdasojiNkL5CGFJwWS3/tjQqLwwHGszq3dqYozdAfdUcSGLKVY7BhLWiMR/w +MD7J3fZJGuAKoACMx51nGxhB+nQTUUuB498QptJB6L6Txbf0tk5qAF1ApDvv51jq +wfGnWAfFy0P8EwG2n89//GauRGCPpYPrIm3WtpvTnVNfUmfrKRuAo/1dNOAujFaq +MAZoLwAbBdrgDpLMrOdACNqjE9GaSAUvBO8v30m1kRAILO3b0YhxMBgiINOKu2Ec +RD+wBYRqKuqy3kf4lZmG6Dfe5MAyngsIZIAou0yWSOSTlLgo2tlRvTTvKwtqdzja +orceKkiVzX8lyCk6eeRLT1SmAKOsNevDvt6//B3Znw6GssC0jcv7XXSiRXo8F8HE +LGys2O5CIt6vBZ4iAwMErIWO7ACHcll+/TjSvtUz5Uka4VY3+vnh5+QugthjSTSP +08uBgrWJOGtqaoRkTGPdf30ui9xEICYwo6SIZ4XsepwyCOdwk8XsBnhoU8Hd0Tf+ +e/916i6oJIYM0eSmfy4eqwOsx5irLr+CPg5yoDqYXlfK1YajZCxGYi/Dva7DSquF +mVbk4KkDb4afGPsE97p1mMjqK3kgvHO9KuweqHpPzaf87vqQiW7Tsbf069Kn/9qg +Z6UUodkXwMXq7NRVWpEVyBISo8U8PHozxeSFrlqnn4cWUzZ8R4wgTSbu+RVv9YwA +9qKGXn+McMCZKm4QAN3RfM/lHoTqTn3WP0NWZv6IxBkXyVlwopdk5crwgIGXnobO +YcMrf8Xnjp8N46lc//qZu5Ee8SCvh6voXzODRs08vEUdE3+GqvTVl/6RGQsJwhh2 +0MHxuQkBnLFnT/Vw7OCX5FJBewJIvnfDPnYCEbLj/Sleab3CppH+2slcFon1mMDI +yQI013EPff9e07CgUwMeO0t7ljadjGQuRe3bS/Z9JOZPIaE5qKtgvfO9BP+UtyCS +I5JKThev1wTaZgnY/akYS8YhJW4RwmaeOrYNREmH5n1KtxUD4jgpe2H1UnKIYy+K +i9k0A5y/ytnYquv7TV8eBnkV3+ptP0dAe3+gdHbyMR8qFYinrUm2pDtULRDR18wY +Mq5fEe8I2G4NrUNaEBkHHxOxXbvnPRB0RBLrvFi67Prbbt6hps6hq4sMTwwzmTjD +rOLWUbq5al+b0rYJ/CRICPev+nmEisQ8KLY6OPHmVHqK/OUY02m7GZJcAesBDZeJ +j17S+sdYvuvjrWKguU3weSIvgjAys7KhoOV+xJcPZrTC8u4JXbjSBDkhnkp1iR4f +9+ROIq5Tza/W0sbM1eSojL5LBvoGzUKx43Es/VUqpeuc5SG2qI99OxkrLxe6XdrC +Aw5QvgEB0cxmcz59NFPa1LcSvR0+FX+bnr7eVSoN3EXgpCrsap3YrWkbNmNywr8C +BDPBnByDyCHrM9wji7dRQIAfH5ws/eI3Ec1UnPf7BgvMxVkD5bZ3jvtBwyRKc9ge +o4rdpCIhbXQN4eo9EIoBlm0q0TppnMrsmyW9Xa3xU6r1W9gM1+w/Qgmxa7qSJGKc +r4Jd5nOT/V8Zv3EJkw3qlAY+2SVBLhi+MsvmEs0PjFF5+5vgGHynuUoN2kuV7LLK +J+WoxcMRVjIUJHXpwcVJPNKnSNpIqPkE/IOF0sXcfBiZfLN3zcnRPaDg+WoDSqWD +emCaLosc/fcF02E1gj+Plk1ZVkUmXFzW6I4L4GOrbTCDcc74yDsunTF91LmSTtql +rMCLksjrdUlGlIPhD2jm7fAYiCPYA/KDTUNtJ7kMg5ljb+8WnwsF51mjyb9tWIJj +Db3zF0GV71CuyxYYaxtXxik8WnkK19bvIfbPgDfrAR0DVEzrVJxgVs4O80A00cmd +5GxA0iIG/bTyYsXYM45gQFRJHTJGovhskVvm0VadU/6mMTElaE9CKfbq345B4O9H +x8NSdZCjuBxk1TnCTe8TUVpL5UYLgD5bX2LJvnglvn1Np6OeEY3TgrcmPQwfQv9x +r24qQhxwM6/2grCfTdOKa9V3wOO/8R5hL6SbgeXu0tL3XHOMbuN9XcX+OFBuz1xf +NKdWCt4SzSOIuahWsIofDduMhLku3J3rW05rGDr4QTwhmDuc7XLU15bq+nBKe4iw +nG/qNLbEc3ondBjotnr9zvTzmty8z0IyNJxLWOgpY1v2HWVonotD6PXQpk6UWx5m +uha43mNraeZzeJzQlgIy7SBYGy+bFUt9ogiFaWt25VambMpAs9MLzpSyClvTSK5Y +y7uaKNhre/90ZvQ9FtVRFBn3OPXjAN2OxCe7dMQBYLV+6H48v75dYz36e050yeXU +BOlEy1U+weJPNtJ+LEoalm+frh8gaX9xXVEWwi2JVO/d5NrzgPNWzOWasVbAh6+i +b8a/2W8NzuVq2KynDJMNyyzAm4pGaTktn7BlyK+WkHpD2iGTxZQ+o+b9dbf4Fbl1 +KA0wvPk4pDBZBoBsl8zNC1LC50/eewNJVWRvJIoQ2Msg1WnPBIW0CTtf/yMutCWr +g9X7ej7Wr0B+ktV6qVyqZlLSVV1LWKB+fdR2POJbxSZHPTdnLxf277KdDXsYsWFn +HSYOIJ3i01JwVYaaIs8KnflIB0f6Zr6n0odEn04JcrYK1sN9snnjrqIe+SqHedae +VP0l2/nCZnGeiQmnkYQCZVtBRsQD6ZP7igqL+D2vtKV0ftg0yxOdJYaUWaPVTgUM +1gQSeoXqZ8ia758/r0oLtIkqa3qalZs1zXqL3EUo5Ck3DgZhCJsgVR4ue2cl95SW +zxTuKLx5Rq2HMWNGPMRc3oOzAN3L/yN/ZHPu+QZFM/L81JjUCMznUtIwUPGuPjn9 +ynvX+raDwwuJ8wrEDNuyhR3DnwMvxFd3GBghNKa9pAeVYgc+J7kLqLa+V7Ngdp0V +HLfwa1wARKhUfN7aYv4BivVsMC4F+LvaZRuw1Stto58Rk74nDfV8WZ0y64ITgWqa +jDjcO8RROFW5TN5YKEcbIPhPlqxi1QAS6+nQ/pvI/i0pmYl39wHujc+c/OGnmvEi +bvA3R/BZu1UiqOPxTZKY8ToQnF4dWlwsGe13QhbNywsBZWIcXvcwXT86a3vI4ubS +wlwTxW50IMjlkfiyi2IAg3lfPrrbVke3XWHFmXIYPWtvnp6x5Ltin82ebQeUxve5 +JlQ3pfTL6uptrs/NFt3eFnoW70NFudvljE3UfbihQ/ibfsfxrFiSS3hqYz6G72lz +aR22zCa39ObRDHlcMRA1vYJwX+kJ45+7eXh/dXQYHeeOIMYvGQTv5OzhBKXVvERV +/3Nab1B14Fv0d3BKeP58chJkb1XSYD/WXICHg422Y2Ce6tll5nolTxrtRkkbeq6U +IgPLWxm9CSQ7r2UmVYYSZnE7mEbYzSYgGz2CwogsvB1zUGVbx4tTZyoOZKfMFDwe +bi1r2jkXLwYw5NxmFTY1p1x1ZCSJ8MTHxwCQXdHw60O7R7pvXcJ/PnpIJaa6rhel +yibsYy+66NL+gb6dKm/UFepKEGIfbKKfN/oZ4DyLxCCSQrykPfEeCgVgw4rMh6gM +S4idOUJV7Nb7Ijuy/zClutWffeTsxtKsmnudbVw4UbKaHbGhi/gkyw6SmGPSUYyg +AuT4nMYxntwgoorSRfU/YShcRE4wyrnER7lBpmOeePVTlN4q8JmaYIp8UchYRCiA +5sOP5frxeylBl7e5+jBbY5+pAw5K3jYmLVx1rj27Vbiv73vhuOLAIuUZN1SfYtgS +2aKxlFZ32UvyQBRJLGwPE0VbIzUgMt+0SW3es3XoJL4QYbOn92FuJFBHmyHz/tbS +nIip9V4pka3x52Z38UopQJXCdTWgFGyxygXHGvjMW8NiLszqm2/cQ0YCnHKT2rIe +fBRLLtO0zKwdtiOmjGRuEKfKMHXxF0TSPDAboIGxSA/oK8CrFozr/P0yUyrhN5KB +S+XeMmxDsCKNjUaPk4wecIl602BWel1s7LQOWEo8F3iyRXOn+ioW5elBtUIjIGVF +gJtG0LndPGSOtagogU8daZZKpgA5okKYXUC9caSXVBlVFtxLlSO3c7E1gI3++VSa +GYvPhOSIBpeYcgFGKGqXMQKgSbjPJnqm0Mmq9vKY2iU7skiz2sW2x3vcQg+GmHO+ +Kc7/oamhRiLAZlX5gaGJSyFBt+f8DonaBf+TLUJNhUPlm2vc3iGwkJWMbKG39xIU +9HvcyMzuayELtfPj4CF7fXcmvZnaMqcMJRFaAldj4vhetNJvwppjk5DXsLFsvuyJ +wValt32Aoz4POr1yZzouQWX33OHpKr3k6imKdeRxsXLecBDlmgNnDNoU2mpUbCKR +LX/UI2fkgqFxKSJXrBOymGOClF/tjAQ/rwtDR5lQHRVB8ZhbdTy7SxA3uTl1x7vI +E6bCj7IzOFUoQEJN4A+Zgw2QTBwPoUz0ahkoEqDrDTzCN5rIYDNsy3mX3TD8q5MR +vhCp8/vG2WRPzW8icsp0oWldaeyzn/xRNEZ0jUJNvsqeBoxfhMqRV3/QTgm/gsSk +UZd/bljvBzSOhehKt4aDK5GVUDbOy7Po3Z+ezZNtWWFiaq3tD9JTn54JrCSyYtQ4 +ud9C5fTsKsrGmQJwIufiJdm1EHIvvf7F39XdRlQcG7glp4BHtqDOKGOzoTGk6fub +Y5YxJ7nj2fzpSmH3cynPWInreQFdUIX9+2h8wZ0Ln/x7DRemmbEQS0ApBoqZ9xyx +qBB4Xb/ZYc/mPDo7MHAC+m1T/YrTDcZMwQS8eBSKGBzruOgSLngApJXIwexz/qHj +8jFi53mI8XvvzgRX6xb2nGT0RcmaJi1SiSdbf7zUty7nwHxTYmgsHI1fF13CtqBG +d/YDY6AbBYrTd3pYnfjtoLgRv3tlWur/XQI6oERlJ7msXAqds2ZsoxQ4DDQPyuR5 +hWYkzA+lZyOZYUA9qXCrxkIMg5vBaPfLCM8wHEN4awrl4a8vf1hPE6tFqAbFJwWO +MVw+crt78tQLXK3FwsZbb4XgO+U3VyNjaHDlajq7PQ4teQliZ2+FfZ0zHtEuod6u +nHEdXoM/0Gha4M5Ms+hTM+bnLK6ZxPNYvez+72SIqIynePJfJNRj2t9JwvkdyLmY +JcrZigraxJa13a2yh7P2F6j1yDFuQYNm1Avm1GFSvYZdgylY2ncj9TYCboUTs13o +Ei1qgG+69v9irC8io5fyvk+Y2jYLOda7jdbndrEfOmoM1EfPbttteRYJ0esDZpAH +rtLapyX5imAu9iA+lQNTO8Jv/w9KMC/9/vpw7vTI6bYR3bmxhV3e42/2uDMxQA64 +T3wflPW9kJyNxukPVF10TzaglN7NJ6c6xhJ+6ayfrbVsjAClEO/4X0+f+S+UJpFj +FFKaxTFh+M5HmLyy9oQNqOaRhEV7Vw/g/mkyLrzS3fERlgDeJ0DHTb4cKw4cYn7K +zZmk9H9DcNJF90hH6+NskzAousM/BX33ixcZqLT9UlDV4eh8lamZNButpilXS8J7 +ewNYeEQFme/Zz5mFlyf9Hib+0q+lC1ZqOPr019q/l1Wt00ZCXj+QhpXqv0dltE+i +pvmWBYHlmD6UNSHlxi7gk0kmbJOW+QakbeSP348EMWdrcey7L4iFZG/mT7fgWhWT +FSfAoqXxxtdZjOo9ew/0yVxl4PO5tsq5XOMUorzr7SPmS5rs5A0ZBCKXw7men3WX +qPrKbclQzCavkxV0TyLbXluwaxBPBXuUvqbEkjQxSqh6/Re8xshTaT/jUPH09gKi +F1lqWPhOMjQELFtHHMvPXzJdXMsXfus8U7cWopDjUrikRCxxmD5WdqMH9SBUB5f8 +iTpSu0iIFBftpjrqvnQuqJFaYXtzwpTb5Nfh7TvXHbyavlzXPDOGhLzZowFvtCVv +1yrj8emFEbSpdet+fC81o0bEjkmvBhNB9/PljxO/cRoWDR/c8mGssPHjxWfBeFrA +PqqFRYzne8av5ACYf2E2EU2VnO24c5xNRI2V8XJffoR23XxKgoLBFnzGMZTwuLPv +UqwXSWeeTSrqjBdogK/UNVT3NmF830wtnRmqDA9Fsq9VZ7axIhJv+MwxJ4MWhCfX +DBf2MZdaE15v0J7bvrae+mY5jFv9JCmJvdnVBfFb9BeJw9HF0z44m/sUHZGUY6tL +20i1mbgXdMXJBDOO4mD9IQ8py13E/ZX5PBkIZPx1Kqsrq65NqoiQc3z1jHV3W86l +nNMvH6hwYQSMidDPRFQvnFwLn3nH76UpZ/3AOFxJLE2yMB409SN1drUrX31u0E2l +7urpiHTzCNgzK/grPYTkq/C9uBolW1w0tnn8Hzx9Q/rk4huL0kPpK5Gd612KA87s +6AFfGHZ6nn/G/oX0iR31r6YYKPCn5wP/ofoUx5t2aTG39HAGrTFL5wX0z9/GnDMe +jzCXhJMZ1+T0qcztTl7l6u1oia+2Q4t24Vwt0lJXec598fxyFuAi0YbvG8Zu3YlG +wTUFoMqTVGXw7swiAaTtoGcrEiiB9etyXRAsxT+FanNvLFcZYxYUFpg8BqnOKSlf +qR3DFUlVOL1Sub4XQLR2MFMagGcfM8p8qCnJLg1WCUvuBaEWGlE+px1R3dbSP8Ms +p0vxpXRbRjZX37HeEgrIEwGCCHEcvT5NE4ILX1gW/vjnfij2JhHVLc6ThhljbQV7 +x0SzvyMBSgDoiMeIP8cdTiS3Os/iJ23lLAMNB85lIY8Ewc8WNuAXyJe2RkzehF/z +kkCRMPjJ28NLaTOutdVrAAkzmPqZBvl2aIRKM885Bw/UjzrhLl3MumflwdyMqqML +ok8/kaFoVbApF/gj8n+/9DxVmaTnoLrVz/PIv/FJmzRAwbkJczKsM8601tCqv3aZ +ZCMmJ7XxuSYPIH7/fGxLHb+lYycM8vYG0H7s0aRn8rA88F0KN0BYWI0dFJU/cLB6 +p2BS+GjVsHauc5UOPVIxCH2M/6K9bKgexACFEdVElHbupaVEj0vorsSkBIPYDhUI +3T/feNNPcft7yn5PiVtbItA3mHA34U9SKztN6Oqv2cmEMPR3Z5cxZf/RUfdr5qEq +RsGonPygdDydXI4qBkxERkzSo2MaxL2qXkrEeciBde1trT7QbdhGkB6D2LVRkpiO +1k3FvfpGGAKpRRdc2EdeW3zvjCfVkzjfGJybjbsefyv1Cm+/uj83m7NijYH2N94k +SIGWMkh5LNQy/QrG/Mj+6/sMVEWXKxYM6r9DoeLw1aUO0UflRbv2sLbhKv/JlhIV +qDTwXgWeNOEyvuMD6cAsDXnYCzxVabysOD/pi9S4WNQXiUaA/CkVwqKeToSBf9RA +LQ7BOKzcAAQfltzbWcbAG0yVcvjho7iDT/Gzuof4i7QB7NMJZCTr+Z2Tu4jhT7Ot +Pjra6RdER1J+yoJ7bl3mo5w0KVAhpF2ycFwQ4sgtxUN6MOP+sEuEz+EoLkt8RhZp +bmYGp2EFiINMIi70xSyOtB8YZK19B9LU5hVN0Ihd6ofjz81bKptmuJClrgY1t+me +QyFe0m/bvHSsESJ8hX7kTwZnoI2s1Qa0cu5Sa9YiIlNvAs6LYvJ6e9CvH2YpAysH +Q97TJPwk1PYKYMMk57cVt/iPBTTb5QxSzqVulUR54XQYkF15JHCkraAog3aCvUad +0fAtD5eZei1C93VutSmdUWCZk+uDsgQB8t+u/Jy6/gol1nBboqB35yRBwjqKM/KD +s9j8Hd71C1CvFktYiOjgAmAO65F+wrQXjrAGyNyb19K/5RJjOfCk4TGg6BUJUlWk +9m3uF60EM9wr8V3qT+1+zmf7a4gsVZ2Fv4zY5F6M9mEsi/Z9hLjAmqZOiiiqcJiP +xYqa0eedshh914fX+sJHSQ/yXoYdUbPHRIjAp6xRFutXHsWyEhH3rHEY0oeWRkFq +GJoADWAPrL6uo4Vj1qq731mzVKjE3RwrHKlKpEiSbHx2zutXe2tMNtSSBHOFqqGU +oLO+rjwLT4dOZPg8GNqyf/fOG1X1za5pfbpN8LiS1tK/l452K/phbK98Bvb45vd7 +ezvSqNCkBvQm57JbQi7W9XvLI5Wfk2D/L5RTQczV4W2hyS+EZo9977wGndkJi3KD +E+QuUiL24D2Vs7Zzo+lkWsmorI6KI4HhaGknulePw42D14v9FLJcm/S08xIjfxbK +3FMQpQdcpq6WbgxBUuXvq9hdaNO99WRS2ucAneVWxctqqLFHBBamLsA72p+tvE1q +TvA+EgB4RQ38K1322fFThGyO/QNBC49Nve/qikqsOe9TccKwIJbwwoB8C+SwBLTp +tPiln/At7zJMC0MP2p66tPWUfV78OCwoChqA3LhZi9YN7eq9AC3B5jPC+bapP7jZ +F/gUPPSC67tA/Bpcq4g9v1S2EhjTyjgreVxwm0AqPjaFlWWLm/IRb+RlsFa5Cy/t +QJLRsXEiORs7RrHFUCasHeHJTzOEWp6K691FxRTgPvvyUO/AviqD/JfGSYZTjrqa +IqORL4OHC2LKW9B/x1Z149d8x2fwFIaH52vXeJvonNqb6OHIywSplbqaRshUEtoD +SlH2qt7LiZMN7lgsKvnDYuu+xBGi2A+xiSjsUZDq+5VPkk764OtV2jt7/gU+VGVW +hJ98XYMz2Fl6X3AEk6tamxRcYt8NPwR5qHcEh8j9CY/GmuqnMNLYWXr5lr7XPqQM +hgmTBIITwlZNd43+olb9iwYV5jGXpoLpfhzjh6TiChpdVYLKFOdTSsZe23zWhlG7 +ERTFpMWQoQNIG/QlA1Z4rrGbKe3AqMlN1AeukQkP8GazK4blMotqKm9OYoox+NsZ +EcAvG/f0vcYOTDlh96o/iSlygZpmHhI9DTlgpQ6BKB3X+2nVJ7uqW0YxZX9E+mDq +LDOas27rAy/KSMRjDpo5Qnvz1lWH9H3wFMnzdTJW9+XFWbN7apj3A0bHmdfTQfNf +IvpXpbP8R8xFj5Ft2ePVUJVIRtmNUWYNGb5sHWTs8ATBvkNgMDVcE18omlmI8APD +wcWqb2DHAmw/aZulTUxh1otccZSEJEbpygJZTAfUzePRggzd2VAU9Q7VjJA3aO/S +sGqGWzcMgCuVcO10hZm7a/nqxJLnBkF2b41/s3ufV/iTE6CFPGajqL9DhEUYQ5dE +kfBPoGv9ildudrd6OVI64r08rxNC9uMZBBN3Dlr0YTuX/y23gZeZBB/vrEeVPtt7 +jl3gjUzL5/vgCGMz9FGnnbK5IX4G57yGUJAdxtxGo+g/Pr5dQ6up6sXv9lyWBlqm +r57RaI/60oLnZxeSgEz2gBM9ML7K28AAJ1e8TpeT+h8ToQTqmpf06REd8iZwuuV4 +qqOeqb3y5w8n5gIWpu2VWXAEEwEdQYLR60Ouhul0Cjg+uUbZ95QAJcLqFt/f2Ili +OFpL40U6dSk7xIbgAwaSS3fJ/CRjfaV5bV0T4IBvlL/HzVqdNd0+PMaRicnACBG2 +sIN+1JBFEgyF/kD0oPv4yXrp6d3cFN1bzXgC5fMwkeP6SN9hD3I4e0e1bAdhwAjF +dpr70OPruzw0iUGZ5TvvCE5znn8YxUPZfQffeKfG5sUHvqOOsubSKDnm4cjMduvo +SCuzYJMeS2Vc6XPjv4Azj6BT4Uc6pGDaGa3LfhTUS8h+uJw4LAqcHmWj9cBEGSUT +TSNziGGhbvLE/B+yN90rjLAJPJdBo3SH1YoUbpZW0qo1Y9FP6so+IzF5ttVn0v8/ +H8uTE60FJ1EnkdHTlkFL7Bf9waIZx8l/EN6aL/LgkcyMeiCOjl293r+ovQF0iePq +DGac3wCRtk0VRSaBy6cTVN8f0jJGt0UrmqjXSnBmknMCqDmqyJHTjXxiJ7sp0QqP +j4t4iaCqa9mTy3S22dnK+JdjPAVjRdoNyO6vMIpvo9ihrVmN+jacKN5Ga8D77Crl +OdV1tuvOMFoNco0mCkHy7YRzBDqjdyQDxZ/azlvDlH0fJQh3PesRZ+/IduhEiIJN +4o00zNyoBzqOschxxE37b07uFscHqZg41MZ/jD0Yav4xC9H2oBuKpcDJMotBlCOc +0VfnDqrNKv1QnRKNKc7bzbSQ1K7HA653FiX28Au1hWuoGOLfV3O68z8La4UDjS3O +WSnxrfg3D4RHPCY4ay9X8mY4FwcjpvOHuU4yFqCz8Kgs5OEXld7qPrGu++s4X431 +APSsEJHyD36Z9e3Q1mJLz4cDZ/4gzwyMFqYNy1YtqcIwuK70uognDep/TC+qE8/B +N3B/Ad70Yff4wOwwoTZAvAdLK2JpVPTBaUUILJ4vkwJZbdeQLXrscTgIFIWu6rj5 +QSXOkW3XsMyrSXoQR7H3nLaGcfoSnlfY96HHQeB/hrZW7z3kooCGMlsj1dCwLoox +iP7hjTgGe+t4EhEMhZ0PhpNo6vbkWi2haxD8lgBxnjJAYyF51t+Qc2XgDC4fvDpt +0ecKiuM4GOc7G+mqVlNdY9Aa0eYT2W01M1iGNfdmaOO3yBF86i1q80NJcUcDkgRw +Kqr3ETKjah1au27sclx0P8T2iRxfoa0Pk3vRBic44cnJ30ddYUjLk9L5rzVMgUgp +24GvpSPSjx8JHMx5FMP8STvJWgpstA9R7Ckx4VO0g7dfHnqQJihpu1CgkL5w2svU +Oh4hoEkqScH4Q7m8iMEOZGe5nfh2iwjva87p6r2jWHc9iBAHKHLrxBHiW7LUagge +S4+Pa74zsYe847AW98xM9tGaLEx2HMFk/cP9zAlp+5C5ShexthqWp/FJZ+37H/oJ +h4kyuyy7Wv5izVNA/cbpAlBW0Knfz6lkrWdWD0z5MZ+8+JSzMIsAAjDFKH+wlqf7 +xTVsIr80JLDJsuOc7Pj2xSLgPuYiZpVYOWvh23TSDGZOIJZtefsAKrIm5dzU1uV1 +jEQ9nyKTjcteHBttD9EZQsqkvyVChbKRUO02EE4qTaVpB8VH8p9AQx0iH0w7xDrw +jAYRFOShfZloHntYt9w8etlizm+iR4zYHo4opLCRFONVDahODzaKT14v2M6QYgbb +pc8LbYDBxp+eW9DpyLGlGyoYhxYraO1o6NZz+V9ctW+SBO1wKqGpDF08zB8HxcNx +gEhvS1xjbHU/cFeA1huTb/G5rjOIJCLaNulk219okRmz037CxDpcxAFDgb4ZA+MC +PoC9y3NOg9Xtbcuu6v+jzcWIvMZdnsHTeapHKNzS93M5GdIUJ9GOz28wrEnIcVkZ +TZRCc1mxXofBmW+39XTW5LtdViyTe8+u/gzKqGLOXgng/1r34g9cQmAsNY11tuP8 +gn5bh73CMxYnEoWum5zRTebLJnmM5QuRxa3S5LECsPOfF/iskRnTM7dtqEMCvI38 +86ZChi+ZFswxNaNx358kZSki9ysIiO7EMaTAVLNq1I+oE2+SQ6D3XtEAPEs6/dmG +gyqGoJNwVx48eguiT/H2I3ZBVKsCMnpBQLJie6Sw3PEgokQ8pspsGdaVEUwbu+xP +b8JhQHyhztXvFqaZuaE7Hr05kCIZ1puAQ8CVarX6cILMxwmIKJgBouVI4o3U1Nx2 +dRqYKCGDFguvePPLlVl+kcQDcsZjRfpqttx9SLgl9AXIsg1JrUSWJQrf7U8xC72N ++WBCBIKxWeEvdn0JaPcGswLCz7y3tpDtVDIexelRS+Dyc4XonEmMv7pCGNwTz1Sv +AKXodwgB1+dGpTJwI8Zx6jaN8EVcR0m9gUPxXWD1jzrxBLrVor3ondet9JwFWWDg +DdQ6YSLvxbttHt1BDYNbuYXLab4o2j7LJsO6J3hxVzPG24TaPPE437KozFMOSrt+ +pAx6UstzhAfOnI4rfO9DT+vcZ1IFmnWxAUrXUtYhJITvoV54ryU0eJTzaMmUIkvi +Xj2CQQLFzxmmCPawJ21CyWB3oZK02uQEtLEolJP/TU7nZDWE27huRUs6dchuI+o2 +D4GGOVBySI+YIEc3lOOBjA1ogQsTRahT5vffg/QMgOQxKRxqW38+4QIxgqOAuNxh +eoGHbxnyEQFUe4GTRbD7kQErqUi9Ste7qEQpHOIQjElnYYHRVZMcYF40W2gZYitm +ycP95Y/RzRKN6Y/fpSIRGNqYJGMGyVoYCq7tqW4lmGqrfNbJaSiVE+WdvmT5FtVR +vF3qBT6LkizYJSBmiO76GpcZu2Dmp5wFxFiM0eCFIakBKh0DZqIWvqFDts0J+ufi +3wLGdQLNSamA0KghNx1vX8cTY3YkGQWPg/81bWzjdGU5P4k9JZ7B0rjKifVb8qSb +IlG/HwCm3b+6EtiHLQJzA/1TVN5xsVa6uNOIE/3rhR6pIFmjwSn9KDlVMCHrtTMJ +RkNBCE8w1IaZvtrdr1TzZbihAxBHcbQg294qIP5bnNRktj77ZiQHSIoiLtAwcDZt +JnioQVxQRFMKGU055/hydAgxsRjJRyRiFeMeWFT6rhVdc2le37J+7M29PXcplkBq +wQT3Vv2OiUca3dHxAag4mz+0JOZLPnDBl7+vYaS7AE7wnNsAz32SKmAl02gEzuXi +w9uQYFRYZji3iLQ9AChH8tpr4D+zuKZWC9GMgth5f6eVqY6yVSaHKr0sfG5RUryw +8bM7Ez/AG07Ws/6hvl+A9ocwNE1WTCg2Fc7zfPSGE6fdNiJ9Ia/FTEMESJvFWxBr +2jsP3k7bjyyXyxJttVTPFtZXZ0FxpG14iN3X/ppdycxw+RDj62IbWQcwgkfJup/A +MY6noCn1w8kPB0mriXyGAUrGLvtDMP8cwOQ24PI7XNYZ96wQMb1m1VY3UXiXpkWT +8H8ntZLP36wU4UY//q/h1c+Y6+KEstY20KaK914TU3WSJAbhJIWcNCGZl6600WuK +zTgyb8F5DYVDxW4ohoUmTTUwkyYt4vjCTlBw0KZBeRy7P0yIKCeXmrx8BYIrS5Je +LeL1RgWPCPf0zd/f/am9O95wx4ATnJFhGvuPEAGqAOxZgN6IQrhpPBK7GuLY00SQ +EjbzTc0C64laT+6U7Timkb7cZ/elKuKxKWvqjyAED7yaOeODFn8x7Pu2994iT2d1 +ugL81XB3M3GpdzH2P0E+qMIO0yhIR5ThI5S8aO304b5j5ZFWJUlT8BaspWPrOpG7 +r73GNU6oORruAX+Pp/ztr+ucQGJvKcfkwASzwj46h9yFgki3DWe2NTrbFKGwDcme +b82Xzd8SGBHXG1rW9Ex4gCoQkqmcpRroPP6VgRb3L87XFO7mLRoYRBAoypVhoC5u +0cp+mcyQEPYUN0pvWlpZA4y7tvJGnpPZWpK8bsl36EpZjefQbqzE00+seAu3xfw0 +SxUF/Sc5Q3D252X1KuY0WXKtrRxO16/ii8Jc2Q1PlQ2Qxz9+VFlDmZAaMzP9z3+x +k2Cklpg5PfFuzRpmQHPkFUs258pyDh+kE3EHUHAJTyY9m83QOmdWWuuRwSN/Gn4H +Ij7EeaDY+43sbLR6peOCh2N3drwTVxr+9yTlH9P8TlcmP+FSsjv5dtcraiHm9pC+ +SKy/2tDQ77y+Fkc+cLrKLad4XZXPdBS43cAxpfM+sJ8yFiSXukmPp+iAVqv/PsOT +zF7LaMhWCOo4hRVa5uW46xcTjMNBL/kKbI56Lngjm9TjmX/kE+MBLFn4pGhmOoEp +KZDgIoOcdO7x934gH1T2WpxXA1jdQmBvAblG9UknzXRKbMPD/ytr6xQiguAcwOVp +Ss942/scIVAmar8+x0riHxLxtmaGW0KzZeeoxkKDWuFK/D7/bRVxX8/kTphBFlpS +95Q4aUXdUcVYiZ3Cn5GdCbIAF03ev7uFfCbabfp/NfsRO1p9F6+i8sigrO6H0z5h +j7kfuYzEXqqMI6N5WbwhFyQQ4fmD6VlPXlpnTuGh/UPKoC8wj0GIERg9imLNu/DA +ZhOIyrB+6yNOVynFAg7hp8L0NtXLXulbd876f9t3COv0umuI0TARmYspm8EtAATU +ewczoWzAFqg3dZC9SdWJU9fmpv/GxbY9XBUijl+dxrFBsHYop8WxnnM33jI1bNyS +TfNC/MAooYzATHVPYfR4kQhsXe01ueVmIxX6USC8k5tB80aBJfRBnPpoNlEcwDw0 +v+n6V8x9F/aDlUkiBEAM5I4JYT/VSz/3oXzLaGAynpmQSv+FnUgA8Y1U//dYh34C +7dsz2NsZFpGm5Xq5L8PaOfVm6NnpDwr9PZ2ZSHokizD+AQUPZC9eqhKpOtT+p0ha +8MtzqqAQAL5jIl/jW5NRh1qvSb8bryokSMkH1LQYLAoDZVRNxkXYoDaBnltpJNr0 +tpthbdSry4YCViDi3QI+H6NlxpCn91HfMjwzvTFyCtx7c1XArqgiDYXbkXMUyxOo +MitjynQ4IC354r+XDkYtOML7g2Myu9oWS0ZjM4wZH7dT5XvqBrprqzs058jM//BA +NaE9WAqG9cGMFeA0UyRN+kOKS81EcRpVbRZ54RKvR0PX9HnsrigsmHmofHyUgl+5 +e6F/wDoQLlMFbJ2M5j1ciCByopze/h8ovHtptgq7NAFbVMEE/dDI8p2QEezc583O +cU+gGRaHgfpAf9Ap7R41N1BHcwAVP6icqFy/nQ+DknwNVYYLwVixO/2uW6iX/5Hy +Ci1waD2lxXya/A20wFkqZaJtT/1KFZMAPJq3TihTgUVdIwEcTs9wgyxpSRWC8hO+ +SH53d1/8CFIIRcLAsM6LUrx9yylsRm4TZ9e+E9mE3oIFuciZ3e8xaHIA8Q81KeY1 +c9LZL6K6cmcZo0ToARcqLIqc+Grh9k2ga5Ux964YV7CJ0tgSZiqSeegF959KuSAy +g2WleYKu20SvM4S9ovUYiw8BrPYLLWzHdJOaf7wSVvjApUF3sRMQ5u7f6qNW3hcg +LuY5YW8TfkrWpFUyzFgCCZePXpMwghtMBmIOAtmKtl8EVzmU3T+UPlQ+xXYbEdbo +PyKdgTltMKuz0BDeYJYeHK/9/TBjQ0mxo/tRgEZRhlvvUYirOXo409SuTB2TBq2A +Fp0VBIwcc3LQ/+mU0Ug/YOPdUQbTQI6uWp+6s7MeAy6egfGFCyo2HaZ+yjoQs9Uz +BZT5mjBck1W+okFUwv0cM3zNLYgOOiZrtAqcQjBmCBMi3Ys+CnkxhWuyN5MECl7N +y78YKMTteNcgXWi2c+y90Mur5z+jmGZlNss1WvyVc1DrOPlPtvgRGJb69Y8xET+X +xNDYICphH9t5gp86I0G1L93CNz0BS7ROMxM0kzZOp4ROeP2mLZLD+iTH4GN3IMP7 +5ONsH5pcxTsFqlBcdQQKs5R0EAGNyL52WrtAPwwdH5PRJe0vZ2Pba5eCYHbo+bs1 +lF0+Hqb/KVWxfhbfxjlOnzTU20UgX5ju5vvTr+u9Rp1ielKfW6KYVlNSqls/pXPB +//LseP/akMmV5OLo5eZSmDqcT3s8u0qIYxDLTBBggtZg0B/nyt7yvXnGkOVveRlw +XsUd0y9Hp+rpUsuyOX2+iIRbpQAnelzqTMiD1PmJLe0PvogZRF0FA+uh3UfVkZzf +q98Wi80fhBSuvPAGRU/ULY3aTeJ2ME/DbhSpdhrBnUZ+ubh4oSR76gpxkYNmEaNI +/0C/SvJm46EL57X3SeSAxKn0E6VSUt71R+owqb6SzTeiKk8fXY2COgsJKwPa1kRS +LTUvVB1iE8J4hLSSP1F8ptJCxu6nJ41vSYK/QsHJahGHPR+mBPQuQKQp1kS3wMaL +lCUgmP67fQcZLf9SBzVgRe8jesheJOKqtGRmH/ZJzOa1rSCBc5CkatNptWwoQCEh +5ku9OND8LC693Ztcv2CVoOPex/pOoqohP/PfI3G70ieUfyzKVlvpQ7GNd6g0VgL6 +HP2DbhlJJ979AJzZN3LQ1sOzbgi38pN0CXqoOpeIZP+wwusE8v40NoKVs2KVGbny +AR3e0WnicXHSQfhnFKvUhg5Q00L8ZEd/8vEVbhB+GU9XKJ+DOlDFZYouj1GQZkFF +PvfeWSmtzkkSYzTHwQqyHMBqy5xGjbA8GfnlSsdlMYo16yRRArw7TYnvisdif4+J +3FLNKtG+lK0avMo+KlgIkPkCPBE71pMtKfZnesp6OB2ICcWDQEegYr7C+Er6dUvI +jYZJFFdvF92cIDQaRz3U3O7IRXKbU+t+VivofWQndMCh9kii3y/o4CBP+EcyWD7Y +tq0M95YdfBXJp5zWWjWS8vUN950ylRx8ytgToCWapPY6hXdlnBFysRUJIBRxQIpw +hyZvvhaZc5FbSeiNhNcEc7zNp0KQpihxl1LolAZPXx45e8ATm71Ne8QAP9x11Dwf +ZoIg/tUsIOlTe3qToKi7yIY/Wt+vtdi/wDmS0T516yHWzayF5xVza6+xD+vHyu63 +Lo1qP0u8E9Gmc24D8X0ldVnJm1SuT/e2iCpQbAkGLZyZvLM6auPUpLbWCgRbJYLj +6qgDW/GlNs8f7Tsxg0DJViNI3ydZ6g/HTdL51ZDBwPCiEY+d5FWKAqb3JsvGaRyZ +pOJrDiNzBop3/wTqTGpln+O4PDjYbrfkRfPUhab7aGOjgc8HJwtAwOBcd5m+W06U +QIlYKbtQnoyxXDu8Fs61aX0rZEqVPINAwS++9A3WYzi/fTUke6DE5ih5zCrVx9vV +yAbYGOyvE+MyOhvM29+RdEYx2cKxdyAO9+XoqYExNBNmkmACnzMmiXqwEmRfVF9W +XckEKrqQsm0J4IN+FZY8G7qx9t2NjOXnmsAqqwx66MIvKnnhoElt6Hj+v4PZrNfX +xVu8BL9VfROTccRox1wGgPFNEmY2RTDZ6VEqp/20DMn2HG+d9RSf5Nn0YnBXaoED +ftTBS8wmNoehbuc4r6V24MBsO+vAAoiIP79H+djjuKRtpf2gE+5+IbdL4755HDYQ +7p0sJP61H/Rp2isJ/EvA2o8H99M7kPDjSrDhLQNBZ6gGoo6eAzA2n5SQKYl9rVuO +4pZy45W5TyqBb3cyDCKAnYmTDYkVXlj+41b6dLRkmwcpkKD3rWqHmo+DV0YZ9NiI +tr7zhMf6SorSZe3qUQr2c2ZHIWIOl+criyib1bp/UXM8MPsgAFEr+gxtlrgpAUBY +1ClGFLcBVWwSG/UfaUUgLy+1FQ8SJxuqghG94XkE0QrxmqkRB++3FpHsb4wYWLOi +OD4Qxeehnp02eZ8BloMSwUbNm8Y9gd5XeiRy0NygeRatTybEexkpsjw4t6tIgeK2 +M2sePNy4XrkwxYemz6NseUDFVeJjPeRJCzKAPN3LKJ6fnty+rUeJwJ/Y/vBZWb9r +85Ng56eZwbscMc8NFH7l1qL5ZmYFEnqxpKRQ6Zaqt17/b3Cp/JjBRe6OBWTmcs+J +gk6B5dvXDkykMF0+81YCyLVxxsnBxiTUc6qAlns99kUfDt/HCqjDaPaKiKTjPFxA +P23GRBtEmm4JAU05jQPdebcT0w4TRveotf4ZJ7NJrHIC+Mi+XOTzDcjWP7boNnyU +nbynBFApG2B2ki8EY7cyCGlNh1V3/7Nu+ecnGyvjbY0HA0Bkc11iqE5BfNp97wiF +DMNEDY5MZyWFmMqf7GCNitSvGv37qmMkeVBb+Qq9Q8OCWkCaNeT/fQV0ZnP+svVU +esfjZUfZK9lZdIR9Y0Vp0cPt7TG6A5LQq431gG/J12j9ZcVRWB2ViiBgtDwrosYM +3zoKYxC9UPBu1NhVQ5y0J4A/pICJ2l84o70HDybkQl3PxeuAF41zWOcTPqtikyu/ +2w0CBq1pzZA/AMq93Aw62JJvUFBLJMmmczarJHXgwRGvSw935qAsMrRtHhL7PXxT +R7VmoG4CJxvJy0XsHTqUmf1WVZZyCPDnEq3js6kQ77X65prlxjUeaGQI0JCTrywi +Birs7pI9yqjr/NO1YKr+wk74hUOWpviX0eL6yIlX+K40BP+atwL5PbZHvByf79RU +FWy+FAdlRkbf3cA74QUxVkx+Xpzpyaph/HDXpi4og9J0+3YvDc1KWy/dd44if/dy +maHQrM7Wc4BCWnSQ14tmYeA7S+v99+dCQKctxg/gLfcB7Dw4gJ31jmV4U9pzjQsm +uLn76aSFYLherFvIvsM6UTP8rxhpjJ1H0UVElTOhabd/p8quzolGQX2OuJ0YhHnw +ZWA/nLZO/u+9IA1VDH3g0ZMmxDr9xIUx9fQhflU0QuuRLVinlrsbY5eYqCeF/RPB +Vq3gDTdbxJombft8EYSfxCVRWdm+XZsTeixSINDwYpQd/Z1V/dSUUwuN7SB79LWA +6qkI6Jth9R19seHMkWY46RorhyQKEF15zWf+2NlVknPZUDytH81nk5KFkrv929Xr +L2/7/Ifpx6PR5R+cH2F1xNvYpX8hUf2huowfusYvOoF0w2l9PxMrlHcpWBvkUeeJ +pWPEgz+zqyr6keJfZjiQZy2GR3GlyiCTqYMMSQ4LcLVVcyYhlPjg13CaSeox+z4A +mnBfsIMqhFur7ZuUNuYr0+9uN4EXZZmUEvi0IUQTEibAK5ucxx6VQyGOXf1jfXmo +qohehuIERebhzgzr9RVpzDhP9L2BvP7tH7SFQgS6yDfsbd3C4cXTiaIQCxNvLBx6 +E9uFI5XJGCu9jnVc/SEEAArTJ4VCjJNBAQt+8e49DqO+/W4jqTayDDm3faRK00rI +eWJdAr7eW3W9Q9/LsWYM0qABv/vc6QH985Ycal07VwjCYcaktih2oAFhWlBaz9c6 +CJmS46s+cOF6GYeN1KJ7LnHxXPgKD70+x7JYLgZZSLPfNg5YaikzKAtOWYiugPdA +cNfYA/w5E+reVjNqrmTDfk/NaMTEniwEpUOgNZdgG+fBvIAbWvxVBEctBYsp5xaY +LUkD8QNTHQpOv/o5LJRF/uItPixPGmIQdDSbDZ06IMsRK97tlQvcRfnbFAPNq/a5 +3Z5GjfFt3aQ0WKkrSDjgsbfqprxGojV2+TZoVGFY0N/Znzcw8ZpTwna+AT/nfSup +yw7TUJ0NVyS61vcvdx8YW75PGMbWdrf3kCIzC9kJgukWy112RpXkupLBqioXfKBv +K6rFAUhEYUBctzyUDucYTcMZMzEbzVemq08xvLvZ9HNijO3KC44Q8ws2lKbGVxrp +OxZkcAMXG4EOeNzQxmP9rkwQVxezIMg8q/EkardU/sFvPzw+WF+sczAnaNjhSKLs +b0KZp43pqMsVxj85RwuW9vd0itapLxOvOkVo2ttmEP+XuMnLakIWJDZoTZKSqk56 +M2xRBEY3o5OJtDm9El3xQ/ctF+QX0kds1oxJrjGr1qSSExOVwOBbLE4lCEP3TjpV +Wdu4PpfURYri9kufT1eHV8EWH7WXAgtAZ1rlxJoOLy102aoX3dus8SHk1+kHU19U +7ejkppct4S9OtsMK+vuulZVDfcu3mHWQ0Q6ZtzJsQo9JSDJbh7LmKKRBZhnVGBgS +1vfyZwECCVTlFckRej/jiJ89RkmHV0b7DAaS7BvAgcGHlf3oLgezxvNQ1pP+TQE9 +fFsJQFZ4VqhTQeGICORQBd//gdIoefZr+o51h8ugcAJvsonv+bOZ6AjYni3W4B5t +OO2Wzs0bzuloeaG4NWEIsjawiyYdx8IprWIAAodolZ9HGYyiY38L6pD23AaWZors +g72GJUB3YUVxWrnhGOLATMEHsI4pk4KKW4xo3euZ6T0YnX7wdhVpCaGprI98+hzL +loqy6AYVyjv9LKorHSY5DJ4QNo39EYyEs7eUPTdxSklyO5qUpXof/WOBuIVwh6Th +bbycFodIidGVpymWZFyQoTsL7wTCBQa6t03zjq05ikmMgGHJ25qPpqIg67fmoxds +Hj0JSQ9WPGqVFCBwVRTVS2BY+CtgK0/eeg3klsG6LKEwl35FS1z/AijRGNA8Flz8 +Wv2I+x/FkKgWgLBBYDHQky1oZW2JIMspLz0Ka1mDIi2OrVQnmLCFeiO7XUsk6JKV +j92cU1PzePgWiYZepVmtDQF9LCd6oAhn3gLsag3KolyzKu1ZdhYM2J67FoqyQIn4 +wwlAgbF/kjVRLKNbyG8b+oGYQsJNMuqn4niI8rUa/mSxFmurXGbwjABbNWr2DHT7 +fIc4TsicXj5ewY5Pt5NkhbEHyPLJYWsHjR6oX/i5P0L7madcVz7Fa64it8qh+YLK +QKVBmB9Q4v0xoO3EXM+wwDQG06qU7/AyL6oHcdYBl9Pyb9fM/InkbZ5xQkRKxKvR +YowMfVbA5yObok/4jZ9BBxsg3kSmXpjEH6EED96t5ImD/7Au04nKj/9+YQkzUbY6 +a4KzHwp/2MP3HtteG+g6PsNF02J3HKY8uPJnzqtzkgzDQhX1TFe8Q9hipNl7q6Hu +I/vm7E8IOXYEI2JTs0cihyoRmWsNgKm9Gt/IqqutO4VUzOSEYn9Oi9Be9vCfnP/x +AEFmwPN2CxZWscR8/SPyIoD2J4vCrPc/UDXozz8E2mXcmgQ0CGLfDCeIhMd9X+iZ +303g6zupJmlYbqFjopZdxTr9Kp12w7vCuhfbYblhe51FdgQ/vrq2ogXQ2w9Pe30S +su5KVxh8TKL4f1h3q+HwgFuAKbExJ8dJ1UhIaEEECciR7aU9n++ABaQnczc4G/Jt +gcUmI7Uxrr9aUtc6ZbSlfwy/leszCe27jNkeNetjpTdwUkuhcvMUe0Vs8m+iXVoV +4TAON3k7ZpwvH/yyhMDhXuzMpjy8KlCsjBoIpFsC84GQ7hrKK/vZ9fBQp6PScACH +XdxfiWaxAaA09p0yuSYh0XoPedrmJ7R/wjbPy5k510A7RMukxksizYkpxUbVBT0Z +IiXUK9pO7jUNLjsmM9s9i6ADrTaiCRAP2NsBhWc99Zn9bn/R9W75LSmrCtpch60l +J7JgU8BGsP9en9ag5TK70wDUQGJN9FQzI5xl/pehBTTNATroSUhjL5ueDzhE0Vbv +X0glg8p922ELPB93GMm222C3eaNCFvF5IuIoUzH2RG+g/bb6dDmjcfK43Td73yNS +WuHzBSlgx14nt0PUbsAPpzqfotMNXDJP2TCzTJmNa/QHFmtLeG4E/zoB3MYPX9WC +vBOW2B4VkzS2qLCeGbsR8qgbGbMe1+CPfWFHL9TdKyympDsHNN9cotOWwGI9Vxq2 +5ul1i940EPULjDw2LyGsliQVOwwW86TWNoq9Qzp+7BwJPPrQARY+w/oGhaQRGSlE +G6QFSri9wPvnfW731RtWwDdNN1aTX0VM4Y3NY7GyKJUphUStH9BypnhTj+smRFGy +FokxS3UJGi5et7vvnyzQwUQboUlyVbu6UVY86mr6/J1mQeFnsCgfSVmZXpj0wmTF +mst4BQxQbdG62s9Df8ph+8M1kK/lTtGAdwu0lRD67jJmLrvlXpbiY6uuC313yC7i +p8y+allxHBRM6S+APZ85bzMh8H61Ab98sGR0d85NyqHfoWlCncRPhDzgkzqdDEdx +/r0BcFfWnBb3qCE+90PAlHkII1L2ReYNgqbaA3A/csbPELQ0PQ5+26FYwvNL0gwA +oAasorP77K+IHmZwUElMuWuOMkkovaH7pOKwBZP14ejIkUWEFA8ctf5puBiY4Wu0 +aS9uK08Gdw/BtEa7ekqwJ9ttGKRfSmuJzh13leNhWVRvUQVMiYDA3nm5uk9i0q/o +VX1UYe1piiGNUyZIUTiZkrXijRUrojDPuKyHnHIZZ7zDdvzag7wIiXnlHxSq1POj +V6R5jPlpG0ph/SyhcBdR2FQgd1pTgDpswFs4uYo+z5FpITUOtkRBz465bWbLBdag +aEJhAr5tOUAhPjhzSFN/+RiXrB9FUj/GrHT0vizpgVdBEd7GtnEQk8eHk3kkpeqU +amhnkna3n5CWpcrAwyuoYrhehpJmTbjMcvkn6ET3kGIwTCmlw06xP9yEDjz/o7M+ +apudDrMMkhMlAqQCYFd+6SR+3R2YLVyfrMCwRhipab7YpeRdn7LK9qT+PwD47hOW +tmDFhPbK6ma2IHNvBkT0npe4LVyqb0OVTY2oDHEzCwY1hBHwuLibC8NBKeUtW3I8 +NElzHLL6ZNJ+hs7ddGwlPV9/VbBHWdaWonE3hjzPfTvIqP2Om/NLoue1uO7Fnz/S +IaKRCSD6uRFX9oT1smV1y9fsZm+NnlW/+ldlw80mN/W7KC/QVRZ5yzmbUo4vJVm9 +uCpIa/ylB4b8lncjH9T4RAq9cA0nIEimZQEqYusbvgWSswwwxlNRAmQ0sNcet2Ss +RuLGWRpEdrquqQosbTc7u/IeXkaJef3vfXGDYCGUOXaEAdJsfu2DzFbtGrK7ZlV+ +wropixxGum647pBtVEgCmNz48cNxzXaJ47GoJWliSbg0X+auPy9l5uGXhE4Jz4ZU +Wqm8jUvb199jEZ8koBIfexbmPUQHQwAithrWmYMaNTjMiYX1GUaqPmfUNWX3JLww +TRd78Js6G5II3BNf3s941aelzcOLvvwDjoczrQok1PnkhEfRnIyQSQjsZUsg3Df4 ++b3yZWFxud0NCECXnhrHq3kClBhXHlXmOQkvPIkb+QEKm390G2e65GepTtPKUUUx +PYjmGCBviye5YvHEV+jmB8+El+mLrCWSVrtkwwdTxZH3ak0cMG7nZrMds/q7FDrv ++vp7QjH4W8iMRHOk9+84xlzDNa7PYcijeWzziTEV4SMAXBVsLHJZtjU7vp6s/dLL +yJATNQMu5qgPqTvmrlKJh/oEOu2tM/AwIgzFKEi9ciy1Yc8NxSJNYJEdslqmf4IP +QVyqNrJBkikvyicM5tIv1MmTXXbnYL3I2Dm7EFNev+bO85S8hxAyNdEc0Itk66Yq +dOjMrhKx0hEJxDHh+C0A06p9NgsUH0Gyyx3kN3B7LptV8fycqxWQqq8Ab3UDF5eo +9mRcIPjbp1OVbGTLj3cvMDCrCCwPtXfm6JrDjMaJF2ceU4AdY8NcmcEjvflfJ17B +5JtEeFpPKgY+y25jQH94powTl95N5QimcDWneWiB+E9Goybh9XBIQnRwtpKCwNZt +uG9iV6TUVyw81c1gA0Bf5Lu4eZ0S08mhtlLNseEaeXsCoSrPMYZ8vYHlw3LLdhWL +TmDe9zBi7SK3n0Vx3Zb3bNToGoSP7T8xhMQVnM2scqn+l9PxWrfnEV889lUT/x/I +5Obo2YzmzFhPCd3hOQRVL/3OVOZ9HwO8DmUDgdsU4JsyP9B/89c5Dx3yR52Vhe3q +oYmfMTKLoXw6yKLYf97gLJqVXvg8FYx2z/TPbmvzGb5vovSfTnw93UtleOUftn0v +nZRDYIRJJlpECPQ8Ay08xfaOWKqc/h8Eki6zUjU//XgV4cLvo3S5DggUJSZNypfo +iYGysswi0F06OlvhnnD//AOHs0yFpCziUI3Isck422rteHky3pXdWbMT7q0fBlXH +1C4yx9SanSsqbZWCFMbwAPkpHkEYrUeECgPqZC73Gg7Qs3Za+O2xONrH/rfey5aj ++3UUQ1aS9CFu8XDlCqlWZmspv61y39Xdv/YxPWkqI0N1hA5YE1RyuRSeeuXEBy+6 +gf4WJGVwFIEv9MhNCS2YisZnFZbKZnDmBpTI5aWqBJcKfsD/IUX9o3gD4L1IMMGn +5AkwWf46nVmBE46TreYJMQtIsjpD2eR9Q4NVpvOyBbq/BrNMvVaz2gYSLqGdAEFW +lr5vFsP2ZlSy8Su/PRzSXu9htE1ZS4TNrpYqej329qJKVq/XGsGF4dA82NpIFjnR +bxtvGIAVacZC6mQqh0PrPUirnxibkUewhMLZw7+J9rz+6RI47jFhroWXgPJyq9VU +865jwh28CgPUO/g7g6HNMzWYSxRmVr3ECbWa8m8Xfh4y0+FG/v81A6Io+LKPHyVY +Lf6NqndQiNTsVfQCtEjNhLKEo3gjRUUca4mlROZEFV4XXcQqTERgdPsGrdH+V/5p +wMxIqvKquhA6+OyBkQsboX//aitkbNNetTVya1Vjr15Sj110AM4FXGF/97bc5Vi7 +OSvv7FxCW+uVxNOKBN+XSOMTahuvjbmryTqhvsm3qpIJ+7X8KTmezjWLImovuxPW +T3c+YeqiF7Mt9vKNSuSxqd0Ka+GE+GTV99VXiWBAoGCoyQZXBYo5pY6YFJO0aEX+ +oeirEHLogXPduPGG77pSkyETuJn0mIgoOC5UefR2IwGN9zH3i9zaA1vZm1IU3k8J +SO+Qk+yKidk8koBMp7pZtAYF9LPI/NWmEOLsPIduu5JhFQ/5iHAvKuw8po9TMKaC +7Qy9YAtQ1+tdC4jdGV6K5G1XCZLc2w+jBhZ8BBujXz9tCxNWbAeu0cAJPoO9pslq +i5Yc7VdZEYO4GvjAv9I/XfvO2VHnt+ASJ99m8GYoXxGdEmdrVbWCdbmcpd9yd+pL +7NYvRT+NyWvrHZ3qEmtzt0AYBH5l4qDv7ocIwjIqaZlYjU7gCPpwigydl8Ly1CP2 +x3t91fbaqUNJIN/bYJ0cEDlH4xtGdyyzjE0h1HwSoyhlosbajj4ycnOmKb5pMLRc +nwHUc8IcvS1mQDBGUi4l4MqVvprSfz5F+/rmM3Z5ExjekpWY8PA2zUtJ0aDklggS +so0O8JrgN6nhEycsyrjPi3/iOuPnK/sn0hJzD+gsEafr5uCxZr8a/WLSukpfk1yN +kOsK7Mtr4r+X5fePZ4BMJBcIN4UN1tfdc2KTDVFFZ0YZGZ9nR9n/eHm6C6r4Xiwd +5NFw4JmDnEkDfW5Dh78LWblpGVnWB374Oh3txs0poFk0EJ1UDorqqmVZza+gEeHF +72aJh3vQ3iheZArZ1WyBjUJhsdl896xvtUAKuia3oNnXA5kYkQ46v8gIFxzh9ODl +ZRCkcxC9U7mzMiS/lLfXK62ndzHv0TUq4sgJwgKK40V1YzApq3j9sCKguvFbjgNS +GoYfziODvC4h0MDzw3YY35qVaZO+aIAiZjO4sVWajf6VHlhcLgsRr1CZiKQ21Rau +HH1/847MMpHi5aGi8eLgoShRsEvEEQQQ5Cs8HHSbQPNCv+GNaJHElfBpeZHjQzFs +dhQZPtFrKbKYn5x4LprmpAKnoJtdmpVL5TgrZ/6E/njXQaEmlJcYOQVxEaysrfu2 +ln4fBNPgYD+iVGB3M6NWhI486yLkBldzH0m0q0PXDzey62ZPt36esHohYzhaH6T5 +PxIcVZEsPYIipSAbTpU3p2FHNaLvW84LZNJWc88cCK9o1TwfDPu1SKbiwHw755w/ +LNzYfe+JeYfLfhXovmHQ6QoIhv7XbKn2lTwlwbkTiZxPcbPn7SVyZCkyrQP/IQ+K +2sJVQMzXH6Nd7fBbQVYn20GycElgj9NP0jX/ZK/F3FwWNuBqpp1i2GkbcMO7C9tQ +dj0DzcIYgahPvNMeUdt6dQzV72d9cMIU3De/B/bSuKpTYvcYwnTqY/hLaWRa4RSD +fEHPk40Dk0dtZLeXf1L1XFxhP+oYNYFt3XIYJtWPbZJU2C8h4LNTJOkHqrEl6MWU ++gJtyOqk96NsHysyPOc8NwSqWhIkmK2uTDKzPuMTOoVNbHDk5TD4jOGetjSydCzs +e3YgaL1lxc5MLMeY1GlBdL3kukdsTPEZ8Iq6CogG+deMMSoP9RZWLyEXPJlkfv4F +P/bdgfRlTWWhN5npXQebY+ueDQiAH4LhaHKZPrxJn1ttxlDHyWGeyGckDbr0AS7H +MCmfCw4UiTqxdCQqUSLJtgPR5Rqutr3m8QwmmUA28tqXaeSFkMpIL6deGJsTyqRJ +B/ToitvrRcaoSUZgubrCNVWqJHRpbUdCRSS0QxuH83AhliXH93tcyJtDaSfpr5NS +N45HaTIDiSxE2YzEVRghQolc1HyFF8xL6fDCjY2VXVqFQZhrbVHh0Xmg58mhqlZF +4/31oWy2IWiIw4UWYrq18eimI+Eu7iKlX5TeMWB6WaCqvMMDWgTxLuJv7kuHjIj6 +Oh6mNC3Dk2jhnXjTss/nwpkKD6ZPe0sSV5iFdqb3/YlXukaMmTngOUXqjZEx+ZWA +XK078RBuLEUKD+ql03jVHjfytGWeV5wiL+DtLQAVG6B1LUgLgU2FM+t6cst42/zc +KJxE55Z5xEc6leEg/U11PleQKwNQjybW9o8cAEzh50eG/wTbnraeLGVE3BqJPyS2 +pMGC1OqshANFSa2Ej8WCAIRncU9quKng3Ol++qTE06prdKQQXU5w22h+4uac74dH +jNLiFU3vAIpb15ZFKDgLPa2MVqzgDMtjnHDf3bJSn8fxOx/S+76c+ctEPGklXxYk +Iq1dRMPMC+4Fugw8Hg5obrDmz2fUn2oE6w7pSI7PgS9dZjmTYxV5w983fmLeJrEp +JcJmrvxqv+v9RuIFDCDwqudtm3IfQyy9d/AE1gh9RKmfV2nPQwIKFWwSf74hiG3i +89LfvFm5KvWua95FT4tBYe/8iNhZ3buemdn/EOzESSov5AOrzHMhTKMlKkvXXrd8 +PrMdTzQhQ5sjvNCMpmwWWvPlZJDadXTgyr4FSEBty32yQAfaaChZZr3HMeIQ9PDs +VjyGqYqW+aN/BEPTgLTiqRH5gt0oDzreQDAimEmiWWUZwn/aTIEb08FT0LLff/Ht +93KapqsWAQ2FCSCBOFZOKPBu1UMOT6Xfi6EECorBf2zZoGXa1KQ/gUKzGYUmhgHI ++xpT/u1w/kNwsNDDJqme39tha1HXhEaaxsNdi4b86mYs4aVnSgXvr/tZcUFVnhcI +wmT2ggJDb6GWuYkSxNtZVbvSaB1nt4mqRTq8JPayZXxF5bBrBOVjmE6bSh6EgycG +mFB6Ah0K8E93r1GNPXy+MjsuW2D3wsYerS2JDOTlgEM8APhNtLKkwTotINamujbZ +i8pFVdYyDoPcnzgn4Os0vI1MU6+NxjJ/M/ksYU1ZD735sha2o0hhnQ2mbZYq22J/ +A12LubJOpXFp7iZh+WhbXhgHBpDjVs+4tx3CXYIboAjNgxV1z+iFQ04kya2EhXNH +RqVEAMNDOnjjW/ZTxU2cK0tmZAhT+YjpF2fZBlgrFnn2apHvnTNWokMnwrNXrBn4 +LddqDNhQ8Uzv/0hWDLEMTuddK8GlW//8GraeEoNBMknf2IyjAMw+uuLu0PQptrDn +p8/gASXu7J0+kWLqZnt/rsY6a8A/DZfzdb1UIR+zV3wzGCdNtx75rT3PC/DDp2cT +iCjtBnpuoE5Dq+CyiA1lx9peydt95aibHBSIhCxpUHPa7vSmaY3Bz380f/mxNK4j +6IdYF3O0er2cUDVcZysavBRWy3Qr44d2DY/X+nYbeyTkxmL65qyeDBtRDwH20yLW +T6W41cAU5HJZSKQKCZKn6tOn1tnIKWYZnayI3M+ALaSLob4IKJwWrbWJjJASsUGP +cFaUAi7BgXclzmP1IY4jrcnj+TwTg5Vpe0tkeHWmKcVs5rWdhHL5yFFZpZ+VtLej +1ULj4I/tibCQqPKeUsEuz5XdqwtiRB0qnBLegIJz0dcQ8Vms9EUqddvVORAmYm/b +4tF4sFeVkMgtAwPp4kTd/AehheJlDb911hDqu+r4gOfdUtdFrrferTVnWCOnJf1x +NkSrE1W/ra0RB45ENY8sO0pRXeR9Y90QO7hp3j9O47Oz8KL3RWNvWFTznPPAIOLD +xUg5M0vttKzHQdsh/VlW2lW0T23NHfsgRivJ+nX9TA3EoiiUdvQXpC3G9DsCfbFw +vnUlH8Lp9kVzn7z+0N0EIVCBphKuj3hu4QE4q6oG8hngnCmuP5OpTiqXS9KA3MP1 +mMDnAAJdxtlz41F6YNjr42Eh4lJIP2D+KveXDjtXGOv48iuW9+VTMcnZWqvkMiTv +qwOo51udUYjzlSUKbrOXhXLBZjl6C+32g0cQMFkCrO7UFC08NqXn6c7s8sWKYsQT +HrFfr3qkfj1O0CaXfmX7THoySWDTC2iJJnE+o5Sb/fBrp8lh4xNKTdlMJEtg3z2z +h9OtoVYD2iQpqKHxS7UHQlMeKSC3h5mppUTMS9feNtvbNBmqVNaJYwXxM9bBxMaT +V0SP7NY3Bw5tvQyUzXBhJz+KL4/JjifvkzQ9nxoFCILPsgkmxCTnYULCxaooiJvp +/jKGL1idye0B36fUrwB6WbGf5BR7xizj59JPgYkeL0wBH1AW4ECGSYjfjjSIVS+/ +YAI0K53GKi2wrToxZaygiDeJqpsKXMJEkn4yrEUvX4xQvIW3KE1hA4GS0vqZC85L +xMVrDuZvnjcM0LOugowspYm+yl79DiRrTjKf79BUJ2Gq5hhtVBMwh8mo4TQpzoWe +VwO3sWfjmmNQA9Qf5n5w0e6cOfkMhMPu4yiwQ9e2azNqOLlPGYxgGz4uV7OozY75 +hSf0J3olCereyml8Gu5/hRrf03vdEgAaNDc9QYW0apxjkL5oWaLTrrXxOIQowutq +4xF6z4S6nXukTJJkGCo/lBOMN4Xb7d5ARrk8FjrvCKJ8D3Mqigc6lbr6wCG77BTF +1aAjU6f56hXKcXSC+tvV1DYXtkR2UpyA+iRZ7bTC7WA4dbTtNSn7W4FFKJW8CVQA +0rjaWqEP7PVbQ7pbnmKHinvORe6NAHefEoZnyIcCW7VmphjsxZiHcAL+YB/GzIh/ +OObBhXQmm4rZwr+3KJxnUJVom1VzJPnXSZ/T7GOuxue7xtYgoj6cNSj2evcgCebF +bFHLsRkGV0ondv4lhGC/UkOT2UiGilpAaysehvyzvjW0CjIk2jFThvkLkx2TbGvy +VuvzkqihziCMNmfE2Ol9IqPucSC77hwPJU/A3uD9CFe1s4vEOiD6BxqY9mNzp94J +y/HMEE8TxedSz3nntidGBrK2NLkTUAXFF+rci+aH6xilxJcNmarxrbKm8/K0sSgW +AArBYTdi2uPsMfQOjkjIzCgpD3adSrVEx19IlYFxfPzLp1R4pbzpl9Eq12NlE8qW +t478UPgHOGrf7D1eVw0V87QzXDJd8l+EQj/70sNXSJbWLBYAhMHhdf1LRvgheQxa +rkkNTHwJlz1br+j3zCiET1r+kdoAX7M3x+vUe0jaMGIbJFFouKu1tESpVhhnI/cj +HmQhMrcb7//AOXm7Kvt8sons3JhJ00fG70DFGEuvuxTgLhXpjIJqZEQEWnrBXbRB +QU2woC4v7fyYP5EOeqAsX+2PaQhPEaH9IoH74FGTuHq3VHRfiV1tjDeVx0nfNHv4 +uux48H9yym40E6fF2SaVwHmm4cqTpvXcA5Kr1DwhhvSPOxRBdDGcHetglRUI4+u+ +NgfWNB2m1khTxbyuh5pAz3VIi5APsAE+rmrU4M/gzZjyV6yh98voZOoL2gNPMfxM +zxolvtfcgMADJ0g8K/iWUp8XoLrbMep8lf9B7u9AY+CK/rvYJ8HDh+p/LUiGBX1q +h+lWRq/jGOZ1q8kdCJ/b29pfrqdclV/6Bi1g1PEX6M5+Jtkwv1DFjchhTpDdTuEu +Q4bLbksr5yuPoe05LIAOM3N4dVmpMzn3KHC7eTLBdP8JvzZitDxyUYOYu9poLJb9 +dZ288vqhVbfB8NlQczzLorIObk54N6ofEr3vaN14rmeZUCsA2xQr4DhtAsaufsa1 +zuFclRs1a5Vw5Jp60099Bo/XsLIuLYjBINI4ufPhFS5GXr0JoyN1gD6zTiZBa0hg +QjSAYEaNf6CDUav6jYbpU5k/ZJCzXXKJZKD5Tb2bfUk1fM0TIPWatx62n3eDddpG +NOnUiE9dchZxw3KFYyq6a5/vt65zL+14MeFaCVZkK4Q+upHbukhGWBONzlohjC3i +Ku47WlSPepLdd+ZdvBfzEOYqFVQlAdueY08Ov/cogAMIqZV5hR52En9gzlfO+4PH +LfI3+bRn+peg+Okm4hB05Nnr0zdhQ/qSGTJsqadrO1L48/0krAxG5Bw/hcSW3eys +9RddL14cH3/YXmySt70DPNZyET0aw1h+Uo6M9J30TVlkayk97/Arz2oPJ897vz4M +QliTyGAhiBIRhwQcPkgCR3sLaxCK/eyXJV3FH+wkg87nJ39laGUZ7VsxNrlUeGH8 +qiaZdJs9wR1lCufvV9gzbw+HE1tSrRG0n7mEixuC/6s15JLjnWtnVIO8BuTdgqaW +UVdiPLpcr9SMMFTHkTLRiZQ1JmiAdoCnvBeZJ7fnRDFnop8iZfneUaabgeADdh2E +h+UU0UsE84g+mom7R3INUaiSMggIMMsE1X/0ffUqJNFgDMs8SqRE1oMvUqJE76Q1 +b2nV/xhibFxY200NxCjzycn33xHYFtHBCK0LwXt0dO24mF19W7XjLeexO9cJ0FwS +QzJHaY4LiB8hE7KWS10PjX3wskZGMdk0og5bnDZDX7a+sMupKdBHlGj4NgVY8eHc +5DtvVgERbbwta27Pnu6JyTEnZuyNxjxKfq++RfKAa7us6reF2/JlbtQEfjO1mPUV +5M1TzE1W4Ef5cz2UjM8KmdUrqN3eso6QFFySLf7VvhXxoHlf74zIrGMIB1NdFjcU +iCMDdZfYimsC4ApkgBO/vPDhUgAm5nn0q1aZ5QQajCLx4b6Rk1toyMZ/70VkX3wq +/llLfiumb13ubtJh5DgdhsDFcwm6SLoZjmfU+k37b2gvDphYECgeoBWuJPhpVKD9 +UOGiHVZfQvBfrUNBZz9f41w3IM/jh7kZcq2Y/EZe/UV6hRFec2mlR7ci/qwdQWye +gDoOZcyUhAvccrCjVCaayg+qNBVtFyeZ3ejQa0QVazpH68Jy0CXt0aqCCKU/sCCr +284VoVnyrVbiVdbkVOOqa1SuTqhaqg4riwdQk/0uit82CoZ8+BcJdK/ZMuhWQYz/ +nxNYt4zJEhCtVtAZDewHifuuBJX2AKT726vN7JSZM/enrdhM82douuv45JnxrnLZ +NfuMfg80UJ9RiokSmr9aUSaj/Ht2kO5iB9/F2O9g2DAmU6i/vCjFJfoZl9eVi3iD +p3uW5ke5aq63AxfE8Jd8mdtw7jAq5Z5OxVy3NDnXsUMnwkdXWLY2twkCA0YGtIIT +2M9zg3oEu6XsMxzTG7I9IvpYLxKsrxuRzImJappyFmAs2+r0NvKuzUf1NW2FjGAq +Rqjz9PiBl9aiy5giEr5MWiZpLAWJNpLCqtQYlSogTVo7aYooPoNyrp8bFciD1CAb +bAO2rGOMbPGAz9JK5OrkwVng30IWLoHza5koElm0teJbvM91uD/PmyBlT11rzrsU ++Y/AxLH3gGucANBB8EshEEz+be+Rhmcb2Yac4jHqnu/U5Kk+NyJsz3yPwIEDUYIw +EIKahvn+wL9UT04E96OjFONqPoejzFFlDLetRo1NXcgLaGcXjdb2iFVUnGofk/Ya +8liCu8NjHxKChNsZEaViefkyzdGektFiwucU9x6TVFVKno+2WjyTIVdAHwyJLPTU +sT7Be5KiaviFdwHBGSdh99H00sE3x7dEEYg+cQQK1E/cGqTg+muXci3zTk2kVewu +oaZYM7Cd7Ed13y12duRpd2Cb3UlsNMTCBKervEVsLVf27yCipC5xXFgfNgrH4Km2 +cLX4x9+FQmA7KgvCUFngmlGqYJC6wieN28s44rErj2Mh+k8PmLTX/4OjahdEfbaJ +zZD/Xk4Mn44RTmUsIRUnrqTmDncSBnvkXOpgW303Q+wyc9r8Rg+Z6neooSp/CQ2k +BtU2R9sQr7cWV34FgvYR1o19YGE8wsL+Pn44sQ8cgxd4yYQnO05WSgMsJ0akb2Lw +vnkvP8t6pGBp+F7ELPyA32YJO9rfm1sbolN9GI4rAcVfDH0ms65dvlELPGX25PRQ +cX8BSOjtUhW2giASDv8Nkjw0tFKgR/yJ9NlVPdOvCDzWDCWz6QiQhemClj8JXfJO +w75lz4caO4H6atOQgcWbNgQ3VHsCKw3NZtzxGIwKQ2she2ufm5jJeMFyVgGZytlF +EsVoJWa2TxzE6nWEu4+N6ONB1g3BQK1utAZQKxfqejTEuOU8TYOFWNiPeBLucUNk +w95a1+NtFEh5E++C99lH9wXe68gmkDCHYKeS5kAAmEripBw7i6+K7BsRI3pu3mN7 +Aq4LYt2FDO6Ox3yiIgM34UxqbvApvhUBdePJwuOwsivURJ2Oeyz31tck0Rv4UiO/ +YQd6CYLexbkbEY/xcBX1v5s5hV+WJmvE6pA3BGuhXRxTO6HGseVX5ko5G8zuX+iE +B9kjsOC/IKsq0pzrsL7TBlUj59hr6ETbjPmAzk8mwBHE6wQMofDXVOuh3r2bFTBE +uBt9SEAJgKLSBbS/Frz4Gy9kRSgNeWZs3rLEwLVHoQB2Tn9/SinRNkalJnDKDT4Z +SNN47t9iYqdvzwNTSt0avsmW5cK3hDNolumNuEHajVFBkRFfkT3faEVPmY+fFri1 +iAswWDABQsl8vR1ZJRXnRc6Wxt9un9hEN//UM3zCWZCgW1hAxAdB0XyX31NMFJQT +1TUc3fbJxArc/VhMFEY17YdmISnB54WBMflqNjaXkNSEU//LhhILJ6Gjyiu11wo7 +kKeha126C4uST4ofsiubmXV3BhlAAjSIjkn18Ex/IQ028BU8fn9EL+vQbDw5aQ4I +z05Uq00P7boE9hvo/eS9jF9reisLrNAJ3a1/OlTPVozKsWgDNNt5jLugHBFonKFQ +JSSi9anMuo+n1/rTiU5nyyzITIc2P8s2tktbrKdhX03SLQkM0bqWfHwshhPziyMf +HT8DbLKUltb6bCVZAPL4/3B0pruMIXNggJe1AhLHORCIkg2c/syxrIYkPNf2Vzjo +nqarau5WKF4KIv54TTXq3TJIaNzUeiauv4tarrNOw9YWAMXO4xVa4b6d1EKVTTis +4bNlU4dclf0RgnpEGsPe0e4407vBrBN3HpO0ok0qCLL2CRyj4twpZeJ19oflryXk +zBZyCPBJJ3xG9ZFd8mck3/1ZFk085lm+orBlZKibXx7KkDDr3hhakd2dLQ/trI6t +UmW3BWN175AlY9a0/efSBj99TNJDmrMKBdfq2JMImFgPL26Q1Ck9ChINKBBiY4Vy +oF+yh0K6ImoSaAoZZ0iFFH7nyyBJyE1ExjZzK5dAP8KIIrkTTbkqo/nWELQjscoJ +f3cDxZysw0OfZ0YhdJJb+J2CAxNNzRoQINkMAy8Zeolssvcq0Q+uNhkXjssXjU6a +izoRJyjcsVjt7nAK528chscLm46sPFzhQLl7s966p8H+6wppdZRcGRsmajWf9tWd +C8H2DIgqHE1B0iH+RW2jbSSKzAXjDhWn4g8y7gRvWd2yOqZhyIX55OCrWfsHJ7PP +dmfK3nb1+cPgQRbs5brLAUQyxIYtLhiq103ue7CmITO5eqEEwF135Gpo37M2NZLC +3S1NwoYzgqnn9SHuL3pX7xiRg3bneteytICDUnB83i/y045wg8rvqG+JNtAC6yTf +/f+r8By0nbh4TFgQJXWCPza6H3rvTz6+Psk0BlxglA6F2lrjicbL8DZkfWKUitAE +0aYtLwkHy9nU4arpL+V9K5lhjtqnIHQBDtW7ldpq/JJL+k+2jD7TzwCMWUBNyd8R +0hPnLu6yFvBb3fMK0qAa7g4kVv3f5qcpd/Ottkl15swWZ4jVcHsgMfRp2akRKLQA +YJCjh0twFH+h3/3etVL6dktkibwPO1xc4WInNuaEaEMZyFGFLiU3PQ/eoZqEt6HX +lH6kfww4ODq0oYaxXUbWr0CQQCou1nJESV8tPOrWFEdxC0KfGNMb6BNbZwRrIqAa +mrIA6g9ejP0tOkRcACfnve3zRXHQCOvDM7wGFFhP/+ft6160iqglHfXpJX+K9xxh +Zxurxdsw8hELaWfc+T26N+8VLTnsFPUVyUiyRecCYdwiUOA62rUQddBSHp0O3BeS ++LjKJj+5FSaD0pDur0+bYIyNCUh1q5DxKS5W53nhZDMpUHcc0WtL5BtbfMJz91BE +d2X58ptMXR9Pi+lfFhO/3Cd/5caFwhajE+0l5AU6sLzbX3ZGNF07VwtlKzrnQ47D +zLYbl/4lCuayuIqCqauAeRmEnlPO5/br+iA4Wz3KdpuVcqxhafB4rkAQTKBLS42w +PXj9ktOZ4iDg41iaT4ehXXJytZbN6xyitgjNpbJ8Ak2fg94EkJsc19eLI0PQnwUu +EnqDwQZFSrsyfRt5u79ML8R0CT7b+DzsJS7NEJqQvTpkB5YgEF+AQTNEiaZ4EXZN ++Pii2/4WL9UqZgQNKHhcDvleCKuEvQrxgkqVPwyusMmjbbhebWQ+xri9VKAsqF0K +ojehcCRv3zkwvsajC60ZVhNU3Wn3x0ZCwAuZFB4WuxqWS/l2uSlWCIK/RFYAtrRy +8rD7P9jmAKK8IuR6E/8iLxcucdEIMdzACyQKXEQdhXf1tRRDHP1khmszq5DFgN/a +5iPpHGBW9fGgDNbt17FMqMzud2AR4MuS/NYjXkCdwEel0YzJTaSybl37d698GPCG +pOq3Ilt4oz2eLQugfIbuOsv0vwnav9jh/UgMkdpSgPtG2q74QfnwXr1MgSUimY5f +LKe5nEHDOzmM9y1f3Udsq2U4gV0dEcKwGNMRnBd67v1t1c87zI89uKutLBYsseUM +1adrnhkubM/ITx9MtnfQwbM/PY5yiVZr38g3+AApFBQpu3JEzR4SlS96lz/z+n0K +EBIIWz1wSJRsfj8R2Hs+AgaPPXdzKZezGP3k3inhP1RL+REh1BA2XS35aYar4ktV +K6VfOadWERsu2VMRV5DViFCXPtHGuEizFJrEC17xCRzYyhgsQFa3xhPbF0+vz0xa +e6x98VW7ZBz15vu0jmmDAZmMAksztIX2Whoa+Wq4CF/7dYk0lLnW55266b5ttibE +FSS5PEz+KUSlm0R2jefcni9xzgZwZRcFuG4ww3mrLIGeDasp+Lr49MyOKCozueU8 +KYopVSsbbCek2p2iQtB/In1W2VJNyR10tTOuC4pFyUXxJXpOnxz/Ts+6rjOXXi7G +yP2vJMYpOMwFTFze5xzNQl96WM8xXFmOduaU9ywrOe6ipzoyC1dZiWkyaEclXRWW +MFEsWCcJhRAEdYONdMbufeVGP0JAbchD4Fv3PwPBENM9z1Ffi6cjHKKRG94IFkC6 +trhlDIHS1GpvGZaQat5Rv+OaR0DsonmnOlloorfw702LRKs/xGdxqMStqFGOitCc +J94wLhk9s4WtjjT9n0cL7j09H5AdeeTuaND7L/R6fmp9S3GCHppMZGsHfOTwFOx6 +Y+zkTflhLOmjOKfOVi8+vPNbIw0gB6zqPPcklC8HHz5CKSHpONonQLOiCN4v4F5u +zlLiVsYjnh6XBBtu6TWPxLp3MHQmFQJ3OHN0sAYLq0neZr1rauD2HxgdtHEmO59h +QJlCucdskU2XD8gOVU9SYDRAC9i1OzPjbSdIBBQYkU1FIYE/bS9GhFAg6ysU5b4Z +I6fPekDSSiHnNtkIoSLeboplp3nRzPlnOmpK3XyFzVl1S0zWCGcqJNY84jvM75qo +ayB1O6HgXVXSJzxn73dvPLdyO0Xlbx6EbKZAiIGwCKYeIEZEp3/lTUam3IJcEoHj +W3AHQbo6CKz8dYWtv8fgciTFk9Z7DBeOEbLJSX41zE5x8r/5Lm8jiEiZ6Xgm4+8P +8rkZxa2t5C0eVhxtKVrV0UwsicrDDRiwV95BnZ1fvQXybqVMZwRnc5122OzwD2SJ +yZsLv7X4gVq52g9864d3uGZimBjFU8VpQ7k7UyRKbbRbt0URbur+MYQeROStErDQ +mCG0bhtAqK+pGCDE7984tyBHBV0uUPvektDhmLUe05rPSZJOCa7knmhHS01VDHZt +18O1IL3IvJF0mH7r/zkAq2AfQfjLbWwy5GU3N3O7H2MHs+/D/abtEuzjnBFLWg/a +HiE6uZw0uR4oBiXdY49zFs5c/BQBzSj6dU50meo3v9CRsWOQmc5WEjSe2fPNqX65 +aqAJ6kIbsdgqCMj1khnrwH9fsyvI5/V44TRel5mJNY/00rouTF0dJuV5wpG2y2oE +W4jcIoY24z9MSGD+eDcLMlMpKKD6tTK1KMqrV13DVwWFm5Sl3YJQPnPDI6TnA28N +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/altera_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/altera_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..199fefd6d98f060aac8d275e961846eb91c1ace9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/altera_xcvr_xaui.sv @@ -0,0 +1,643 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +EPxaKGIYrJjlkxCJbQ2jXyODgv8oiNgWN75kLC3zCiyOUotKyLMFq4azVLDJwRXB +uEsGKlWKIAD0HRx5myfdgbKOUmbjdvM577nfGSHzR2CeY12FK9aTMdq54c76kEeA +zBdHHyvnTf1nyGHvJMeIX9D7ppoEyfFxFK2/HUcij6s= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 29136) +w8j48Ah5pcpz8MaJ5mtguvbqzyzKjImTZg1e8gBBKKR3VTS9S7Y6TrTCYWWlYW90 +7XSO1UTAQ012dHbXFplKwB9pJUvLeKHw6e592TGpVQLghpAX374JqZlBtGgtvpUw +EYsJnkyyYNDz0d9mXjC6fTGgf/IsFUtxA+Ogq+nhmMVLBBtjKcrmUk+M3pieWy3H +lyPr2PGA3zIUDFpCG+3/k5ftuMkVYXr/KpycDurH4CD+gcRa/byRniqEy1RU8ktZ +BYz0nNPqJnufIj051kWuH2LK5rFbBCob9tpb6bEOoPKqWWYsDFJ02J4AlMFTeWlK +4r72gPytn9U0U4svLtxHm7sP1ctF/OCsu88s4BpiJn9J1nS6xB+xAxMwNR8BWj74 +zOIkKZFDHNOkfbUdHMm7q1dSTDoXmWWypM8MswZwyp9UpCahZR6Q9uCoCreZNeO4 +D5PKgVs/S9ml2uLullaGMh7f5l3PAx81uym55yOboYOhAmLiStWsnvDI8DM0qIza +7sCyIwaYmBR17cSkMR5g9/lJx8b2TAVzefEGzS6H1vIhBXrQJXY5k8kajVzAykJq +XOT9Dv6TqjYTw4D9nZpU/qN7kY6Fm2q+1dIk7dOs2CXwUL58K9d+hybCPwnXiBpa +RtFz4XDEjQBaFcgZKDDJHsw40Yhxu7Xh5nkQlES6mkHasXwpkQrfBNeFiVbQHOeu +14SDvyaPMRS5SmbYR3Rm70DhkB9l/jJr6V1U8hdj9V75xRFbqSxu4AFRP6wb2e9X +of4AO2d4vyYu1qDsPPsDNOn2AHdl+as0eeiTkJNHEAFB3y6j0iM9mfNQJCjpvLRs +QJKoduVS0/TH0ErfU2LZzSXYLCFE4bFXsdQutBivKxZhhTGczezi6MRVTxVFDDIL +o9wuFBA3WnqMxXP+iZlsuKEtYUmqwat3RUOXuyOe9z6FmdIahMyagfn2M60XBqwy +IiBJiKflqcAssHpj5khQNJXWqPzkBUMqYWXDlWeEpIplVodoc6G9z0TccscER4fG +qJ1ZJuJYzBWKxiFyN9Tf7g42xUKvH/Z+xYB6mAPwHWy7jlwdidbdSVKa/Hr/1fXr +gg6tw98mgu/dEJPjOwvcTUByPeIOKaqyjhoXvF3KD/0CLZm8T9zhdIz+YbN/E0Vs +6tMdf46I/c5FIYMgrKYDPApov7IuywZekCe0WQAO65WHflt5bDbpbPFhSpk6Qt/x +O9F/Y1xL3i9fByuAkFPJHiWQGihOqDB8Kt1IHfHqekhQemGgaYLiL5uAUzh1prsU +Sn0e0V5Nyg6kW3wTuRExSjxoazqucuEKV1xYD7+8NL6bQS37fnfqgA1Mry2vG09z ++OBoJUqp3siIhZMYqEzOyJyPtlosjYzKfpbKzwR9XIiBMMw7fr/6FQDrB/ANzjpX +0fUoXngRjuJGj0S3FnmPxqCqzQk5G7HuFv84n4cQuzJ+l6nPzy4lcfRWPnJtxZc8 +kKidiLJa3xv1wJIUrUzOHNOsBk9aOk9lky1wd7vFibIWnZq7YmaUsiqSW2fG4AXf +AF+0KY2AjxZ+G3MtTbZoH8zAN8DgCSWujJ7IjpfMLpbhz1fKqTT7LlegHfsb6cmN +9FRdJlpWNdyqBCjC9rxVmoOstabQRGUEu+iuDSHcWaiJEYovDkeOlMhcE1qfdWKk +4dXQTLKpl+3RNiKoE4cjLVSX7h/bhdo+CihfdRE6rURT82Kn0+ZkzHdyA9JQvXaf +lZJMqukygOaHKfKVDsNm0NvMp4+T5Yj3B87WATWVTGtZNXS8x6IkHaVMcJduWkC1 +G+NVUEoxLXBHjOtHOHjXOEs6KSWo/GXPzvryfxp/n7MG1fxfelw387cO+qYLoQ67 +gnXGyJZz3UxAkUw/RolFRXUsjaa6dLHrgar+1twziUgBsZvMNYeqNCr045bq/Esj +wg3eN+fnoBPEfVnICLMEDQ6Pz7jhU/BVSeAMk7a1rDuj6K3Cfzheg9Nhni85Q73u +cFSSOgDNbYIarE/c5oHzI83oo+1h0IWMmGaKrHBLdbRsPn94vuzqQ1p6frGklh0J +I0gSl7pNWcoW+GdJEJgfGLvIrs6MmGsmbcdTlt7y5OWhcC0IPfcxefNWrUP40wsq +XSJELl4Mdsf0sDVdxqqpqxAYLBl9rTtpKXlg6fflemuKNAIFgC2hOH5XiHnyiGTs +QejiEEIi5USJHPuMJVU76DgUz/SLzoW6uWT5TIc7IWN1jkOWHefrCRfu28J5Lf7i +VP/J76WayyjTGHEFTtiU5tHUKmKdI2YD7RgiLcrnpcsL4j1+QzGR/jweun4AWjos +DIfMzMZBS8QkDTRYwkhUrFdBiaAzfO5FYVNNOn6nfgF12JT9WI5jF/sGz8aXpM0h +ubWAodk7JP8F9kfPiry2aF5GTqSZlcOmm5qvW01qsRMGXeHL7gwg8Q/td2mNVX75 +eD4xpaPesU+FclWMsxF/tbrqSZ4+2XAES7ahApAx6Qtf+jK1fAsVPSIUDqMv6cYM +eQ3ppD8XDWO1nyeVX4wn0iGu6XOZOAtseKdfsojrIN6CczxZR3Y62OJs8NqxMQaU +SoPc/CC03bFnNvPmOVjJtBmEZB6zP6k0aCtB0x8AeFzvPBDPRYmuVQNk6KwatTrk +i/Lj5QNlADE63DwzDvw4mQNvcbmHksDiH0FAc/0S5YTwWBzQX3NtoycODUWCaP3w +Nn7klkixSrxc41t0YR4F/JrG/LywvfZOfzpgreiaz3XqUIi9qbx2Oz9rLjOyYVi+ +Cn4fYuG/PYahPL95VjtvUwEHYaVYbQ3hq/08ey7AwmujDXmsVKJJykR7Vo9yzWe5 +CknbFEBnqJC90caX0Knm8h2theF+2ep6sFka9pKC68EDNuj0+jsIUMkVpyzGfRE4 +LC/JmxCahIbjCMRRN7LjDVKAfWCWwnhiDijpYJ8U8EvOEJDKHcsplrWMpB0fv7dd +5pQUe/H45ME0rXsdX5E3G008IGZXr5210aILJymP+V5JeexGnGm1zscnl7zem6S7 +15aEIj0nnpuXB0lSXmWQIVxnzutlf8ZADJnICwHdqVHTAkp7niANn+GvfeITrk+4 +xQyd19niYBRi1zz7bY5i9JSXs/haJNC/x3RAM5w01PujxisVDI8jdO8GEreDKZRp +XTFkAoxenz/nySkIE0JqhaWa0l48vDuwvy1Q6Lh4CRjNlkbzDYoq/uYF5Irq1pkC +9KFGUm/CD4nRHmuWX39jNRv2OaMmdikcId8jpEkZiIee5xSgTbHjZmVl9+12VnIj +rpHahirB2sjtI/rAwh1mVFbHitM6wEu/BITTZxMSLPj/o+W6U98Denej/hDkIH4s +oLr/sQqFNkKHzf0degj4h5gH7W/QoN8B7qc3ounOPvKY0LfyFVULt1d1syhK4cex +RiN6btLZxXW8aZQg/VMzSHcJw37HUmPWntAuLC4Wh/LO803BID0IUSEvVDf8xP7N +kRmjhvVTlTCmx+Tdrta5EDRS1fl9lpN2gLmGzcMuLgrbfFgxRQhqoJ9KIyxn03aZ +emiFqMh4Yft9I4gjE7z+DEWBVvht497ZvUxK5nW+ri8aIA87d7X85MMalg/PR3zs +3OHfdHgwboZV4vjqC7uA6kcN2MiSZ1dTzMjFydvRdH4sqH3cPCws1dfpYzyxSGQO +U+etM0xRnWsGplN/4uBR8cYNBKH0RINnFAybQQKR+x9blMKGLhumybLMvd7kvJ40 +X/ssutltLuh2VuldFQyRXeOvj0EBA3+9rHO9QG5fGcxIaDAJq9NYRxmGhf2HZ88E +qPEqKDqkDDJb7BXDAco1/c017Qure60hfYrCnF6igvoMXGQ3VI4czrzcwP8EWrWu +Z7c5+pfbx46n5t+k4oJr+4qxu8hnG8+uF7Z+wQqXJr1s54S0t8D490cl3OfRqpBs +QHMXlyWo4DHnr/5HgzrYhADyBf16530bnW7ynrnHKM8gkjOF7l1VIDKo1xA9BDdh +vGhP23+zOwQqSMtpkEnhI+EOJPDl/5Yb4wuV4gL0DL8796HITWTOyclYXJv6hEeC +w4Vhp9QaEj+etdp9NqCz2cm/D+jw40ZDJQm1y79BK2H6H7apg9WbzfkOIodRdkF3 +CAzeZ6Beh5AxMRZbM667n4j3+HlHNcK67+wjSDRjypnA2TUyICMS2KrLHmrnJZlI +auh5uFi2kKmzIP0F9sqoH5KD3oo+xaq9314XlzE2JGXcH69hqMXOcTBbrYP046tG +k7u61RajxtvM6CFbjEUiRg2DcshI/9IB0fHrjz8HFEWKA6LxVBuvHVZezhHHLEuG +UzL+NNwONMH8Gom/4Z+S09/afQY+lXXidpOZG5LinahYWGmb94Vn2L5mpT8KPnU/ +sVCqrD6iMQYj3Q4v9VCMLQ5QSZ2YXXBVLzCwxZA5czb5agXdHNw95pZPeB6KH0tk +4PBt5VUo0hUweKdeapKR7q0mtsOa5O8weUT9CcXngAekvp7pDBje6xDwKg0M9LvE +mMM6ZXvy+Raicb7pHOSTiyx8d8BmVQkIur8+O7uBqVqNVAUOMyflIi5ijvOXh4Ky +O4u9S9hDAn30Mo5tLbqInJwDeVs5fRmDHBwWW6TShE8llzuk99G4OTYPa1xnQhDz +apuxxlss70LkXkaXu2DUaTwJJXD+LqgkE8UTIKA6IdTwL+g3VKktLb7Pc5FQSc5K +wSfB7hSMzJRmj1uonu+Bz/miywshBxyaW7GF8PbfUhMqwlCXpfazkoYXCx5fjDlM +lI52ypQXytwUp8FiA6dcviwFqFBNS2XZ7MphZVuM+uKRZNJKQoaQ2BvTJ3kwyjeQ +nSfweUAiDpgitKZ0nxwUMGBGZP5bXd8B3+oQSZmGdhrf0jJ/1o80alHQR/dqBmvf +teDhNRjc8R8hlObKbNNz9Et/o/ppMjo9MMpY+yQxqWcc3qQGCJR/hu7RKuD3lQZv +4znRJnxgjALJ+s7+hhfumnxCHvKHFrghv1VjPkPrnVVu8lAP0CCn0rLxditoZNxC +XO01B1bGBGclfaKLpK28rpzrnuff+BUf7WInuiM2V3uDWpLPbjz5x98dk+JqyMsD +GzIyhAM666n0qNxG6BFCdhQbFnvUawLulzWmVxsm6Q2haZlgH01JPmhi97bUl07y +1liq93B1sy9CnBFDXQ8GYdONbeMJGSrrxoqMwVFAYfugId7l+lva46bKzOr3Qy+U +TT0pBbyYxTmwQtZHiFp2LoztzcCP+XfZc1ni258arVwA3coe2+s4T8RpMJfaVLOX +LXZkA+K/KG6mDF3LwzuM7rXy0yaLWqDeJh9ZOi93k3BKfnd61erWoOPAsAHBzDe7 +8QmNHPo1tZKS5PwA0zbQyLB4rEOCC/jkCYIDf2cqM2VFuclWdBrQ/D0nxOBgF6je +A1DraL7T8OgcPjk9tVNAb4l3ulpmbzn4f6//ekcUrneoRqGEWXf8hpVkEs05O5bo +lD0CUlx17BlswRuImkNvopXFT4RRdBElAJ1LRBdonqG4mYkdf2eIDLtfr1r16ewC +DWEeRwtMQzzrT27jrOj03xplzdeR2C7Hccmvkh0IDDYKFPBJmOevkidQAcZn/Aht +bvCjumKnQSUogYbWU0ImSZbu5oAcr4o2HJ3Suo2yMM/d8o+0mf+oosObV9gDbnb/ +rCDWgfVhXt4xACC4NXhvcUXhvXsOERhW4sgVO8IhqBRszgfjckpWhIut6f2vS8ii +I0vP1EOz5GYPShuSeFfeMzJZk3ku+htw+xUb1Fcp/8HSRnP1Mlq5JlMBiuMWMhyj ++gmJvN5hZeETFBH8WUqdLVe1Bp1BgGAPNkIs7jOIod9KPCg7Hz4bHKHGqigVdHif +RYtt2V5x03KvlxSepqaF9FuHPEIkJDvUGxh/AInuEpgT6Vmlm5Jaqb0CKpnJ5xpP +8PDdtVan0gUWRhip94aZu4ujE8qjMNv11XvDrScV7oOiDTJ2FDJAs77YDKQABPJ3 +94F4lpUfIWIuwujTqKa44fAQeoOT40PFNWfz91bCUYO3EzBiknlhonK0122600ZN +yfQNFJwiD6wG0/ho6PL4/copvS/63Z0xWg7JAoWTc8gFLeImaZJ5uXZ3Ggyf/uPw +auhq8+PD2nvBAwzFjHjyIOuVmxbF356t1o2NoKlhF3Frton7/Jcdg5Q3xgd7i3t8 +3OPQ3BI9u9ocjEQ3rUbxrm1BlBYg4hoL6M0cGgcR3hoAJJNGX2AFvRXdVxKlUwmP +SvyNkom+4TwK1nJ67Js4kGl2I8PyO/4cQINV32CfB6VHvT+A0MSl/c9uYbqUlMeT +ZXE2fGGwf3T98xF6yPzRNKO3tEPqfJcnQoVsFhTbIG/hbFuBJzrj432DbVtvt8s0 +QjoyylLZYlKcV38CkGcSkZ5rJVyPmcSk+pz67h7asAYYAnKk05JCLA39h8n851uU +KtBmjCuD13rAnsS1u8tMF2gEUN/yW2CWZLaMvxVLa6ARvRW0aNxRBzGyemlS9U9T +eqsHYzqZaOUXnpNzrvDryzkiXEj/Ao21LmOEolwe6pPUklwqxaKsJ9KMqRu703re +sq39mBMVIZEkXpCGB7AEd1Xbka4imQO7g/WSU1eqrtfh9p7oglgvjwBOyOgnBB+S +2HTD5uNujFr217OC8K3+5mBL8m3eH+3J1RiPlebdrZ/MhZAhvInkdDeysyrDT8ia +4/b3R3A/PXakr6jyvUjayc4iH5KMbda15ENxT34rJzR96Mr0AaCn80m4eQpk+tpZ +cqdsMsOnFy0YIhM7g+ZBt1yBEUirKjI0klf2dV8IuuW70jo0FC5kEzsJboYT6kpR +FgOqbXQVyrsksLpKU2y5ecFhQOYAAjhpMGkXZ1ie6C/t5q8nE7Nukrxgt1ysgcYj +58+56Yi2OzZgS3PIlxAf1CL6hJ0TELlNlaUfbuY3wtDrl3aVK9VJs3PCIJN6eTCd +YWRZO4XG7KfcP0pJ16mhEM70XNlviY3FQaroTTM0XXAf2HrCpHDUW2Xk0dBCsFcr +JzVZ0sgYHYgKTLxHwnjPQkuX3Vjgf/qm56bvkmpgKvpsPNnH3c7csCxxIjzx+yNB +lDo8Tl1Afu6kyHQEVHcNJQxezOslT2PP2gjJLUMNUC+HoZ5qYtHwzT1R8TRYmddy +ImD/9RJm+QQtGEEPnCskNfpF8FUsoTAmb26i9Tqe/esS4sXWsLh+7O9G7avTNDkl +oSl+By46tG7ohpb7So2dA/Cia/d20R3OC2vmWtA1hGqsDel7QYk3Pq6ySRnu04lR +AIlcHyyIANz2lEBfCav+PAJEa8j1XJerElRiXbOE1l/RxxOd7f509KpAUgFr6Wnb +lWR5tJ2XoSzwTSg0fU0u1rnHjF7ixYKSnXFAkI5G35AzDF/FGbaKXErGxo7igKla +296EHSo8qN1qrTs3Et92lbltQojz1bI+2IvnA/PMwT/uk3wn5tqesyZXS+X2TPg+ +xcGrqZzEeWdRbi+8HSxbrPIAv68oeHkMYYQ9tl3IEv2dTAlVhzMUggaV1PvtVYU7 +Rk3ueRNWD+KPsAioHVF5isCEkgGqupgK5W9FTyTrU3/zRUlTJ+zdJ8WXNSh6GF9m +i5aiJXsPd7Anurj/noM+57zF4W2ZkqKfvQ7kXCAoMPydw0E0EYBlj/53zanKo6iq +iZPyT0CapU4H8gRPqDrTL7gSJfcBZEE1gXm4G2efK3ZRu6RETBtdD97C2ISjM1UM +6R4u3Nb5DdiZmu2W4JsCNSOPDzqO5sktSAJQwf4kqw9lT3vR0AulLzP4cWmeEuDL +1bDyf0WRh+7Xd0giMvaLPdeqZeJd/1mRYP4uGbUfPMrHwQi7DCJTxhN3XsZ94Xiz +M+2avq3faMmBV9sqf8tIeK/FHuzc+U1DH/qb5nY41xCyP52j3LjxYiMWHoUwZWsP +h244IVAwWh/RvrGtskO7wWdcwTngRUjOcr1bm8ZxWQ89k6SQZI1SFjdWM6UXeXXc +3QISaGSqF3XLZ+HbH72Zi/jNUswTiUhW8MZN2B8pdLSnoSx7GdDxiPY5wm3dESxj +TT2BnExELYWYJlm+3bXvsmSU5M8TOwGTGe2XUhKkforLyzGG0DXA6nIzOmA1z38s ++W5TYUT0N3NofPNgWOtPEZh3Cx01zAftZsUQzOFnP/TprixsMUayOJJQ4iUf4Qr9 +kFmYgNNQKjGLsUMTQVFlbqEwVhrz4cI27w+DSRFf7I3VZRucsHaw5Nym0JAsdCxU +6a/cpBAM9BpWgfS6v2hueNl7S13h/eXAsKMqxfERjLAeFmPxaWk0W2aiLGOJ344s +zGaSNztHX66WI4dBOcISqoVc2nb0oi7ItCFoSUjwqLnIulvw71MhcdpXVbQRsMj8 +Xmn3b4Xd6dOWbSgpu+vnFlyVLokMNVfSYrjR+z7iL4vlqGFb6hBsqUVPjRMCKwG3 +syCtXFBxqAqxfO4AonlavpObh5FWBCWASWJcaABp1ovJmJPsFYqS4Jtogy16JQiN +Ktyc9uhfYgLJJR7Ar0uMwwAPTPBSz4RY2SGyPJuNQ3StG7WxAU4KLpqyRHnyyyIy +yexJUdmL4hYvszTMkn83gf9kbBbWnk7TtpamDLviLAvzFBDtSBbBW0Jn//70PrUq +L6IRVK+KVseHcrZWxwZu86+TnFr7fAWLy169eRi+Nx58ZFMe+3KAiGIuNwfM+wL3 +l2T5RCKPcVAz2iyO4x7ZAFfnXyt1jTy5jeiaMUvj8WRhyivyThbEJY9Q36bA7WsX +j+5LOrQQln0AJbga9a8PyeURFfDANp/99fDP1hhawdJqPyjBNhvregfnfo1Q2Icc +N6GG6I1BzBXQ2Z9VzMXpRseSA1K6VJEcY/R8IGFPr/QghgvwQoAhfoZl7czG7Yc8 +J7mjSisDxf9NMVMmiKGh5PlHBsAOOVJz/ke77Ko16gUjSZTITC44bfM4wrG6lSDB +QeGHWair7/kks4MODK7NiA7lnGrYyWqI3wgLcrPTti0mMrxxTl6LC9ipmi9LyJd8 +aiJfgmyfCAQakYfDX7n3lrQhg/eTT8JGW6pqdYsRA9I5Vwtx2OgRStZ9ptRe9+Lq +aN7KIm1Vehjkcp/rXujVRntQLxMaf8oMfOPMtvJXkBJmzvygTdbRBLDRMERRstRJ +WjZ8K0C6R4gMSGPQTJky4uKtJ6WS+mXrFhhx1Lnkqfv8oUwN47cYeByggAgTAa6o +onsSfehVrZlb4Smpguo2SBJpUvl/8dK5RpdtJ0egP97tSl0542jd0pfWjEqsNjUq +vxeiYOBaI8LNnEX5bmhe317L4a4P2eb+wh7UyUsdGuYfJ7gz9B9VoDYXPbU5fyo9 +Hu10s6gyzsmshY+pVMX5F0by5AJbMRHA4N6dPl3hMUa8oB0TkRoDgaN7/scp/vyZ +OSX8nwUQqRIsij8XeHX6+rQz0cAHa7zmPzsKGcKGpgJzel3i3ewEI/OU3D2pQlJi +F0NJQegg2Kjti2/yYlZ+i2SWpY0QACo8IioAicpcxO0sG8WDV00BAfBOeFAUmRG/ +OL9T6jBnZyILhiar8U6BCR9FUmvl7TVx86Z6KI4rMvYJLlYFOwLAuJlbGcMaKn04 +mZmrhrxsCr+6bkt5eAgWm5AxZ1ZXg0XNl7LzBVDSsHnOjy2TFdDBlkQCq5ZcblpB +uOqK1lqetzfMpgjXtAvPn0bEU9vQqJNEBS3buET5VJsZDs3fR9ojwA2GxkS++8AW +zjS6mpBInh80jmnPKsGzH5zvtCvDDNsjSXt0ksc77Lsu3CBlqEiQbaLtJ/uCDQok +vlnZIwXLqCwumKNPwF9UjVWjMa4PUaMDNUYv8kW4FyylU35i8KHPJQcrqgJ58Gp/ +tIXXuli2/H8e+wK2f5e0TcE9GRmA2xXg+nPuoM1Ttn3DT0YphoUL+Ex1Xd5shwZe +1VT497084IjxPitGSwdz+26yjF+9W3PxWBh+pOyvW9oxd8fJjUrbHPdvySU+MA66 +w57vCn4ydJxJoVl5oxe21YGMquksBlNP5nDmjfE8eFLjJlzHuaJkN4v4lcqgrFT9 +5njNagzPGdpdFpHsAEfSCZNde9/aJn8h9STfwC43/7JeCC9W14j5R3ULnexRtsqk +b3mMSQdOJFlYiYeHbOWWwwnLb8JdqrSafFE/h4ZpAIimLu2odwDYwa9vbV1FlL3x +0vyRidmgb55CX50AP60AA8VxRPa04EhRo7/NGfBbcSGiAs4hYjWBJ5Bj03/jeueC +V99YFwmq5CnbfpyigGrf8v+cW2xyF/57p4/JBGKuM0kgyi22lKZfQZsl/aBuzd+I ++YdVrHy6GvyPfW9PmV/Zd8OgBkleJVKzavXk8PAf83xuqZVO3qef2VkNcCPNjHxo +UhaploaijwK45mp9sTbZYb60kd3EV0WXC/0ouPFT/XOkx1ml7rw7c7/EGPpg/cv6 +psk3XtizqqIKBOuY4jUNAGqQ/vmzEsAb/qL9h+9KrIU1RekThk3sABndGzdsAHz/ +PxQ9WrEcaTrfnBwMcnofKysRfZDfix4kt1mO1hEFurQS4Yl9lxArvRWhJ5NuCC9N +aFwTDOxcC+ANn7Ycx3Z//dtpnXbIsrmEy6itj3lhAnDn2MBqd4UD1cCglv2M+aPc +ppUo/QP9gs7z9OrJ2M6I9/pedRcCZlzBp+EaebJHt69RCIBdGU0AvTHtEyvz4QU8 +4TDe7bHfYHXE0RhsU38ghgiwE4fn9NAaOczyRzlaA24E7atYRW17qzutz4Aby1J4 +lfX924bM1C/3OtjSDWa+7nBeH3UYp2Si0UthzGO/sDNC4T0y/x+Tqdy4dbEHupmq +4ifX4R67aGG4clB3dUmn6qeIMkg9zffxasZEj7RkAyjJCRitexZarRwCVrYClFpN +IxUyZlGdqamNMDsIv5kcPgOog634i84tk8dKxgGDew4gyvaHM664L0D5DISvmqop +PL0eV3Qbs19hYvOOAKAXBtxfbXoNMA+qoQT6ysKfsVB+DnpXVMuHwZvZ/rUSdPzN +UHjVDAeWdBWcwMaI99Fa8OlFkn6JkTSNs7r/D93G+s8A9RbVbUCu/pb0NtIh03hb +EkBtlrObQqgInYVe9ixvCpWYPol1OxrgrTC66FIYv+Nmq+kC/D2il1NyP7mNByxC +RsFhVRu/5A/YhxaV8B2NFL/BjKr4kXaPU0I0N6g+A8l7YQvWEgE26g4xA+nMA57P +arfJWRmWnOMik+Np0cjI0/kaQulHYX9q+5VSutvhkFMjSyzNT/GSNovUX6vFJ2m1 +2pNUBR/WIUSHPovPa1C+YTIaJ1XA+5vYOJKN3ehFq2hxUCDT8+4GNIIYLP6JLe6w +g6X5u1kkEKSfCyUi7El+CJZVkJ/6dZrqOn06tKc8sQZXr8okfE7GpJa75pbl8OVv +ZMY/yXdjVgUld7pL2IAqIODJl8TrNJ0ZqPSz6kHSff3eDMeSiki/eKDd5oTBV9uV +OBv6DSvKvK+ulrrbb3BBGezbvoZVoy41fCmhu0RUAvkzAyMXDjXUzGsGe3CLek+G +bFugNtcYCIZGE4k9CTf4xDB3gjEluWKbCOLUZWQRAyCgyhhpmYdQl6RMJamrBX0h +ur0FpF2PT8ci5nVJUQkxcY5ZVi+XLBWawZlLHnvYHB9xsUFRaDcd/ThLpG9YvJVj +bsgjxAtF3slNRZyoGz3pul+QGqXTaM1NL8968jHrJ8dpz576u9I8HBxntd+z72CB +DNapHfAFivAjtHi9ApdDk4W0odBnmS6ItDRHreBexi7g2lIUXmy6SqQ8MD3I+sjy +VO6ZTAAbHTpQ3uSrKvKsRfTzoOaskKfQ42nKIM5ogjJO1fmR3oz/HADwshMV2ZC1 +WTr0IhPM+iCTU+Qo4mQSKVZsGhyC52mnKfz3lAd1QVZPCZvySkArxOFFabiJm8n6 +AZZh8B6nO/VZ5z3h5yw/tvsaMr8JGXMoRV/V+Jus3PzrjLjDlCuHR0ZbMeJVFLPg +pAEAwcF3DOfy3Otl4ojGbgQLR+uxuXk1/bk/f4FpCECKpkjlV4igyO1hjJB6XNba +37C9aGub5n4J6X70CnRi0lsJn2ja47WW8gcYT+KBIyIdSaGz3+/EyaQ31BWKq7lY +W+Ol37/Vnv9BeYb4mAdUFg+4qKaltfxWZNlweH2ovkQqGKaLqeS4dumniQZE0uzU +GQUjMxb8p1UJX46Z/rJZ3/X8md0tD3vw6Zs4lALZBQLSEEGMhVG+7/gmqMXhi4DG +aUNoxLVZABGvm7oLZtSdXW8f/Y1ABZDrLF68yKwyrfqVMrJXP3D2m30Aj2NsAg4J +VC8UKgGaSIiZILmcG2wnc1NmqlAu1yu0L9LYEI4mivs5hQX1VgvBRHsdCPwUTFjN +5dAZTuWRXyapvP8gORTNgAd6Mi4D/mdd+kMdwrX0GXb3IaM1hbgiImrQD5xDYoCt +kJD3+q3q//G9ZEmy9sImymSVMqOpfSu0IGCVBZ19AcMuWzSDviC6WJzNtDvwdmDG +OPXpNgvcwbCnKnPthfO5S5jy4R1x/XB8G26KpJ4q8xMHeFuvZdDQH8+24RBpMwqz +RCnSruXy2V/DijDvMJLV0XGH2cxck4wePyCTCv8vUAD6SeJ5E8tXdc7NuLEyRyXK +69WImHsdxTFLFUJTFXQvzTkoafxfAuqDb7fYVkINSrHcpXbl8/bwWcZNNHlk3OkF +8H6Ed7vmwilFJ+OalROtHyvqS5djQIB5GaUFDpGrS4Ox6qtOSpYgk4KqwgUkVzk4 +TIb+3bfeXe1kUzHjRk8PFuW2/WW8X0zv1lWT/377iqsbGHB7nf0bOyZyT1ZbZqms +CULv5keI6qSt7yEvA71DXecC/WllTbRo2OeJ9S12FWIKYbu8j5PtE2EPtMbmk7ns +7lC274g41mm2Iskic5Q95Osi+c8fgCsi15kispNU9ETs6o1PDTnMh5auqypHxcXa +bK0QfyicDliGx5ubanPAa0O6mVSNC8MU7zi5Cc/o9W3YoqcEjxk05Y0+gCd7VcgR +VYhKYO6QXwJq68XIsoNdniesAtna5poCSohJMrbF+FEAQDR+KgLeMY+e80EHpfsv +rD1lldWnYYgHH7cT5eySBd2NF3pp+PNLEaOjvpzRiaTA2RKpYmulcNQWKzfp4Ovq +PFnBeYNnl9T8hOIIVTG3sNTTtR4jVQ6R8b3krKJBFd+eqwmmOxym2qtw+/VixeYo +KF5lZQYCejm4ZWFAfOyrHroKINyAtC6zdrEIPaaiBYJ9v3cvlYxUiY6pFRMLAVIY +jqePIAaLipA/hEajTXpGumpnuuqHwBJPSVXPqWwI69D1mnwX4jqDWdylN5ov2jBz +M156DD83oWtwtpAs8gM/Qi5gC1oK4fnThSMVwiQQGPsAwQhhtBvbkhG6XADLvKoL +rw/87T99Kpnroi/EIxYVRd6qwhgyqzrYkzAcNHGB9iJgwYxMnXokiG7ele9VMjGV +AjCfUbbwjgdTjK+iJcHEH9sZW5xSmL7y/6zQuvUmG0ATGXchgtJmNJQVsx8knoLZ +NpCE8YqJPy/tCaEVJT1OnLBXnGWcviYTB4MC9z60jFPhzgGEXOgK6U8R+gYHGG8G +TriE7WExacL1m0Q3OL8gmcoPem/27J9XsIrh4OKsogktPQb68DJGRGIM5sIUuISh +zOJgXhoijMxRUqNydur0W5gREuJPCIv3QMdU7Z2JFfjq8MAbHb784RQls6DRUBUV +KsSzwU8VefvEonG/a2phHyxTHvVisBXoQ+R0jbAA3OReR8XL1ngme+228K3zIRho +p4lMRy0+uxuhFq5YZ+oV6PMJHzveE15WHxd5zsqpwd2pd7jSTd/THHAua/ZVdLDr +cVAWfcykc7PaVDDha+6ZnxkbbJw5WXWTwsc2XjQvChkNpMqLaZCJQVYfynXPSLg7 +afi08tCAWI/aWbmajBrsAD9TKktzYsp7lYtESIopQDz5SVKPTV1ZkKMgXQzIliNi +eUrsLNuQCVb3QBGG7E7oqRP7hx/xwWjYNegQrVJwYGlUBpaYjB2+K+oHl5EDtJr5 +n8sO7SaZP12u7zF5nOo3X9Tjc6r8D99Ajhv6+UzOv2G8YUOPlp0NAEvlb21jWDOV +sAQdSbEoC6DX0TYxLgWQmqd0cRUzW9ipO78h4VMCmiYmBGp3kplHW3QrLaq4C4il +sGFcEZjPfS+ZES/6oUES69X3qV7ij4+ZoHr9d0IM5MrVBzz9YMEcDS8V9Ebgqp83 +aq3GZCe3rCZSjfXWCTJzwyFBJAauyNOLDaLm51nK2DbfZxrOYzgSSMpLA6iUyaZQ +TqRa61Ado6dQo85j6Es9+iL8xS06Eb9aq3Efb8vnD6bYJ9gAVJ3/IWGOhw5NHMp0 +l65XvXTHGOPBVDyiL7FnKZbqPL2qddjdXBuvUQjb1d4oJ1H44qXIvkwkgwCcD0np +PIfhlgUoXSCSdtEWqyEl5yoqVOx7Kyz8yeiahg9t1ZdCzIhUXdKkiuOki7zBw0jI +3To2lg6iO08LkHset68Sc4AKTqaFam1nNszQjwTCEcpbUR6jLHleEBRYiBnkV081 +bngv3b9LLEmmHwQOYIvCSZL5oZd4o7XXsUTnzxUPgRIQiza+DVcbDXOMvh2I2hGN +fhHxE+MeCOrA+oMU+5t0mx6+cYrzUHj+TBwK1ErOlMECwBLJgJ6JIk6usi+t4KBJ +w38PUuIw9An/mhHoSlm2GWuQpP+aR9NonxBc5HXQEEkmSzRn20673wARoYtd/IHm +XIITi45x48nVUoiQS9MYwQgpC6T2Azn4LqWpBr6TNRyr2oO7JqXoE+gImi3+GsVL +UsM7xH3ljtKCQp5706s5WSY4BBcovhFhArk+SRk4rcr3hVTETHatO8LM6p/ReXQR +XBHQe9LwCrjS/BS1H1EAKdFRQHHH7/o07/PXGCza5jGBTTz543xSv0bqok8PmiwP +BQwucDUqjAhZwTW7rjVO59Iyq2iHtzbnXmXdIUiM3MFygV9C52WiwYgv/vsw1bt6 +8/4vrRqH1SzcrCE/PAF3MZAM5PFY3xJbUjwX65A+8UYTQz3Zdj6jr3UhyPMI9IgO +1n4vkFHR3LYhLvbmaOkiPpbWtaEG9oMpX+AUuAgOKFHjPsuPkAxMS1yUiLBaX854 +Ld8+1xXSLKOUAJ16Id/o9D4UReViaTN5yAi63/PWRd1gEoTTJES93Y6O8T3Lwtzi +dljXwJtJf8GnPEngW1zpB8x7eflnimy/ua6W4t1hngTle2+Xtu8n+Dt5Jo6ex25P +wYymQuFX+8w9SAqhwA+uMwvO6dc3Fbhx1Yv74osqwD+luN5+7RxST/AAlqih8rV1 +4VpBJ9sFMfb4sTNvI/9E7R38A262j7iPqoL3GghL4V7soyxTT5tpqWEQ9R+mYCvm +xkH00qf2l1OvGZRhymJkGwyh11iytNLkmzKnEaQ754igS/G6du/yoCYjm9RO+yiI +LT+GCk9uOhesbUZiFaW1am7ipQcHpDeIHiZ37ax/HQuAoiKauYHTCOOAUuPq8cMC +YbXhfX2wPx3cJOguu+RA+BB1oBu2tm2g5tkmlLZg8dLUCpJODr4hEFTqwiu/UMor +vLEZ7ZAuUvAqsoG51yc3tEoUVddEylkPKJLuCZA4qzhJv5qHSwJY9vwKRjZlHyMV +lUQ/ThDmwZIKM8FEfDBWYopxu1B/cPVfStOqYybtA7jOdAuIzFcptd/HpHXzRNpy +1lAZQDxOmbxUrZ982YxDhjLBLM9yqrYO3xWsNsdJ2kqmCbuPQi/z8OY85U0F7cjT +JPrMjFY0pHw0Erkaxsut0XdWGTAtL43P7dsYkILsORhnHeSfQnDhNuxTe7Vhib5s +PCYAjc2xkSZdZycDhrpYTZ4YyY6aUWJ3Om75lnr3PdzG1HP9PCxuUNYkuIy+lhX4 +wBlBhnDbaflqNRk5z/Y4t6lPkSRXozpyb9EIFdUKgS5UM0wZJ1xNfqsHl743+t4I +auAc697cdtySuhxeQxAPdJ/qXAVfdjmFOeZe0BzrEByu6/xjdKks+axofBzC/EQQ +raLzbLsZCPCoEURxAfL0H0rViiklyZU3wRNVW0TYaW4BAHsR97kg/2RwQNW6QjvI +0sMpBA1ZeN0kwISQrXgmNcAn8h43+MJMByjB0YzzV3UjJMPXq11S+IUEDoeupX7Y +N5BwQmhMmgYCuHeYe62PEYSCXCzTEFOn5R+cjyBfkagkA0BQTds2RHZuAj39srqx +eXjc+0UpiAK6Tg1zK8gGPPAfjyr6AP5hEc/jMADe0FbLVCcd7yeX9XuNP4ci71wC +RCW7Mt/qRQ6L8vUO85c9t43JKlyourdRxd8ek0K8El0BV8grXkvdxbTrsF1YFNNb +TXLkY0xcsGC4R10l7MG0OQR00vURuR/UoSCQR1DP6KtoM4O6qIglLrRyabBtnpLX +iTaVJacH+4e21Uesp8Zx1DsPMXVDroZbH8Xp9+9oxA8lyzbdv/9YdbNB/QynGfYb +/NcZ+UeCTMnHzy36OqOvYoyV1Eit75JFzXNhcTU2ElZGdHFQoOhk5ct6U9OyzUDA +G5bnsFA1WEcRoUu5d2pU6T7heGIt/NRhm4YIKhIYFU+6dNQYrCVKr7DhJoJOkVA9 +/6UNMpqQk6s1qxj3y5j23KH2Evbu0rmSDUhqAa9eNqIQvy/oIKm4CWcK1MBo81Am +SwSfDIi9GGGQ0IwLr55RMb5GP6N1H+MmeDLiCeX0uYTPMDxiFeJzc+JrCPhwvwyN +sY8uAZkaIdCjn2MzpDtT8V+pqEAdudDzJJEmrzkBdooGXcljpnw1QP9AFsfNoZ7e +DvEhXjebUB3ZRwImh5+vOv7eCdK3vaTGHHiQRb66H7ZUj0FksFjsUtNkEy/vgpnA +Ps3denFonSo+7i5LeXOWO6ZFpQLIiN56bg/WV+dWqZvgT4w3wqaNtfX3SVw6CffX +dFQB/pgSF9iLp1KiVJR8LYYKRLrCqGiuOZsonLVmI1DLyoZ1lMCxfni0p/6C8n3U +6wrqilqWdkBkYdMkP7H3SNytFsd8cGDdrrBWATyS3PDg1l67zOEW+j6+/E8eMC13 +81Uu4BlxrJZIvKw46xSLQ3nFjpa6YGakNcm85kXUnOhkCRs3QBzCh8O+W9YDOIDR +yeJ+J1t9ww1yRbIXkaFT+yF5PjyLlGxkblV2p2eqOTz8+05X33Ks7Najcy4WlBpj +F9I7TcWgT6mw1WstXxQGYIBpjsMi6nACjIiBAJUy+UtixDg/p4P3CaNQRcA/Ym8I +uBlcryAGC+4TRiGGjgCGLp/7CRzOVDMNt+W/RkWr1907R66MPyPzAO6eCbIbWIBw +osgYAOfwF6yGeGoG055flbzC5wZ/lCQiwb8i7rKU3C5YzzKBioEltAZ41BRlz3pp +WmHSndPAnRzZWLJ4C+QxosBF2l2GgBTSI8pff6Psm6oo6aqR35UcgnJHTeU8eeBc +oth3L0JESVMbzFxosST1HhzqQj5bUA5pA/yT1P8BSOmBAfrJXvSVHvvl8Qw+YYz7 +6qL3FyRMWp48ZkgH3U6SEJjFN4IBavBxYtkhokVNey3NbqHvGDxtoQ1Fl96qMaRu +j378jk7OIetpj3Vky0NyVxXgA9QZFFSA4GHmcOxJT5l6mNWJtj32LFbExN3U4PIN +bXUC8uHpK0BwXfxlT9UwIo1K2jBeu90V1WR1zufapeTZUtr8cRQiddG3+3TmvJIU +gBlLhTSOWoM+ec8SzjHiG1xTDcv/bQHVVyLXVX33rbR4ZkuEjfiHe5OZkG854cCJ +4RfdYhHSqYVZcVpk4K2xeR9a1vPkpwAUaFTFBoMuqPOMnrJC+TilUhgRnY0gS5rZ +uCLklH0EJUtYeXVhrVuQELym+2ns9eHe7DLbc4xO58RjN2BhoHcFg/xPYdCxYnS7 +FPIzua/mzMAyypVZlUcffGe6cK1AtDk3VF/8VnEqvrXKO1hTBuD0G1XsZi1Cep1Z +yu4V8iKg34aPOpZqBntEvAyTnqp8gF+zR7bWGtVUOdmciGcY2ch040tCYujGrWXu +0Zamry6xd7q703zFRzav2da9KoUDBDV3CZi7fjCGpPc7Wf/2yd6yZCsLA04OukJQ +dkxsmVp6Yjqhaw7gnJltQZJZY+iZrsl3muIm9BTR44mOHRwEJfUr8CBWyOwi3KJ4 +BVVQrol1WkmGGf1+cmfiAAY52ncRz+q7QV4db3pQup5UkFT5VIHzGOX77kvA1aUH +n7yUAkVKj87T/06INWc2pxaxdrMeMaOlgUhVUPnC1BCdJQ1xFl6UOUz72O/YFabI +HP5OoCerdyT+JR22hRYS78U1ptvGG9uYrZ4Q4EKpAPkeddOHVmVb+VOeFZWusDSg +LYT69zTli+TqYUEv5X7XnSsW81hs0jjDAd9TQtK1AdmMaqgLnlUglQBwnCg6PS9n +6rlYVlyvfxZsefNLoWBJgBiybdCpe92AFt8V2BJQlAub5jo6GuRr4g0rf7Z8nAU0 +gDt2H06azmgeJUATRRMlcn/9NCjnlJosdhukqfpFu8sX9IcXITd8PbcTpWbsVYhn +EJy57p7iByzEUnGXuh2cewfMk7pn4+E9fIPeRR+CalJPJ7Tlq/tfZmRXGvZDIxkO +InsaHZEpfzIGc+Ena2CjR047qm5taZ6Hg8bXzDThJwcEa3jfj3IaXcMpl8OjWl2g +cy9YmktKRSKHnIkSL1+1P2uJpPjNudyLjSKer3jMp+Bi5qVkqcoa8a6f52gymo6X +L8+IWRlJLsvYSZd3fjXQFJk5OLhx4ZmUlpLnTrgra39La9dfMa1DvdPsGJcGTSDj +2728lRFdm+YFCjyqPAkzaG8tIeVi3sIIKDRaAqOHPnTEmV5FsghqxytzDqIZzkSP +rSglWpUOoRF5e0CQWNTMeyqivCppPlIIwZhMIrEDSdS0e3cKYC0aGu1wCVj6o393 +elBJyX4xpflOVZPX/4r5r4mi71wZN3GEgm2d5TZUgiKCDVtQ7jEWozEnfesA+6dq +1XZWtqN9CDpmLhXOftgypHz01LFVHtC4ZIBwfx4PPL59SiR9H1xnoWIHQEz2m//Z +ThPilz7HJjvpkGO/5nWGmn21LFUISI6yAc+S7fy9QTa9nHxcsNWwgjOWe0ccQSe/ +/3kpwlyoL3f0QidZcnIoO8Q8UhMPEVvCsd7ZVyXBON3adXDAWGy+of7z2dIckBYQ +suYybJtFmJsL4R6CaEwM6PK02YSNcuUrqGbXLkCCuQlerVGqVNa3Z1xrMmCh/Qa3 +rDzdIcoNremHnKlzFgAMUY68I+ekPVmr6D7McdCA8/xtYgcyfeF6pJjFEYbucP1z +lpRAilWL8jadYtFj9tNX6SakT/QkY1e++Hf3B8eC4JKDfvxfpLAw+bR3wrcEfuZo +BLZpcUHjSuaPkMqqYYTonlsJ0UjzysNpJjLjFUuy4mcglRg52nZsJnBin9+IvKsL +NpHIh9NWBrgsQTipn363nCHJxkFyQ6XfsTOqTCnLzK8B3yH4IkEE1zK6kH78/uDf +H+vjAvsPGQgAf8o6JCSkHm2tOJ+/oNdxDHjGgvFyhOTStxOKThfkZqXbpn0sTIZx +Q34CIpbyNpwVILIM0y/SMOYOOLhuVh/CdQIsFj0+a1Ds39hzbn8WNNWRryPDMZzu +1d6otwXIdIvVWJ2+mDEounm7lkxWWNvTfqRR4PVOO2jpYY6SLyaK04gL27tUVc0y +EVcwLNk/UMceUP39ySjoXtr6swI/LM6Ep3s51ELnpNDlMXcPCABNY3bwCo1fpFWQ +kV6rJN31FZPJQuV1fjyQeB/8Q+0i3LVNr2bv7EWVWVhkzaFJnLpRI/FIdOSbAKkg ++kWLdodybnu/6VkkrEUAfk5Iq5Pe2Uu5sh9sIODeiAkLDJRRoocmmZ7zD0lHTmSu +XdGiF0ax1XhP8MF5SjTcDLLRFeRSf7x+2PhHyrECC7FB5qIv4ItHK0HspHp2aAy6 +nm9tCn2VQ5OBWuuO9f36A7mYvPL3/YQERy8WG58HjpoPnLKIwM+fiULJL/Hd5lZV +PWICg8V6kVceO1wK/wVY0auOzXiHS9dn3lphKAvmVraWoJWp9tpMO4rAkH6BFS7U +lYo032SoJZ7wVVrFEiv8HO3baVY9KoDuUFcl0GmSFn5f5jufnE5pJIbJ0mJ3anw7 +ttC6I5ro6o8Hmd84moeJvaDH5+0T/qRyXIL+Z1We0MGEzd3dydWb0OoWk6pcUDeT +ZbCOze8FPNl0+g/Z+nEGmAJAHB+My3TwVGkJUKB9kF5Q/7Et6vO3LOzQqMOGvAZL +pqgOMFlS6UBU8j3vmCxTku6ciByVqFapWHOsHu6cxfga7opu/3pe7mFzv+zNBNRK +dBQD+nya7UK8YoayNH6FL2SSb6ZyaYc45KlZNOSewcOv2Qw2ZRRuiPRea0866Ye/ +s5a1aDEKlzdpH5yXukXFaJS9mE5L7TXqkYZevrVUPCoKTPa0+SY5t5HTUYc/4Jun +2zBA+6LOVJTYskdL3X4hhOOwqRHzHhI7P6UPd7Tv9Swch4Sg1zGzMubXHz4kCPlO +LEPcC9D+2/j7C484M0Yf9wNhQa5IHnAJmHRiyB7gO480syk+I+bKhg/aP8gI0W1j +vzKHGNA+r6cRr4hndA2gvyxjx3BYY6JwAXxvymxpcYEEIoBizzg3fAaeDSzBTvrR +phsPluIiEma05EPcFygYZ5PNCuGjmuA0DIBMOX3LsQTVyufedXolnEZcx8lGcXk5 +iv3TgG36J4gEYfjqiOUnO0866teOpZz8JL1txs3X4Z34TEZj6QGWhGmiXsmPWapC +mmFmj1MRou47z/ACXd291+AjqsH6Xybs07KKCI5f3LXzRVIJRWz3dULXNLJR5HWH +gBXEnvcpshdGXuhtKXjRAPGerjcVOsVtRvPvkRzorTIyKCc9ADqlGxWTiZxn4IXx +UEDbQgd4bEioNkO63/12roqiaaj4on/TiEofshzcYFEEP6oozTal0Bi0wfz/JIQF +BlzlAeFR17S08ySOl48A/J6zeFuGejPl+wWmQOUuh+IwZOqQFl17hJgT9yOCLXHi ++bxyPUcKw96l6fObrZPHExbLPHccnY5rqNtGm0R303bPMJ5T9qA8OGhl0vEFySE8 +q/LaEFM9893iFbBtPzh5p0vpyBZwpCJnNyTeRT3yuaNGaJTw/q7sp1E9gbhJ8Im5 +iVlsd0XgU2K35RaR+QG50WZ3MHzC77oJTvHIqHGrnowjd7s8O/ImwQG2zY+ppr4o +Gk3gSeCPnSU8CFfzsuR52Baa1ZXSMXBOmcXjLBwxBpcFCmgnLmJ7nffIhMtcOnlz +GTiPMDavgPvCvFiN8BCicukjzO9FQjd28cRmONXqRACnf6g4qNqXa5svTZ6Tf8wn +oMEP/gYHUeFirstl1RwTEhb8NPPaZrI3ujo6aLvgTikOwD5n65ouljQ1iAJJNtdA +C8F8tqg1Rqak/20UUWgKW0daHt9oc/GokZS1JzWdQlnzNu9DENaf6uZpc4eOQnvT +H5tEdoH2h6+rCW/7zEqFJy8ZN3sDu3PE1hPBcFd5zjoj7YFeGeswuU+4t0Nsz7ou +mdpjvEr7yYNrhpRm3mKHgCtbIvSWchcDAorhT0boOu3dxxqApJwuUvUFTqH2GPiQ +fvZTrodIqIbzbquEbp7aadvXu2lJAOVF9XS+dwCgSpYiIMARIcQhMgstq9pGPQjT +6zZ6kCvipkDJZprbsp/B2udrFGMWxDlievjWL6NZ5T1ks7dtXn3+RPv2XO8IAR1/ +FR7jenemIyyvh1GxSer1rDCYzI+D3slqkMB7JxMFg8ZDuQvdZiRrb4Hv/xHkqQAK +co9YH06OxueYKByrcdmcuh2zK7CvPSIWMKSp8punE/kBM6DcFtECSgZz3Z8wFhOf +WMsOCOg2ChTLtJUlCEwWL1IXjhGqhPbZQPaA2J5YO+LZpPRL58bRZar9bJiuU3mz +CG7zs05hnlgkmppU7Kt5HjyCDwEvb7R0/bEAc1DCaICShZOkkqm5QPgCHXftGgkJ +JG+YLRWPXebKqX29lrej9W3GEP0lHD5kroPqKOOHy6jmdqkSDDp8Jax7joV6ePSS +WsULSAqkEj9eKVQHJaN9DucExtTqR2vxbb2BETwLvHdh+dowJuPPY4/XwHQMDym9 +zgop5avKZiLMtFGbApIMCRvVkAAiJ0P/Mqyddmc6Dx+lrWwyV4e2RAACQHNhRwEM +S3O/cRGRZTjSEE8eQ5no1pZ7o7GC7ICDDFYH5LjmEc7H3boGNtjTUNCmjdL7QC/T +3ZATuC1x/ZLrbQZVi1H3w28MI4QtO6LaaxQ31UTEXlocROcw2SGBSnjU7DSE7dY8 +mScVC7roHjkcYTschmoutmENbo4cQ4i+CvufYaLTXon9ZFDuQFr6qtuksOa4KnAr +rNhYqAEl2vxqg9gY2qCK+UT87CRie9z8noo9/GYGupXumMd1iup1KtHAqjfmjUcl +FtQZQvgGwyt9ERDezC2Ur4fqtiIK5p30wSecDAnay0VFitCkKxQ7iEOwaY5EER3i +gC/OnpF60zbXuYlUwJNmUJIL55ySO+m2j981DhB3hbWQV17fev5KG26Dohbk+3TE +bJFiKyAUY0DolcHMFYBXji9MdriLyWR45jhGbqEpqshfGS1MPUAUFzcntqw6tnFA +KZV2IpcX/duZqjQ4rsxvtT4QHPSURyzEMFgAiJ5PPwAbker3YzTtw1nrPnhOhsza +Q0YySmfT1tc8JujN1nkC+XSiR1GLEh2kTUsx0VUD2TksRE6GLgt2m7K6z6ZZlmfY +nYxoOPjbenuxVag08SyLo8vBfLuLOASUF5EP1QSeLlHig443NDoBVjv/VssW/UFz +FnKEfKnTlZYBAOvHBzgyxF/JwTPfGumbp7ePblZ+pxGg3wCoD+nLxxlEThxJ06W9 +8n0Vx7IGE12FkiivKP8L2Z1uyiIkRcIohb46suwghhyJ3BI3HMAES+ExmbpUzgfW +Io83zKcKash89JgB6djX/ahASbeLfMKRtc6jDdke7JbNYCo+CBufXcbUEC1Gk1U5 +WKUNWE/6iYQiM6RhD3+ofTzPrNuoRU8jUgMbFbkwqiNn/FuEAvml2Kwh4t/H1BVL +AD6qCTAUVcRPFqyEJvr7wARqRbnYMXdvwhaj3Dh/KamGunuDO+dV8GVK57uS4SY2 +2Z4XmaUFg54f0WnNFNnNTY1P82yZFE6Y5JFmh44foTrHtMQC/uPaLpOqWLkpUVa5 +APTcMx95XQHUamvEqL0Fs7iJBfbtdhCTNpb2W4LSg9oIetHNET1ONA41ihJYME+9 +OaVnHyHufzYOvCC8zEiZ4bWHKptpWrCQ2izFMvsGpIQSF5npAdZooq/4Vw3LYITR +3z26LcHQBIeKWP0jbvaeYsYQkOip7kRBbqpGn3PrJFszM/16MglpnmuBz/7MKedz +6LL33J+uTpPKr9uhCX9wnU3lLPZXdQ/t7v3RxFylwWS0woIL3KZXJ5lla/3cyrBd +/EkKh+i9r2zQJY9iGcO24oMBy7HTZfvNT+OYf8gN/IgdqUy026sRA4XgRVOdmYrF +dqeddzeVWL8EeDY0RdAEXzGHvWXGA/ZuxSwHqcisWVjGwpb3J0+EDVupb2Zqnx// +XHljnSz4gml1pjV2QzSsw39B7QGTFKc/Cl+rMHLHytKlgggMoRg5oRu57JUKvD+5 +6sUN8MD+zSUToipkjI5t39eQk16x9YEgvEd5WHNg6ZCCaaOcuRyRzvfN0DPy7tut +UdGrV7cIE/aajK6cCHfYF3qqyVobfN2xmjaXdUYh8n5/npMC++wYY/SUlc+xodX2 +p4IYnnaLqqqYtbaL8GeuFsY15y92O1HLwK8+9JqfInSgX43d6Q5D2vsXJcInVXjD +H1flYk+UjRh7V8WYc8KIDCb0i5cVxqa5JBXQrLkxqVb9+9MepK6cRmSm+EYIF6OA +oO5q15mT3tTappLAN18qic0pOuhAAd2cbPfyCu6mUlaCv9bV4C+pJfEpWT2/gDcO +msTvXulE6M3hWp4rJ+3EzQxf4r0i7H4p4moL3nIznAhMgcONPJhAjVCYHggMUV9d +ge1EH2DfpjR/+cRtuFXngE/P8DWI3Shjl90EpiU4cE5pt//GbeolhEWU+16B6SRd +M920e9OxV0DaaGAyzyDribzry6Ki/KpqUYdV2k4/ugmpHjQvngic8WOc2VbJkdUE +G9FZaqHimpb0+plCN/dsQ07RoJC3BFNrrEAfrHreQiY94GYLN7poFYznmV12RYXx +frqqG/UN6YLU3vJ7Yzq8d3QikmeJhhXtKT0jKSXiK0qNVOuPSi3TG6q8A1HDQiXw +8zq72wnWSZl4MNU2McB6jkfiLz8d9AcN3WM1DVdTjS816eCf7S6gNIlvpR34IaFN +T1aYe/gqV4DyoQEcegB4cca5zmfU0tba6cto/Xo0rbRNJbeJ8pPejC3F53prTEAl +vQF0Mjs2GDuKJuXw7OJfo/rY7tcuZQ59zoP26sB8cOflbPCwK+WqgK7lDRjUvtV6 +fTBqJ0eQPMnf6l+emyps3gbHD1CeOKVsbSrSzxBb6Bn4g8S16wawiIi/ZfVt6utb +M+BCirLkG1VTwPusDM7kJapzj72cJARN2x3qoB+BAQLxWLdX7TfcnKwxGKdDS3va +kqoGHX5E7bicKSk5UGMuL4iegXFLbkEAQNqMtSZpga6f5Slr0NH3hMKQ8VE9zF4d +F80vtACdB40PsqbJ+Hc6CZv0cz1NxIbSSwlON5PzG4o77582ez4yIISvy0X+DSwW +i/9KR6vU0iNTk3axFbJ9NHfNyNyKIm84FLCeJwf+FkYW3O8rbnxuwYIPn9NL+KIk +pCriru6/qO7VqO5ziMWEouXkgxXPyDbkQJXBz46msf0+6NCoJTYfF08+2L+OPe+M +JiWdYvJrCOrTaQsvvljDlkoHXWICLq072GgPZHi2VmDTLIGMM9eRa4NHXe3C5H0R ++XKyLZD2sRtELVwMhMuqkuyHbEPxVTHe5mjIweFiJChr1nDyQl3ouwuwtHwnZ79/ +fr/Phmltf6d51EbPWv61FZNHRqZCykSgaBAMSLsTpWGNUA9Meo8QnOKyIOoBW92e +vmrTMgnG79UN/ULWnBhf9OHI8+5eBnC2JurjBPsjBqeSDJr8glxsatRvELN5XudX +i7jwAvU+xgiUwFGC7+xZNpJEgrp2wHXgAEhAHWRuxUWAzy0dpcf9ujpcn3tqyNje +TpGxBhcZ8XIVvEhEOHBOHJfwLZgdpimGwSaTFQfmqsEg/c+AldHMZePrzv4cL0H+ +LJBxti2IXguXQ6SH2WDokf1DV14loLeA6qcRnlfT2y9WXvQuKu7EeZJhz/gXfh3s +aW1QhSZdbMjWjBIoVMkAKjNlVSsoEh5/b/MCn+4T4uUHxiFWLGhR0GuXPf3dANZ8 +vWW3SjdqgAVPJth4dyZ/bMqbdBycyW99sttquy8qrpnMMqfJ51pJXHkxq83Fcott +5s0mfzTCRk96+sbWQ9QSpbjr81dB1ZesJr1urNuu52p9GkEHfs5PhoxkLSqsDzrk +XRU7YUU2aA3eHY8h2Tguvs/BjdWJkopEb9QSV2RH9ZZA0iOVwTssjPOFjM/HffT2 +pMtlRRZ822l8jbpPa778dTY8HI5RPTD80ZbSm2okWqE2csvhv+45/5O0bKNZLS8K +qb5I76wKMBU/kW7b+/kKjKvvdVUwmDIn0JkJlf25o6u9hycaM4jA7mSQRhxB03uc +JJu8BCRf1k+epN+GUdcHYidepwmNZErbYeUpo24piBrU/7weRctAHGb3PdQKlpKO +OVdFZnlqfik8FOo9mtrFw4ye514yghImiy0SCThP7ffmEUw7kiY4cKgv61kNTzRO ++WGw/0OQlsL/pnMZgkrczxE+CvH0744BrxUHS/yX0FatzM2qMSED08K7ghetYl+7 +IEKkmyFovPllI08vrhF3e0VWj2pqZ9zidpL/B7H7hdfp/IMSykI+OruHDvMndQ9z +90lBN3SijgL51SbY1vr3lqIND/p+yil4DOcnVzqzumjYYe60Hjo64Ro/sn7VwV1e +6aC5I89tgeraKEzO1TVw0cd3B7VGVcR+zpecevWFxO8re0g9NyqDf+z33JCEKkic +/JxNILrNO9tvn9wUphoPCoFyFyT3b9isTQJkVrSxI/y4C6rXYayrv2Xs05ZpB812 +7hNVLM0gW+d31W5+SnEmSH67fzeMrSg471kCyynRWES75eNMDgUgS6vWbIHbwZl5 +bMvbu/Cp0me7iS7qe+xMcZhg+bluZUg1uvg56hh7S8bUTv35H1IziR5MdEWM3ATQ +Qjjx5s0BA+aIb3aDAP7thiVxQJMQ/rJ846ZtK9ZiL3TQPhA6u7Y+clgiyXKpjTx5 +lf/eZZh3UKxVcacf6EIGpC1bq9PXsF7CjfMiA6IWB8wUqxwCnXeFPzC40jLd5YBh ++0iGy8idnsqcXymxSOK76Q3+t7twA/sIdaRAaLM3rWjqNjSApDrORzSLs0ZXhcr4 +QHemzR93Cgk/UK6wvO7m9HUlQfM0W6ovckt3QNgYMmbhXm+oso8WJI7KlozabT6d +JsRJ5Y4ANb4rmlZRNButf4w1QGSicrL+FgRzPMd9A2wTA6iN2lq6TBoIapZSJq7J +rieGrStC7QiRKjF1lTu+yYc214ZMoR+5to8HwUwgQQ/zP4kWJ1/JRizR4f2G+JJL +nhGSds+TOmAbKK4axZay8BAiLsj9DEYkYiXXdm0TnTUEcxYEw3FPb4JAegKL4SHK +qfaeqDWB3zqh2fxEl534MSXlVZwhXJQd2/CTRxAwSoumunX4EGB+gugykV8tZRqr +tI4Jq3LtCuIsD26PfZB9UxNwFzu8vouzzcLNpqlcBPla39Ka3mH17DBsQiSWzDBD +XDOEs1p5Rzmwo0KcfgyhCAdbwWWRTukhzhAxQ+F6zIgFLxCQW7WDzFjqZslTROa8 +GmJvWr5juiDCMN2w859GwPaQ3RkVl6H6M6LwIkyHhgjcMwL+8CVD8YTlexj9d4/J ++tmTNPEFd4MbN3oFdsZy/DoW7qg7SVgBQHhO1Z3yPaJ+Vy0rP+JiXBz9EB25/bqY +cdmHpNToaZlfTRJOoY6HYGflggUh172L+4A7fT2zxgBY4CJtpxXPPL9kwaO+0Rqs +B5ZWDsDcnrC10hcbJZbe4Q7cgp5a3TpfCH7GqJxZrxDRXfH+HPT5J0mIhcNoo4C8 +AazALntmsge03WGGPP+WNbwOlg2I9B3cm3d3MHjpDUCwMJK4XSj4gs/oM3pKkcqs +cgeY1divlIMMMC75GxNtiaY4dQJOGSxR4WZhGkf0yEVpbxerAPaDUkij6kwVyVQM +L5FvKgyYTKkUk1WzT6kCXxUTNgphJLu+SgIod6Eejlhmy3Zkg+b0RFWRBUC9sWbX +qiDCe/lJhNASkO7LQSZLViamXGb+aYFmBaMOSdZUPM9JTOXFW6zF2J72E7qpJU/9 +TPfagIJ4Gqq+lVG/5WwWkiwQL8CO7V9loSlSMs0vbwvWpiBNdiSg6BU5xu4QaZPw +xpgvp6eY3knLhJGxQICiRatHVPdXWhHJ0qF7EG4GtjkbT794qpY+9iD5KyIZRLpN +tU548ylsxcsMPnwZXGohfm+KyqwShSR3Dy+OjRSatook66rPIUG+TlBbdhLgE2sA +C3p9QjJZ/T6vcmrMFM1BgZ0MAqg/iBtNg2jQZQt25S1ZTLMNhbCOswIuajfLkhkW +dmEkyZlY/8+2jDny/2OuQEXZn8mAN550ljqjNhn0kNx/gKeVq1zBQAlfpjxXYM0C +lBz5RgYlQPuAwRFy6YoWM/pxqkYAGr1oDwDdMc0GLNvvmNZg99lLM3orC8tVP/bD +i7z8vHsm8xyyyndZhkDgzt92ZzyX6QR/ITDiP9fZJihLW/WDhI9EPguDSNTnQAAs +sc79lpgqMINYpLzxdRPxK8HFYgDtxWTyZCgJ8QgkljGKz+VzqXKRdDMV0sgzsQMo +nHYNblL4FFBa9pOH2StYw/YrOAK+pRdG3glQZmblJeHcpKT/nQlu3KtLwY6iiq8F +XsiMvw6LzrTeFVmilcdh7EGyQq2NQ2S0M97w1BJBnaidxXHDFbyW+frE5OBI/mIH +zCS5Ty/3QwlQwTBNsg7nwvIeX2/Yd7DMvuFk1oD3zWuZpejcN0tmQiXOht3TnKQj +XfXgG+JuF0KspQ8uMeQtumgf5kRvxshJwUSw/iRDoNWaQPNCgDx/l+QTabiS5uP0 +ZvnxyaCmfnvK0UahP46hRG2C4fCunwINFG5Cyc6eHJ0VD7x5dEgJP5lrd72X71lO +YbkjlwwbN7aJ8HwSFLIzNBYc0vNIYd8crUjFS7CQ1cx0717QoJ4LZcRXHSZIgOqh +lZnxVzP0MRD13RM7BfdRvvb7r3so2REdSYxavYv70+uiMZn4zPE1RFmSpcQZMvDP +8sAxhpbPOPG2WWEf8RHydHyUcfMo1TPvneYdzSn4r6oRQe2Su+LdlXB8rfa2KdXq +P6OVgD5ASIwzpiffEyRXRYFrqv+HZqm9IsX5vq9QIC9aLgG1dyb+plYjrIMMzPZS +I91YZ/jxuLcjCRT0xOB1TPPSwC+XtXa6awR5uFi9Vv83a02DwDVOr8fma1+b9cQn +xqUQeBHlN+WyCyBiAyJVza/I0CbBJZSODT3oSi1ocLcyxVa9ulM2ADl76S/jXoT9 +UEBDC1azUMm/d+rpN1ES1lreacpd39HFqSgk8gK1jjAHQv7GN6w/7nGdwSzNp6gG +bxvHyTq5FSSj43Y/6txI6ThPLAPRh0iVCvmraJTyH7bFoxX39gxxsGXSfz4Z7vY0 +d9NfKvYvO1/xDqwl2r5ZngG4Vyw36Kwk/sbgI6q49DizLL0Z2I9Olp06U2YCZvpB +8y9Bkp42nFuyG0MxgfCDCnAg+SxID4m0kRDp/SRf0A8RnEtYDuJAxocYFgNOZ2IZ +p5YenWoeoG6UFBnlF9nV2opXbB/37/FuIx4avvCt9KG1KNtVwsH4JsqvjGOuniau +hIKGtqCj2vc0tkb1Xj1mE0kjMRvJNtvVn90cM3z0/1+8w3f9nIpYIQK9SGEsNUEG ++ahWqtlN7Y9eN1lDe1+w63JC9YNCYKA1nITUvmsAU4mUNZ9YfGPOpgqcFfaUYzAI +mVpmjraSqe2YTtqajDclBaKnIDCblUQghNshyo3VJ5hr3il1qT+CioaoVFtfEdx7 +u76L5ZXfoMPdMTft64LmTIo5VFrIjNbCIybfGgO4Mjt/8uELySdmi3N/H5YEEd8E +00SoAJan7Ho46YQ6x9ER6e7Nf/IvCDuiTAPGlQmzOEfvHCn2l8ju+lNos/JaOvTe +I9qG/HZJTHBAhgh7oF1JhyYV9yMI4KlrWuDDE9oHkWJsuasT2niv8Jf9VpWZsM01 +awY/uz2zmj1Jg/NKn1CfMkt/bdwB4CTQq52Ea41PzrgOqDdd0+pyau43gw2WnAzw +zpffXzIgrhaIDS9B+xvdPrqT05z9xpY3i5jgOzgGAbaaC3jmRQFo0eQCr0VQkym5 +L5BtZgVLxRXtOM1JbqXoNhakJnomal6zFIXMmY6OMrL/U4Z3ab0FHv7YCz7wodXq +Hnl7yBvyeEfIAST04NfSiqZIKux2WicU7vxmCWfMmHsEsc8Lso/knOKzWQacUiwD +TeVyxf787UisdFnBufdlSyec4JAEJLQY89kblYz5zyyG+1+YaNo9FPDbBdX9MAz6 +PRVoYXKiayah41QyN91EJxHaUGqsiCk+3WRlBpsJSiJeUXsFgb0scpbOup23zNjz +3+h6p46oOOVecdkLe9pBia610aMiSQOt/GMV9Rg/QarzQOWS9V1tDTec8Yh/73ha +1nvFWz8N/mwoY1m+7hd0ssB1zNM7uTBMII49Ij1ILWnECDut+aG10Uwc6V0eWS3z +oimQ6POOlg2B06r3gbGrys8RlwX4sAPcOVnA2TPZ52S4U9YoA4NWYu+CEp4kZ1ij +/FLwOiNU2+s56OjSDqE/fY9LHU3NEu6BOWtugEkrf0eM5M36p8th2wR7SH3yi5Uq +yvRgjsFtpW/65HJfPxD+9734YWgWZ/qIfqom4O9pkjSIITcpwk+/neOfgRB/8QfM +t/w5tsoSpiI+pLDL7YyiAhsZP7FvH5+E9BeNW7zzFsRKlhDpgYjk/QOxgGxlNRRl +TuUtn5Sf7JWxwYz73reM4l6/6wWdiiJY4renCWOra16xH/GragW7Rz+oJWBNaRnM +2yqWsMpCGrD9p9E4Bda4KymPY+ihJKqlAwN4CKyxogJpwuI/S3ZHO0XZuBH9hH9K +Xi0QDZ/RomE4S1Zzbf9pzwAJV/PCNGEJ10Yz1WCcuZGHwOkGYezYDXrrlEpMWxto +WyVYu1aL0PCNFhaCB65K78DZ1IemczmmHXBz6Fvbrj1L8GUoIFksXPWkR6hzoDI4 +BDlgGXSsqD9hLzaE0mx3pZoKoH7moMO7gQ2JXAUapurN/C4i5Tu3iUs7GvePP6HN +MdzFHGzeloFrSRHqqWGxvXG+Ll9bQ3e61Vz0TCk19L7WGKR2qEpbR80JElcGcvNI +szQ8E7uoaR+MOFTdO9OU1/HUuOqCNmj6r98J1/YKxlyKw5+FNiv0fsasmyJG3Qzg +em6MneuXV3KwRKad6W23e0k5gDwRniDzBDgENLGqQjrSufb+VG+eOkMJ4dcTX28E +XYnuBcmzJuBNBYefZwi5eqt//SgnkgrrVIEOnp5YZZHUfU6qGQc8swC5rxLbUZDI +VNCzr4/3EIbQq3XGo/qPoWtP2NCj7iqGcf9wKizE+EmKW84GEBwNr6wkROKeWAoh +V4iex1RSfwWaVGcaZ8PJHc0EPPHbESrAQQttynHwEkKQpkIbUIPzWQbVPCJ+AxqN +AfLrNaDuyKwS3YD+5RaLlMlJ5FJufgMMFmcO8flwAokWOix9xLPPUpcFymqADmln +4hzYlHYrLI5Ugsla72HbFdIl97TF3PrwPYso8oXKN/34tyqBpmfFvpcfO9Bkjs/M +n8DPdnZs1CHV271eOXW18TSVfevFTS+8nk7R+rhQ/PAlvMERfBBD5DdCxdqUiHr5 +OCgH98QGV/z9YaPT8jP42HO2o0ZxzfLpSmGHVb6RM8Y2DcGQTsPK0tx5idaASjI7 +2rQPX8S3mM3TEfR3kCKEo2cepsUW9qIUeUSuzzE6dQmgKWlW3fLCLi8BsIzH3COl +q46XlDkPIbNKx/FLKD4poRGMNaWWNTA3dNu4s8BpnGptqXH+2eccizObdZ6/Xezz +IvBen6Oe6DtooRSJiLj5jTmbeYMdgzFcMElBa0Hu+9d8CfxW9BiVm5A0rE676AO2 +KCoWDOZgFVFjLOILma8qCBy9iQ6DvpPeqz4tzTax7UmvkTIgs+GT6HuzaL1mbH6r +2zLrqJFgLWHBd3rDAfJsx4YlSv0LsnHihbpNI6H+iJRH/zzPgyRavb4eSIxQDKQJ +kt1smlZun4iGGMXdKRbe5VmHpx8PMMHt7PoSbOgdh01ZuFsOLoHlqvE5ZpDcAKAp +09rAyCuLCutLsPV6uszDIjp7wo9fs8+1G8CjsOmWLHM2y/dLFBtBGpWHmqegTLUS +sGwnGCu1ZrHlMQPNvcEP3CwKhy1adAAM/+iR+7NUDM773Sg2g4iWHLrsMJKd1XH+ +0N/Bdhr4yuJv2QWyKewBgOKpMhn5jT+6J3K5+I1qpYiAPvcf3et2/qnftJyGboGj +zbd22GM0ff7XL3c3duLwiHlvENx2gMBwQEtw/NpbtbID0m7+vE0Aah/kBMa3gCZ9 +Nf2IHUPK8qyeDishQpjm6Jukg9JatzqQyYBv43LGMGYU2z4I1d5Glhzt5djMa/1e +pFi5luLVoJHcdI7900EbSNnRuZb9hCOLcRcywsYJT5+i92+Bhm3QWXle+2Wi4hlu +HzCsRVmhd4pECmEj75OPzweRmDuDzXOMbuj5drIeHXDC7a5Ibhqprtp+ND3S4/eO +I8Q+QMP7hBqSqMETFO3wDU3xZhM39F3OiX4XKD+x94/24vhh1j0A2RPflWoLrokX +ZzVRN+33CYS0kNSbL55DPo26k6b9V7yK/o0RVMDLrLX73vWIROKz7IWF54IuJcOW +cf57vKxv/06+BGvF6qd4b950mzMVdZy2SeM3oUjgTH4IY9Xv+G+IdDMaFWAugUVg +C70bXHTSzenvCPcmz8R5ih0g7aIjBShGnGjscTZI5FLrb1M3MtEtYTe162lwQE0U +Zz8DSIrN2iTrQaMw/ZllGOkls/Ys8NoznlkLbQLMXBk/dlAKQ7WHsezsmmjLUPLj +30raIdJy2QwMc9MnbBF6ZxaeUJ/fDVoaSppRNn/qLL/FG0HDqLt+uXta+m+NdUhO +aMTeEi87zf0SmVIhNoJXf34aemy2n45ybB4nSOqIoqFVpxbk7yGcJhI390cjsgsy +4Xqz7Ia9Qks8CFFY9siwFOTqLt7y1GYnJgvPwnxNke/GMYpWb1e2tkPv0KY+GP5J +7+HDV6FEZCf1AfKs249xRLtcIujGudnn0cBMUsnyda7Zp0WoftePjAJndDnigsLN +96NFF6uK3nGl2GiGc0nNec2nPEBMyXMK/Ao03aRGBK+ZTniq5nvWG9+V0T8ZrEEj +6t3axQGmlFhaQF+c+Xy5GMp7Zgl+bhXOAp2wG2RM0R8cnWhruqk+kHtJaCP1Q9TY +3ZcuykEpr1yy/oR+MA2ZyNRFLIa9awwBha2EktpYs08ZZkCn3ZgBuZTvduoT0iua +LV+YAk0s7EPpmLwaD584VyR9vy06Ry2z1RuNhzmyzE+s8ukc5KM1p/cq+IQG8iQv +yK/+a/7Z55Nl/7ZrzGLWiMwJYCr7Xxf9WjcUfPEsc8DU0M3A/Wh4Jp38hZPVy6ie +lnj5O/7o3ZWEt4AYAFQQepWS5slDcfBdquHgnaGlwwUPzOi49olUTa0NP+KZWaHc +02AZCU6BASIHc5/lREPZGLZKkj/FNwGJCnFtbwG5cCGMXRGOWwu+sJ0E9RySQc14 +UhydRKFHeX71b4KUCC4vakdWQ2qW93oE/gO49NlLIiTTY/0GLSsBY9GlnEDc7tRV +l1BMcWP8im2WbEqqWJkQqcEx3gfcc5Q+NIR3f3hZon+TiDO+Ci/81/rWZB2JLhW0 +k0HDOLajKwUF49pV3XIa+q0jU243glQBaDyI2sOw49XLeV0mH9wkN+ZeWdL4cK4v +k2nT94Gdx1uWNW2H1h8Qs9FuMjRIrBYDWycRK8Yi9i6CqN8XR5su7EiZHywRqpPB +cmxVUoST0OeQbePTcBIDsTQRzuicsGQvIDNuYEvbaF8ZsEmbdCtBkaBRMCIsveAC +d1RAjOFM/FEf57wcUOBMg42VkFoU6x6wHoNplzmm8qtdX3ogARB/IduXvGCuxeWp +Px6AhvGSbp+EiCFv+xXtGQ6Sc2FN+4hizPrRY4BE2sQh8PJwiLbFb6HhjLvEJEO6 +eHaK75xBgZ6UoFwhZbujfEonV0qK1F6IMwxDDhidHE1CVHckh+gmtA+3KAnBC61U +2PT2T5bZjzA5UNkRLlZkxvot3RhqAF7uimrj2u5q/vSe4gN5g4fKz5peskwkemm9 +jwtO3L73KnN3iVTgrdPvCpPaAn0fwc2ROLZGyX75XW7zf69q4SRIFSeirHXv4jTD +H44szkdeAr2dGg4yXcdQXwMhicEDmUqSKl8brgIg15m8mkW/iXYBF9E1N74B0Szu +AiWML5l29Tle8dcvKHdoidPaWgh6ovdtwnFFjFihEVjCeUrNwyjErFldu4HqKrBi +wMpp+b9OR5MkFz7T4TKCMS14LRUdZNudpRMEKhElUjR4AtOzaKSYPmc2CFAnCCbc +cLPM8QcC2DFVDhu0k2V2+oHLCUr107txLfJOB/KVWyHF5dOd1mQPkxzV0mN/nNDa +onjstg0F3Fu+rW9KYuCm7LXnMvre2xn2aK5hsf2E9LyjmZsBQVtA6DIRj3dddwhb +dnkGDki3EyRNtXKaYHp7kdJDVvCCQwTcZVk4qnf2MU02+QWsKmVYaLpw3Vd95C29 +CxhnjKfSwqDQ1uJx3d6BChsaPmrdITx7OIEwJ+bClszUmPs1hMGswllpuSwLHNFu +Ksxq0bJfpz5rTnsdvQ5Ym3S6lQ9WjlwGz9D/NrT98a9QsfoF7VBV2kEWWhDiCsCF +BKpXFsPWoWzko3PC6w6+Rl/hK8ItM0/DAPTW+IOh3iq1GqzyAe/WcXcqkKmaXNAn +GmH93as7PBoQHHvwtbcL2rvhhu5xcsWxm5gJMyuVUoQFH8Ldw1XWC67c/P26NjjH +SsA650ezHJzYiI7mb0X2FOgLRSI9ATdPgj7hbF4mz/s/gnlb/1A1CcNszIlf3X3i +pgFY6XrLIEwVzz3B1f/yFk5P92y7Q8PnlMm+d7X9O5h9ch5fy9OuWvI+K2z+ZN33 +OmSvSlwf++JL398q+kWvSIrDjfmaQqtzXPINdrSgdYpPc/lc0KG7Kb5VuTrDzkVU +W9yUpMqVvZo69mEFnzFS1kp2Zb/sX7+Z69dxB3Glcqtv/2wuLYzNyLnVf5xKs08f +vL4EQbZZmu8cAsqJc3EHAj87hVfSn8n1fa5gRS7MMmTE99tSedpSQBvbMwuQBXFI +u+uNhVWw3BkZjM0QxWjafZftLOU8juXhBkcOHK31cosaQKdi1YNoy02V/Gw5VDaQ +JQJK9MDYCnvdf02HL5kBWLxHj5YgGLb9ePidvHARFd/CEnzDmbxtWBR02zAGMB2o +ggO+nKT/ENNHRE/ewACtz/ZxnEUrSa3AVui6nI4kRYUHNjEcpKldzGj/ASTbVLAX +EWx3OPrwTPHBUJ5LKdqZTcqIz/00QrWj0Kvs3SquUjtvwI32PJH35NIB788JS04q ++FDIreklIFuz7rkw84tnqW+YTI+nPtZm1KaeTvHf9ACQ8feqsExSp/fPm8n5REZp +M9WbLCKFJ/Sn4PpRqcq23cS5TXCqd0/15wlZGztAZIaMCYoHXT5gGVNdSV7HovIx +wOcOpsjEkl06SEWVCXBxrg2a3SIMhmJVkHkD4SJ3S+NMX6S1qwa5Mfgvy4hW6R1B +xQIreS/hgUSfX9uloPOb+PMI9+9NgEy+OceRB23bVEY2ABMwaXQ0O9ucJEx+arCq +ZhCXASfA60fr+1VtVER9aZ1z3iJTFAiZaAAaryvOC1yJV0r9BlgnPs+VQ+1HroOI +gtIL7Hq9Kp7vl3yF2u7j5rEv1EXeldBNJ/L7DJNjy9ptgnE/1XTRzQrn9ivNDzXZ +yW2vJ9XPdUYpyoJ7ZC1lgJIhJz6lycU6621WLFeLGdEDqt6EGHWx5OydWruCUUlg +f/acN/6dm2L8CJ6d/ub+eBnSH/QZOKrixXar+XJ43ZlQ/H8mh7XjcqxbOkzYLYXI +RtwImrloMvwGENCAnvkERfzWtipgwsVKhPO/+3KD+TrU+t901R1PGSSzemKDQT1d +/2NRGWl16l9y9mT9lhXglNY0gfEqTiK3JL0kGe6j7NLYOko4tN+1BOEytHJRrwHu +Uc7kalJwLWCvn90BXN/6XEk13benDTlCH8geAl+Tx/BVPH+R7TZIbXk1r5iuWaWF +XKNtoRWnjzeGmseBhId2hh7h8/OXvf0SfJsJHbst1beyLdKVWZf4PsJGx0INmEIH +4gncXrmzkXS5iUXR904gmLg+AL+9CxbyqOX8WCu5UrCHvVGXaIyv5+OjR4VEegzX +1diz/8Mta1A2rhvyLTTAiXHwtLAyd/Fd8gdA2b6EPwVTDYH4G+K1YVNTlPNxL2NA +jorv/1TSJbZD/Y503ipu+aBJX2p9bQpAvho/SdiEy/rVO3wG+GDsr4YTLnfcb24X +HCMsys+XSIVxl2l5ZFCakVY3MNdImJlRb/avb8G16libq5tq9bkjP3pp9+Hcbmv8 +Cx7BwcNjbWH7ZjMUCrBI8vhgIApxbb06RnLMoZRpVBaN3Jf4ElZhOLc31DXybWs/ +Babj2uP6e1mbLbPAN3bM6vUxqpLcBoWntQUpfvzGPEGhlPv2j3v0wPwdhnwkk7le +w1PYJJtTxxbPdNhT72LKY1DAxfI90XyQE7Jv52TrOgZL01BMCbCPlKVjh2TRUYEk ++mnj/5sVTSffuMyl6ucBm49z85+7F4a1o2H9i/F4WScX/2J8Z/LUzMBEQmWNmGzW +odaAJMFAtygxLypuigS4AtvqPMG7swCwSZdgOJsc8n2j9VCZ32e1xxrjZh/0oTFf +2kaLE1XHkNVWCUwk21drn3lkxT8T1wHeexWrEVlQvWbc+sIq0hhbxPmgkRIPdQuS +3A965uPWeROheL5GMIgl6/1ntnzwrwNS8E1xKIiPJLyzQcn6DqGEBjhS78gbfJyq +PF9yLiszROuYQ8dGbXUyKBaElMfguVXb3/7NzDNIeIJinopPNOF0EGjmsPJZXfga ++Uh9MhU+nfgHn8KsXG39LSgCYyxeydBfqY3IcwhH6NahYaISwntZ8CjJbu53Vc1W +0HozVmPa88HjvD0CkGE38psR5qGtXraOj/0W1EYochqaRmB5JBFBiKj0p78Vvg+U +B05+YdsEYCE/2fYI/SPASv+aHfWAY+X1Ia+8uhZiPSpPYu84By1iwIigwK+9cf9x +um61YMupPtekpBKjG03BvRP24nIEPEFDQjhWnJWH3m8s2AadCIFYVXOmEqcPSB3P +b410atsbNjWjmHHggAPbAoYM0OVDtKNtVsmkxjoA1b/yeBkRvUxLkH1dSQqocb+p +t6XjW2exPs5vhfq2vtkPtf946Vf81NrDgnGGqBQR0lwSMXPAXRx0T3ivo3+uI7OW +S1IMRgAMn8pOGKhgeaLl8uYJitVwn1FiiN7GRIuwmctUlyMw70z8g6vXAh5jzMPZ +9FNYxhT5DOySaLlJBQ3+HRqQMXPz29BlW56tpNzKS17YxTVh2eqtYtpzOAP4yFat +ME0yLAtPwUzxIjGUQ99uESt7/0LiZ5exD9iRPIT306uLrA8EFMXqOieqqwrBNV34 +SFZw3d3ALcOjmJrVICun03U4cpm/Yrcb3NURFN4HMQttUSXKQo531psoctz8JS9O +WB+oBO+tb7gzOhF1KGdZknogT4CD+hsCzFlT1sUl3WITEcrg3NcJCcJJZ65EWhnA +xjXnrr0UCbExt+NszuwteOKhlgXnfh8uzgO9P9r5dGYSi3j4wPxzOh51COoOqJpi +g9ek+CPGtrmSJXXXz8zHguCugLfAr3Cli7/mwUYkl8C+VkaTuYnCRJ837SpxIDvC +35QcZki1mJouaBa2Hw1DGiDyYbJgTnooeZG/blVCmcxw+M183GxeJsY56zfkdcq0 +/H7Y1IpPpbzgdl/cR9GUzJiDTfyko0TyKElrM0uEJqKje8Xl3Nnmo1JEU2iuag0g +AwN6cTI1aPn61dhcImuU2NJnXqD/6zdK0qiHrEKoqN1imQ6vNE172fmMopGZ+QGG +fIvbhpkbsjDcK2JpqesEWYUF3nqFs+RRu44YCdsYG+hD8ECsVjDiQf7K/SZ2SpEw +7U+nUYA5OvAUKnFDDTIjl0cCLbspHzYc9UydPBm/RBTOZqrJXtnrCp6YKNN37eod +bzjUJAxUoV+zrLRJ3LXAfUDSGXGl05HDvG7/te3ugGkiq949YdNPhxWtef33nShv +CsLrRRHC34rsnr1DdQ6ErmNyfTqK55Y3dugqZ0LEfniaXO1S8j5UV7RLuo1bwOdr +lEbJLOvNw/ojgFS9aQ72oEWTo9unPzhdqlXRcT4TiyidZIP4ozo+NO/YOFsUQIgd +kcqvQoB88vqwjqvDOHiqUUfRSRFfMfjzajCndBVbXJFKlKipK5kFzgl/OmDBxz4W +nTzoNLrRwo8kMYrgN8nSLk9nDkYA7QC2hX0AP2a9Oz/I0TBVgZ7iTM8GOd/ss/Km +SYTU7WsF2JCJE1cqRNvfu+0qGbUZm1fgA1fJt7QZ4r7cN2LzotaYpV5B1eNSB/q2 +Bws7n9A36jDjEkBsqT8dHi+yWyGiaK8VCdMzLQxuyNqDsxqpQ/Fi8mdW3QSrie49 +Cq8S4QQH1FSWl8kOcE5lhFQmDLBmSB7fAZPM+geXOn5GjYSr6Sd+BB647EFUs1ef +KptQTACsL4fq80Al1p+0b5H/7NpvRfh/db+Dms08IBOTL+J7hqlbQJ6O3RZ3u892 +OQWPRZoNrl6VZBcZ6c/FHahnUTrv2C5Glhh+66q/IzoJf8fbPpeoif6KbxVm07i/ +kb/Tr2qbAAJwbDUXjvHDrXF1ME3dxopx6sOEOUsaYGNIGv6dYXoUy/rI5SRPSrVC +EKbxi0OtfCkPp1z1sESWtUOmGPSVetpWV3XfC/QE4p6u7aLMZQMePLezMzUSwyjo +lbqA696waPxHq6bsGXCkco8skFuZjLLu7Dt2+3N12NjMJXs6qlYsUIk0Li4Hvd1k +em1tGFtwpRBNwm639A/KEYTZEG5SRDKpDDqwxFhorSeQw/h91ewqPZnUGGy7GXJc +Nbxh5ZzfeRKwva4h63R352sLqubqpvBMdVgF8mcV2BvTkNHt5IFcGDBl2oXoRoWg +ZyuGcyiRMNeIfEK5gOaGOW/A36LzKTqZe6EvG9yjZKWS4oeOb3x+h9f8vuN8si8/ +aTLQl0RRMQIFCjyHkSKd4poQf6Xx2jSyc9iObyYdh2pMnNFJw+VIFYlvzmdL8eWZ +/1+502251y8gHrs2b1spX0wthkvnD/138GcwjnRRIrwql1rg03/7TwfGz44uJVe2 +Q77KMTayT8yx5KvzoDsP47PW3U4yYw8GrobU5xOQGDWe9CbjTvdmdAaB5/0XsQbU +W46zAUMSB1Icn6RZGFg8jXS2sWEG5Mgumz7TKireepi0kNb0qd9bOdVu1z7wEiH+ +n3ypQibbyQk1OFnNeCz3bMtO/eKSW/rcS1EfFpbwil6zZ6vUS1aHQ4Z5AV/StkWp +KGHVPQ2GmZsT+eB9MpLRr9lhUZCoexgDbX/u5DbcVo2xpzsOxRWi1v8ibahTIa3D +VyvwAFHXsX58Z62KQENQjDkxkLEKcJIQ/+47vDPrm6kpRMLTf3rWn+zw3+4LRO0A +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui.v new file mode 100644 index 0000000000000000000000000000000000000000..6c5f23dad7e673fd3984bebb502031442ecc47ba --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui.v @@ -0,0 +1,552 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +hXKQA+dTL82bMo/Evjpt6K7lE4DCym6lqAz7QuzqkKhVNUu8jwj1eQl/fcjobigd +ySFgAySHKvUtxtXl0W7MrUMJbCuAcBZ7gLXOthqvY+LfILVwN2jVYDszwlFTsXTk +jsiXiyOzXVQavx2DNYDWdMlIYsxSWAgjBIgKfgiwJdY= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 24768) +HIraMJ8IjsuF6In7DtEjxB/aT1RrM1sQ+HWJvCqaARUCKn9KUly7zmeHkRHWHPk4 +tfdBT9fG5fAYsZSUTo3wNdMEMRkBpUK7WRMPjO/g/iWDOmQIOxBSB6atitMFettE +NAPC7g/Hnr6R1T8w8vY3oEnYetnaW8Uz5QmpRAtR+MYGGq91Ao2NnsxaolOMPVsl +GWih0XoygusdLhWV8NpWZ/SvsrCmiFTg7QEu2BG9E+GUfYPY7411GQ1E6Fnm+o4W +r3O8WA+iotBuGfh9knSY6vfN5/Eez95FA8djfaCjRuPwpNTw2kYdXFnr0KyA7oXO +78vV4Rns3QuLSn65hieR3o3avCgklyMlCP/qAcgTujBeoaEvTK+Q319BZPlVZFWS +Sc82MnjSmLUjwrR8hz/FjupPDA4aM6O+urc8B0B/sxTqAKQepHNEpCe+0wBlqWFB +vzCoI82Q3kXbsbzGbCV53St79MHpQosDAHTb0vaJD2Lp02VwgA/lHzmA3FPjDYHU +GqZeTxIfBHwmeuQrd5PMeaNAh8k6dSbsUhY2jABIR3zF3/HP21GnpZVQ7/6rbp8l ++AlP8XRR2h1v0wa/Ogua7kkFmqhJ/FnL4ZC2DyyjRoZAxCt0kxfas7ZYa5bqCWCw +IVx8wETT5wsP29K+mDwUuFhfrQqKJVLMmIW5WOW1oLLp1EVXBc5WwfM4jZ43b44g ++BENQnGmsitDTZdHI/fs3JqFqK9P50IrJr69i4U5UFNOU5ZZzJwLsrlJVzi8JvH6 +rBD/qGstp26iWnSVOE0Q/oFIic9zCnzRCU1GcJDp0VgzW5ljOKs0oVh344djSSce +plcEAIxPGuhWnmtIiQ/WdXt0eIEnZFd7UulOOpt2v3jg0skGVZTeYNu2qX0vDWkj +3SU7ABeBVnHWnxFf8DzwRpk+tqyXD6P0QnSoKxPlEupVsrehsh7ozQTluh2HTwGq +VwmhguL5gx7wuD8/fKUgKuKaXBdYs/Wibpes6fFux4jSs0jAqplWW6V1Uhdp61g8 +Z973hu+uubI5abJIAg7ijGjCvokQkEiwfV3VoTFweyOKceVqfFmwRq354oXDuN2t +qXoH3gfiMY8FuqlpoaV/8Vs/dnNnJZOi7FN6HwiwzcMZnKw9AuGe1jW2/hoNA6vx +th/BNqxkYo2cbp8Dpv85BprYefc2Nrsb0nATLeFa0TVZ38F0yKqDZSXlGpGiVP3v +wLUWRPE0JgsxMN8OznnhU/ifOLN/dxO8/aUbBcQevV6NPOmIZ2LmN/4Gn63q8J3t +MU86SJ5Zj8v+5pajEkGS462GYZ1qDvRedsh0XKJsQ3L0ACc7Q0s1jjqC1YaIODt5 +BM4W5B+M3LFTZgBRWWCYe9TUyaH2TZdlsdOreY4QI4JissfvlMZsKfPOe7qaxmsO +FbxwF9flz4u3XXmb5GD+6y//a2CZf4FQGrB7DgwV3EHFhU8iklIcKZoRzyG2GVC5 +QvTNfAXAbbFeNF7rkPjJGAoFgkHT4VHAHJEUrtbNswEoFxDX3EH48lFbJn79VQ+D +t33K35Z79jFjEKEmYjU1Ckhvy/VQNRmV3jHb94yYtpzpKgHufmJKK1A7vYh7ZnGl +0OsLwH6Lq6VWQY7uNp1EK+yEvdbqnREKKgTebLO+rfEs/gdbvsjVRAEst0Y6WNwJ +7HXgZCQlCObwaYXSbZoYUV3ekXHvciO199kEyY73gc9svLI3KywIlbnZw9RkWJCD +TK1OS1YBSTEJuzu3wzuDLOn/AnB+lk9f4Hu0vYClL2cAo+/8dvz0goTglQiYFlKn +gsXdbByWNHss5JhbjsErYKNSGUqjxeEwgL3n2o1lDwS9Cgg92FmXwz+Xj3luGjQJ +AJ+ar4SEVBhRRf6QWgLqvkl6PCHADSssAURh4hTKth7pMUF1870p8FQJzyLu1lmK +o1qKykmHdkmnnAXNA1fimADInGFBfl+z+3+D/5A8bW8Ds2DSUVH6s/AaR7t15ZD2 +hE/fdFKrS9Ymp/8L4Re3M1LyhAFvKWlgRaXm8USb76rXOVcLQduHq3cT98qYAsA1 +/JQ7sK5rvNUbP4DxfoXIZzkTZ8sBPSoOmZfyPj37wIen/E44GcUFjpTlk/IbtZ/x +3GifvxevvuRyX0xY/4pzJOJNf5VgHHMWU8hZGv4tqwqe32LHawi0xTj4W/XIVuqk +iai5K8kNI5epKjpN4lBKvqa2xV1ti1cFVgjQlWtZUO/vOa0aPrFFe/7oKOwRXlnS +CV7lxDTHo449e/itAL0OwqVQKyZ6ygbFoNOCug6tVdxBY+ti8ravVCxaQQ60TMD4 +DC0x9kzNAj8EjUot6emvNwoofjDVuP3y4ydAeKVbFprsj/nJmV+I1VCaI7m08rZb +0tkcuAVTT+yclKX+cOu5hpeYhjTLqJOSd1r+GA0DmMDJetb3hUl4W57z9ylk/kVU +Cll2KLf/7JbGy7nB3FGNhvrUf1zaGjkwqRcbgqAPyRPdpTZ5cy7boGpoeJxalWX2 +V4tSzGW2BnIgLpgwX6TvolS/1wk8sTsEDAdFndyHA4Q1ySk94jqdWaoMcQb4eCTh +oYAAp6MaNtPztvpiaOyEEprdNJUZvr03UIbwgr4DPYlIUUXz6QIQXTqcbFiGwt8I +h6iEyXTOWn2hm1gtBLp9A0r8pzT3qhEM/LM2BZ7XiYorYpDwgX7X5KDzNZM16Ozh +RMpF5m1U4iwqWDtd0KjRDHaDWnx99ZMZ7RLciX4WVPJbGHWl8qlUsX5H97QVpU1o +9nZWViqeOtM6TeLSXovlyc0wPE+d6LHf4EIkwGXuQXimadLlNvSmL1LzBwklE/VW +6UYnPRR6sdawzBdtBualU8ZTFEpjmHIc0VDQnGkNLCd5QhaGq1qjO0MDgOpB8duz +bkav63q9AZctIhYeGKB+6lOuM6Gsokv2prnLi/QVjltPIAKNjBi9uD1kS++ddh10 +nGFc5fLzoP8XY47AHyVMRlwGGLieLOJ5Vn1Q60EFCC9vAYCYoTuz76CAreT23bsm +chay8UZaQuyODXsLIMBR13cwOo4cgZKBZOkQ9sH47zqy3B+p0VB922y/NRBsKg+m +9CqpX66B+c56N7Ghaz/690KEDIuRqqNDk9UbS3jMVj9gZct6WiwCSIJT4+E+S8QX +eWlSLR8SuQrlnd1O3KnVQOc1c6WWBG3txlhgcekgAXx6O/0jhpFIV3HLFcsiaCFz +9wtakQAmebLJy/zBATTThlVf4/ksjN2JEyHmXo/SSot9K97nAnuUrR84N9Vh626h +bhaNH7c1I5SHMhAEXHUPUiDBAA/uCvz5bFGR0qQ9eCWK5A+04XYS/fNYhDMlRz9q +BA9H1TboBJEracS0KoZNzzQw1MtUVIue+Ewx87bPbGaNOqNUshbKJz3rJ4XL2wwe +71Hg9exnhz4FhHFyiEh85y+/knDFpgAHU/tat8c1O4+RxNZooBOLlh0wbXwxoshi +tq+NcS6LLCDiDr4yPWg702TkMclpiL/iuOxrUYSZJ0Iv3ARWyoot8AAvHxutRdPl +MCLEFtOEbDSNM+tJ5QuKdKX+uLH8/gSaQPmJboUfMIcardnNQyPCW4pIFt2NS1hK +nTyQs5TS81HKZXOg4xN+bvhq6NDDgZHJaZZNUDbWWpa3+RnYQ6KVqozNmEtJPB2G +8Yi02XsEAaIFF9Wrf7FEBLsn0gLkw9kuA8EpdB1tsMVqcV/SyOIrbd7FKbDSn1XZ +nr/7Gy7zSSsLF0dv15TeXEi4BTDkrwXxKSFsYUirOinbk7kN7+1849r6up8kzn+m +9uDAjWsWi1vZCApxw5JAA9oFtcrvM+rmSpT0owollspPM6BpnJzkR2pU3Ik2Ul8X +ZJ/b6FGMNAT45SWLz3zpEuek9vimIgfQ33H5nK4gHGjhIeFWWWOfPgda9u4qMbZs +qPCc4uHY4yn/0czkseOHmbCZysmqFQr4eVCMqOBwMC1bTC4+hFXQiV+yVBcRmje/ +/qw1cjHWN3n95mfW4Gw7pz0y3848ZAtHTR75RNbVYgiNivmscNicXl5aIOMLAXph +ZCeLZ/60JmmwLnqfiU91LWJ+pKwn7LON2v9zwVXJW88o/S7UVp7+7+I42SbdcWtV +EoSKvfIuugqSZplc5GlkHC6vVix+5K7XNbaBXglKfMtSj2v7gkagbVgQKQEbaawp +19Gl7i4UHxBysLZ7rl8/HMy64+RPOsrwiLHDVzi3jEKUIH9XQd6gAd8llzzi92St +hzse8WLnOqqnxASY+uQnqsBDaXWyPlu8nrV3aRdSO5WnfNorFSkXDJ1xri/Tp8YK +Icyqclz+CdVQ5K1IWVZddn9AGj6r1SCCAfAUWoT14asViXDHsE9pKxzuN1VstVS8 +KV06u+K2h6i0rWqQURj1d8wUL+ChaddU8YLkJiV39ym2YIomei1LQO6ad7uo4nSA +HKsO5N8xXWcxzEfaWGDCR/eq8/yRtOIwDTGADO6rOKyV0TVAcnGJ/UX15Tm/EfGD ++nsbPxE0P2if0O9PlLYRBtHM3VzEY4mETttLK2NiICRl2cRm70h5pQQCB4tugsQf +MEI6/qlF1ZL0DYVN+hqYwN03sjRGGXL4DpRRyneYDK56kGy63RGsVYAlhvl86+5c +ZcNxWa4jmLukq86Fjus6W5IYarWChVgXz6rjEwtuFy9Fqo6gzDdA5UTl5DG4v/I8 +HLF1wdgBq6G1AE+Liaxh5GpnwLlcgvvi+K/tLkMDeALuAeqUwAQpT+P4W+ASVBwc +8SToBTvfILGI4faEkGAqJQCgSzWeVNr8RmXLKatkWaO6N/z8YLVacuj1KqJgZVu2 +CES4luSmTABwNurw4Avby1pKiDmfZwZtrkzHzPqgFhVJLX1I6c1LSMhfJh7pl4v3 +Thh0meIXDkZWMvGgthyRSJyCdGv0PFEtnjt7fgiLBefVKHN6VIXro2tTBg2vm1Gl +I81zwPeypS6b4vqE81hysWcW6opA2pyK+utCmihqxdD4yc/CO4FrDKkemwqAFpWK +2UCWaeyc0eWPq/04wiHSAXOgZVXfoPQC1CMdiaFU1pzjVoqYdCGBI4dgD2n14UCK +Hwvupz2lLvUtvgu6D/FImRId8odkoOdNfnXAkdPyBBzC3ndC9DRYOF4R7o9PuG29 +8er5hshSM/5e9iYUNKDAq+q1UDlQKhVuHkvEE/FLilJ48jPoE1VzXybgzrZKk1dj +j+IwApJamJAtnJU+3wg9yu7pYnw/yUGu8sRzh8BY9AWsVBG+5vDHX9xuF9dbTOZv +/Rc8g8UnjTJ+YuTt0OF/wiFYfypC8l1F4UxHb8uLiY21tf5pv7wwDkWVaj6oM3oY +dO/fl0CFmTlt2wVui+SoigF7Xr6L8+lBvuSOg9QEK8YaxCGlL42Xr94UhN2I9B6C +0A9ryMpVejEdoI55fZow/gFmDTMvMBCyAEb7dIOeGWm3pJnsv+9mkyThSC4ASgF1 +6tLJWby09F3By6A/kd47867rJNU7GzRqpHv3qFjAe9/MuZ75tF1w3/Ej/Yl8RdU2 +l5AyAf4DkCw83rQH4/PQbvvCZ67A7zGkR/pAzi4GmtVdLEX2Pz0WKlAWeV/tiqxk +RP3bdhlkImI5q+KbId+ISUrHKVVXnUCRkhH0Ox05Jj1T9elFCy0iRvM9Y1UaH6Ug +ho6BFYCDA1e5lrAZK/OdXSUveQ9v7R8EUyBVtvQCiFWGl5TX6vopiNXehgBfaq3r +nZ74Zzdgt1O8PelR6lAksn5amP6zK0wEsRYRjyLv9/SWcdsU5NLQjOJSjLYf3CYx +OUkD2c7XLmmwJzaqZs6tJXUjLfdOZsIWDnvejTk10bYA2I7y5gU6EpyGyefP1/iq +prtA1NFoWfwQEyzn8+2A04FveBuahdXjPUwNH/DlAenLu7EvniYtnHTBFJR71SZK +pASAMinQZFEbWyhy7L+YaVHcHbCkB9ZVwSmPEfHilUCcAPucRX+QasShxATw75Nz +Ws/Dug6FjV6u7/P4fNR7cxXvcNilCgE7OsYTrBZ7VecKNFn8vME8iuEsrAfM0tGr +13LXTZ5bvmJZuWpdoRAIggEjK8fHJrWXVR+YlxdEaIK+aCsnmxJhUCOlCKZXhS4n +56AG1/4ydYxZ+k5MGFKhh2jNr2Uj8UITrjJZZl79Io2FDi6Aenz+w9HP9ZqB7+Po +6g1jZf0h1OAa6U0X5CPFBdxBeDOCbtH+9uonCxyI7FLEzutbJbsUuRd4iY8VBnWI +9U9L81U0GEhr+DnAHOhJAjCV2RcyRBzeW81G0ysS6Ykcy8zUT/qi1W/M/XbtLK9M +f07Fwl7mAflME9KqpqugBQavnNDU28l9IkspaGq/wB6dPHvtlIwxPE2TGyYQk4Qb +AnQzsv3rgeMQrmCD9ybNRjpYlcnECqALuzmpr37wOCagGq3oR7Qt/eFAjVYsSgaA +2Qg86jybdN2Hql9AP6Q7y2F132x9U3rQmk/N4sH/bWBTwONP2Dd2p0LAIZFMyUXK +rIhlzXjTMSoWwQtBqnDG+eICQIWk1o3zMMDxIuPZGsqsoIfpr/YPTiEWDZEKXMMw +L0UHHp17SVoFTOkru5EBEYwW+ZWUu1nSKvEOP3VUvQd4678EhK1gl6najLVtFqH6 +Y+dhkoPkt8PLY62gtvxMjMo7xonf0cjT+R0B7mCC50e4ubvDJ3GWZKAPt74lRTBH +bfdnrQNMVRh1CbYOckD8tKNPpaJeyHzrCok9IN6m5nvg30bYFHD9/YuPnquxiW2L +XiVE5esZ/h5KwkfTezf2vi5lQ4/wseAjbzA34M7E1F1pXmjHeYz+TllFbSZl5OmX +ZCn35i1E2zyNYqzpjcphCen+YRElNqThoG3H1amYtczp59BSCQ7nKd4HIQ0RbhqO +H9rxaCIdSA8EK2vbh3kV1wzrDR9hzVfCvFFqhz3vCTdaYCP4hN87kaVNuIW7o3s1 +zQ6W1qfsMec5qeOD1y0uYDU2jPCvTAzyqW08LUkjU3Z8JO3hbpdRaAKwdiYw5ATe +gbcYPBteomjmCZuVKRHvA+4s0GBowAEPrg16/Rli2qcTkyRNAuQfms1L+yfKPUYJ +CDTiOFdaSUfUxlQgZ2TOLvR0xmn5LPpqj7i/tUob1V7T4s2pWwQgYRo8web74dyz +HVoSNVqfAhVUDbnMiGv52L3X0LZd8bxhxNFHk2vrV2UiFjSwOXuamfStrNg697XH +mZYYKJ5IG3PhfQRRCw5HAZiDdCfDECMPyR6X8kiE5C6VSG+fCS2UA7nHgl+niqsa +tlcBOcFOPgkoPjixTuZexHOCSsRSGJryQhW5oferlESGAUfpBpEQ28WrK36nNx6X +7hGdcULePKtB0se4yxa7b00JdxurqsllZ3nZyV9avnH/IqMe1d56LD9/OjJqcf/G +21DwF4B0qCjccwv2vrIFmGZb6Y4un3DsFP5emZ120FNFiS+w0A4vg+aQotX0n9eX +2rqXBXlC35k4l2FD1AbVQ7Gp+UQpXANI05wfFev7BWg/WcnIGizTq1O8YVdSit6w +H8D+kZv6btCE6RBh0D1ZkyjmQ4QiGiEQzoTIsMRTNLjhtb/7p6NuhF+lUnSPDucL +Y3/uZwG/eWqyORow3jm9SHdHerL+gHNrUmCfRpPxkjdP0EWaMBantO3cmWXjnaL7 +Dct1RuATAcGKdCvui5rtVHS9CNlCGVJuAL7ghFPDgBNC5kJRBKH3WO6ls021X5Vu +hX+LvcPrhCs4Ocej+m0ml5dZa6EXKSzirCuaEAG3H/uu5E01uoH9XNQWPjebP84P +aMUnt7DF0Gu5mLZ9Gb/uSB/ZvW5/NL1fHfTY7QKgqEagTSAU80ovKcnV6vSq6dNb +SKW8TSUw9FUBBrcqMeA0EOTcOYaP5LU1dNe14wtPJcyYA1jXPM/802p0xA6f+xgc +QNb5fQztjg4xL7mSEyKTge+Zh35zz5pvOlC0XKudjBkCjZRih5qt0pZwRa2BKZLf +ocKVDm3yr6YQjePLMahXnFEdR9soDMne4iUsVDQe6ZU/mlXHRt0YDaTrueavOTvK +IMdA8qMIudQyeR7i/6aSE7pdyBXGpVtntv+8lne69pKykTkGoEysj9QUOE1e50Wu +LT/BTWoX5hagcmbcsgS6UYsu4GYkCaTahJfvJPJJAu0aqRK7oRKjJRBwfbTJZI0M +wgEShtfqjiTYmQR5paFc/p8lsHErHw7XcsbNSQWzfqtKNQ8W0Xv28Z1FYt4SiImn +A2fNXWp2RaT32ss2+GDGjWvXxZUl2bmE1xdcy0dAWtcFnfSM1IJf6ZwMwz7LYyZu +PBgsCJMdV2dr48kvCdlz+vKYWFO9q2SQLZnaSlNpBqN7hKW2pLVUTdo/lGb9Tp8D +qbSVqVXr/aCxPlTmW5ocbCanMNXsrO+4Byb6YdMcn5LXAPG93baWoRnbbTszRs7T +T2PKhJXQjrU7KqDGR8P/uhzc+jTegtK4Whn6fq5BjfghjX12JW5GDj3jfHT3+VBJ +WQQN3lyIhQB84BhBEWIE3yrknfS2vEBamlMoJq6wE4G8LpIJErO0XusIDZNs1qv0 +WNFfYlKwVaFw3pHmaWUCGuL5aO7I/0ePkp1eZrD0EpA4dIu6uUhzxO+v8C1VekAs +R9kvbGBep30f+tnOwr30XId9O23eeIJ2kvAudyQbNLCKCvdV08TyLYqLXvD5FPhl +83Asjdkp3zFLl6Md6/FcjlbaqKz7rutkExvvIR87XMrI4hlj1qLEmWlUQ5MDe8DU +MjiwKhl2UbQWf6+9uzCzhPVvTegAV6HS2QWnSSUn5bq1qVCaXUIkEUaCWtUneL4J +8JOj53aEHy31l3urx+sOHOK+s/X4JRExEKI7jIls8A20jlQBNXO5RwGz9zFu5qXd +rRpGt9nrBCsgR5ZcibsfDIqB6bXhQXPqKNMJfYuR7SZZNC15i5fui8pLrOKcQXal +Ko06gsVTOb4VVMsqQaU4mvT3egdL0aokzuDJ9xoBbMNqR6yurRZwevRSiI8NlcMB +J2r5nt1pRTRySH3HbokWdH2j/mPbBNhY4+kFqva3nPPiot2JRpwfQlgjXGB1FVS7 +0hCFkXfLQ9tN7RmFID9sA9O8i1D17rpX5V+c6HqpxUtX9mp6xrPoQL9zjujRtpo3 +SM6hmJ9Opu8hM1qZpB14QQii28ZBSxb/wmOZInAxv07VFaoUL0sZG8RR1Coq5BEO +dokHizPCKFbroEPrf4BgGafABDgKvKtppBWEuOzMFtLCYg722dwb4356sLUbudBy +Q+/cj7VkQbcUxJQJuXOQJT2vubaXRPkWDD6RNfuO8BcGf9DgUyh2KFuXnSi87MLb +XD2rL+AJtREI/ZJAvjjUkfFv2hF928XYAEaRCchLfCImxoyx7LKZRxDKPBdZPaEF +S2c7VD/6XyLLIvqaR5FVmTtN49XTQdHl4GymDM9dJwnIbVhGky10epSO77/X7tbz +uaybjI6AWpr8AzwCeRyaSTCcOpAUDSy/f5MhUCLXc62aGy3IyoZk0ojMCe/tU6CY +9bBTDSQNNvOBcR7jT6TFTgJ8pAGUR8ot/xEaWZvey9cHTobw6Te1wQzvBwNj8zLN +q8diUdNYiyva00MZhQNohOydZ2JDe5Ij43YSE4MyCYMUDBU6XKNPGZADYhcYEXLE +u4T0N0MrxNvQlb2Dx+FML9xEsxtCciAIP3FAQFLr2QCoSGnG3x7tjIRvaM+TxTlE +wxgkjHDS/tBqH0kSKUSh7Dkv82MzIZM3u1ZsmdJ7k/hxKYaQFEqS5vD7wwZSgsTP +oiy18EUyjplt1l4rcgAoIDUL4rHObfaGjpJV6C/qKzYBnHlngFnKjTgso2TnmsrN +oGp2HuSWwz8EgVwTTmqV5qBMocJmriOq614kPTxWxwQ52ZmY+3CVhaWJzOstPVqm +n2tnlc0q6CEo8AWlUtp/LzlhD5+0qiy6+qrlyvW81Omzj6XalA9uLLwgTWEr0k91 +t/3pjGuIrsx/QnvuLp19vRieehlaHFLtLb4j7R7caT8GLxvabsuTJnrKkv6Gz5X0 +MHuxm8ObuGhrbrFoz8DlT0/jOsdNgdgM0jK9MQbwPi3W4Fkkg9diZH78Fbz2cXm6 +ngCpxPQNY17JKMZtPBLSJFXTjaRYhLDOod+31wRdt96vhQ8FNSjG8kyPFtkVQxTt +wlbbodfy5Z0TFJGR5as4GI+6Ybg2ARA/QR+a/xucjVFn73Ic7M8ddFAClgqPncmd +FPC4SujFSDzCrkaSnHajVtq8TDwzQZvH3GJMyEFtEqQ2ddTW/ifyDfrEIFyrPUEg +ULAI9NjwistseqjLwjlhFzv/IBhHYXhHPnAUQATzTfWcWie82lcDlxydMuba2OXY +rl+J2diYyc/jZEABSyVZkMgK0o2ifoG5z2IltjwhSl6tpwy0EmN0bzriK5gkNZLp +sBD5ROzzSIMLBXC7ZgyzYCfabT+3n7ZvrnTBV29I7rJ0G9OQd1gyBxVLHAOL8Tf9 +JVULHMnJP9lZqkUYl1Mmn4f8obchXJ4bL0iQeJKSQi8ri1Y7zDeo9hQEOIwQOl5M +yAcCZ6xlw7z3JOEHWQl+mccOQLhL0jveF/16C9ewsds7j9rGKVsvlp7igkNRhVQL +5M6kAH+MEwGU6RYq0JHxpEToh+TR6qpoU2yj9XZ9u62QFsDJxADj7DXRCsCmgYHT +14HAvJk5Cl0ysUIdFmfVCiQtEOxvp1EbtUiIA1MD2EuKoInsm/r9pbpfmBfwtUMi +DCaB5ciUdFwTCrLQjq4hf9mFTRPHL+rCGx4Zm4EstkMP4d4h5U/ZwMNeiPBCl5Sh +nh36kbic7TWrWSRpxjVM6ZAHztJ6mQ7KK1AGgvJRwo2AeSA+qYOSwu/Y+jw4Vuxk +DMy49JbLZ/J1huV8Spo7cxwWQjWWcSJv9oMxq0aF94MYTx57lPXzsLJqWoh8gG+c +jCC2Hij/4xu+2qXIwuKJElM5zrhyW7ftd6eza9QK4XzL0eHogw6SSXQg5ccym/zz +z25Yw6LGuSPw9EZcbecApeuBKkLBgdxxYnCOu8Uqovc6fBQNDWZCATEHZNo5nx/J +H6smw6gLBc80dohxeza9UBSSgzcT0FoMFXWBsYHiJn/gQfyhhFPYhV8Msp9WPB6r +SGnNQtyYPo+ifPQ45sB8QxP8I1Fao5REY4Ot+WOVYa18yGNYoORegSmnQtNMwg+8 +vgsuJN03LuMAYFeIIGmcmWNJD7WdZwwJRl0rXRW4O/pbEwpRj7Pc0kxv+u51wGq+ +3CPyE47yBzaXjJ36yTidoNSeRcQ9TKVG8Q3lDQ8Epmf6Pn0U6hSbbBhkjgQuGUp7 +WO2j4mQE4gFJ5dRRyoDRk12NoS926ModiKB5ZhvCKiiYmjiSbHnki+NZeeVnJLXB +GLILQbsFUxHMw5hsU1ABP5HIjovCuDucP1nAoDtHZuSS64N05hby4PKcq6y45Vi0 +Jk1BZRAXrDN9BI/pqbKtfO8vZvenMF5KLHe8fv4V20Ar93yGqWQ1X1+pZpuIr3bM +AAlJpNu65KCho0q/AvXLqe+GhG2lLslzDsrOMS4Ljc8tqZ3tVtVlCeYjWWDEGICZ +Z2iNCjdYpESGjt5MT1+BP6WE8tCPs4d2ywFu6uQzZOvtU4sFdHuLholf5DOyE6Gs +Kt2NOEZxlBwTmRKzUYkWQ5onoMWGcDeUo5ryMmCWy8KWOL2/zLMj7ueUW6Zf4TXM +pVmmWj0ZOBXlQOz2hT3AwVuVGEMaM6FYa1InguICXKc9F8DwakktBat5jLVk/rzd +ERm/c+jHV/2Mi/9+AtB3c52KTat2jL41tEeEQa9wxlXpxkEmD0QRq/J1HR4cTu+v +LFuOr3QkyBFitt7ecRISN2eQhj3S+XiIz+qonAekz3nVi+UxiB3hq1cqtqz1FOnM +MDKQMASBluTpgvs9yw8TXG37BViPiBQ+N38w81qPdcZiMdXfteFYoFNxGuCllhCV +q4jS8/+3w/xBiYLanvrTreWTp+xLROkFzDsYSy+m2YATxzeIDbOuvMjRBlahWWSy +m+w7Pr182Zv96D9QCZkw9fER0gVgAYWNPs3AmGMeMSAcNUxBHXwZE+IoTpA8wuNQ +1idaMZKwns1Yj3CbqyVwMwpQ2q4A5FrfwrpsFd7/X0WRfIol0h9pxRvzjUC4Ix1T +RN19L+bYKgG2o+jeDVoo2085XsRoZqDKo6lo9RyZ7IhfwUGRNZKQIg6l7M02Q9Mb +5iOBmAtbPRahVqWBWHY6Rr4sawEp7ipi9EJ+4tZPmXgKzyl3vDg5qbBE87np57hT +ybklxzmbbBqtLL7dwQbil04zlZmRNDqsRYYWGtPKXAQ4G1JOg+SAElgd6xh0q2YL +zZqrW0oek27y23FEdMbnRXwMyUdm/O2SS3b4Fu1TYLdi/QDG4IV3R3kHaILHK6y+ +Li5g/ciEgnsTiDR84C/80HElHyLWSPFjiOoV6+sLvwXy6yfi1JorVOp9uEaM94MA +wKF2IbFLsPE2U8Ojs6OsrHbMjeFZhlsrP8tNkyy1ku7XcqZrLTgxsvuvC9uIhF42 +BDkaXDqr2kYtWtkupU3Ayr/J2DMgXq5J5Xr/KdOdBUCyEBFfedlNyPGT+g8Rirk4 +K9O9KFg4eH2dnSvU3I4pKyNRtwdDvTJr9G4EDbWYBPcvAaKCLAwY5kp7hCfXwb80 +T4VtBlvjS01ew+DPanSKwBArExdMPZ7Y/I8xlijhbZOUCQU23Jgoalbr5foZCCVu +qYACyaTAaaOyjYL/+mR3P/5s83u7YB4LLJxnfrJ6BE0n2h4YqB5vz0WBmD6Tp0tT +10jScJ4cCOTo0VhxHec6oP5yytRJ4fnPWhHHpDXTZurFHZcfY3ODj/NdOSCjePmU +vVQIdCPl4j2M636oraBP8halXP/70/tu1BlltIwAzjFyA8hOjdspy81CaGAzR0bq +U2NrRb0FiqRPJ0LJEh6CxHwKnT3NZa43eaI4bLlZ2PvzSNKlylvYZIFE0VFCuFw6 +kaoC4wbGeyV28a/JjaCWqhouVvr8XZKdOeT4SW/TYIFt8sPlLIN07JoQeoehG9b6 +TiUPpukO1ZfchaDDkc1/GFK0F8NMHC7KbKxO3mhsgyHousL1wlx4cyNsjiOTDanL +lMnsYEXI4X9xKKeZbpkb8Trfnlc0g+d5KM21+D897tbLAziRn2YfOzmYZGo5ONtX +rv9TLBAwad7uzQ3M3ZAlqui6EzOwn9M/E9rPDV8lD7rRH92LKAZ79SCGuNUeLIiV +7HhKJVSBa4OIezOysgmtmnbPaVhowxVCF6jYgSEPpg2069EeFRrDMz599fL726EM +YRy3utVazHRH5rCekemHd6KX0CrIdyapS0h9VBX6A2nCPBEJW7RUBdEdpOvWlNui +EXz4OlNg8ya0mbCrcceTklNgwUZVRWK4CrzR3EsmVJBmCdCm8aAgTtkRRuqXW+VV +YzgUWmA92CcaDiv84cIn84WFpSCjSmLUfu+AvHBvGA/rRsCgley1uBQFZIcZY1yP +rK/gA8PBm1FwjQfScYOLYvEkytwGZUx9F86I5PzH7I0RsmBETTjHQ9I06VR+9Ykz +VnUjWhEiaaejnhfSTqdkAgQAozp4ERpYeVKASJ8Ci3HhDq4+vvUYbpq2VEn3fwHG +JBSGoWrznoYukyJPQIfdjO4Pr6kV2KeIvBe/WH7SEN6NN5FEld0wskq1Omv6SKE7 +S3Z3AEMWBVDg93Q+mowHpDkPrV7bwR7MFu2uQA/o0D1qLoTKOLyV4wdwdfZqtMCd +BjejiCqw5RIjkyY+20j+dmyW/ORQHlLZEtm6tYvOeqI1uimUBMy+c8v1oaT3PpNi +t5qslsCa1n8SDc5UQI5zs/oX3iwo5A2F7IKgaI8/Lom07lZzsCxI3Fi2HFdmpVxa +wIfTLMzG/J+gQBg8oA1dlRaZxovJqD0ODK5BQo0irjfYGyAhP12e09ehsGmJ7351 +Ena+Xl92kkeLpCutUkIwbq/Jm0o1TX63OoiHJfawjYoSKReRo/zu03GcbPUmgEOC +4A6NjuvcNrJGIOeP8GZDi3U5O5j+Oo3hy22sqWmcWYQUZEa3WE8QmwVJfja7F2nM +wtHj2w7KsIlmjivHwIAQCGzWoIW+L0aA0gCw0R56t29QcAPaHNh821QZZN1jJPxQ +cG4OkFquCmfukgBahv7zJtI/WP14f1smQ6BauEcE+d2L/wR4s8GD6rvMendIIeqy +Nw1WKfNLxPItCFDysSmTwQoa9ClIe1YT+cZyxaetgpYgUyaoYNlkNFgPWOnINYtc +dC4E+AzA6FmgyIS/hOTKnQCwabHTnxJBaIk29asHY/cW94XnxRd1Eov0EBu7hUiq +PsIKZI9XxNRtNvobr4pBaCXsaIT5rEoUhpZ2qkxMfbrZeBdLUyrSYV/s+d620mIt +ctkwAG1QlIEIcwa62SsojfjEnvS76MBgqA8t4HeF6jF2iQyCsXEli55m5iMqon89 +Mkm9o44IRGylSkohZOsLgt6qowBa9CuGJ9XyYhcf5H2e8q0PaVKrmPRlEbiDsDlD +xMJajQPp+KvwJCxgVCSXz3EhKH7dV2vWLFM9Yw6DQRvy9fzavA9ghht1kCjJUYUf +BVmuy8asj4w9ldbsOq2157umFakun3mY3NwYU+Ktr9m2tKMdQ7morSYDp1HBzSzy +D9G6jv/Jz8VnhRyopYmg4yiu8vSBEJH1g6Ik4o/mNG/46XlXeKB/dzZbA4b9XPiS +5b19FADg9cSUbK6M69I5B4b3RlhyT3J5yOPC48/GdPWfkwB82aIYOtOCGPy25tR9 +Ytyc9VjmMC7DSyPOHiINu7qPD/32ihaEx1dXNGvlRYm43yn8XdBKyYMKtSPc3Yf4 +cKVON35To9CuuXgr2+FGag8gpjeI0YVSfT8wkBYEpV62ZMLwnmVqyc0Y7T5v0IRQ ++H+D69+fpJdNnyCG7eazI9S0wq8O7euiOjEwDU2eOnXIIxnHDeIVQTsfX0QceeBz +e3E9pe4R0HIHMnlkt1iIqYTGDuzs0Np3b3Tk7XnB8Gr3hfTRc8Gn6o5B79aqV6pi +s8+eGvGmlq36mNaiIwUbQKrfvyypcHiPoudTs12R7wQLk6TMvyct9DvvJXLv9eTd +Lye2ira23hgZ82xxr0m9b6rczvOQU5Cyz5SYLLibeK5Nq9VWim/FYsfrXTCiCggW +SrclMFlSkntnD/TSWKMdD0RIwx7JPy+NOGeMFjulDxR0QpCJDfjHhwr7h8t3mFQg +Z8fEx2pIlHms7gFfzC+Rakk2Nc+4RtCV6jnu8xHP8TA9Ybwvwa1wSwVwzH7sInGH +gw3RJUL5mrQ6Tis2QOmJC0E53uIURFg3GXkGV8w18ePJORm0DVIgwPcEFl0JBdar +z+dPEEN9riEbby/RoFU/Opb16u9UDYGbOumgc9QQj3uPylMUiX+vwU5JIETxvMSI +iOsD4OjJt4QYsS2qWANVgm+mnfz0jXQtgZip8x6gMdGfWalbQA5O3KrK/dO30PiV +/JZxA5OyD4o4Ol3NSmJ82y7L3zOpEucf3v2KKy6+OULBYpD6BlH8sMGUJu7QhuUL +1UYAZxBfFlHaIDcg8c/ZzJejKRofUa5JyCFaPCXY7tAmeaas582OuZIzqREX1va2 +HaWd0CjcNF8MLFS6VhKAci12gBUEN7Rtidphv+6z4YCOZ5XGNqKzfaQEiFzXqjLx +EVhZbBVr5kew0QGrJ40YkjyUr/OwRnJWPaYe5j1ISy9tafYXNpacM+/1I5viutKd +X/MV++JQp8c0qJ+TvRcO5/xvP6DgTQRHNp7NTrWIsFD7AFhx0PTHBihkEYn+DQqh +6+BTFJA3NawY62Otl5GISHH1FOuTbJr/UAmL4XfoN5Qp7Z5cgstKqT3TbFMjXswW +TaCchZUpNAOijVoMELv9eRVU1mkvDgoEdu0v3PTCFVAQe1WUCrrGyDKI7iW+hhmi +nXVJ+nl3O/FIDzWOcOvRexpS4SsZquUTJAF5FhMtlTog4fXzwt9ZgNiqrivtE8cn +2qxhjzQTxPsDaSiDLGR6BbJxNjydAf1fUwHIug22SVdEebM5lqsEk8ph1p+w2YOd +HCIRfOBiuSkI6TD6x2nDRH4l0QOe/yYTdYjivAJIZhJADxyLBJg8AEf/frY9zXrM +edxMyIkrtneeJek9DKXulE929hk+UKQ09LSWtd1tNMKySVLAI5Hh53oxd5m/PmqL +5HGUsUbfQ+hyFMvwrM4PfNwXPDufj+De/NCYwUeQRx7rNRLPnPZOxrDl3skydCVD +NEVX7a7nJG96ojBni+oq+rOpVnpPLaq97Zw0JXbDZZwVwY/7Jd4nlO1DgD9wQU3n +L15OcxYBC1u2Cffy/1lgf4mwNvoIFJ5MlFEjLRx4F7loGxjlEmLKX3m6oBIkWOoP +C/cMrlVX3pjdP6yMElV89WePOib8NmZ9Vm+B3jEAIxGU871TP4GAm/Gug3E3Y9Kd +kzGvTJgyY6ObNLO98xtB1pM5DuE0sgnLZYg7P0/b9dfsF9iCCaFl5oSxofXJDnJG +KUlerMX4yPr0Pp+i5faHR8SfkoiS1QRuJOKgnCzWokwUL4pCCm4ZRWzbhX3u3amf +uiOp/NU/ntmAKA0JZgCXGjp2sfE4fR5K4Wop2Nq+AF62PDMDzJZKfGHp9A5V+CLn +51ASQkUWoH6T1CA4QKthxh5xntQIrrGC/FMcpdq6Re2Nl4HVR0jNMDLeXRnZDA3Z +bBx8pgDfUfet77qUICCJjavdhEJgSpWeHjLVRZdhPLtkya/fSeJ7F9w3fOpmmlKs +Qepsd+drM059EG6EtoiRNx8IEWqpTeOTgSnvqyhnlitio8CBTNUOZ+xNNx2cOGCU +cAzdK5Vy3BbTDEcUILwhLZnoHPC/IA5t+CRbuqlhelVCyZivRRAMS3MEp7huY3NU +zBIOfaheWhYsY5seGLw+UB8j7AhAGn6N8cRBTdkLM6zLRXln3JRYvf9OXMAJcX69 +2SuyptUpehLR5F+YHsAe95jYPIY9BIdozuuk2A8Ox0fTjRiKTr3iIkd7NLn8IJYN +TXU4Bu6QjyLPVdZV/aSp76kY8pLOanePAXGt3MFfmLNd5ReFoysTlrss63XxBkJ9 +M8/Rom2U9pgSPBPDUxp2qXpVsx2kfvfbQkqznoV/KiE2k7wIhdqbvVH7vXsQ6+ES +gkyC1ePO2ABxtp6uutNRNkw1xNUNUhx2+Qf9lpkMWs83PLzM1xmQCaNNig1mPGL1 +HowHMATxnQ6KbJgsBpVZpkS0GRBc3N+zMMikVUdKXqYJ0umq7e/1Z6yvyZhQfEi4 +gbQu/wOmGGeCbx06wP1njM4UYD1gK+0uA1BQWHSKlcvCvcIpq35yCUoIGQvP5Omu +dbhGmuOAlKlr1xumjWdfeM/zme0M7AxdSETK8mxujAjGgrR0lLRTcuzFEiwbNvbT +MPYoWGyAFCPfclcwnsYZh2CxAJrmC+qKli+HQsbAIUnxvTCVfw1uw9fGCP8jLfsj +P+DIulUZEnO0hMfCPN5NPVCv/+2gnb3DY0eD0Fn9NFj0OJ4+n7bXCIzSPCkHh0wy +5TmyZJWk+ra2/R71arm4IQ6wATP7m9g/dMUstnAHowt8YZPQ2yB0sCCyvv1FFOmA +7AAhy1m6Zw0rIVtC76S6KrsolAVn4C1T8I/m6VvwbyhPaYBRhBo7InSR7HExd5ld +Gtrg+X2O0eU+eVbLVCnxARaVoT3W3xmDgjIrQLVrMiThR39vcVjodhx7q+gq6UJ4 +WLN6TB3hhCf/jKG1bhFftJPyl2ghTyXkERVjGTyTt7yekn/VjKFWa4r9MZl63+UM +ZQ7KXa5ssqifeY6OnKMxQ2yY4VujapnwRnP3xA18R7oJFRrvPmADMLajEPT97gJb +XxCI/Zo/L8XJAJ0h54sKJSR0h8NiGEeK847hmRyiBB0NqwK2T2kx+vxJd4NhxbNi +mhgm5JfhtfN2d9NVi5nqxBZ8qGRwQZaDpQJuVmEPuSQPBbCQddET07FbPE4Kbyke +zfX6RF+/wR5d4+zNww4sUVCfzOm5WR1jnOUUu7RFyDGiODJL6Kf16azjWOo6W+xt +7nILVjI1D5ksUpoCvsz3zrQD7ZcFmLSjxUt/8OokUcWKXkw6M0XyzPxU1zCiJKBs +ZnFt4hyAXLikcZr8kGUf5/ZcTQtP8r02/I2NK9W7uqRiyhFiKcPhbYXFMHhttmet +9CUE3GBlHueSu39IBagzOtm5It27+LegzOLiHA5z0tSDm59x3KMYUWVkXB1TlbaL +8wjBVSDlOdtwcN7UbfSYqU4euXJfrrX4oidRTb/o/pLVPdRy2CQt25aRP9ZmfzF5 +6fws7q2EyY+QCmbDTeOpnH++h4/Jct5MiCXxE8FbmBzLP3MRThKdJ6qc41l6b554 +TcMBktHyqycuTo3HcOkJWF+iQmHTUZ1WAn5kYknNHUIg16C1s/T6IQJsWj1oxr/j +VpC+QFwex4rW+1fs2IyoQd1Q8eqO18Ycuqb/9R6VFZiIgSyoEVFhA4EePEdu1vFW +5S6Y4RQI4mhP470lG1vWfORsO84gvZmlyKDIERV2267k3C1ZSpEFb7HqO72zHi+K +BK/U3JOE5RHOKwXpbZgE9CsC3m1FXxSV445CPZa8a3ez90Qp8pb83j3IOwl0rQ1J +2E2Pyo/4s1HvZcQVJpXf5SAALaW4iVmpJwpdbBguG065hU9UXIJRHW/bkerobbYE +LWu6cKbjk3MSB0roUX19mo8EUkSemwxlRCOhtevLnBqpbU9LzXFe7tbQ3sh4oker +lemJUVBlPlUm9sNNbPKRWKyOyrGAC89g5W8FSpgacwIYM0CuYHmPXchtwA4ZgZwY +7KvwEvXy1+AuPMIKgELlIyg3dhxywLyppeSPSQhLJJY3blu9uPibWwK8/BYFVZaT +zuHPW8kkyD5dFY30jCLswyA4Vdcg+AUFz6myPT0XDMpmCqwUxUTyy9xslhw9PuXX +w3cIyxzttdLDegOnb7ZttvlreKEijfOscp5OwLGlbX/+GTX3FnXLv2xnRp8ZKV1h +nuUrmCEp2dAgq+fMsx1eeP67GpimV7VzHaHbCSk8i7pqHaViW87Chza9FAXoPdIT +gIAG7WpPam7kYlWEAXRQm5J+dHtNmnYG3mpwS6lRfBR1YqCtQMdHSA7OdMy/2gS4 +iL9ROsLp9gBM4rlBZq6PwJYXBnOPrRZePF7t3jpvKSHjaswYBpLMKzUFglH+9lmj +rSx94b6B6wu2HZlFJO2H7dMyH0ZHAEHJhk55+bTu+5VooQZ9X0RwY3kMqwfB4W9s +ANjVx0TTKI+YaDAyBHMsXyRmLHXN/Vc4IPDXfraOvPh9qxI21KPH6lUHimYQaKlO +QwcGV+jFrh1l/LE81CUUYkB2HweTNJb8H2vmg/Jkh9dkzgWAZiDIzEEY8qgDrjVC +lrAyPTfhPFnFs3KJeAR4UuDrOzKUFAoeuqsWxs8ZldNXqKZPNsjPWxKzDr7f2LbL +AQEiberwgZXvN61iHHu/u06KZl6EP7GU4oE/WglmsPCCf64NergdPgjbSkbO9fzL +I7hTARQ6RV+jqEYQfVwCWnBtNplAcXzo1AGR83IrHEK8PYsTb+F3hlf29Hl4NPRv +nJ4eOrOFxDk2SXXDqCl0KSUpuDj0Cliks4/b7PhTT0i7ro6u5jMlC60UmtkqGKqM +FH0VkvJhZSUG0i8ThKfeOpZV6+XGrASayAUbogbgBy0Gw0CM6FA94DkNrkZvcmVs +E6Ked0/upm0ennItm2B5FxfIQwZIfvW9+3qDnr0Wjz+aj8sVr4d4tmtAL6Mo7vp2 +/+BHChDJgW1BcKdcVIXJs5ZqvfJ6bFFzKbrgbEPcYA9G12JsEtXQAHwOUClYDqKU +fEwYlzwjcR5GZC1E0LcWUe1sd/rV7Q/nJlw8q9kmD0qtbwecE39q9JGlxxayzg1a +GJ6TxWPOwjzViA8uA0qUX1ztj7JPlZ/qngVwVJNT1A9ENlgYNU4x9wkZDY6PLpUo +SkFgvi7Y7RFTKT789eC3LEXANRVfV+4KJjhco39IiVDXz8nNXfmgLgzNxhNJ/bHu +U87DZyux7vq+2VgSiiarIu1fwZi6Em9kA3MDkdXrhl1tPZ1zFj9JAuP7XhlEg3qc +G6q0lHE8qZiOez18y0r5WsjudSZhBzXAsKxIe79yxAZcE3/ax1g4ulYne/yGAVI8 +rLulI2+Vpnbjz6VQBdNcln2eZzG5W47banPkLlkHvy6DmUUVBMzkFCpjM4tEdgAk +0vo9XDo/EDrz5YirqE7x/hV5pMmNCB1SJLzg4OVcC3A2vlYuv9g5qxEY3hHpT5Jf +IzTHsVjmhlqFLEbdtknvjrBTTlGRSOqJNoCttaWbadVod4d0F0aOhlg1kBYCsZdC +4+/S8OPNQG5CzcUZWT7MMpQpG7OZySYUKIAU29VjxvRy/OQp0A1tFc/sd2MMrk2e +qwh7cq3UhlH6A4i3I8cvmx8zlvUjxuqYMH9h0Q9aoiezqQrMX5xAGRs4tGAxmwCI +oEwxyXcPm93SmIe+SrFFAn7Hx9wtw1tdSpVLny3YBLcRnP8le7fdJ/jVQNJJHNWi +qatQroMeY3gpdf9B9T3qk1bwE01PCWHwIDFhL3jn/pTx+IF4moYmlxBZrn+/PJ29 +Zwdpr+0eJDSSOBFOCU3uYo++7S586KdIo+Hndr5KwlBFMhZgnqwfetyMrcNT21q9 +M1LuWyEzFNguRonP1Wy8eLklocC7+WxrrCpDw9pQgUEbr/ODP3MWeLS9JkAERsKY +TwgE7TaF604JIvos0zIDJByT3rOFUA+tq9BfmthqVQ2+Di3W6Z5/ynMRd8VHMrHn +j9r0qSiXkJSSOgs1adgG8ush7qSIrKm+PxoB4hA4nh5VQMGYfzK60freWcvyIUzb +BkvglFC15dq4fPvX/OMfj547VY1qD/7IUiWJc/1JBk8bItRT6Ac8d3LebaXB36Or +KbGaO2wkeR9/O/uo37LJ86Rs/xReOYCDGVQRLl0im1Z2cvSnR0MXElLx7d6p0hrS +y3jY7P/macjvKpu9Arm35HDrjEU7vc46n8Ba97AbjyNNxnVA4bohGXf0kv2tTpE3 +1fbIZ3PonzHcRTWjzgDkEtK4f1fxiaBJ8F3UUQD4kdUhwJbmb+vJLcALvoWoW8r5 +u48q5lPq5/VqeffOJwVIn/kwz4GIOaAYCmzG/kHQeaEtQxKWjcNCdvW2nOQ/+q6D +fdUxv4oBVcux9fJl2HVKURgZ6t4GIUe95Ya4Bnl0KSAPLvfSIa9iQQMGSdxY8lZ3 +cZAg0yvfapqMN2OODEfzdLyREuRC2BMnQODfZwRNcxBBwP+UrK9uRc9UVZb5h46O +1czwuzr0n0itQoioh8gU2mi5/JLuiZWXehPrjfW5ly+RlW59arLFQDrDDcMHeXyy +7H424FRwD61GD9CxoVzQDCIDntjx78TBXLPwa/SztRjWIZ1l8eo53DwPZnWuOJQf +m3va959+Cp9TOAS3ul8KT08ZKydFmI9xHmxxeWT6xXAhGCJoGfmvwJ/c/r1vpllc +r1OY6TQ5BMENrfbbbHWPnXxWThp/7iU3m9LJtKx4MV3lC5KrkwmHdM1fF3d1MpeC +G2kpRHItk2JZ/TAT3XrQ93toH4OH9xZZH58eJw6mLiHZWHuNjotEkYogm76N7XC2 +98X4LTswqEVPg00CLaPv25dOVGrFtQpvFDlibTcWHK8vGo2+y4VBI7lm5Kv8ItUZ +6mebfUe4bl7hhW8W5tIf0Galyfx07WlvEIUnx71nF/Z/vejSWz+b6qpfgp7O/zUm +JciZP3FPw0hZ3Jqg26civN6+jH7K6MJZtJ+vjG9ITG5oWW43XVDK+huibXtTpdna +4waXpfc+IegQoE6aAgovW9O6LoxeJqDcnA7Nz2cjG5qn2J9+Nvu+Zf6hCBCev2f0 +98o8VSzkaVtTcY0XIdBPnKZaeudnwuP0QEBRxMhW9Koagf32lSCb3u5jbDvHOrom +Jt8KaGsWVOgydubm65KVQVl/sFbIwI2gADHhUzNZzZSo163zCTO3c4vugGuMoV2Z +fPbG5i8t3P4NsFroo9uTkj/1Konf+RI0ituEUQ0gP/aXJAVEQzPe8m4ibFLqIB2A +4Djqw9sNGRh6FrpIgZql4WgbP8Tk26Sq5IcS1wgnJNLbQjLBikIL5+CqiCCNnhqc +i/RAby6YfK6mJdhPIRv+CJMTz3pGK2Gv+C5NsyTf0rEfKgHmHvoT1ek02GXvghhi +y+DFXlB1lLSr8z439FbkkXbl21U03p9+hqq5lhmIAxMlbmbMMa5w1QA4LRADanQ6 +u7kW74TuNBJdVmW/26KCjcZm8rsmoCziXACyW1CSXczSKghSyos+5DLNHxABu5XY +ueFsZdQ+tqFc/FPiubDaCgDS3skZmLXztHwS159L6a/qCkMh9leHWAQyLo0O4UAf +EybJ56pHOmrMzzxj56sAVVjtHj9wsHuiy47d4VaCv42ttq4o8Il6XXcg26Bz9WjY ++hV2WQhdoRSjT4kyoR65Zs3XoKhvH55cbDq+yDO4GQBwSvtzBlTCY388QLw2SBlI +NMh6CM7pKf3B7RE+9zxn35X4zU1B52IVvpnpBt/6sO7DGb6geo6/Yi9sH8Zxcvny +WBNgT0uD5jz/X08JtUziEmpPDGUxCv16OT+kJkd+16xVWzma7gxZkuA2yEJVgXAK +FmVk+X0qHlyVWwwn2MKigBwJlSl0LYRwwh8GhRfAvJzIn6tTQZ9quZWeQh3dGRG8 +zExn013gmm7lYgvyJGQbp/neHsArg1O+GdjRK+gstpLeE61FSty9FFtLiBROZjd6 +XovZHcVQGjS2A7lS5qwbb21yiCV5IJdHKxNWrwhycAhYTS9EwOjWhc4NA/xc7NqV +qcX6rvjCpeM0U3wpVisa3kNztHrH7tMpDa/M5MgiwaF8vQWrmzVVCkf6L572sKA7 +bRGYfW/XBpCjU1Tv+nuKBWQ9x+dLiPW4I04bqCJK8Mn7BWEfyiTyjwGn5HFoXNhS +O5KsqZUtJgQ5Z14KvgmcZtN3B1rZ4lH0LMZRVMtSJ8l4z+2VNS5h0pzSeo0/Maqj +f/Cxe9Oxo9rFQTwRiRWQO/2Mpu/2P8fT353YbrlXJjRidy45bfJkajfEcjZK1wvv +lW0WzjjDAyASy0Joz8i/8jsNhXiOFi2d5opIlRuppQOe5WMQZqwXOsBDKKSuPblr +DUhhA/eucHvdm0G1fXRwX5o7qeTs2YOVQAdz4Go9OhFU+E0pKhP0LdQ/vChE59x6 +TfTRGzqSDeGiKgz0tqsO/GgMm24bVRAgBM1wkm3c+dQAdo3Dimq7/TdeQCwNWWYR +2lcwBM+zpmyRdNeXRO/8cBtfSum7Lm99DaQ/+S6otoK0XqETeDFf+d+O4sVhYz36 +kMs9Ry2FxLLtISGcr+Y1kM0N+Tsduc5gLZ8ybMwwsHdgU3GUrDeI90SMKgttRx6y +YMtyEZ6+ULzO8F1eiCBbvlbiTYPIkbGv47qYJJZsLnuBNaC/V/rG7njJKOc1FId3 +dnhnPu8F8rULrjy1IX8YuK2oz0TshqRBD72plPO/rrqO8gFU39aK/nu1w/WHUNR3 +zVncjrbAp0Qz2xdnX/OjDfaaK4NuedEPfLF30dI3BwQYrqh6hB+E+8pilAF+HRPR +8/7rzoOOdd92c5qUEYv74uxJQRK/FKxr16vtdivY4dwJiiwZXNF1cmX7w5iYvCUr +uDMV3aGhzM5mSbimhs0sS2yV67ocSN8PnyN0+pC7RrXQvBevk7TaBiYwnYqfmHSd +si5lFnXZrLG0jkuoX4dDR+2+saPhyAD84j5ehZEvlYQ7rvK7uKadJ99m+OcX3z2q +8kkgmzlOJ1XuP557gqSt1AZerfenAupg5A5hWcVw9eL8fGmyhhOgIcNtsfpJdsNd +jg8RXVBfQ7VQHojut4iCMvTohqfQm120AmeFiGedjasDcgHOhw46nQI6saDBVt3b +ypGOnmRyQjd/JaTDAYt36ZqYHAj+6yrJSA06nHsBcpremo/8ep2Bt3ESH8dpUdWw +DhWXGbikBcRWv6zeZbeNjKosP73SV36qbiXrhb/p5Z78+n2N6sIOVBl5tIxeLx9x +AUzyFKghd41oQqGTQKDVmtV0FIBKuI1bdMWmFfErxLWV+RPe1lp+T20HQZJ3Ht2p +RVpT0od/xu0BnktqXmDOMjJ1GzjXLTKsXRUL4VYU9C0kNmFwlUwzi8xku0XOparv +vKNsU3nUHIm8JSSwx4Y6t/EbJwDMnVDuyfm02uGZi1McaIT+LqUeoIlPLBnaT4MF +PdlSnypGaSdkuThrpBBTnkNa/6eypze2B5AxmZ2bd6T2OX1chkKlXCrepfWvOh0T +aHu/Hp3be89BB0F7CL9PYAlVjVSXmWT6nFv7SsJq79CtA5BKFlQ+tpLfU6U5bKH6 +8uZS0SNSkFeBt3ELy5UJyeTdS/2vK61vvzzr8nd0mZMrusn9yy1VhaqtxhsTnm+N +eH3gi2xjYjQ0mDa7/zeiRPmYZDbEpgLsQruAsof1UDJIjGB+PDuXXzNPC/bw9NbC +X51oR8rjuOF528xwSLNGvwAwi/C/uSxYOxU9GS23sKNCAMeGBU3S3FCyD2yS5Pvg +n9QVkwZDqPYaJ1HzikMLPISsLS0ABRV5VQFXJuOl+AFoZsVoAZOOsZ6KdRDmX+Iw +kC/jA4En0uBOk56XF6khPDa0VkTrN9gfol8Drtk0rvwyXV440pqspc20Fw1sHpPl +Ya/GFEWPYXoaCTszGovYyQMZWOm6HSTWf9l1vY/O4hRcfHUstzA8WKj+n4UU5YMO +GpNWr/fRxm8uxs/IH2kDNzXnzvGRz5FN1rJxrynIphu52LT1VdOX2VAlkB7iMehX +3JrcZLLwd/BSn/BgRvmaZrwQAXt4GBkZcPDDp4bPjJDYsd/CTTXa60AzjM9PPbBU +VQrDRgCZH8q0oe65slyBxJwlig4g1PwV3a6BUWj7PuHh7wivYe6PEt6hQSafuheB +7yP8KIIZEfzVu6tTdR/BMRhF6s/vEgO2nYRk+l58qdd5fLh4IeY9miIxsuDFBprJ +u16OOYXuomQEVnilJhy6t/ShSkJiI070jYUELkDYiOBO/9prIkS4bLz5RHlN/NPH +eyX2zjyjbYfTk41Fr6uQlIAaCKnX5BRSBh13En0zC70TcvL7uoi2SJW/dRir2GTl ++DQIWdx0PcDsgi12V8SozzafRb3uHJLxpGUm2FzaZPCub7JV+EEEU+byBNIDNrks +rtNiPs+mUgPOV3yOvhteV1Qn767MLNWRYJjCkGaqavmX7rzz/WepF3H/Hb467uwo +Y8GRenlePCUOt7oyE6nQ7etxQsUX1gHzKTL1EWSG+FnKv5Y5Yb069s5GvOUkj7eg +4uF3WPWvOF5+dfj4F8vTWYoIS4UqEUesod+HTPuZhSPHMOm4C4MgLcBiHNtWGFhr +YeFYHTeiGiPTQC8s4wDxPpAU03wZ7HF7COnP8kZDtM8YB7WZeYypOoMUHY9pZE/7 +1OPYmDm4Pjocg4BsTYpoZpUZ698B3gclwoI/FXp1IY6T6/zRAPm+aWNJXJC5i9D0 +HPLN0i6NGoBgyGsIvyxCY1viWbPW6gr6C6AoFQRQS5kDOH4tjZag2x0CrrR/MG+K +SiWT0z1hLXM0IZpt6MP7TexyLwih8ahU+VpyIFDpQ5R0xJBjo3TSrACTEJ+TEQJr +EhHIWIBc41a4P60f4BGTBg4boZhvNAr4HuwD8u2HgbhY8qR210g8dk4ZlTh+EDAu +kRAyMiBLX6e62E1+QPbAOPovMw00JRpesHrROnS3P8CEh7G3vkCg+I4mgHxObtts +emsYWaSTmEieiSncCTFCBvuQVWPdfAPOd+IOLXnASalhPSEZqpFgpiA4vuCDv/jL +/BMlLeAMJ4EZ8VGZ6Sy1IbM4s7oY8dmBmJsIW3EA6Wx2hLznbd004Fj/3pRp4INR +PARJ5DJxM60e+YqSnqyLzI3q4+NfvN549/IGQAtLF3PK+Sv6lbOu0gX1F2vLAZVY +g+Ivx5AYSdq1BnZ6x+aQDRuWwpFSLib+rVdMWVxRhF6Q5SkXxGReF0QNSzsqs3cH +t72bqU1VVJRsyvZRoGWl+T+VuQHBUGfv0lH7Q54uLR6IppUYc9XFVrSVz47WovRa +Ay5T3lc2G0J4AmBS+btCeceO9FKSO9N0n+Cd6jXcsMNicyLFj4kksR+YnUgFq2h3 +bP8t65v+uw8rKHj/XPEzAn9+c11On/khoLYiOoR33qbttO+8s8zr07WesCCWTheM +M4SN9896B/m3Gh380b3sCImdHDO6tphDSPzE8MeBQQq9pG1rdWoHcpHlY/f5tYV0 +hc0wWvrV9m0AieqK5q3xr9BedsCougRKlU6F+cfuYNQXnv7eD6O4v87ZZ/PNvWFN +RdBETa08qoFuj/EaaFKOjz2WDZHnVGnIaaerpBn/X7i0o3NXLKfY96x2zvQkvhy9 +5tkPqNq3e/rIbaXhlM6aALAw16JHjuIjzonj6ANCcheN7hqFnIexXTG5WA9kMNUF +kChIEDdapW7d0Dtdc2/8kQilXFEUC9J07uEBpP5CANmFbFKnEzWP6UpvkjOxUdA4 +BPMhL8sPFWnxPBpbHxJfMWwmV7UfDBEsWtNqEibnvmT6GYDKeDuCztt5NayUcZdE +CZOShaLxk/g3VIHOoN3aI2nt9j45Ri3wv5ssa8rW7sBSfjSD7/2mW4zIlPbcSwbS +OLU2/MFJkPypu04B7HZZfIgMxinEfi/ITmJokF9aBT7JmM49MBFjf87xF/I6wvrH +/zxnZDt3Yt6y/nognV1ldT3hCy4RxriOiHg6NFPAVaSC+ZVEFjwSEqRCpvwiEDY/ +ttzqWjAemFuIvw8lv9WK1blF0AAQ7WzqA+2YgQTXR4yxEsqgPrh6WIi2CoSwov/5 +zkX53d+yPqfNHmOC//Y5aVA+H+aIy0JKdzga4nzovwQQwYQBBrm0QCGaJ++AhQqr +yQUbD/JeE32o25yI0APT/0y1d4D/Xc6HNSSlvzfnJYSQergaAMYjWGpzOdQP7NpQ +KoPvPTf0GdHf42W0cUbxKrvges1wCRCKjoJjQWn7d5DdsC8WyC1r8DwMhGUkz8EB +TRfoS3nNV5pZTFFXXkBOQqqdyGno9BN2Sj91rHzYT46jDr50/rPAD5S+7qPVHXDe +PYmHZCKwW4Getafc7Op8V9VixdLKHWUUXRb7qvwj4g/d/GmvDDfayh3vdvDyUZq+ +BrX7wVxD5ZQOGMr+MpZ9AfQkkPm7rU0+Xjsri1/gZrm4/ZFiNg6ZbmAm9Er+f02b +/s834WkVm2uRcD8Jec1ng0hJydUdXyGzl5/9Zn2JRDNMtqsZ5PY1Lun0OguRKEzU +dEDLvgU1PWQrP3uc82WA43vU8MCbZ0dLUXY3QEJAwe9a1e46J1Twj2BCNvWMYy54 +mK6GEKzOVzpFOzR+WwxV0ADnXHTLvW3uRZb5rEMEjKzNRG/ZWLD/w+U3VeM65Ms0 +gG5rQNtfTQJUFirBLbdnNAY3OBc/MPYwjEphlJjmVp0kWVhv6xevwipPbE3ONHNy +MTT6dfMWEFtwEbJiIuj69giTJt7lKvKYfKTnl9ys8ZHDsnfXU/C3k88cePsIIhk3 +metem192uZrbRczYkYSVKAAl/TdUAZ17X7c+ebj48zJMzTBSFUkax+wLeE4PWlJe +U3+FX6R1Q1Y77XaNzYwppKer8wmZB7JcG+T181ZvVl2K/CQe1vCgEuZA5MAGCGoj +rz9fUOpUkRC8Q7fjQQFQ/TZv3yOtQFA5ba0PliXFyGrFi9vR3xRCaTHs7YVIjACt +bouJHIs4tIQ7ttuHaiRnTf7HZkh3SRGbUGNxFCxrIj+MA3Qe8EpHvLrBb+rn3IsE +AJ1YLFFBVSJLo35PISbRcFVj/vQYoSkcNeyTOnSr4k2V7wTAHT0QFo+iPpzhXNUK +wLh9lMbRAW+VHiln+3iuwBS+6JOHMiItdeIMEyB8GFfCguUtrwf1eZw7z8yBIWJm +2W2UEwFZwUtMDzEMSDdBXQVuh2BRVnSXD21ZpBiwMJtE7YlToKY4bX/pMmr+Ltgj +LolZSiPXl2dO20vf5eBFwRxSpPgjDcBSi0YqbkMO/hKSfvM005yzWIp8f3uRkaTG +Jekgdrl1ZQMwPx6LoEvoNbd0FAhe9g+vrVy/comkoA7XhNlsXPX8s8emAaoa6Y9H +d1VimLkCYVJZ7qFjGc1/SUt015zdJ3eo4JyKyiI4BTJWiVLi8QklndRxvWb0iPJS +Jgx8NF2MetjR1hE2+l9fLkVyv7/AXMs4VG8zbhfQeFj0ZA/HTnao8vJPNn+0wJSa +9QAmeKYjoVW/XaT2HJV+UaotIrSTLt6rTEZ4gGgU0N1MvJNoGMyAEnnYtXRIaXll +WV6LkK4pL9IJhLdhLi7DuaTu+R97bsoWJ7GJ1Sn1wnH7VOojYkt7ftUMK5V8CN3N +Ayf9FqlyTjz6PUSRYuq52sINnO4tiSrFL79GJlgmPEpVNlqDCAvRVlUBebRbIXA/ +XC6UGnk4G/dwajAlpc13Kvxx36qGcYVD7X6zXKfrUYhFakgsK5joIM3qVlY4fJ+X +0mcz71f8QlgE6d4tV8ihswnFduQ2Vj50MZzOBHcRon89MyMQWC7Ny64MPwPW0uQ6 +bmzP7yoG/+1S1euCVYL/kpLVoVsHSQ4LFiUhG/MQ/LhGyqA94PdZ+IOC+n4R6IKh +x1A10memRT7kQWOb4Nu+5IluelDwI5KA6KKIFYBgyuUl98Gp2QhvloTuHAbCaSGd +uTMrtU0GFKZ8G0sMTdbRIrCAA26iI46VruTD5RkXhgYRu/Wk0ZgcOTsT4EgmWxf2 +M/dUmFUCa6HSLsz+1d1EEAsoBLtyeIuKhqtADb5jhmMBP2okBAOZsFQ5n1X2tHio +8RbS6QXYBhMqbWuxrL2NWH9eUfCIHAVWuqSzhdQIgJeA3IMWXvcxG8WCWIxG1gSr +kxQ/Td78xRbKabXGbgiei3e3MFjLXR89bxLc4LQqX6xDdTo/kO+REM5WCz/y6p4G +YifXKOr+33TAi/9S+vNKdgh8V3Du4rJoUkfbe1tW/p4qO0SC7xf5o96Q/ZsnSv04 +Q6FGvepjr1RG0NamCj8xK5GfPmYayenUAIMxxegwQP/3LoGsSIEW3vPs2oi+koJQ +VPvAXSEhqalgqoU97HG9OZveJL5vE9RX7Ak43mGTATMkS99rRZeDAevG8VcEi8N+ +FJ/pFWyBWEjgYzNVZMBu8jm4I0KYHcpxkRMpUXsEZNUOuO5Tvb4tK0cm99URUVwB +VfSw4KimNGSj4SAWv1cfIjdtUc/VbLejiyetJAU5SmSNy8dDInGBWqt/vrFtFwYB +rf0ts9y7dr+STsU2ASRml1YRtbgXKs97wrozlqyikueQXnucqqgHG/VHH32qkSzu +9dSqtIl5W/OeWo2rSJqP1BtbhRZAEmP+oweHLt8fyeObS5e0bRRFd7/wOkzR2ZzA +bwJygIO8kZGKfY8w2JmavvOYd69PrH8sVmRJRWKevPhs9PZx25+V/42YROLaihx0 +PpUXStnA/OG6BxetzgSm2IaqOdHL/N4b8mlWQJu/8Ugfyqdjny7A+LiO5V/jq8iB +/r4fC6sp1Z6ihVOkfjt1ybqUZx4NzZakat4W5TeRR/D0uf7SCva2nRE6W0ZjnCCU +Yedy+iZTeur7fV0Z6ERFWyqTJF+J6JG6VYMppKRuHOoSqwCSp3JtouBWAfNqfV1W +jkQUAuOnBkHWHcXQiRK9ogv5hmg9STPIGcdqCmAGBMoxWHbl8H+qgqRcy/43OcF9 +Xk5Qemno5A2RC+YFZFeWOObnVa8xoXntFxP9UJ4dNk71qJ9vniWvUdMjRVfj0hwK +Yb71MBCFg3FMVGRCwfUC3wiKZVyAkpGFSBE8vpVmispdPuZaEoU6QzzYwpvch4N7 +ig/gxzxAO6/NMVVwHD85XhS2RuxGLXdO1PFDB+JAsf4f0Usr0pSHc9Ri7cutGGbI +86vKuU3/sy+Jr5mtB3bxC6KFZ4CrL9TaYK0CaLf9md3mayi4lz7GCXtOmGzdKv/x +3YRVYAri7kP3NiNm3LEAMhO1l3pcKjgn1IlR5NsadXx/KtWXrguip8XN7K1vDUCn +QfHr8VfRwhOYX5mrnbVY+xqMDYueoWEb8JJAuyGXXHDFNN1RV1wWiujiloicV5SP +10Q4pTi1LfYytxdYRIs8NM/RZ+vMZk9jnrXHI2BidBYUoWIOI4YUSg6VkOtIH78O +l7pMqEDmd2mbieLqZ59JPu1DoGLW4c5IjpostyvT1rJ32qoVc3f3m4LGqJFkdWsJ +OhVda8X1fpJy4OJlTOusGOIZ0k/pGr+uEKGkws+fAaKxatuvNeDcDqF34dp2OJHP +NLIhP93mFsMJlAB9ePXdReFESwfE3xqorQ+YlvDL3t3tCXvA72cSbTWfzDHLSSc+ +t1OZpyPKvhAhV+4aPCxi0VCzAKMLMQrSsxRN9htNCApTS40keFT1sKtIIeqWyKG6 +ImGZJCEx6RSc6k+yf9DL/rm1tJVCH4Jj/wDtjNIS5aSYvCH55QJmnlVypoR0ST8G +vc9IAknx8Sta12QbteA/t81soVNO9kpriAXPAz7X4PO5RrpFJULS9MB39oO0Bxgq +NHRecFFl3dzcUDNr7hMx3iEF2QLJzHAuwlfnwxTF9TylTjyib19jp7PJBIDbVKkK +gySMtl7pJBWD8aH25mSfhh4DRBLSvgwEhkw/NiMypUafyLHaMp4SCAhn39xc6B5u +AcI9rmylkpuA7EO3lm8ebOWcKZ8oFd7a08aeM4hm3SPi294xzkn1R1bJBhfKj8Mj +FaYNhRyDN+xWL/ALbUWHzflGX9+upL2dWYiNI+TFqgX45dKwDXT7H3XNNm+1hW4w +taQzkawT9eKBE+zgOl3R5ds+LUvUcGpN2lzjs+fx3+KLFkcGp+wNM1bT//LyCwEN +f+yPpGj6d9EqSru9ADBWkYPUpCg9g/KkIqRza3lj61HH1eqE1wxS9aOVQj7lIJMQ +I4/G1r/T3vqxg7s+lZz/hpyCevjT6EOfExPV0sKYqmc7Qkf9P/06+7m2HsL3zz93 +zYNTCb/2xE9fG0pryX3fCVwqD5eW1y0+JfBtyEQ87id/Pnc/HdousOdkdXrz7JrE +VfryecugVdXLvLtAkVdPgcU4vHxo37wie5CBpKVA7S0aQa0SNqa/OjgvQY4FZrKA +tzTCJuLGFwqmKws96LjNf58Wik+BUlEeHTU2a8G/X1qKntBXRd52wNJPs2QIP3jD +Nx0O8t7bDfphE7kmvx7iYLRSEPlP8AdRkwZ7/RuChMimIjqQUrz2l620YLiP3sgi +/rBJtQzWsAEHfBSKSbs35PPO3BgVM9pRas6zJRXaitq2ltQ4Q+46eovl/vBhcPAC ++sA7eWjiI+NCQZ8QfkEy5rnSbgIpYB7Y//xKfQxpupH7426tADksCV5dUBWLiaH3 +B6yvrpP0x9KQECSxceckcnWwkbkB4c1nTrGaLx4ZrK2HrqacVLMAXi6A3B+7ZATB +mI3VAyGu/alGqfXNvjkzI92Lx0mxixpxejRkqjXar02fbP74wMme6QB0YmUmqJit +QWV+AAgBhZ6PMa49X84uhB5kPzDWEilewy03sdPsG0kzUCVkiGqApFf3bFJgZpia +tXVCjC8gXw5dDWDDhftDiCraYOcpSFnAJJPbyHxi0HMrMP4nQCoFWQGYju3oTgH1 +BxcuXXpOMXVv6tcPIdEtordW745ye5MhV7nauH7sgsvdEMQrXSnlpRL1JLRp5Ikx +MlSrTIzrpCjBVjtl0E2Q9a94bhNrBL31CH0nZUEkTTwFeK8UJfBEXDgeW2J6IN+I +0P6soHxm5zAbtFQe/2JVfxeg7YekqAho8XWDEsOd1pCUjEKHuX7CovSbtH58XAYO +xIISYq5D4uv9IPz6xqs6jiVtoyweLo1EEfsuOBNid5Eoa94e9JwPmW/fKO8cnIA8 +MTaLhB44UOfXGWhOoynxSLH65BL5QKu/wNrcF+cvJFceil+DJ+Qly030QoevXNbe +DHXNf8NQr5XmkinK3ymlLD7Gk7VRw02GvR4a4N/v/mqTvmFH0cGD/uFZwrJOzttI +/HmAh/+DFml+mzjUPzfkazzGY4uvanKER+H8M7qvOEW/733g92aMWYeEKQK6kDCa +5N2vDEo5rZQxDUk7jrFOhC0gHT7tJkh6UyTy0vHN3/cCPeNQWKA6Ls2pcCnEGliO +8ZrmKTPLott27/6mII7Cpgv5s9hYDK1RIE0E2+sCAazet26rRkCytEsVjBBlmfdX +NA5wt5m+lj+Vxa4E4W3xcX1Zyu9AW5KsIZYY1hApHY7CfE6dYkOsHyjXIdoerZmS +xx6urn5PaCgSnotRCKCblcJXYXZlhM563xf2BypLxafhu3756VL0TuhSsjhYCfl0 +jJ+q9IZL7PlNmVHAZ1Tq/VgUikoSpu3y1xPTRe+e5FaFffh40a9ZUUEK36SQHJxR +FV2V3EK8j0JNjHLgz/lMORDWpJacxvLIMXKtRRTbD4KKokp96rVfu+a//VRLWzYr +Vas3T2pimKbdUqWfwggRJSkg5AAkl2ErPYrBqDngqWAf5Rs0CluT5+lqcreHTrHk +ANqQ+8WGBNu/WzNUVyTnl6gs1bNSHRSLVDG/x0e6/Mw3km82W/WaONevOeFpc/mI +nNUkoWEPGUPgoUbgJijdA1V/NxK6MHK0C7LRHe26YmN5CfDqKPs0oPCxRJJbnxd7 +I8Gkh0C4GEXc6kZREWogHWG24gWDwR9vLd/KiWolFolOs97Id8j0rA163n/K3qac +Signn8s70nVuRYsQJSc6FHxczsLHN/LXq4Btsb+Us0Z9VCmSmD4DI7lkcRFFzgwl +vYqkgvKlf9hutXPyIzoClPLGll7+7UC5s/FvVvP4KNkzD/xZqHo6KNhoF1JIKSjy +GxsJZhKb98nFxeYw/+URt5D7lYIYDVpeAOmSPX2WoczIbAmkevHxgeQPuK5nUi54 +HgUGqbJHi8L7FAsw608uU9SDjY5WX6xr/HJCYjl6R/e9sV8CllLniJ8Tzf7n9xO8 +jc07AiDqvSUbiCWYgL/SCyFVGNKYal3HkRJC0AkgLMJiuaOvK9QpLG3zlmgR7OXe +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui_alt4gxb.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui_alt4gxb.v new file mode 100644 index 0000000000000000000000000000000000000000..b57f027a22c51eb2b36b1f18f9f7d26381a41319 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui_alt4gxb.v @@ -0,0 +1,3651 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +lfCjW26LVvIv3IOrq6KgJehzRENlIwfJlZsy0LZvb4On55pe7m0SwT3Y+D1rWwBt +W02fqmYza69IhuIJ0nbhmhboTWP+SQx2PEOZaiKvdy8i8yyNig+CeIRaLalRPLtl +zkhkDZwVGCfCdMWIXI5hHZb4zwmImo6ba29bVxfDsC4= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 173488) +J1jHos/oM2aBrW50t/oPpxE22Um28fL2BWlAPNFFkULVBf73A+J2sqMOxqCg7Wu3 +4IT7fhH69W8zl4C3FyFOjnhJTLXCSpR+jznYIR44AR7nvoI2j1t39vSvs2jtak6k +RkPNwE8LgLaH/dZAxXltAonI8HXeI/e8AvBsO4J0KAxEhjgouGK8ni4TskUr7I+K +34lhNUrp6o3FHHMSowmq1Xees+AF9yyvScPg/+fUPSXJYHiEpemLe6O444HbKxXV +yS0CWd2V5FK75mLUuyVWYh4sDTdiq17g7Kh2cthEthGSZ4sUmzMkWL9OhNEk2k/C +5L9PxN+YjjRuwwO51+qgofXPsUIPVjzwMbBAXMTGJ9yrydcOYmkWRCXb9mMkFiBu +oVo/uN7slFzMoNSSvtWYKojN91ypkx/XBTR9srk2BOeHt6O3RuBNAim47FHR3Gdx +DmgLfbi6x5HCYYelZi8cx3Be24mjBHF/cq9fbYC8dsJ+y+B34bQVqvBgOhgN9i42 +7bu2XEiA9DKWBxlneGwrgbekwGZi5jZXBDgmAvEdQp0tw7KOtxfHij5Q4pkGawZG +ZkBbELU9QHvbtVCKUnTwQ6hy0ZZrCOO7yiWQbbYWO3W1i+IpCwVjGLlFTYxYH4Db +dzAUwxBC3Y9bCAIHJ1sVstCGvwHBR2LBKdt10RDPFj5/nYtK9TuqmyTEi88eb9cF +zYtBm8dfV13K2ySq0c43iPBYiZYpiKFMjV8+UCv+Ob7BUYehPvWtuh8APYtugltv +YKdYfyRn3hK93uOGs8cqL+109d+tQrSh9iJIrdG46+6MRYISTmV219UQbJBrfu9v +IqEoTF/2eqKvfAchimxClbnO0VLXOyFPimrpgg/S5Ltr7IVpdShkiGFyeMnU7Ke+ +AsyukeIFGtTuYVqVFlXVyd8z8gCOhNN+Hd7rj768tD29w+tWg/YsPsBa8RPkX+wO +6ySMevJ1W6aWgGM/Y4nk1Ts1NqtPc81SdjfhvLOZ7nEzznctb3W6SLkyWEwN/or6 +6biwyv4A5rltQKkUeMbHmXI1X2R5G6qUE0Peo8x6iN3CgtEQXWpXh8V+iSy17emI +mRNyRwkP8Y3QA2q1kToy/xunIXFhBxCInBVfYe04ijZYFzT5jikfD4WWvAUilZcp +YckajNhhHtAn4T5dY+AzHv6oPfo5EslCndkkqiKVfxjyBxhk5CMOUDmW3ndCi7EL +0A/rgIaXiDwxCKuO1laU9bibHdCThYzqWVx/ls01THP+i1Zk/YPAsfvm67PyYYVU +SnnrzzCVk2SHXpAYn/wd4kbF1BeqIgaC0Lwf/k36VaZE4+B+1XnCF4GQ2wHbhrpJ +YDdfZWf+7cq7e6vpU2HFtJu5HaejQCLHrlsNWE1Nd7B11OcP3oCXURy/mshaUGYc +6QKXMfjLKbS3TVxCKSLnivfSrL1tQvARYBjgVpv4mfH8gjDhr0bawLqEq0F50lsw +jadySpp3/n2/QzhYYIHmr0R6S7xk56p8UYSk0Fj06KISv3X0+b2fYyU1nHWtOKXY +N+eH/enEMxgk7nQIxbffbzm+TTKBXLAIixwWFqAoeA/M4JXyOYlquf29y9ORKR0O +RiizEdxOVHc2LrjLmHbMdXWJbdX5AB4ncVNgIKmyfhdupGN1T6jobxRROpG1C1pv +x+zEF0cymdX/ZHn7oJVfk1x296iZ6FXY3D3o0gHD0hdmKxMFRu8uR+pWCM9pH5vl +NnlWlFfPa3YqatY3HKXp4egI12Zi/Cvs6bWK2IJMeLlkGAatqSIDHG+lMcikjezH +p7Iv+lRh8WveTP/ZkbQT+ZQlGN1Egzy3tHdFiH4og3rVj3fg7LrfwfjA+Ux8OZU7 +qpJtgMotJ8QJvaOso5ylF2DS3iMh9XoyFF12FHpYr6ojIhWA2C9X/R9+/FGMVNvs +37f/DH/Z2QV/g++yRkEWheCsNjYA6csrrkz2mZyvYFYRagjBsHvXbS+T8gbLkrEN +0kJ1GBRyaZp6rWrxr+EAXwldS3CEuCA3ZF+CYuA3WI1m+WnymRv7FyejvxB+0vNR +ryCd9tu0dDQkgSTyU3A8bsu4kBRZsaWZO5B09kYRZ2Eb6xK3nWIgd15OMRz1rB9v +LfJk8CEgllc/NmTTO2RwpHNu74Ac7FPQxZRAN37g8xtEsoSp4Jj/a4lPLYv8Q1VJ +xBjjzwY49TqiV05aSwHf01Zb+kRn30oFn+XKF4Xd/HRkQjkqYwz0Kztrxc9Zm9rP +DAA5YSmEroaOAgfbO38eBJHu2v4AkKUe2l4/K+S7I1Dz9u2hf0Ne9uJv7iEKIRn5 +gtQD+8zZM4EsBN08B15HZH58hNiqteEN2ex811iLaawQ0Lpa7KGu+HOsDrI49BRM +9RMXvet2TKkMxl2cJXWaFUEiBZexOcOtIkizxMClcHU8fjr79iH1rw5fLmHYcM70 +1de0pWKHzWoD2pnozQb9Mf1p4IueenX9e4QjsnKJ0OsQsYXLMqH0TrMFGG3b+qxh +V1B5x8DjSlqwi2wyLhTKYltYaryzNzyhcrLVOJGoZwJbahIH0vSEW+SwOHUSQQZT +roP7dG+VKwyefwAasW9HB21NIu7LUrRRW4oiMKCEsMl9cr2LnsOWtbgmc1Pr2ZUQ +EeQ+f9LoBcIBdUta/BZMNJGNKqoTh5zsrUlJbQY31rcvo9ZbUKVLYVFypmqEcfZR +WUblAhzcOpaAUptCSY6ZNlT40IWWSl7fV9uXhUysan1njAKRV0vFCqodAgfFcstu +IjBKCroFX4FcvLBUmrcoOv2sqbU0oV1rmdpLkHn+nWEHsHZ6RKa3pGiFA9tVQsaD +GPe8rhKN9ayEyEjb1ICvn6kFlXwMwN9jkey7mqxO4z6xsTJQOKzja0Bc3rCrehZu +6045lUhh617h1bA8fxJXrDncmXZhe9nPVYP3gTigfX76NPhrYPyTITOWz9cid9L+ +mlzezFHbfhXttY10aAy/W7SJHvbRwr6AjDwVPBnjC4AiDwaxBFHYiWAeQLO8NR7G +KPzA2mulpQANYTSNePBDOnztZyDiNX3XQ+Wyfwc8jm3QKAygMNDOuKttkEtd62lH +xPXtzXKtPBNfUvtO4tuS8rQ/fG8zZDu79M6bD3Be5a+Fjj8PPL+q+QIZslw2nutT +6ZW73HMKOeqUqCds564rAQsTn4D7Hn7SfCF33+DiTrCEDK3wggRO6VHk2QbiZouy +OjtG3iixVGrZRYzn8fsXShmabM5/xLjE4fp4SHEF5AAaR70cWRn/CEnEGLsT9MoM +Er8BhWAB9UBegDEOyk0/83mvuj3Y+n4wrrPN05/iPNfeptX9/l8GGJoUopMaMn0s +yYDuLXnbJBpa8DoAYox365h0CKkpwgdao7hCthHEC93YXTi+gJoHOdeHKnsjXAPl +BqY5CciLP6k/tzdD41CQTIw3cP/b2HdWJtb9iyDsQkIM0AG2+BRvGw3bG2Juf+Ay +UV43ru+4Ew6V4e1v3Pk3JZeQoi+n1mpcOJ5sJJmveDt18moGTYYw0piiteG7iMzw +aD05P4uvAGdkAeK15tpR2PCSY3iXOohydPMJKUrWyC7PT1muh4WycZXYYCWUnTGh +yBk/SiF631yqmXYpjjbUh2n90LyERfc8cxbbydN5RDzlX8E/sziw8pnJCFlyr8zp +MZXnHFzlWYT3vulgbfU9kumo5js/DWpepeXqXF5MeGrYHtqW3yAT9pjmQ8HBJFUl +ZuhZNqTzv7kX8Kx5LR4eCXXACaXaghrk2yg+cz+B9330pwjMSCzfZH1Z1kmdivrB +pud8GWuoYylt8948WHjkb+a8hEkKE/UluRds/Zkh1/8NPPMKeNTC4MXfp3vJYSvO +w2JlCcCXIDskUE67PROJotvzP+LBm0ZAwo1XZWDLUdzDSZGBoGhGxb9vWzySajpI +wgjNyxqJ222eyiLLKZybMUlv5gvWeaDijIAUhkEWJflWuqMQ+IBlbd+7R7FLhkB9 +8PrwgMrQZyY+Duj3PiwNcYVlbLA5fsIK701ckjabxtS9Zl+Wtoz3jEF5DOvkA61D +nQY5/yJY31rU/0u8OTUznssPyqy8O+2QNc7fA2xLpi0LzeIvMBySoSJQ4dFqyqtr +3PXuaUFGUV8k7jdlImxcxPZIDyLeWvqKIiHqjNHoSUn3XfSytw70ksz1Ev9o0rmT +ZyYZbXGUNdYxdWz2FjdquHmmz56ATxpij5ktiBzjZcIcbuAHh7VIeN3N1kgSx5PE +RGXmbJ4Y/cfnkY4FFPrm8W07Jf3gAiz6MAaaTtBCkHmAg6U5QITeLa1EyGSOvmOy +IZEAhM5l3VK6f5o4whQsbstfcpJoOoVRZZaqaS09LTvFXdgd43RadJuKKx+qx2Vb +Ahdo6KcByiGs0SGD04BdGSa6jRE3wzxqHfNtHuty1vIAn6AIQBQ3pvS6FbR0IOIo +udX/jBsBcgW9DyWckDenwUR+aLZKOXAWjDDjv9CBR9BXOPCfsKNtmlzOOp9oX8I6 +3CMY7n0Uqnql0e+vUBcm8ytEmdpWzG0ssfGvpJAZZ6m3dlbv+4+vCUe3Vc9pE4Gl +4m8KoRy7P5muUan+xma0n3XhMVacaoJIgwnV8G10UFUhu1O3jNR+I7QWU1FbNUxq +TVV3evqAH3G3L3Iqts87xk9LpC3oszMVQV85yZAogn3mY5ZNpDNu9wwNqkQD2sL5 +sYlJirdUfLLe+sAtQyjFSx3ITgixxn18DIbJqP1m9RnYZUskdhaFJb80NPXK49Hu +17FrDo4cnSzSRa9uvMXcAAZmvENoHTAaFEPW/BycZW5o2OK0nNl95CZQ5fPqeXgc +bo14AQvsDapFuwWDIjyN1uHqiwsTj5oyJpoRbF2dXzdMrd+gZ4776kxYM13O4UPk +hjaS+5/hTrPx9mCcvkvMYOZvt2ItyOLc8XsfGsTskhytZcbv65S0GT1LAHOgd88p +JQh629PO1f1Y9tRaqUdMyUX7CUbu9LdKzlvJGJapffLHu0mkVbb3KOhfKNHLsf2A +QrL3fkHlnESDiBiShNb/rkDiwv7zOzdTdMVJXHQlh/4r+QjL3kHINvMpWXBXPhlS +AuuyRtnsnRlfxBLpl+k0BirfC2ITpjKbz4DIV7WSDe96iWJCXU/R8LDHaU0Q1cIm +6LwWtvUNnFZygWnz4jEALi/p+tJX9Oo5cGLVV3GAu2FyJG09gL3GxXABA/Xe39py +bJY2vWx5cBfI/xZSmG7LrJvUcEmnLxM65LU68xOMW8YAsM1050Eo+Z9WStGRYXPn +JrStgFakUsKuue7t+dznJdOSdR6o+7tAH9Bu4UnZH20nFnrnydrK5hObzQHWFA26 +OHUxxUSk2irsoUzs10v5pseXKgR+bE2WzYygD21rslu+8Y3DQcrA3Q2nMTxyEmF8 +ZFd2glclOru6iMbGWvQuQMHPAWB620W6yVeMbrAF6u+Ptbk2jpIXrMRThwMPNnP1 +elW+C9+a6zwpDDsWi+GjCVB6wLM+dchyh1jjW88XLmgQJp0rUGk94cTs/MPpyNe3 +QpmHMz6MfqV0ETQWYkoUZ6DHcUkKCFNJgeElyf6zl471/QNH7hokF+/E/aJ98Ohc +QOB3XY5IofgQxh1FVPLktMPuoa4DF72bg0VH9kLbU51Pt9RUO0ZsYIZnGg/aa7WQ +RSCkvTiVNipQDIlpdTXx0G1EwSj1dRll5vxE16FbSd6/ifGwUbUHpjWwgjBdYcBy +jh+j5gPoe4b6k6ekvIfT7QzOpfggWn5j2JX5dbRJZJqpcioASGQVM03dksxq7Ot+ +nmNeMpD33dY6haLBVUnWtox54TervHkxpZpo64tRjKeIeLlJMlNQjd9cM9ZFmmQv +h4QcvGS5vKUk7y/mnk111nzgUVt4OMq/v3eb7qR9fkntdzhB+NnCX4j1YKLZVF2i +kfOKR4pssGuzEbpOwsRFEN/dWtGLOrzrpbMNgQUK++pXgept+vbTRucI7K6Rba08 +I9x1/IJybqBp+iSG/LfVRRaWGhUiu2EAJhdr/jpQsmUw+xbuIwDeKWjDtm9ZxuXx +PY73O2pHQz7wQ+ln+DN53Wrw6ICPSp/+qf3YkAg4uBfXV2UuJ5XTwBl4e9AY3YZT +xNuSsqtBNfq3UomrsJZVhsfg0RAI0g9uOXWgzwSGW0yOwUMmgVjtcFtdGamoCWo1 +fCOskAbZwsVQUQakoG4fm0WWtzKQFvyafr94wdmiCUfTCf6aKqP+k2Pgn3DU1Skw +CLG390zLRAA5uYWYgshUVYqoQB8fATND9ocG5c02/eYJ/Xo/KLfCJzGm7m5TXujN +dWTVBT3qZ440m5fiiq19u4vROvLoH5m/QiuvZTwF7PmWYoj0cTUuyDb/7IF4vlzf +4xivLFm7b/yEUDUxZqodm6QXcHbjDzSkXwSrjotuAZBblV77D1ZJyNelooFARIJX +f6uvD4u5E0WgvbKURD10zL8ZuMceBVWfjUTZUrsTeBUAj6EzjZSGi/yXRZszsmfs +TOxOeFbO9Hjg5mrsJQFDoFMWc06qOFM2T2coApjxPeY71ALdzGIcmo02AhpetZ8n +KouLJwwmYReZRXtpQ/I2lhsFgQbzjh4QwAp1xrCpgD06FXNp0iTiGJsrnBelqOU6 +/Acgc+gG8B+Ip2MBhGiqXkquXm8iqZ7/jeBTh1BDXtRHev23PDHkSHibXaOD8YpS +ZDb/QJmCD62uPVlp1C2hPJyDntpdjdrSbWeeHvGgDkRlgncYoDpZ3Bae7ayouEYs +rgUYPpiYob2A9wAkmV9GNfs3hglTJx8RMgvpRgHRNJq4wOAD4qt5gw+LDT+TnKLa +6QT/9kikyhvoA7KUiIB50agZG3u2NQbObSfeKVxVIEoGUKU4pxz9C1WTslUKyaad +o8I0LkLaEBHE79dOIT9G5JIaISzN10PwGEM4sw1tBFmi+NcGIC1wW1SwK3gX503H +KBJkqfsrvr+EAZs/2cIyOxh9S44zEPCJl3fP3R2/zPKclh+qJJbmglUfzEhWLc/2 +oIMXERbvTdRCLoByAE1KLy2EsC06UYHnnjzqh4cq/4HI5QdQ0BEoddhfxHMEaKuc +AKLG0OKzzNGe5I8Tc0g0bpPXM9p5p88t/WCE0e/O3AYcvZg+q9DLFwzfL0F6PjKT +ew5OC1WZ9LKSq3RG/TcsFOMndjUO4WT4f8mYcz+hFQTZLXm17BUUcPIiRvS3cWug +ymMdpaun+abXLW1rrnK3apGkTVDDklBrtfu9h5iux9Wos6/ZDk5naFABUok93OBs +PN7JcGb0QBA7eJF0RLDLRka5uuQwWCQZDzExa1OJXYRPgVTE/Oap2grgkxVoMgJm +y3x4KsFg3oWWZxTVEEwTJ7K57F0Zkbo93weEvbh7KYn3qtvqiABsJw56GiLk87yJ +QH8jlYS5kvQ4th4LNMEPeMwtLZD07UrkGzN+yNQno37lpM2zz0SqRcfjkj2m5gTI +kAvErsQONm7Y3oe2vXWZ0eJ6/lEpBo+ehQMun2Y/UyEVVTbHj3/gwvmY8+U9afXH +yiamzlc92GPlct3dYJjEXaQBj2QB1+rHFywa+G1FM7wbTL4PPQLdDXqGjcTgl4ms +unRUwsSxgNDodXypGfhhl2wpzomed+mCDqAshllivGD0NGZ8IZmj1dUKKmfiMdVf +Np9Va8H62EYlUs4xqmMpLeiMj8R9K361gmxWJBORKS2Gx0NILIICtQwEViBmQPxb +9qQVpJBVyaGm1cdlx+kvYkLBvaf++rO4JeWXfjwQoMw1rZeEKUh1yYm17c+KyNcr +zQKTVk54XT2FTMZ0eUu8AJeQN3PwiTjhTD4zp73CBaBEOXurClIq+6Mvrgg/21/8 +nfe/8Bu4KH/Es1brsMsOLC2RCbIm/5MhzDIgIwG3m+/IfpKimI4JigGiy+ptVGg4 +jEXybA5tcrNtyh6S+aaJbkFmROqfkcXnBKwBUrfEDlctGFu9+4vD8/L1Gcq/mr1r +gvb7e3TWNbPOvqaxAZ+yafa4CvYiwq5MS5ffiLLRBr9qcf7FXp5dnFg05f536L5B +A8xu11PXxTgpKvksgq0NHTK1VFSpLylMsxfph28Po25v9Hdyk1TIIhkK0EEwbLwZ +1wiANdPsJ3HUEHh+p66EnN4UcvlhHWUj+sxDkiOHCtiytTAsbkspRdhycIgfBJt6 +01q2koT8Y1oew52YbUcSD1I/BtdVC3qiHv2fo718aDoiQI+hReKYxYCwgxn7I7Us +SvAQed7xZIq5dH/NL9MANmbuR0kwwRPBdpvZ4tzHeiQdZhmYwYWItv3d7XduOmWk +jlLfEyXpr1HUer7bUZlOf0gQKjAqP2m1ImwmL8giAYKoB0rH8cWROGvL9KPsV8Il +33oMjmFc9zTFbrKudEzJD6ZiHW/ga87TmlwIUWpLfec4h9FBc+myZHXs5SIhHEqp +5wkmdqVA4+fWGt5VFnxI9TppBR9cvjJC2DelEhv+ZAsTsSk0qkggkpKZvdugMCDb +FkGpz1ng0oZlGx0gAOx6/L3R8R2Hlw7bQgewN33qaTm6Iue7CISoGrQR8YHh4tUJ +qPwGoMyqHnArNd5jEy4a0KWfq57NSFJjiFH9WGm0w1wnCebyijaNf9iZT6lbRUxN +WgevmvFNPExOd3g8KzxY8b1gJzXStrtfUJqGu5WYvymF0jZ1Mzeah+i1jKIkB+9q +FCDQAtraGveyVKkx0Bd7y5THC3p3zgWMhcsoiUdB0VltggJNJh0BEuQn1tDQIqdk +3gKQMj4MDwpfv38hk9QMjdiktOOCjmmwY0KuniRDmKWbh54ojJOf7TbtNbkHnRvJ +mh7EdaVsJD0BuiJXakB7jNg7/aixbAXg+BBlVeJ+EGn9cTCctIVDstgCaqtFY0zc +oonvGFGHrwye8Mx25GwiAGHQMZUVi5veh397W6/BC5yHYBqu6/d18p9+XMZyfjXN +h/ciaYhR1EVbruozwTlZgogYX0lezToL3Kc6wcpqilmEM6Nm3i9mQpw3sb7GHzxF +8fr3yklbl0wrfuQNu3b8I9fujojWvsxoFwwTopMSV0aS3/7Prz7c6Mfyjv6YjDuW +CUSAr45Hd6/YZD2DZVdho/aYihd+4JZxmIUXrrtiaCewx11eLkj8E5eZ0jxQvBfF +J8wSbL0+6oeTxkMwwPt+e67T7rkBAaMOgVuRqwaaf62l6iVw74E0LuiM4aOtF3H4 +7dizWu2o+pXSvAMfzKMnFit9KKGb1PX0sZO+IlDenPyXdka7gXO1OarMvCxVVKOt +YW4kKfppbpjTn3wuz434s7sicWOME0ADyO8CEuTwv0Z3VOAOFZU5Gswn2yLmef76 +gHyHmUgEKnXzGECZznv3gdLAiqJX+ivnJDpBE+zyDjoVtpgYvfAcAAznluHJswme +INrXUE+KpLzgyaii7ru/kJR0bn6FTh67xggYBZhP1GY4tMwGozkL5Qf03k9aZTVj +K7yDRC+7yRfDExmX3/qxdPgcaZXujPJ2qlt2d7HjmwVBxZnCD8iuABp7fKGuSq5d +lgmo44IEd3ZxC/kpiD/x3lmHAud8pUFa+Wd59ahuy9pwA6mpz1xwpjJVQjVyw2Zj +eaGuxw7B0YsgC+oNwnw2rQ2xVC+ps8nm0nXi9Rco7L7NLcpw2whRRgRAXvv4urS7 +ltZXEiiYcW+bPsAkbpVidhndkvtpTGn6+890cH+W/YZxfGE8sA/amAJlj5wiFZL9 +P0z1f2zVXa/gR+CjDx+aSIlUD3Sq1JTbUxnmGu3XFKflM85Iz/0exhmRsh9S4Ybx +bsByy3hJ6NYUsBlpfAifJ2PMgd+ikq/GjV0jlobmzVd7dsQyI82611CVjrS4DM+I +EmviHlJ9u/NeIlizgVtX4H3k+uFC3BaapHU8PQeJyRJz9KTLpZ0E83B0RYGFTKvH +8S1IDFVnL3Br1IUGwxqaj5xCoSQaTRzhxxMHWw6V5MKJNHfo/N+vw+l7HFAPvEVK +lqMaXDx8iWvCmCEI2qUxTOiklKiZQzuy88DM9+zZG67H+nsnSqogI4/QL/KymBMD +q6ivxw054/p8zllKxYq1FsYJq6GOB1B0FkEWqHcqSAkcOp+QHWj3SHridgka2H// +Qefi2faIj9pn4Gg5x+abnCfg3q7QzkxKBn7fIxOeeIOoBe4h5kf249+dit2bDsmD +vx/0gKxrtNynX0WgVAvu+5dAmKd9qvzxTIz9U/t87P51xnFOm1csErrYLHntUEtB +LMoqZv7zGZqNXDMYhUxXyJG+DLCUUevx4XrkN57QH+FhJUWcLOPeg7/eratjLJ8A +RnUQTCp+qgQRyecgZfxqxDuymIiF3hYhmtXJvqbWSpGGpzRefEgADpyBM1hISm44 +ZGVTwIgFMPkhNuoMTlV1difluacxZAv+VnH5Q+zUz2jO7muUbb2lcwIrUlrwEO0J +jV4KMydSkPWw260ujeVUX9vuEkfd3S2QgIuQFuHMx2HWMUIcxdtIXsbKHe2+Qbq2 +DizpmAocUcHjnufdyPwrDqkMvzgaraB7s6HcrjIxyazKHeN2h2Iq0v7fJjerqz+2 +f+jvC7zR/2ZveoKodaSTuDZhBtbfyFrzTE8N4AePwSwqd/AvQTyPTwxJ2/AhxaIM +5KxAJojqkXgFXSurYzkyzrFaUWsHuYMLsl3+eqpg+mPb4ch5ST/yUKRRZ2cGOLf+ +1fCAd0vvRWSknN6ARcZ4npIccvCySRwmcD1HTX6nmmP4jqsFOG3dtVi8XqtXNc7J +If+KAXBZgI13SKah0AHHDt2LIgjX/w6zZGzqLqc4XcpPuVgsua0L9tmAKMFvP2Z0 +EbmB45zQ0WiJvMW8OrUp/Yxr62/fNGqjBplFcaJjOZkQc1/lJuwOQc8KSm6PNSMY +G+YpVSj7iAuRxqehoPIRJjUMIeRP+JLlzAKRA358PsS25AFVHgNdPB8EXbRjFJ8L +PD7G9bB06owAGC8F4Dp8eLVVNZSgOlkr5IiX0XQP8zD1CjipfkDKRLFSdf/1rRq2 +4QBX4uv2romkn4Qoa6I/2DPuDOPbpUjgy89BiFxtxJPM/sjJOlzkBDR3kGWlDmAk +gVa6yrJW0boXHviDKw8unXRLqy8GW3l7uHLQcx+8j/nZq6nRc5pE4qm1SlhzgGBh +DWMa1dc/sBGNnh2KFIAmvXb8uQgJ0oMsGJs9aHmsXaRlpdcLLMSFnYiqX+oByWmR +PP5UK3dT5IyrJJ8M/roud7l5AQyXQWM6afR97fD+77VgqkpWj0SJfD0KZcK/65nu +W7h9RJw6zATlHEAwMxp2jMaYNorDwExz8R1aU9qzddE+0KZQdQbcW64oHP23YQJd +pWI7ayWYqt+LgIX88p88Zb6ob0jl5lggNdE48rOULn4gFuilCcM0FvvtmZxdt2/s +HXEnA0vpBJCyeNhsJ4fO6BayDC7FZiho4U1iEUZvW1oYIGbaz191QclbXSdUGCNe +rxWAOGugh/VYQb4HXUNgA9qmxxQpoiqY42YWBt73IJcYNCtfOcMWMyF8MJVV7VGI +kzilUD2ytVTLvFkJVpDXe8Wf0pRaPQxvtDabzOAX6sRbSsNW5MRv+7kMTLov6hx4 +GHHCwVhhHMKKlWJ0sTVheP7GL+IEHqavN1wC7atsjnfnug+9BxrxTbJ78XZabAZG +mCdZGpGk++2A9/JFOF+Fs4Dd5OGd8kaJQLY0vU8xqFt3l9Uum3YUUaKCovaLvFrc +c8HSsN8tnoTcgL4L8Bt2X2ncGMHBoCo1Y88HHZuHa7lB/DX8VyGReuEGyeJTnecD +flqBHeftK+In2vhY3iW3yt9YmSNcGtf6E/55SINc9sc+aET/WuYBzhiY748W53zh +lEtC6VBX/5WVxL3HVlOEMomPkkT/6V+XjPJXoWHdf1h9z4ozv0Kdendw6TFz0dK4 +XDVW2ZS0hX3zDTUxXrTp5cOf70TfhZb/RuCGLvB7QXXrNv1lH4Mdv8xjomFEWLLO +B+w4W6O25trM74FtI5Pwtqk8BmjPxIVzLBHFOkSlXm9i+eF69yfwQ8Qd0CofmjBi +tyGiYo06jTqlwCVNBGC02fRbd9leeLJEn5jnhj9G1iuJp0o/oyTCw71RM9q5h7Po +/mHd1fwBYChqtn0zyUDlErhbfazyuFkwdwPkBME6B0LwqfwfBGX5g3FWYzmbQvyG +ip9tY5denydrGDOqhCSC+Aelt9kz93bRicmGQDhDZGefggstWP1j4Rd1KDkCkZie +aBHLuTCf8S90acg5dZPtPd0bFG9i1fYCWcOXqZqEiibD6zfmSUFKcXeR2+kWygoN +EVyLIzEG1fB5S7ZCH6JaBiXfK3q16IJlz+QPnvgiixFWQiOjMPLIdK7bTXLDl8Mj +pv4W82HR0Nf7UNc/Y+IM8UXzpe0/rQhU/FB2FOe/YX9/4xsMRWHWY4wmhUNZgkxK +b7k0Mv+ODUAxBa6t0qbX59X3t9Q2CwbhA/jBAcTj7FgqyjeaCdiqqVEK16ZkD/SI +Rrb4scQVK1hf4cLJfqsguixGUKXo9BYzOpFgcU8X7pR9ZIWKKGoAUq4N6UOsvmQS +EHzSBTSfoq8o8OXQWuqfqk8YGq1NXa8YYjXf+gY//RuV6mZ1YbeCROcOAYbK7uPn +nciEK1+BqhhtajntE2Booy3lufzIrgxOk9wq+QKHwPsRK5inEvsc3jbs7hB//0RR +Ok2n/w2jskfDZZ2o3Jd6KBuS2+FrJBlmHhE2UB1B0+jWsEkLv8InRjXOLw783UN3 +7+c1uCI2JrLDt2OTMmdLVhqHAAfvzil4yM+YDtRrbYj84plyOa6lS6N4xB6dRHiv +E5AuVZGFVwPHefAc2lRzzjm58gbnb6uPDh5PstTN1O9ENfL7fy8T5W8Rz76EAmCT +On1naro9ahMySC+0FOGN/PCaIFg47yuyqVWbVLvL7J8fTzJHKKApnJeDtP8t+iHT +l821nazQWi44pLmJRcwYJFm0CUUCtjGy3UbVF9rwTSv4nHNbHwt2K0rXxKqihAdF +vFHb/3iheztOH43XmaKP6mvSzhXyOE33pChTbbyxRXRN6+MfWHnZx5vhmYA/nAtR +fD8QYZ2TS+3EFoSnDxxZTQ7UCLMBusK6xFWaFRzI94QNSmQfMJMQGID7/goKztHg +fuJ7Nw6ERjqCwSSgzrb034T8l7yvvmPHRCr5OwgTdyyUqkT3+4VZxr/y1eGInWGs +yP28UzicqXtQudl2RLNcqLinKul8tQYTdHJuVDREGXq10rhbpsU2OmOOvNokdv9e +J7R9Of6nrPSoAvQDdHiCxYUgs9HWnJPpgvjKGLFgGPZYtPmi7xDNqUB6pgCldQ7t +KhFkcSljAwlwCmJFjdNfyLAvu0qkqSJ3DdKMC8qdQamwMWn2aBU7ZK6HNYzVCe61 +bmnQYp51wPYtO66BrRgjf4WyNrgXxpFbiNv1Mn1g8DHnyPPbynXE8VmDkdD2YFoX +1CfXCZJj78MuwV2gJVx3XzSq3EeucJ9CzbUdAZb4p/ZRbDwtiSQDadmyEpYgONMI +aKJDO8pD3eg1BnQR57d7ZjsRq80JYzTNvk1WlY0h0DufVXBr6K8fi/3vh4xCtNsH +wbHDMpNiVThD7EtnuMM28vmkbHibdNbzy9vVRPXnzOd0lRLNyONVMfRyT3dLDfu9 +KnEQov56kw3jDcSfnjQlEog0KDr/TPR7FD9retUc+a03vqCcGL+pAvZ7cuhPzcuC +MoP06cGXK+Czv1TMojo6iZppujaJ2h4vNhLIl+Iq0LlG/DQe82nUBLyvuX4pHLqo +j05iuvu7ZaCDYE+rtK++zHjYGh1JBd6E7SdTOrlYR1Ib3m7DkU7GvylseNcK+kUk +a/dFl1lQtLeAVt+qr+vi5B+BywQ0dateHFQ2ObDCq24vtWkxKLPCkwgWlaN0dFWj +y8ziTrzYzPZhf6Opps+qZIMNlxtxHudr0S6X7owtx3vzcBk2zuFbESh53OuuKFey +zPK+GNLIszZUy7qnnOGPXt/7wDrVVj+g3BXqmqaCoA0UkeKO8fW4ZPrdQzj3sEyS +nDCE0P1SCNDuIpOvegY1NUmNyVJAqK95baVwagmc/yOTkz1S+ADrh0d0F32UV33s +o2CFNwa3uAL8E/xAR+Y6gb5ZTzwB6B8XDfoWRfVfN4awQ02VZCJNNqadJ93weC5s +SWauQ2IHskFNwS6R/TH6TL8K+uzXttFaiHYeo++6LqFNfSuOF7c5HkUEdnm4B0ng +eXIyqOKya9ouThFLfGYfkfEVz2ymp4vrOtUuMvEnlLXG9OtTAV5O/arPV0DUw3Jl +RNKNAsCDJ5ftagEwa/nryGPaif4t0cQ7aMiShGhOxvZ0/eGJH1OK8SWkPglKTCOp +zKyqq7wq8P7C1U4Qd80tLe9kFnMw/nUCkvmiq3+uAAkas7EBvhKCqqCDjrwbxE4d +zBnJwYrNu5S156aJhqjAWTGmG2OoOCt8lMy4ckOLQz+zhK1qApb01xheCFYRSxm6 +WEnbp9+ebRWB/JlOjBZiUoglVCnH/YOd/jHrFAadZTStHsYjrVAtpKX2OXZar0+B +/GzBU2VXSdq+cEEXQW6RDgtuJVqj9Qms7D3TWOzY1T0Hg+SSZVoGy2mdM29FryZi +htTajA/qT2TLYhVgaLHYQLHTlT3P6wRN8mZl0nal7qZMyFXum44bs7p7k0A4kPUN +KwzfH15LIsReKHoNMqs6suHWSd3ArZeudLZdrQgPZGlqddW6Pkv4GM2zb4WVEWJN +HFIWp3loyplDwm9eatAEDsxz8wjnQssqqZ07gazastjsBjuTV5+5MiOKVxyirm8Z +v5FVn9uFdEpkLWiKjsnVBaESeISXDYmcYGpZw/ldxid7jwX3n1KMDyuzXsrKXcZc +ZWpL/QmtGOYNaE3MDuZiUgFxxCyGYqJxkatMVINu84zOK6Ep6/KNx+771tY0O1lX +qKpB5vblYQ4yOgCL3wbog03xXq6ejbKYZ3dzLEmCvJyk+aCYBgQ8gdjMWMze1Efq +qyg6VSQdu1xp3MnpS5qJvE+IbxeeowYxCpjcY/o4fkpHdyh4c3yWC9jcGaHZ/P7a +c4wkpXgmLTthACQ0fTrNCS9aMWPLwqoFaw3Z2n52sTI0pOeNo5vp4j6zf6LPVznK +om2eLmO93LtsCkrHeiTxmj61u+E/qoO4n03C1kkT2MVzMK9WQtuZyyrhDua89yhL +zYenJ/0FrkFOazxhmVWiWcrpvy3iCBwEO2RATdVW4jkQ/9ph6lKUe/Y5QYqNYJ18 +ogPT1uIMYpWo9NDbjMM6yccCA3YQPtJ4PSBzWWcjhGhM66vCMuSeeAbUSeWgE7/I +9leJXPA1Qd1KHV3e28oabNJDY9gW3uknOQRTfEbh+NpAAlVS9XFZ9jBT68YCpnEQ +r1ntAe5dz7AzjhvnjUAe5YY3OMl3CyPQuh1wWTG/MAWBtLarTFMnZj/cKIK0DcwO +NNBsCHFrj8pXfoDNmEjWK4tZJNWVjOxhQA2ev75QBeLo6DdumVycz1lJUTuKZeUV +QM7F9i3wdh8hAdztPsj63JbDqM9pRaqxGnDXZuvADvyXtqzAC2X8Figc3l8fwxkC +9iPGFxSK9g41G7/wpYRRaWDxg+JgEjdNiPEJUT0GxBw81KjWByOlIyfER7Hfuw/9 +tIWOToO4rv/k2mDPG3dKLiWH8ZZzB+GvyPIVZZUgsCxZwmiDTUKGzlwoHTna159O +PC6hAj/Z6azTsTw3/LzHqQHlhTYKUXR613Q0F/Abn90RB4U13LQSNhWcMIwhSqVe +5fgJ/sQNk4TcBPQZuLzMdOeZ6GEfx63D4/mgYzIFiVPhlDpu5WW7M0QNhTjuSdT8 +EbP7epg0hHuuoT8v1JqVaixn71xhzjpCurSGrDgLFBv4qiD+NRBuP3W5sqoQNJQi +5UfOI9oj3ZN/D8ceH4s1UZOUPQA1du+JKUoSBrQS1IttMzC7sWRdzSlNwlFXpjcL +rEUBd3nhqsuLuQE3YRrklfMtcmzeVSyXjar4OsSvfoBi/6hTl5fs8c7VsHzvzv7l +ORIV9glPAIVdPBqo2IAmDFgsyMS/JrdC+xPjEp4YnNGS+MX51qTd7LY7r8Bftdw4 +zRS6KKXSk6uNonwap5lO1tSzvnxzqlaCSFo4EWYcvtKgcAb0cVbdJX3FfX7SE5CI +/HhvTiOWviuIh2951Ez0WgkfRbLE1zhf0RsCE3Doqwj/vVA6S+k4oVDpTlX5Gtxn +pek8nRFBx302GDC+zT9VLHvbBjmUPZTcZcJADU40gVoCsoVlhSvbFMQyoCy77VKy +jk0jZI6Mc0GwSvnFmvhM3s1Or0V4ad/M+R66M+drlJ54NnVxDNa9Fyw0+9f/NiGh +pd3iPhCLmtAsRsteoF8EVp9K3J3MYDbyG3+nr1t8/+6BRab2YpwVNIoX/ltznz5L +iGvN9mpydXmQYdPwzNE+7BgqflStRrwNotHT0/E3W64luPgTCVeXlx9uSphEnubV +5b1HR7HUM0BzCdDJAeLDLEmFxIMNM3VHvFAKUK1DGWaqjEb0hRC0QOdogTqj16U2 +9A3MhaYWUNLKhPWDgzHYpCVk7U+jmXCqLBB0T4RIZrNVYnM1aXznhM5/jZ/j5g46 +fVAgEydaTu9ePpSVBNJWqOxc0EaO0ncdYOzFazt5UEz9Z0kmj5+3nCmQ52iiBaGd +cHM+W8YosHJ9nBuM/P7cZLZmuRgdz5wY34XSSJ4o04OlpzAO7q+CrGeaNuKVsGNX +L+Oo7TfM2og8RVsb6oDEMSEKxLiX2UfSi+Ph6GD2tPNCpf9RTZS7tpZd/wFHL05s +rakwdWmL5HJn/FlD+nnFlRd3iOr8E0tk9GXF0Pku2YFu7puv9aoRyFIYl8Wgev6+ +OigHT12KoS+z5jMi9ik26ewqjjBtFzQOiqvCrnRgo7/eLiCAt6GO2lpRo0QJ++NK +xnEWV+CQz7ublabFB06u2RV8TEU9U8V/G956mEFT9iGvn4Uh+a4SNKYrYSyK3nnf +lX8kg7DcXMH63nhQsdZv2wMi+mYe943GAoPEfMmrvsDRHzDl3EaDtLC+vunJJ1Kr +BvG57u+pKA9tTf3ZdB6C3IecPuEifSmNKbwt+TQO14wlyFl4wHO0310G3BgHKcgK +bw/O+NlgFYc2MOWfetF8H0xwwIqAxfQkigiX2ugH8jp7FRWQRzZaVGLUgQWQbGtq +B3JwB5ZLc1z/aEG8/q8Oe1vp8CZT7Ln5Fig/kzt4z5OaefqKMC6MOr2RH5qd1T0b +IMKg4+Vroc1kst+yKmmCPcjKxol/ttKpx+h9EcI9BODU4XWhs5rGmyzzePxlneMd +XxdAlv/vIVrceCR+jKHex0oYTbjyHYPfhqTTgswLFXWN0aUhxwPU1B7Pm7AuLLPE +x2pQg0Ww3z15gINVaoCdt5oNu4D2/y5S2oDn6dYWqixM8xz7CKuJhqOkiWp4XTQH +GQ4tjACZE4ULdpc5Xbmxk0gx/YvSXqMlbGrcRZxA+r9hh+q7k7zDf+a1oQlWhKbC +RWgSRPuUoFrgET034eCe9lAXFmhQIlwLiqbYNe2eAZ89xxslfpMNKqHMIaQs+Mnf +sIZC0mATtlSBkc8yzS0jiJmXHKHvdkmXgd8TqQU3haSbtlFhpygejJa81fRLo5OZ +TnvqtbfL8G5osGNHEtaGgypIdKsevYSPqGm2uuhw4J5uZqve17QJIe1wQglg2Q5b +bzYX9CiuYURjIqWiD2sBZ9C4cqr7biixLZxGIhgvbvFZjUCpkv8SD+OdPZ7PIYi5 +YV1kmIcqFAMO8Qf6rUedzcPwHOBcRYhRXawpXfvJTZoBRXcbdhDCUgSJS8K2tvOY ++GnJ7x4qmzZsppU1vKZKnVXf/KwZAhO+1w6hCNC4IWgvtZjgUlnd96azzjExynAe +fHHsCDljbmtj7YaXaYYpD91uQIZtDc1RqjGjg7lVDs7FSU/s++FykoUzkXmQmkX7 +iWAr7y3mvWdAjuUyJZAnILqCc1dCN0rqiUB4XFPid1q/PqkwA4w09rJFXWsCRtth +2r1e218dEKP6n9iFJPgYL7PL5ZWWhrmuU9vMob7Msim9pRZDUKPavM9s6+gYyKgG +vTH1K3pOgZZMwla4GicWDY075HytxcQONbofm8EYiVurTyxZ7kOLt5NantImkvl1 +aZnensxT1qbMZ+kiM9CQ44xSlghzTaDejxjOIgNDavZK5l/9YpSyHzbg0RNC3wBG +EGqDLQ3iFjUQnxg/J3+QN3OMOfC7yzh4l8XvL+wxe4ium+o4UT/aOAk43B3C0LCL +zRvMcZPyEqpRAHVwkGBd6GX8jq7dXtAV810m7F1Mcq6BSXqC8krr6UZ8mq/64aS2 +OiXOi+h7bFoZHxnYJEqdM7Dbz20N0xPz76i3IDoyEWDcDe2ydwBrFMX3HAjMV6UQ +Ty3A0ubcgH8cA/W8rHkN3NZOcod/xdwugl6kKOaHASpTlrYm+uw22hbfro2lt74M +w/g8d1NnBtKQ2Gvg6aT+Mx2SWHDr9906WAFwQR4iDri1VOYLL23oT09m2SMkIeZ1 +I95+yBaGdwUrvwPwdJbKeve992bz7PQBai4kS0dMS98FHgYKtL5/2NA//p5vwHh2 +a0EcFYhshpUGA9iwdtAroGShr6iWVHJUKAsqNlw/mPoaJRLsdKiVXsN5BXOkh25Y +HfxnWA9eUPQ5G6NA0uDpKl7PJdUbMw/4x8cHpXJITMBUGcWCJJxXJ5pj6RPmfrYY +EJefKrRy7lEKJIblSvtUbjEV+Ep/+0N6AZ56I2NFhv0WRzudaMe62D6ekC0yVa/E +0ziA0gIPh0d7FuAKX1e7s9PmFlz9kAWJCZsrTk6xFcjX/8H0+Ul6GF2RlIy+s2xw +Crtb6rhHGFfs9jt6FWvvoJ1KcX0L32be6L4HKUIQHwTPi6DHX50mH5bvCPzSP342 +PHCXt2CuwmFsNG3GCqS0fLoBhGcOThv/xY4tCcT24yVYf+Kh8HCKkSlalLK9vhl5 +8vp0JK2bS5vEc3pOqzFZBfgiH7pqcmt+oJLWhyOK1TnHiZsNZ6yWuURHInhoZP7i +DvFESWITH6b/g2y9PHAp7nHcbKrQsEMYsiVybcbHC0QphmRzomE20sUSEluE3jNQ +Ag/IktGKBeZysnZ3HERbyJQssmoEl9B8L66ohJy5yOHVtm/MPgLiM/DXRhffvq8u +5izaJJC18vM915ZsYYbgsrRlg95l+PQlWB/6X/xfKMN+o5dKDwoDpVXfiOm9a97a +PTSXvLF08MKo6Z2NqdQOANkX8SojIodAz4BiowiJojYLw1rR6QQwkxB9Jt+0s7a3 +REFRvbqCk2J1YN2wo4rpiKj+KSGqbnDHa7BPjtiMi8w2t0h31I8tkO4tdNJQLU6E +sAEAByi9vTfCnofbnqNpuNZlMy/nVIO4KNGFBb4rj44aAjX3sYn9z+dVuIltT2J0 +sMUTSW8mp2S0cMHBDkkt9rDJ+LLRE+MvVaHYYOyC++w1I5okjV9n12+aC+ozXF/x +QyY3cSoy1wMXftHghkUP96D37EEF7qHPRFLWEVgfx+QLXMoin0LbqUdV9RD+HNUt +4L3B8Prophtd+GAWPBCBo/gKn2q81A9DV4AWT+8+hKMxVahigxikhz8uTbxtLkaR +yhFD0yC87snM5ymBG9Ef8kp48dXYk8o5Q7EL1NhvARwJJzCeiw59QGdga0VyKaTA +5T00SIwUW4MorPEaYzMhN3X521M0OrmNXGYCUdjSJy83bB83+G1f+RBAJV/MA/CO +SaLL7HsZP56zWJffSyuMc2qFjcv7xwL6HCIfveZw/p108Y/FNUi1Ir8vqlBiXbuR +6i1kVqG3kph4jhrB7V9YvedV/Js5H6W2i40hcobSFumX4VeWtfdIZ1QEbnebPKkO +5BxS+2nW2odhXJItrnM3kQcqnZcNjN36sugasR+AQuFNISp2Y3qzl6/70HDvqZb5 +GGJiSayis8HU6ovoUKZ5t8JV3WTzbTlTmC0pmF4yIUDhmtjtFWCTI5q4NAzJxGAB +MMi1iR2jGJ+3LmaTMj6/6vFze9UqA7Krmg0uErNPsv9+DAsUrzpAtZ4CFWYFCsoU +vLAKlSB5pLbvvpypAP1xiHBPUidW32AXPe6iLIkfJPgtUw+FU6Kq1SQ6pOY4cyGy +xGItsSb+NbnsAL1U51bDe4pq24FpfUrQdbTdD4LYsAKpy1wPyD2ddm1gPNfAgQIK +BaiQlgnt9vlYxo0IEGRM2Bilb6lNTSASIvdjNkz3b4UUSMODeqjX0MAQfMyRnTWW +i4YXqaoBXGS6IdB4c66vJlzmzuKzN1oSHm8gvq/iS8rmvqoqsE2kGvyGx+W8xVQb +H5fWASaIsEfrUvfURsG7OZKrkvIoaWr7EIQ1ZuDKPwAzxlg5g9VUlcQGFBpR9bGE +yNv/jb0nESS6gyH4/vAVOBcoPq4Wqs22yWK+C3bVcXHzP9w5LsswkT0XTZLF0pHe +7g4uHc5D2LH6z8d7kZ1fWPWwOU627Uk86BFJxN4am639qLlr44cHGgfdTwNeYRJp +ugF7FZLNHR78qoNOIAQ2QB8ULZ1WNyyHsS8w+jP5D0vShMR6UFnL442l+lG9DcJm +uJZr+MCLRVk8zQtYbE/Z3NoapPmQ1ID0YCxO44YjgfvD1Nkg6W8GbtcHQ19JzQ15 +BtKWoTWN1yovdhSDLWMEGHq1ciVyO8SapWbfWNbRXId+WyRwJARLZCCrfCqTRYgW +hsd88BttiUfTRW/9I4TJk80X4qGl9luEOiSCdrt/kAhaMkLn0FW5wBcFwn5AUd8I +mfORMg+5xz4y+KBkgSmbZ6I5eqsOXVq6F4aH1gkYcYBmPRNAaL7Zz0+6N9zZkNMa +7YxZ/Up1AWSokUSdUd28Noay1hxQ0PxnthHBZLtYlnNQbeafnDETie8QgCi9dfdk +HXMqwMt9T+/cRSlEgxmtuUok5UqySvVCrdw0xswx00/ZyTQZeafLfapD4A2iH7Kp +hIakj3roBOQSGOGzjJelkssEJ8dDEio091BM1pLOAxY9OanVPuDBaiY6duG//KwF +ipnRtSdije6wLx559M/4a+LAltdQN35sLDcCzSYk2/Yq2qg6SqI2llCW6k2q80SE +ekSZBK0dA7o36WM4WA3I+dTirFuVXFcvyzDCTrhlFEzktpNJA3hgzG4D5BT4g+D6 +eE1Mte1cMxE78HAKi6hR6bew4JkAaE97ijZyIZUU2kfB537WDZBfeRNxT7WSL6te +aDsDjvZrxaNeNiVdtFrKbwkYb+NQ3kv1weVR0yodwuJpmfPwQ5zzMED6+YtelmU7 +lC8FJYTfpQHHH6paVh2QCyF848TtRhnbDgO4V7BRXcwIW3dIUxxtx0NCuLUy/sZR +FgQAb5Jr2/0mHFDkIfVW22OJ26cAjDkQ09w1H20NWWmdPgaJdR5I0Q2Z9Ok2VDuw +u34cJ8quvwZBo27CzkJhBeKBdyr1+ve+La0glxwnvGY/ww6CJMUQNhEE84SrRPW3 +r6x6qw03OKkaPMYalp3owKJVtVoRrSj6QcjJ+QmarVaw0lRf+gFBoGSjZE6QP10k +z8RHltlVYaJg8C5JXVMZdBGH3ht+fwwpYcTTQMfEfltFRoW6tlG7V9q9FeojhaQi +D0V/WzdLKCX1+twz1rat0E00izq9/mlUjsifLA0iXSKffOC3yYdx21jUC7NYejGu +vGgANlTdgVovJLvi+Up9kIEmWVXo6MsONhu+h0bNAXlKkLZk0VgHpIF8tx3VDN/C +LpBbKiynUljEpzHwnE/+93oLHvKkAoT0Nfblxtfyp3r/Q7mOJ+cSZiAM5AbhaULX ++i3f8X9fW1qKsN+GhcIPPbJiHn7GWoTMfvVUECRw7cebzs9xdklrhciGojxyCSm4 +48myY/SZ7LWexBtqG7fwLROuuQFXuwQswBwpNsp98XuIloKowYzGt9D1vK/s9Qqa +47yv+bu6BzwRFgiL+vFSHfUgz3n3fY3OLRw47NCa8+W2SriYuXJxU0GffIGB9KlY +4oQ350HPUmE96/lcMiMQ0nYkhyiWf9H1+x4OBii2HqVNuDEtrFgNwiKIMhWlXhwK +YTVKxrbRsmGjv0PWLl+VpsEm7IFe0uxzVJmcYnJGQAZ/9UmFvXUAcon2u9egEpeu +7DYV+srasHtxtV5wezVFtwtVgt6/Y3+yo5xdC+hityZ9CmD2DyFM52y3nG+siLD1 +2xH3pdBHl1xKzFvML15OiQjgKxYrq2B/pSBcGI5Sr6xcduQpvxcTWP2eDVNXQL2a +aiBu+mPJCLOayTmo1uMzO8iWovB3Ps8i/bQxfCUpLMi7Yp9DWsFVwrIXdmIba4eX +KP3mAwJEsEgX6UeAPcTVyP3+RnJ6gS2Oul/bRtzegvVecQjAp1D/IrQUy+DhmWvZ +K2PGoFKYIWAuMZ9FhcefRSGCySEl8+DqvY2+6mt9iW2LUmK+FOCijfTIIAgwiQN0 +MPfFVdTEaveuvUJWYsuzgb2Yz2J0d24kKQbhKaB1lKTdqsmYUQM9P9vGVRJ1g5nn +XRE+sDDugT2sJAcb6aAVe0iCe6iP76z6jfDRwzshGpNWRDAmnJ0z9CvNejN4IamI +oJomAPz9FxnrZ5hj0aokWQ6S6fvEOEKR6ucgU3rnXwgyAJqU3MStsu/GCDx3Owte +OI8I9SK5hg57sEYnbsD5CJGBkkCfRwVw/Y8OtEyTuO4MFZUGS1nQB8YJ8FBuVMV0 +gVTF5WwbZJFHlJv7X8NGKL6q5KsjjlDs8ell83opu+xyVkWXksnvuBMGb+ymNvDZ +nfn5Ov3qT3D482oIGDyoD15vtEo32t7I6bXjiDdXXRn6I7qP5Dn+a8eAFP8s8MiX +EJSv+PY81BoJgnLXAIQxf5Ty2+oom6VEv9BLJlcoqsX7rACUT0a1IiitztNXjBPw +0UdTjO3uvDCBpvR6kiFj7gHlt4ql5JwoWC/TeGiMAlt//5LscZ+n+xI/uJLzCdU8 +9VH/o7CBTYfThp2lgS2/ZVZKEmUm5aIBOUrsy1AafZkRxSGVFRWLXYittIYAq5c3 +R1JTRvbcETlpS+ig5NLgH04SRtN/j6koo4yVbQMQooYwyMwQg37rFj6vNNu4TfgT +yAPn8WN68O9TpTtey8f+EFW2T4NZ/1nW30TFi8FNT30dQq1u+ljcUocZ2JoD6yYW +vpO4l3Km35ce04KKjaEyoJJMVcXmatVsSomcVDI7RUoNgVoT2XT2wgS9wdMqxQIX +Y+qCzVbKch+xKdjzm3ziXWWsdxSgC8/7s2sZlFEchzMR8kUUpttcrth+onLPq/5a +FIQrAIuASKsMSWiSKVBliIf6NrCw4+i1/nIj4HgllM6JVYRsQg1EAIzY1L7pml7n +OkSEMoKtK8MXHUr+QI8nEicvnQaTgN2BzQBnIdHBfTKzksAhCPBQ8zEo92gdkDKX +FTD47ZVG4G2fa/g7n5NuiQt1mnDfQnovQKlAQ4Xa9+OhB8GLb9B16S/FUDI4+60Y +qap1ybQtxFsMv3V2zxXllL3sZNC7f7OsbZvMDHLo6FjzgT7svrmadvraqufju5cd +L8uByCXJBHw2Pqslzc277HWe6VsDs/b7OJVWj8H761CnFJTb/otyQmAAA4syQSqV +HDDQvW7EifCcFUz/gUgHJtLbe9bCpWG3fWsZCYEFx80XdIXUKmWsK6Gk5kOVZwru +ykmMOUoJ/HC6je8tmLwUBMUOPe8dYh20QhQM8o2HfyowyechGrVZSZ1yy+/Vrs0H +Mvz3XvukXWiOH8pssmnxFlHGq8ukmIJ46NBSW+DsJRxUTuLkjlTnNS150kW5SsTL +FMAuj1wu4Ycfds0+wKAukfKbKt6bYZq1UZB0weCb+GPtoAu0XJFl4V2KE/n8JNKu +c/SBWhbpal7TaXhyBxUZxREqDkTOpnYsDITVDMF3gOPMSdCSrkrTfvNfQH8tB1ZU +jQsDluWz7IOcLX1s3cZA0PN5AmPzeAzxMZayYavIb9tapHZfOwPImjsq+w0cZO3w +B7KMKjiszDdy1j8EMfPtUDcBUBIhkQlW35k7K/kvIGtMbAn/ZeMTswcxQzi3BZkL +8D/0xdPEnJe2Z1bZJgOORDq8C1x3EgDjme11YFSd6zmn98WVPyx34GLmrOTqRj2J +fTJhCXR5LXYsrHO+BnLcNb+OnWM2nLRe+TxcNlePHD7FURIm5V7i0rEa/Ef2AtDi +qM/oj1Z4AcO5qkzn6G3FVCw51577ZolZWYyLYVKv/Xgpnc5utNbcOmgMM2ZqM431 +8mvYKOlb9gpg13QC91lVhJ1mN4NB2n2faRFuAVjk9X9EgMhK/tYGjkTRKbSoLM1H +05ESWNtcJcIww/ZyIeFEOeFDmNKSZSS0DxyWir3EmoW/N1tlcO4YYlfVS4yaTee1 +x4Ty52HGoBPy8I5h4AepdJQ7UxJmE5tU1woRsROOr7eCwkb8/kiwBh8RtE40x77O +u3z0jYe7aEyl7NBNg7qez+KGsVpUY6zB6hrm67G0k+DIXPD9UHTZI070TwN44wBM +ptR2VpbgmY0tmf7/HrcYcsCPlVHw7Kzm6npU1CTGavQeX5c5jS7U/v+3HxUfYnS3 +Vio97PG/f2vU+qYMZXJWaK7XGMuRE/8Hw0TgZK+UMFfWmaV4/AGCXIMoUqV5TVEJ +vppUXEcxJj85hKIHN63f4VExL1OTZhO6aqwt/rx5PZinXgEdqYdfwrmJWJMAZXhW +8HOAsY14IdbsWL/AJHJ4wws+7VaBf+IMZfQwy90w9mIkFnRtXg833hDlWnGg+OI8 +esAgejJP8UDUcjrrnp5j/pow0Ylfw/Baz0EO/oOxyL/FRxlQSCvGtPuOjShCALjn +9jgKDZbmq4hdgHWDHB+x0ndBJ12secQrpL0/qlN1qDL6UPmXJBoqDww5nNP5UcBl +8H3WzvgmartXqrizr8PwUWkr+Zewzd7MYcOxZlFqsohXYuCbGb/dWebTmJZ7aSmi +j0z9m/D+G0SK/lf5g7N2pI3YA3Qy36wNBPjQac1f/45cxNVE9kQ4x0lLuS6hwNH/ +dgtLgQkzvQI9tjMD9I0Ll7FtqtFo0z+nBgOgPbOLHqAk1teR5PGKPq0biNz4ISUw +cKSG1o+Ns4cW6gHwiUTfQhe/pNmbkIaYu5unnw4UpwXHuIa7Y47TAijemT/v4BM0 +h2ie0kvKhq/lsmvjILYwATf5EqhJr1+mNMBrWd+lu9+4I/H9gPp8iDjmRETLc2Xj +fFwcl9sNT0DCfh/+Mz++aO3EJffOrrBJXwV1fQrDYviN5SfmVBxeoypYyP699mZv +3Uk57OfbKCM5vLjfYudq/XXTmq9wYjSx8BURpW7PAHNTw39jCcSBriIXjkxyAXqF +srSzHzaqzD4VQyUpIBjOMkFGHM4PurdO85Da+5bJeFjDCmqRgaP3qARhQaGopwEj +sOKO1KSKerM6H7CVmtB2yNRFGy0SOxM7XH3gGxOgIgcck97/6SFZeVzbNhLi27fW +lIVsSAckrnCrunN9N0m0CCKzAOGi2HBirw1U4Ri5PzWhFdoOe+f6pQRSDn6itDxO +JoCpIX1KuSPDpgyBqQhT1g0xuFREdt7yMZ+Ii0MmCKc8ZeDAKRli7xniCrDuqXCH +6kJabyeek0K18cPUepmJluzsLOAaP+5kU66LalyiFvyEd4qfFpitX+2/uJzew6mv +0TiaQw3MFA/7sojd8BwRCXS9jKJQdVX6g2jFBBH5NQl1UQkP/sc8e9KfUfgYuJdB +PDoTbBQ0UnR+LvIAzemJoUzi3K9MwNsqtI1mMSzqkSOtXkSUJZfPYVxq43246jU2 +C0N3kM7SIw0zsXwVxLB4gDrLdtdEtRhQTFFrafKzXunPsqLDiANq8sj1DPPyu2s3 +NKNLEShsEpeaHyRI/D01+Ot7sO8kd0Xdpdk2APG/9cKgdrSGIiZBl9AsAlVyr+I7 +Yi/NZnNQxp2xd5e0NrqlPwcNXmb9xfBjT/jPWYzsiZXlb5Fat8PmIv70AMGcMf6F +W3/Y/GHDPq9hcb8snQBiai8fe7sahmr3FTkb6fiqVW+5CZMgfgu4ZhtGrifv4k3d +ehL+k82CSddOm1KVFYk1fJUwyg/QvGzAlQzgMRZqcJxle3w9YoAUECd3nYCgW4bx +r1oIsMNw/1CqBaDFhTWSyqw2K06EZWCjcVhvoLg5C2TOhTJjTP2WBYdhotgdwOSO +XQem5t4ZU/Pu10f6wUePNgh+yQSPU350G69jry1h4dug5ydrCQlGq5PKRhHvm+6t +QgGIsMu+NS0YyvVSAHt3JqUsyUWptlnDwRZMsDurSwOM+6MRKkjD2lZ0qoOh20bl +tU1aEGgtbhLruqAXh7XNXEfuOhgqSAQ4ZZK3b4EqzJgn7hTL5oujVRtH6N7zr2sG +rVOjkSrLqbTt9vBQqX9HyMZJijQcTrv7wYsQTNH1Bwgpwww/XtZMHctMe9J7Qixx +xFoL136Gj7MTLDkj3Mm20TpiwEdctD1tqrNTA6pfupXVTvPjfuKs+xfCW+wGX455 +Lq/W0uqfapI6iZR2y730/7gTyraUWy0960cvin85xas/+CbxkXHP2mbqSzWmjUPW +KUtMFLGZZNerkbgMxXaxBOjsJ/weDx6acXYFawApZysiYhhcYFUBbjIfHstra05F +Xms0G/82X5mq80C+KjOo6t5AQEBLZRPuj8RugHOipGGrHEIk/bOSgdSPVTkINYd+ +lsR+xbkbQaoOD6vAoBQIz4uagAajTwvGsZ4bHlRmZn5+IOx8UO/0wTFDO5VSMnpu +pqUFg2EnNose9Kan3uJKy+55/ClWudDQDB+2/naXl+c3qCp4L6ogHU1hjSUDToL+ +mFzlHweqZvZVLFTWPqvlnTRyktItuoemJ4XKnpLMHWMusABXu7/OxX0ilXdDBh44 +Cm2HvATbEPep7Enx+tdnE5ssT84B+HCUhuf7w4BJAvA/uYQWl52OqYB8Jz0VUOOk +uWXL+N/i8hBrfn3UOxE+L5EVACj+vy4ugFoiUL7gyS2nJhX+tl6enKXOEmCAoeUM +io3ocD9D10ecKGTnWp2at+5Al4kaww02KkljsjjaYC1eECIkZDzouhOZO8d8tzAR +ZnC4gYH5my2sUfZm5egN7Db/HiVFBigXQrIaPen3Gi4YgLnCuz8oAkgqcj6knNdq +cXxYp7onjYA8tkBGmVJWvlq/a20+4ufT8lOiPG5iEw9Ny79J3oQuToxvC16ZiypR +dZIaoI2VJ7v9wWTzaTTMnujzBUNW5hmtkfCmXEwGZKjfJ2c7ztKYpY04RdU4a5HA +yyCV+2x7wBNnXy2hN6kLv+9mXg++We4qA9fQlu5oW5NkUv5rEe2yuztSv3ROk6LJ +IIfWnNCWZMF7+Y3R+LG+4ZrZ7J0h9yNfeDeYvrJ1pXZZnGAEeADrFtxONi4OSI17 +MSOsQMMudQTTUKp3TOf+S3hvFIVDQYEBR2LJgLi4r6QNpqiv8kIckTbZN2tS3v0v +TocS2MD6w9CosVpuHrsKqml23cltqzzwu/mncm1nodheYMlCGdy7JPtP3+6nh3gb +JtSdia3YKntS+BFyx9d6PtyZwWQ30TWQNgSUvmojZ/rpZI8NBmc+BgAiPmFKmY2y +JF/B7c8dMSlBnPZmRshqlbxl4kXkDVm75ECQgBidndRdaPYt8T3pTJDP8NJse4vp +FEgfIXYLbB/e3Ec2kLtAwZ+Vkq4pnk8A/bwVihMqFEhG03v0KZqmRWxzgfkaZ8s+ +kjTnnmW/MMZ95Q/iTmydSd4ykHnLLLk5OyBsp/E1Vns2qBELyJr62Qkl4O0wsNwp +O7ccPo+wSAN/03hRpqZ4HU8hSP4/buhDN53LCgnvxjHQ181uc8QJPNLDxuoTtk3H +1v5cQrmulJ1JSUIemoKeGvEdG/pV0RK8aDr1FD2Z4YGPLFx0Ax6hSHlVkKAYx5PT +cLqB7cngB/y9N4FAZpydn7662x+5VxfqREd3o/iI9KU0kfW3kIGzF33KON0tivkU +1J6TaBL5paItrzOE9Yfhle5nMxyAlOjSm+MDxp9TIbZ9eKphgsObP1JHTFjWNgvM +lDnpDKfJNnMrIof8ETJX0M1A32SNwu4JonrZdTeE5dbL1cQlNntfpyT5/BxmrsWr +1vNI1MjHLx/+ZhxB7/+TvIc9J5/+x2rN8QLMaOImsqj0Qi8N60wQNkrQvnfn+mQM +RKT2vQcUKr4CR2bK5JKeDoCGHwGsg+nwO9s4KjlBk2VCc6G6HSJeRHD6cMC9XQGU +I7ooQTaymxbxcHsauoqMSyDGY480rFb99b0+zU7VBAy1p9IPWaQvp7+8/RQtcsYY +Y7qCM7My6DMw/Wtd1hQSVQa0Ng7zx8dKvmbYaKe+SSk1+e3aCuWpl/Evna734HFo +HD51S41UOwUzRg0TopeGIKSvhaCogVsFfpVCOiVcko7WTV6o7FrvLmZZVG3/5MRF +B68gvT6JwSqsOKxCxxWx/UydbP+n8VJZ1O1bjK9XItwef2yUglXstP9IvK087cwh +T7sVpeMHhpU4q+CmT95bFEiQgq9YsjB7kXhBnrlA/nk0193/xDcPbcLRgRTT1ERu ++4rmmzoBYGt6SrqaX2/oWJKa1/EsytpGuHARjnXjJhzAN+FMVCV+ZlA09KH+QHXH +241zoMwUmJ1ZtAAOKqMLQTUh73AIhNdvn7vDupTBFE/mcy8ppFibb46ayQkza/6w +O9hA92+m/CjzlYdhbffiYzuhx/re8V+JT9taFurs9JNDaya+VTE5GBph6VXbhXe2 +6ekC7IrqV+yj/YDV2lje2K5Ju94YXlfF+u+W7KyBj1d+VTXbWFKrcoKUIiJjRwff +X/9qURmKA8ZdbT6+w0czH0q+BJaOwb4+mL85cG9fDDfEZoon8B+0HTwFfzS+Yuwz +tmJwbk3+OPvKNzZ2Aa0XlTqbtDuw4Swy/X91yuDUgcdPpRlu5NcdUMWOoDCWJoAk +4EtKL2cipemKx4yQvX1MXqderb7TDjk+f5dLQluPn9FeqpC+MITtkum9Xvtg2Jv1 +DzW/M/pdv/f1/krOCt/RS3FfIxH14xG7x0B3ueSxFU1mvkH6E5oD2WR5/PaUJWVZ +LIiAFt5McFfpggVzKHsqbUT2UpWlOHKQoFUkOYmvzIwn/VwFGSDB9ZaCOnhKgRnL +AsaieMkXNj1MsCOePFmAdrQCiHzltfMdeAmt+FbyyhqJHEv/aHomkw3FynE4sDhY +4RyRoz9FePS/4QUOTSu6EFikV6WDkMbZxwyZDt6i6HL4cu7NQHcQRl2ZLGnCUlVy +vs+4RA7bG7gvTLco7WyXJVbpaNNodcyuBQIh4jkZss09yuUXQeid5U8R++j4Z35h +UdzxZHtCe7AgrYp7lZ7BXpJ5v7vtjY/RD6GvvhGvdvJbvmjb/Bujogbh91AEycwQ +rGqnQTif1uZjw0CCxG4nv4wP9PI84AXitHC2e31qkrhN5oE79/uP8zyYpp2x8V74 +QeIkm6DZDHpESKrjtqR7VFKvkVMNgRW5ErsZ6MyjxNOvkXQO1r3747IGsikSwboL +OqwrPLaOnpYGTSISZZEfzY7F+TwbsIBKc0IWa9CskqD23Qh/Z3zzQ9y07Q7lONIg +Z1BdIunshl8Wi8H3Nq/XCS4QbTHzwnlZmC7K/INhxr4RuCOjs8K5tG5mXzxBbf4q +3ymM5uBGUEIyVEVxg8tmN54LgCm/lQbJJ9RVuHh5ORW8cOOVTID4+1VmaCQiG2mC +keCmnZGgu/jeKYyTRcREyS+vskk5DEm8XLN3dchti5KwHVri1CGcvQYZQnVjvT3e +s4NdgHgEZp10ny3lr4gCGkx/lpVhMbGNgPU88EkipFcYWWJrFt5G+PFZfQVnNMye +49eztVFcSmkWazsGo3eQ8w9x/c7V39ivrjzx8QGtynPgG9K2QA4g6Uu5OZlgA2Od +njOeYC3G8nrE4imvbtTDbfYY11EJZrLlMYsYxbXLVQqW6zGP/+F8bFa3JMEgP4DD +iszKbMGB+nank/81zojbe6fKEM2FXHNQFet3NHq2Kp5Wv4RPvCCDAAlDu3pDUvnL +33JE5/PFsuyFYu0R7gSPDkyZFrkL+BWjGjjf21gB9Rxesqjx1tKctncKxJAO1AU9 +Af8+/n/HRn/F3djD3J408yV8FXX6KpJgSj3oMBqACB6zlLAui88TWyluc4NQ7BLA +NcPbVhD153s4Rs9piGTcc5hAqLnmb3piFaROm0+SiU1MufjN3J3pDn2PmqiNSobv +s/yFY915pibLGoLUMN6Saduf3IhuZRz93lF5NQzd4DoZwF/j21c01qOf+JHG9aSu +DEcDrNbMRhW5p3CUUDoe5PcVseUaVxgoOU1kwK3euEKhJ6P0irxb3hglFDqCsjst +R4Y6E5//Acc2m6M09KAk17jNJ9CtHvHulq9WYNnbeuGti+V/738DMlw0HSK9iwEF +B+bKZyeGky5u5PBRxaASeosAzkeZD8y2kVcUYYbDOQ1n+jIk47y8CFBaXZsYRqdG +Vt3UqqEfEVhou6baFYMV14OXrA3MxgP3Ilfd78ovyiCSZDBYIeoTRGaIiBI4c2TG +ZjQ6pvWZg5xXvSzVFROm1WBTnGqPUmWYUuJiA4nL5LniDoLIlYMTOIJeQfQ5lwkT +t6AuS8v6RzZOfYbqt1NruJ/0D2y06/g+HyTMc6u2MvEM4spdAhLoppjf9NmChL8B +rHq5EWgKHcoJ6Ss90wd7kU6P7e7UCy/dH9bXOmKihGm2sUBetp1SwaEl8orvak8I +1fY21VnKl7vXCy0+5oFWgtpvrnSZ7bI77HX0dRdE0SAyODtq3VvIlsiW9Gwx6HnY +z5GiKMvNWyK0aCxabtkrSrhBCiLidsXo+4ozdltghHLSpq+1vXxg8w7J/WGXKocN +ZW5d+ynGfAfyoSITVrRdX7Ul82Tk2Y9CxLPXpOYzGCP5cZOxPc4rLTDuCZD+ypMj +W16YXcwEvq9QPkZIwtkg2oijWXJ71Rz6zyFaoYq2aqh1LMywaI7hvp0UGObtK7ui +dV30JwzLrECk5ZJVsK4F+6IeVYu5xdtMEql7vdBzfeQH9kI12Nrd6tg36X3zSDXn +Aw6K1ynp2tc/yUbACJMWHfTbOGMZAghkSeGtykpu7rVx1T8Q2vFh0Ft/18hPDVtv +X6kXZXgiFw/p7F7KDEFawuWL/b0y41TmRVQdQAZYXDLbSVUUqugXN5R0hIx8Z96k +YLpBZMWd2k8Yi4K9qJI3SXDrfHdnqbgl2WX5q9WsouyhszGlxK5/uqttOT3SiFHY +qlT3iokosQwnNL2rv99Nra3PtXCBpuz0v16Gizs+yyPIMiNJ2r1TJXhHe05+b/F7 +zNAavvpCQ8p8R5eoZsXd4tGA7ujUzUVUheZEOoZCwFj1r/Qu1L6LkFvfj+bBzIdJ +rjjxzcpSdbwttHYj0za5Kk3mdRRSzQ6LL8TfIpdRHBOVFTHS/zoPTY11xUY8gKQ4 +UT2X/b8sj9JY3KxShwGfCUfaqYMgwacWq+V39+pv68SJ/+jB8orwDdDjfDL7p3k3 +ukMU5EdDDWnA8mIhB5lqLPRbp8jSNbnQYs4qn0WmhQWG7TKE4IZXcceau/6I6Ge+ +8COHmDhvs6esWmS6WoqHbcc0Sf5OsAwiqEKkD/ggKatIUIXPVbbrskvd/6qHMbYp +KbQN8qSVapk7bMivel/9XMiy3XeomPZDIPwAUuYE6cWIeqCNPXcra08YBPJNseei +ExJlYipa7ixrLYb09iujCsZY5loWA3BChMnL0+I7DCbhEFVxnTTnAxVprjsIcVQH +JJAY29EA7mDLedEPPJee588Z9WzOQ8ETSxsudac3vXseqkvQvJziUnzfKkulgsHT +37M7Wjq3l81eGlVuOcJtGvR6nPTM8djS2URPvec4TKgbnCfqnlXaEGXwg4aXOvj7 +6OgFMramvAFXsBZR6HLOJr+tLUn/KVf5ryT4/R01mLiXCPK7/5uCpa8Q8ID0CT4+ +4EXDfpzdMfqIQ4bYLTxt/ZUUCPoe1U4OBkSWFpjJXKZ995zLbeiZJMIJNjKkrMaW +XMBbAHpPK9sJzhIEDdbxqmychkp0Gj5ZhAVQDjP4dl5O96ISeUjrAK/+Cx30AFHo +fBxuFVu9sG3QWJZn+uaX/laGJV1QxFBmYVFRwgi6bnRj7NogichvPoDCCQgei66Q +IzbOXCvdx/gaw1ZhQNvgB8OlRXQJAoszybvr7jPsvir+c4VvJbENciEN8nBN00VG +bRs9jGBfq+gGLM3ikPUquD+8l8JyCe3QpYjkqat42vCu2WIpxaQ6AcotLTUOSYuA +RNtTNi0347wW9U85aQAnfgEOIoOWRqBjlK90iSa03btbmgRUU1RLhVhIrgNOf5bG +bPkLfwhLlvFRNzZfmFvHgvjFUFY3mWoHxj5F39hg+lb8ARJnDX4EoF9FrZOB7kMP +BHnc9Les0foBrmL6AzP55fxrzhJwWo9coZ+MMQjOpuDqasz7lyFKZZsuNjD4cC1b +tPagL6rGra6ebxprcqKtRZ6d06N/iv5gOTfrnswlztuNc9lLPLNyh9HNdXgwHbk/ +kY+jbBKKlZRj1WUCFGjzEOykSmRZAqOa+yh1/ezzIA6/74SZrId5prNIX6SOu2Fp +zVwsgKJ2rIIx0cqwqDVoFRd6qdNjvtJJKrH1akoTjcy5N2Rr9uas+liR+t0Omjtk +7Cgycv1mC+xSEq2G7apfn435zxedWswHm3XOG87Wkbfa87F+oB2EoCj8M38XMhd5 +iq6nZHInb3O/l3DY7iW28gicjd14+YAfSRe0vuk2TVfucXtywWY6c9LxjCvdNiQB +1KjdO1jH/Am6UbYDyHb3ceC8HGEmTCHRjAd3wuV4ve2djwfZta67sArlDXMF+S8w +6SJ32trgaI3JfOwVuPp4eK2LCEFRtSPptE3AR5f7BUhoQ4S4yqLpIPnUufcO1MDu +CirTal972tR+8G92V5pZ8UZM7ARMpqxX19CvhyYacRzAHUftXOWWRajv/0QXfUdq +DWfuk3gYmb/Ps+qJVsIiSTEr1tDBl9V81IpeYvzwNoABeIh4ZAOepMnN57g0hZWl +jnfgti0A+Q5Db72/673KJd81Z9pdqclkm+SlDvsTEU1Gtv7PquXe0NAj6rxc/VzJ +x3j84W2acckFZg04jg8SnVGn9HfFjD/jrpGcQtELjhap0wQSV9trSGNhs6NkEcvF +x2orMDNb3sRMm0LPqb5aV9V6GZjlj939Zrwtb1wT+OH7vPwrDE0/9tCWnHPhmHj1 +Gay1IBm81JYcrVkcqEBci7my86uQMISvq0gWFZuY41wqlI9jkHF8n6RUa9AVuUOQ +WjPp624U7vrXu5ZCQXKv4TQQ9pL3v+/ny46h1jsMmA3hCAcd2KnvkTlgtYBbxESr +HK17/dHORQLmcVUXk+dbYqPZtA8yTp9lCdMYUTcFSGWm/CMBgJ6VKeEa2n5ki4IW +tsNKWkCjsSCNrSESlUoRoeQ7RtWiaqoQzvi7pFI2EautiqjqvemeEsm8iTII9rpq +To9pY/ThbLsyFTRrbglIhePRzF/eP0mP0S+/0lnqljRsVDVhbKt2v2p0nvLwuoUY +ffCJfZbCqHZd1ehxtyC97IkKZLHbz64JEulmso6HFoKyeW5dzqHUv4f64afG0NQu +ewrZmtASqEcA/lEdGG6AeriMkM5MAhEJxTe5vnLzHMkqXotY44Yo+OWZfk1+2P0w +VwVqmNfhNR2z16p915G5I+X/FjWTXqSh3Lv7ptz6J6WFxr9xzO2meZBpotCEr2i+ +0eURzDSxfYTvX/p8NC7ve4GF0uy7JUcPjMtAV624H5/yc1gZAGbjN8Y+n6/jBr48 +aQV3BTd4DIjOSRTLCwsafHX50vJfT1FyBYMuoflkcq0AGFgfYgP8HH5FkherQrbr +KUbsKqJ6OGPBz4qF5vJvF4fKMkVrfQFOKmlw7JALes36hSMy0ydDQcc3W2hApvAq +r58HjD37LOc98koZRKIzjQh/anZUA703UD9qtjddBOuZglmTBxJug8b8yMdN2eVd +ukFXivUvPAZJwyx0T7ED8cFOQ/nNHWKpRCpasp2jC5eOGHuURcdD3ahAQwc/s2if +YJASB49p93pnGUP9RBws99LRuTaIc2CKNbxBpdgDcwsPauiMzG4/sqrOHM6NnYq7 +U4I0eMDYZsb0/KPi4S8c9okrVHwuSQu1YTasXkVOecUyF6DCTXlLnG/bITBxThMk +jPeBLcallU3MKTWG8DhEG1dSQtdq6goUrkfX70Ij1Sbo9lj+Eol+UNnLIALxxTKv +ywUHir2Bb6jtEe6QBket/XjluMWNPVkf0PZKu4czl06f+6kD9bQWGDew7l/f31/s +9vaiq1ZqpKXqnx9e2p3JAmghWmLtYRjRhtaa5+uMM5tp8yBRtgxJgwW0kjuGhABS +2z3h1TtUdRCpNjh+kfvuP+xJTZLFYmX0GEL2jiB6ucpvUXIThNd0uIiKGIedzPQD +iDMoCvuilhpLs76NtmYoV6/1oqzN1gBN+0diHo9LEvl1iLYza7ZpjzwzTLuP3lzo +MZ96IppHx/NOAl9vzMIhaZllXS78j9R47OZuhtcLoAMxBnjH5Vz4JdHEmhU/qjQK +OFR4HfOZyHl/m2TQbmFo8PuRmrQC914HLg4It/ItAkM5e+ToiZrovkjfLbVTD1fU +pvlbmK0NoDfuc/QXcBHwyedtFcEsDIriuo5RIY5z4j+EMYMSPU/MwITjc7TnfLnY +5/CD1cLk+amXpDMtpuU7m3OCF3XFYhR9qdmYSFAv+xjbUHGUkDZbKVN2ojW3L/3K +oaQW0s+jhE30tRMtjr5SDabt56bc2Kgk13an1NztBy4t4N8cWH7+ZTm/GkDimmT0 +qNdSH2yh+gpKw+M0EBqHOWr6kJgK7AlZK92Un1ccASBrvB44YpzzBnPktsvvcr6R +64bAx8U3Zg0Y47rUKlbcj0uUYbGOp/1l8W9Fs+I0IygviMrOMaKwKfi+UiouHSwb +SqCw9GHDegdbYWBfXo7HDRBg4N6sBGsCsGHcHYFKtDgjJMzmcmCuk+UV0uqucoVZ +fcikC+Dhx7OF6C60K2DecoWKxdIk222//YMri7cFcjloj7cYvSZvqb5qMTvw368Q +9s6QDJeTDHV24E/uvbLSXpbJwVq4/FniMX19EkcIifwr7HniPgwS8ZT/BjIBoHyc +WPgm0McVd3b9KavEE/Lg9Hl80fncRbIbrXPhxNYo3JN7Ev0agkYN/+71j+RfqHtQ +tt9jwlB6tmrIA+13wGaoNwaYosrDgAu4BwMHgkd+4gaYWTb3O4YNTEvf6kbFMtyx +V2NwegqwXG/ELThCnRBe/5ww5bmTuQ6ED+Z0YaY/sS+PdrLk0ckSRNVloilUkOWB +7kDet7kvfE2P8alzp0ljsWT4YEmIX0WPi8nybNODBb3wEmZJKQGTEa94MHolBSfd +kKTcPnAr3Tm+saZkin/WkAFtcm0I9Pr9wtvML6S8kCXZTjUvXBAs/MzrU52YNTdR +gBol1kRyB70Gr/cdWPFRIOsP/MhEPP7aOoL1Fxnjp484Zo5o3G9eSMlGYlSgY4B8 +M3TwivVglIAGS3A2s975pBETrq23JpQ0X9dMNMYKvTX1Ozzi5LZyeGE3tFwaTdlO +zpFydvbKtzxDTDARnyID377oMzH8odth2oRyKEpRNBzbXFRN5wsv7q3K9OrLwHcR +AFbC3h6wX9ErjxXaSTbyotvglHLLYP5rMs2D9ydjH0+If7xoj1SaZ8symKKnQaNd +AkeOlePQDgwl93e5eN8ni/vVbZcQeBZHxJiO4S04pEdtFBPzQ8N5jc03FqwuRHCl +5m6TwMkmy9e1ERjxLrPssK8sCDW7KfdR8xJUPhohXRVWPd09GUQSbNu1A0gS3n3p +EjW7kg6VfpAoML32fRKkAKdslP3Rf5aVTrhYOYeizG1/pIfMjz5Uibkau33df07l +CNAYk14gO/5Au41UGiVgUo28Xn7pETdaJfjFl81OrfLg1/a4nStCsD/daGc/8oxo +ysiGXl7u62KuuiX5WECgcIgj6W22RiZlxjeUQLk7uSLgVSp5EiisPpZyxzF6Aj8E +Jmildzx/ymJ8AdUO1BvM4bqfJ31sG082Rnx7V/bvH+AS5Ja1soB++oG3kjTuSroO +v3bFWXN4APJfHE2VfggQdK6lQd1V1PvaINM4cyi7MiRw9eOcZElX1XQXwAe1vXhO +vT7XQkg66+itB5lcKujwrs1R8fdwrBW9Ibj7HA/noKvcfjJCZDH2HEVw1t7XL9nm +KkCtmGDj/l4fYCy44/SmSUhKEPFi5x6W3Fq+bGBeCeLil7FvulRQ5HB1XEt8KqGD +xGQE4Ka93AKPoIjuqjVbpmGhhkttZ6Vr+l3Qu1mhuvC2u37ETj/Pe5Xi+/jSkwcv +uX3wFe+DgP28MNDGAR8jniaZRhgnWFdat7ZndNjurqcqX5vGadGvoPzI+FD9JuDH +hYgAsNGFIUsF53ZudL2jO1bWmTxS2jknfASS7PlV/OvYR6ELhSg4nSAnKAVdbQN+ +s1Yt6eicPOTJjjwOMkfLwctKP8HptCwa4YUm9jbMNeTNIaUL3vIH/byFaX7MTi0F +SmzIzAYQVkBMos91WrYCaGl/HfdwhorKc/m29gf/xsg6P0vlK14XX+L3iWxLAmDa +GfENWa90crFhwx49uxyoVd9JfVYEEcCj1aokNPy8Btjhm7PE7/w9sbmvAXOn9KDe +2zMdIovY5oODrIlM+1uAnTjl0t8NDg47wj0Pjk8+r6O3Q1AS5LuU2Yxz4dBGibdw +xpi5aPzXDyogwvhqK+0UPDr4E9OLlSTkpcYrBgFiqt4UDvxmgSnHTieLpKGlytpa +Ia9jkUYuxAdsWizv8bBeLfCafNw/NwgFORFOrkyX+5eeHHf3xkOO4eatfLiOaTEh +7lhDW1jMAX/P6N2HxekwMxIv5iBajjRGIv0jyyvgcmUGHNIwHBYBd3xelBdYePkt +Vy2OJsW71biLAhdm+GoPfb/YnkdkI3UlV7KBGvKlGCZowaDep9bvzAcuFE6/FjHw +8VfDbRWcVbfYPp6uyAhh0cPCP6Vq8ldYuVCJKfFmg0+SYkheQ2K9sYvGTMoNzn7e +qgfCs1W9T1VnmTnWau5aK3/MBSvmHabVWB9I/nWIweKgrj+KAspuoo/J/qW/K3wj +D+Ac3USbGkDeV/wlOMUr6OKFpn8vTgeXD9JjeyrV7OdlsrXUJF+GGji8cooGhkIO +HMtLNuqBuSLNg210oRx1+sp8ZtSczFiEFhbXzebvqEfCNPi30QPAlzZlvRFTN+vg +SkG3dRFACXBU5u8ao+bDJzQxRvHY8ZBUY4/F0IyLFLp3UuDOUoIbL1lz9A5tcrI3 +/FWAFNBaF7fMHksvQGvTYlOas2v2BAZG/hlS8j5NANV2tapvaHrdYtODMpbjgsvI +GBGV6bLe8scJUGJZPotxm4/BDx1v6eb4XrwWB/P/dMbCHYHVai35WxuX05xU0eNm +y3JfknJuxowJY8m1GXnjPZU0932SthcFTUvELxyUGcIsNrWNd1YkyqFFhCyvZKIw +IU16qCXFwNH5+lGGE85Nzv8sebaQzikqhB5KDyuuW4cxz4x+iRuqB2OJH0wzhKiX +B8jZEy00DD2BghmhLfKhcc2vVlqeB7fO2EFNcgEr8e0Os8xOJJhNqguvuClclYHB +MzDDpzuMpb4wFNrdMUw2TczZ2YauOWY9boNegW4Z3xWAuhTvxQu97IQ3ZERmsJpI +IGLwavxLdLMhucDBRLJ0iK84ox4mscyuOFyJUsmpfHb2tuQe2P6GuHHWYCeGYnDU +3ifq1lgzaYCJQ/+fuJMxuiTadVGCe8ru7FtmB1Kq/U+SSR8ke8Gme/SI9O0Kxx/x ++jJAJ77oDZ1NXao5729VpJf4bG7qeaVnJYMH65Vgnn0fCH6PY6z1oc6NmJEha4dD +xVWkepa8PNvOWUBgue871AWidu1Xwn7AAoIGMieZnjKwq+pJaxeeZEU7ZzfzyFMC +QFNI6YIhgmd0ZPMilkh6OfO59oGJ3jHO2YjwzklOpO+r0XmZWafojBZpWV/ttZ4B +7GcEdS12aD7nzHcjEoUtVTeGkWxbKekZcYo6lXedh4DI7P9Y6dLr9lhIvo1J32tB +JfV7Cg4SxXWx5Hs9l1C7fJm6aUvm7mv0K9ZHEP1yp+qMQl/HhXjSNgnaxgNems/2 +hMDB2F7L/i8Hj2Jx1WEMlVt6oBa8AD0GPDlIaooXh1Te5yVtQHqwdaW+sdVJmMmj +dIAlw787fQ0Pjr9vL3du60iPbDGVU0fwgBSmN/GYUiQUwEIEOICAM5AvsPetGoxi +dMXY61LO2AYMnBOFnHOVkFruhvzphkwoygBSIoxJTZot0x61lN6F9tQvXLbSd4o/ +3x/Cn6p57Lpdb0i1tke7ZkRik3i9VZpQ0FvnOsXplDyAlGbmNdPL64Kh76lzC8Yg +6dQYSI8aOYzBO7zorlT3Jvg5g/1eQUHXESMx6RTq1F2npYBOEj/bWvKZDgcmPtea +UoyRaklS7hbTFkJK2/pWo/p3AsrK0St19LFAMZqu1Mp74Fvc2sg99bIrjFoRvkYt +z9fGvFIExjQFhrtM3AbKZwTv8Cr2MtRa1XLb0h5a/KlmSJDMR6Bi/gkvxIWr8BUW +I2B2wr2yXwLo7Ey9JX/Guib+e5frusQaTxtv74GwK0nRN+5ai1uOGr0YQUeKwexA +pG7Sp2H6VXWO9lYtIO4IeSYaWlgwoHBg4oVGbesaAVvvxvk0WC7yFKqLOACTGGYV +NS0Z0sSi3ltTYCTYjHyat1/ui2ID17CdL4pDW/KVA8lF0KZ/1vgLH+beEBofTTUa +UvHVrDjU01xzPMyfboZ3IYnDKoeHT6sBSn7WARVv4g/gOadJgLJOgmK/1vPyVYNB +Ob37hwoKT42AaS6sr2rq4/Hc7PPKWMS2dIZW6nvNa/P53VcSi4Hx40o94QVaNHgD +4aQBboeGD4Aie3gSE9A7555QgoPuy1o82Cv2JVHXRZRk7c3wkajIIHdbXg+mA5vD +Lm/kavgf1Iv1lv3EW/wobIOX6E0Z7rPKeWXKCXo5fQjYlqm4l1MURQGNps89Ma8m +vA2kj8YNNseu1xQtrvJGAdOwWEHD38Ixam8ny7gBaeAr94bbYKXMOOjx9lKK8qaN +6kPBpQb6CWV8y/+iP5StzjtzLylUjJBiXgr6D+LFElCE3aLL4Mmuj1Wau7/x1pxV +lWdGaFcBZeO6F5ZHnYJAtJNEKn2gJWHbvilIHg65pdh9dLUl6R0joZofJeL1GuRI +tK8vNaWpDX2h/5Mbcod1q2uGCCNu3MFyzFtQIvuHlHT/Lo5NEbWs61ade+f0uZ2A +owKNARZyiSJyDa9S7BXALspJDpM6Tf0wrrCfZk90jhlOj80AXI01ob1dRMZM/PFy +YsIK/YIV21pDVyu72Z1CJTYqwiQopl4R7j1GY3v4sa7OZZWsdcQhkFzVM7oWomK0 +KbT/nrzPVfCDzb35VDyrupqThPX1axZPuFtxMbdlOa3VbJYaQnvEcSvYr2/Hrwpm ++KUfZ7ygBZSWESFk4nsxN3aEBgDRtzepymwlSWobxv63RLbCThF11WgTnJn4jHul +DbhGhcioE2IY2o6I1NDIvITNOhREZgsOB5pUqHUDm3MJpUwCvLlh7rgb/Fyl8pot +DO109okkeEUb1WTo47z/f/Wbyhf4RXTNqM47FSTHFUsaJpM6d9CmUIKdvUMZPc2C +iXVyAFZedj8sTxXaEHUf1ZQUbm487NtAjoav2C/+zUWUX654zliesw7PcNGdRwcu +PaUlbnzrHtrQwNhXBqdfXZKTQxXCIvS5TX9y5r+rCyuokO8GOyZIv5hUeA29Tx3O +2GjmjtcHoXpTKwaYkwfPm4wlEGfkDIFBU3JWFt/vVBtlZjXyvIG14EKPZy8idghy +crk6X4o3N4BM6Fib3O03MYpiUE0aDRByGgzVjPu+PzxKpi8RjGC4RcaXlnhY7/LE +Xije3Db5WjmPBJS1Gx6tmD5ilEydKeX62y36QSTbGIcBt4394J4v+Q1IBKRrL2gX ++K2p9RxQGlUNQDQu/N3OolGhNbXpPYoSf3FhpAaQf4yDNDMw4cKUm/3iWOYCOssQ +iaCGYWn7eqnhVdqAfgFhPeIxK0Z0hlfLXGFfiovjCpXZswT1OX92OWHfTSmq4/Sv +InLrqyyf1BP7nhP5Dj3xhbI7XNrG7RwVcPmbMveWHOC4OBfzvrEif9M+wj2d4BAY +jl6y4QClsgq5/mfDVTxBmQaYdPP++Ec2U71mvDvBBGLzExgTVlaRcP8EJcyHflXv ++osB5CIkh08XDn2CrMv8YC3IOnDdC2lYgSXHXBf9ALN2Wz7kp2mdJAgmvrbReMpE +PhGS4tXmbtb+BCn1vnFRjhnOQfhmd/a5a3g5ZCES4v8MUbBjgdyI4Hgnrsv/itG1 +mgews7BDDYDL2jgzvey0480tjsWrdFwv8V8km5eQsDGzIabzESd0SxhN1a6AauLS +1XKafK+dvPOsLBz7mcj5/n4rRvhpNB5iuSfyKtOpGs2aJVwomCClJe0ICEKRbel8 +YsRlgCrsWZgKGOl/cqZUxzOAGwQOVSa341wJFyuPGwchvO8pl8d5VgtbvtyU5HOf +zhTHXOOdo79C8ME8peSd32TGZ+xTH74xAztCl2+4ySAzA2Ic9Ghrht8W4Fn11xtV +V2dBmbJ8CQ4CYzWoE/S2+a42bIwGp7AreUFiHu6JOKFwU4IDa9ztJ6FrYMzNDZmE +ELZp9KVmSP2KRxbdpQtCHfG9gB5cPSGW93t53PP59FPKP6RLbtPzp/BTBNr3KOTv +onzExsL3mK8wBvtP8u7kEA3YqKFmE83Qav0U25u0rbI3WsEfWB/6PjfnhvayR3g0 +3ZcXrKgbpEE4Qj/PdimDeMRlJaCecRyFZdeQ53S/wjwV2sJoN4Ws9Vo0u0GQQ8g2 +kkTTbS3Ipg2cERUeW7t535+jCXTHrmf/ecSc+bulvr8boIIC6UKpxQNOBgo4p5Is +Y1cNiGXHD9fw6ZJqaQ4exHOZdXeszNm8gSBmgMkeiKXNya5rB4n6Gf2mZ9G1WmX9 +l5a9ZuMFfj8JGCznUGdsgNEyS2ON+kxQCnWjja3ICdFGpvVrs4z15mK0Z4UVJi+A +ASwlp1yiaf35f+JqwT3UwnZtPGV5mOxG58JR6TWcFBfwjMMRNxXL8rvDUIygVxtC +sdvI50/6xEXDTscS+tRHreM21P4PErFgDjWyf1nFdis6RKPpflejPwF4hUJIipib +UBh0kNO9/sQJx9RmBKU1mX0B66zjmMC984e8ONf80efrxPTghN7wcPMnEkbiEJLe +ZS03WJ1RZ7WWUvP1744ZeqhmDldxrvtpod3h6zEXyIkaJ0DBqlX6+2WFsRIcBBoY +D66od46qXp9ZUifrPhBreA2uZeKPtpLmIt/I0ZBMU8nvbelo0eJWgaSqPjCc9jbp +/uPLl4EKJhnwigg1oKhJRdds2P7A1NWbf/MMCBv4USHZU997jobCTJSgWTuajYG5 +MrWE56e7amve2Zso5X+KFnTbWBfKxgfPeYgAtE87Reaz4N71MmD1aGqNj7Isg6vj +K0i4kKoiow2qXq6IOthv6ezd4Zw7ibEESOtwKy9vklji9WB+YvwYHaQUiQQF2vFc +AUtHS0UTN/1KHN44ebr66ghiL9Ei8XZmScLsOTbOU7rNB6qki9sX3XI7ZZVj4QxQ +D6ZVqf5Ewx7YaTIRYg4QLVy0n22N5wQRHyec14V56fhAQp3y0N9CxQMHoNBtPoKE +GIzNTle4OG6lS9gv9yAvdScmNud7fLmfVQgAgpPUMNZfCaCtfhc+KL89lnJFJQNe +ysJvhmk5M7+3uyoxUfMZmta/LavacfqfzBo9TqN6cClDw8BN87uYQTZvo2dHVSTT +sJtzTlfdqy/V5z75DOstpc2ksD/ngMYqv9cUkGMkug8Cn0nxU5C4HNlqMPhHXIQK +LfbtpXFWY1F6D2QuESVhmxjXQKlIM8mq7EnXYP+u1qdoe8fiIZO1yVBhbZoskf2l +znOcn7PVVBnc1ptNzvZdWlJ3oWDPIWE0boKgAjLgQZAyjwHBnwyV1m2+axWC41b4 +tTCK/4Rp6gWnVoQWCotaz0xaa8JBxNneZEdywY8cxjjIUZeLXNyTjX4YL9uDRWTo +hEsrkPBh2pPFjWmlHi4XBHE2AIlLpEjvHqpoEcNbiZ9KBZjU6qYVVRGGwP9kjbxR +e0D/KMFdrRn9DwfPlTukfOsp1oRpxJki5UQrslBseVzKePw+lB3UwgSRONGJfhdq +NDkmuQhhm2HsehYiccyppCnYpsAK8BPiqqOkFz5gdGlT/Lg5BCQBugn4EaWVLJIw +TEGzqXVK3ulaqNqhkp3N8hhkLEJJBrnEoc6CiBzYZ8J4Yy5/lQJG+O8LDpYu6asX +eDDoT1wTOB1zqH12WJJNeASEXcqDWNJV58wlS/zVmgaovOkfQ6mVlhdEv4Jrjssy +nRT/LL6EENiSIBTNBtofPAbVRvI0IQrI45PqlPLHdsA3yXDv2IWT19Gr2A2nYfxg +ivUA7vQS2BsA48iwvoI4MKRYUc/unnqEQNMwQcsYO6jR5djLJzrrTurkJwKNNqxN +Yc9nUkAc7E+3EBbfszANliYYv4gFDiJ+eL6dmUh5J/txYcKXgLfBfpnvd1d3/sfV +w2SvMBkHLGEtnDIcWGMuRRFowMZppdNmDzG6CLLgUQDzvOrIdbcL0Ipy959Em31j +o2E/VoV8Wi5++H0QFaf1D2J/7DxjGBZp6hptRtjNFMIycayPgQgfHUI1mbb+e57r +P6EyRbYUR7qUJlfmCP5uT6q/Dr8ddLauzoL06Ut2F9kpYz36cjiuipvhRPOxN0PM +PV8VApl8hEsFAcmGEvPhJqb9qV944dWgO5qRNMYmjhdP7AcU3SCe1EB/DZCh+E56 +80ynRiMrRw0UHFolNRLbdCm6mel7BYnJOL5th7Us735JbVPmtQmB7UHPALJLuj8g +tETeuZPVueJW4ykLna9sgsCGUukjL6JushTU13XnW+kmKXK2XxTyLacgCp1EIiHx +XzVb+RJlD3yyJPdwJr9npL07jEKHUyWriWqhvxg3gGZEXs22ynP2j6pIIRhVx0HZ +Myhmuh9crrgzxalmTmY+KROqGr+BMaNthKWVvgTdGvVilURNeKGrXXfAvGFgIwjS +39Yo9feOLd2lwDwfKNxS6Zl4TsmEzrlbjlhUumAS9r+puKSaLl7M2vS2YLmI35NE +f2UgewNohYitYP9In4ayZVx22191GMAUJ3EkVdJ4WMuMZVt7g8M4sABYzPv6Zg7H +QPLQJUHQT7ATOAuEpMwMsrsTRfb2/tKtobjCfW3R8HRM+oWWzOhNOAlc6NNRx1nm +i9mrLPFjB6aCkiSiLSLTjckAjfvSMmeziznDdOo65YxFv+uT3CVDk2OGvy2O1u6z +RW/793GjPXB1ebwDv9aW44jd0pPfkk2A8gC3nVH+OySxq8aK2zKNF1Z8bKlrbebp +lfBe/NrIHZcDHk7SwXFamXIeIR69wj4j4sa/fj1L+BlJVVHR8TqopfGuZt2sOc3N +QZ5nyM4Ks4zpRotnyZhJPxz4wJioxGY/nJtVlz/LIlXEjNLShTIXvOlPgfjJFU8v +GKFPDPThCWhS29Qf/z6oPut4vlAh0wT1AcaQRHhkOV42E1t5ty+S21XOekwycz0u +rAAP1JlHUSCOU7oQZh2T5mClzh9jNpeBzShhbz5GY47W4iY7/QMQZ4t24GBoW3kw +49uYnKX5LCXHD2K3xhwr0Tu8o6FFQ57/kvWIfEN/ZVUt6irEP+2nftkih/5kCKHl +Z1MGLIKc2CKR2dXf8zLVYWl5rcX5wspIshd7w/8k8Gni3L2lHfTrmGHuH7o/zyVY +ILJylzzoP/2HHYqRP8c4ADtF9agqBOyqqT6mZWqSv8d8RNm8//ZN9A5vI1QbfK20 +kKEUIdPm2AsFGmks5vTTVFRbN7V8OCmesf1jOdUj5SPdOzBKcLbWUmOoiM93DStB +IbaHbljLu4xC8lpNK4Gh5pRZFTEFSaKTSpHq2hTRo95RxKX6v5ePmPhYQWjP3Xx1 +OtyOhrqGbH8oQAzSBJBeAk0uRM59Bd+G8vBeLH8IXG+6TJoHOqpoc0zUei6S3/jW +12VjeyMQ8CJVreMiqeh8j91IpwhS05Ae+8/RclSehmIVxOZp3nScfwdrwgzur1Sj +CXb08LDyaAHRP3BKvTFiVXtGyMee+G/ZlNQDwtkwVuAhtXqoFaIxGKglyGVmRGIq +snAbn8XoexbHONSQCuaPANUTWBEThfRpffZrqdeK40K8EiKYDTjwNCF5BbWmz6W3 +j0/RMT+QLNxsFE68rPCKnJ1M3Qh56onvMYHOEZTnP0V2YSGW+3m0pZDoKrT6qQyG +2MkJS7wjNY+BfPebxUIe1LUW7KhmGrr3axJBkb8+A1C+qcTNOqML1fREEMPeVkmj +sRRxBHoSIjrT2Xx7dWZkmmWCx0f3d+69kqNTyZQ37c+HAzoAUf92GJvYP24EfLm3 +RAd1jOPyhI9oCTSL4Mfes2faVpD/cdKuOmOvDiS7pMd3X3AE+ve0X+wGTzll8yOS +ipIbRHUl+xTAL6+ERYC6CgUNbwptqNisEiheBkB5ryPr/BAMEzHq1Pc9QA4qGbB7 +qDErtpDAFwnQGzW34JWfBWd+x+4fh3V8xuZSCXlM12qlk7oYfyfIwAi4BI0KD8VD +LIyXvz/VJRp9IkddNVnqbcpR4rg806Sq6H0I1g4WfP2aKmjNZolnbtemGS5TzkKV +d/XmBYGihMvRBhQrSrkqTB/QKpJCJBfItiZXK5T68e9RVomKFEKQBDJ74Wc86iJ/ +APK0ubEiU6H3d1W438ZSP7G581HZGA2WgQ1jstaPkLUImjvhCCap+dxzvUgKO47r +dAaQxwEkyZFmYpenXqAwmNQ3o3i7ZCDyE/Oz9kP0nTw6/juCHaaNDrWT8zkizEvB +TDCGs/a6XVsssQYfZk4Es6DejnUbP9wqWzD+eZEdPBMnxeKv4Cp+fdfUoAzW66A9 +Wvr36CufOfd9Y6UdcO6SbNbcwQ/ldbF0Rp5NllR4hAm9rDwjtpNfQd3f0uYBWqwi +FUJ1emu41nWM+xZdbbD6w5WVIgSbk8CChl6HgQEOV1VJ9D3oPCaCLplc3qPlTI1f +PPQ/WLHE3ECnZxFcy68MqrHg9vLp+lZd/2qDdHHrNkBdzsdBkUkF/KqktJJ+r/DO +gyRBJ+/pgxeBJF0z0I/yuexxHRVRuoArCJvTVZGhne5rcvs16a1gDT5i76wjcHCy +tHZ09uF+R5/bynGEKl0hPC//wnltnKsE/sAKFnr+O1jlPUDF36iej1NZIguisCHq +xtWRJVVk98IEwJqegLDKGyPKj2S566aNu/Ikdnqn3i+8r2ZyQwgIcN8qfRlG2UP6 +/dqVRbibezOdvgogt4uHtKrw4eTVi7TDqOZbcY7wIxFW+bF0/+jpC0LXpjcdluqc +YYptuh0mCMVW8gytBajSC82/nE2sBzJFih2Z2Qo0OexNYNHBkV5uGmQs4pOR2CYq +4uRinn2Avp/FXWul1PnD46Zvz6hn36tIPTVUOs1CmPHc8jR5Iry4YUlh7wjZCxnv +6EDrHwcap/9BCop07ClYzyX6YLIKE77It2wZBGLo7e5JyIPdZ3r4LaE/C4vygCg5 +lzwoYEEaI7NlwftO/LsL4Ay/0J6onrZS2bV8TbZIPkuU5FIHfma422mmAQGPG+Vd +4ozoESTzLc7i/pTO22OVaEABn6EFGPHqizbG41LcyF6n8Du8CEB7nDoIu7oS+FWI +6P63XqT0v/P/ZALkduMDzdcvZxLN9AoqLdt+F8QNEebmpjSE0a5iK0VOE3u7itZu +PRH85VkQN6hj1Hf+dV9q51QD/TTqKHMaNAaCzgW7/P3/0nDNJoM41ML+kCs9XoPP +my6hgZ3bXLkul2wT4MFORkaf717LxQrKV34YAFroJRZuB+TthfljRWGTxe0psYj6 +yHbUvPFLlAb8lYCeWtlQJ/TlBNuYVCprvrBcAJe/Olf+E/ZrcRTsQmaDgWKSnjie +PqGySp8Kr6namQ5JLCp8lgJvu2m+n/3NoftqcIuMNmYtRm81uNR3jRRNlNxQSPtG +NkNML7wjIqy7HsZVwSK8YAOhsqI1C5rLhc001ANOZnSwE9I/e3/aR8o8Az23QPTf +dwLyspTVf8UgabejOMWH4uCFRWSkpTdyhq7mFkZRpIQt8qxgSaqGDJhN8b353hcc +4ZrXn8vgflBYuFlwU+h8D/e+9mbmuIXU3drxxQSMwlAg3vk4TNuFf30qijcHaI5c +CfYwjWZWhkFGHcH1CZXaSKLFpo7ILdP0LxnT3zd9ibOnchdhzvysNWUpiqJWyL9d +NUF2JFJQWViMzNv/3YHtalk5l7Mt+NNTWMg/ODO1zQiXV3n00Jx5ehm3qhXSKQVL +Ck/XiFA5dIr92UXFiuCw8SW1nF7XN+qMBmQKI4z4iDC5xOsyS5rUJxp2G71GM4fs +UdKNm55r4QghGZQ+ANwXQWfsxFBCG5Cj83nRZO3XC3vvV7AA8EWDxkfdaCDoGPtu ++JgbEOueNTIL9iK6pVRG5CW2R+jF7SjJ/ixsU71Qc8QbxhiUDlGbnAnJrmMa1tLa +5fzNtf/8jysX7dTh8RiL5N0BxjoOlcHUzI12p3vT/Ul5/JCP6spVEB6d69wXyrJA +6H1LeVLYkROvzyjw2QhRh5THvVB1ma4x4OsP8FSBoJ78Ve5jWLY1Il2Azr2eX4iY +Y7FVW7e6spJSi+6++o1yUcx2AlZn2JyZfg8sXK06pVJEYjcpDvC3AJ0UMTHjxSUo +0u+zdl4mb2IgJebkgZvsYXN/lVR4adZ8bh1DwX9ezOJGQk8ew7Xzr3Mfh8CZb4ih +6thYEbNacnT0Omh7M7u9CReK2WQgjL3k/5Ac1IMURqHEBhuMSSSVpvhpvNMeUv7k +j1JvG5hjQVBr2740BhYmZUhxi9K0Lse0Y0gP6e8+xKmUtytPcx4zhf86EN388dPp +hzL21XFlKnCjUg5mCW1eV0r1mggogqmaXxb836O5kOXCRgs84Lkx2JFzOWWJR52w +7qPH9Gcf/v0ZcE6NhygGlIxePgJ1DcjtaSjlmglDhrnCmM/2ebVDVWDUKRYwXrpt +Vrw9jQt/XdzXJckBL8QXfz06lPAGFdwcVr0My2LZwlv+AnSSt6aoXG2nLMdaBcQH +FoiYDZfCCUYristEisvr5MDXmju20Sefo7jHrSlk8awmqAc0ZtQsaZeLRJqw6Uo4 +N119p/N9c8pXCBenQixayBw6nO4Fg/MIgRSnOxj8XJ9skmLe7EbcJWQeUw3/Zq+v +NsGirzSfivetcuS1d45nGx7Te9u95cCWsKX1Ga3PAVQcTEQEon1BS4bqYll1pFpa +/Z/kwg/861yj5uy9rntCdqaZ4M9nTnQ/29JUDHlc10SGFEIL+1zezfM6LbQgeKgo +uWTNTR6or/XAwx76Z/Ap/hBuVxuEWYnFANU8vhgNVKxirkkb6lOzb68qg1F3Qn/G +2DOxGxPjW0KmjxwSbKvc4h5i/EOIigClXAsvtVzdvWnIKU1A8jwFpNCWRmA/K1n9 +QLyH9F1rIc/AL/QshvJurZTwssKe5HwNEXGCoTkPsgyEQLXqsfl+S+N0yDeWocw0 +JLLk4+qDDI4PHpRwDhoVrC2PVDQu0vdl91Vzdcj3Crf9BHS3p6X8YtjVbn2k9qgB +Rus8wejJy+HD35+HrId+NFJltGROH05A2AwVM4mug0HMis3Y7xowXHhbo2DlLZlT +Alx6YB2F70HDH9+uwUPQNVF7rM1HB424zdD6y2olUCtf+A5Vh9SS9zNlAdRmnisS +Slytff+nATX+euyITUDxqKsh9DXSlywYfO3skcsIisqv5OYMgzgstPH0td1ztwo9 +7UBbJEL0HmkbsBkZ0KamuGyP1OnE82kRCEDUM8jDF8QHYxS6iZrq4uU8bLjU49Xj +y/ydFFUkpR8nWMiLFCa7c4FknvjblNfQFAL+naPxfXS/ChjdUbLNTIX7gJrflZAl +WgmpbIVquM+uMKHSZWxd8uoWlySuPiLpo/nwLalrASW4xmWL5nnf6MJih2kED689 +iCUzYxmuVWK8AwXjsAN1g2MMNb/h6o9QYOAC2AJBxXvfMh0yC0YumilrY1YwlQZx +pz60nYQgHNKd8xDQjMFWNb7Ee2Zes5OrMgzK93AbPgzqCE3YtC5VBl9hcR+2lu9B +D0VjXc18zWJxU6KTWBiDf/XOK0xhV/eYWdhEXOn5EwfT1rLU5TX+jvYt8kNkfuA1 +DMQpZ2W2pIUF/cmsJnMLJgZByjUeuHtY0pvurmphuXvzF0vVj7O2RHj5I/8n53WK +W/eS7TGN5w5Ngg+rIvWTIhdkpNYS39k4Pb+SMivy4RjhkrNaKhCMLkrjo7npfIEX +u0gZGiKWLDkiVBKxBnrMSICTjZpzgHYwxiU/p2Jlqnn/CeMzr9vvvBpMMESv+9TV +nlW2XwbhEU4RO1ZduJgg6KMG3s8OPYFPE5RLOkmKM9VPFPPkkwc8NRlWJKhadM48 +HFE6DAH2wWZfct9bFIS1ScioY0qq3O25aq2QOpYi0D8lGkuPuoU+5C6uoHVqnqBg +DKa1202ysoLJ1G4Q/nk5EagvioQGpnXF2qqjfsPrz4MSNY9lSN/a7osoqnHnFr2+ +6znGV7gMOVOqQPc5On4RVMXjWqOf5KN1Eu2wke9qyQlHT0i/v0vZwNdNhOAzMzIR +AD5qW0o5ON3tmuSY946CW4GYCJvBEj8nz0yl2E7XOwhofhcaAzytD+jBfzvPvJd7 +eMAk+HewgKHGwUsbgGi5oAnaSMlr8SNTILk2K7k+uwPYZRFaNyelwKZqFD4sp3/W +ReajekNPZZFkhmOpb70eqPtVvsaRIrIrq4Pa61BVqu8Su/eD2PGIBIEqBJ3ubXJy +VPWcopZPHD2ACfUPT4hPHlpBD5Hvj3HItFDn5ImDOaND5VDHzslpt/11x2w7VPc6 +fQX4nyqn5q4u8K3KcI5tPVVqNPo3ZoHNwBfZcB9IfA2KwqBNSB93HVgGUnvF3bEO +/7s6bGAcQ9/TQorqoBuMTLfFT97v7pF/G1Ew/H4tbBQ+Aujf7irjQFAB/o68ypcH +f2ilItzCsBVEibgpzBxI3j0LtGgh/cHyenPca9pDUTkyO/6jCQNfLOHg7DgO2XZt +1mTdznVmOpH9mhHAacz7liX3tUilKEhQb3rWeGJ0gGz/3FgTTb2AiUEpkN1CGjMA +Bsg0GQ2Ds7o07bHeEUcY2zwvHPpsQYWIDu5WnYhbQdLQ11Um3w751t/QaYVGWToa +YsDS8mu/tWrjO//mL4gEfaXQqQVF2YWBIu6+Ji9D+/Ud8uANbOLPbfW8cMfWftTP +PcETUmIXO7Djb8P0ceq+YQ9f9/PXP9QVci8mCU4xgFBc6PkifxZCVQ3v5fhXxtpi +SzdkO727qUReSkb3dGLBzbc8JLKYu1PBaVyfBoGHV3jdrNVSEDsJdSatN3Vd9Upa +V4UKRP66HmUMYia7sZxF0lGArw6o9BvFFlAxgknctCBYqPHzYcaerb2ttiV0qNKD +6aKRINNlQaVOo2r0cqAXH1+nfnbiOEHzul/3lMql9KynrC7gJnfGqERBv5SI6ugo +u3ZhBlHENr22zEReNdGWCrvYo/LUOBJVS9I+6eytIfN0Ho4ERjx/V9SRTKnKgFas +TXogU2yrCHHjFICLg55v6FlahbwFEkghB5ZQiv4G79sEovCjhXVWzl3yuSzBY+de +DeUzg9m6i4vGOQmhJqnxwUAqdmYP8mm3p/wF4i3COWefm7r5IbuWvcg5pruaAGBk +C2lSkC+YWtcFME1KKWk6rS0izLSJA11wmnMY7FxsAoK+gBmUGzTtSBrHP8dkYz3U +ZWCFhP4zl5iKat+9gjE001J9DAbAPMN9kW7/M3w9SXJJiF60VJse4IDbqRarPhS1 +gVGj0a+HlIO5vcue+AxSUn2OxzwZ57W0wOfs1kydpeGp1Osg/dMxXARAA8968m+h +nmrDZXbg3JLfvX8TM/jm+tofFYp6fL9VOLqy945JRS3brJviibO3xuKbF0LioWa6 +m2oWnlaAax0wUmP4s1SVKV0uvy6kPFBf2V76GbG2S7W8oQfri0tohdC7WT7phBVI +UXI9duX3cvsIbRrEro1guIU6oGJYhxVV633prRCLPbC7SVWXCR3dGKtaa2wvkeZD +5xiGbZ8fZeKgrx6ESQWXA3THTt7MGUi/9PoFscztldKsSuZ/ZevsQvZcIGGyM4S8 +r1cs5fgDCU/ZkDZVcfXkyslcFxzljB56w4MVWWq8ZfxveQUtmq4OfjcVWleqfgNW +Ue/zFeXk047HLGaAWFHaBdHw2g5lJVCsIl3HtzKoKpFBay58CYtLh9yZYU26GCMf +TuYud4CvVsd4w3yTfcuZ355TwiPPd7QvVN3VtIesQ3baQPN3Dk1rdGGAZ/QbmpcU +UWtBpQdIbGpBO+33RZyumd9Am42I/DAVSxoJ2kGCTwz7pACJP3yjeWOdWgHNmozV +zMa53xM9sdJWH4FVWERKXAwQ79Zxi4IFEApLn9JtOZ1okua6NK+3/gsBwbfEOYnR +zbrsCd5eCeQvewQslXW4pRYOP8h2gM8SGk3MO7baqvHFuB5M3nHb2bdycJcyVfj4 +XDc8dseBj406E3h93PNXfG8si5AeZeMITd+ngwBc6TlgzPQ4aZJgJPrVcgP3GsrR +yfglawBIdnaH7W2vhjOKOFQlASEZtO+jLdKXjNxo3A+a4TcEo5RzbeHsFSPcfLNm +RtQO3HPtoNNy3xOHtjP16m8R4Z5egBkNXfWHZnqgRGcFqHHK3J/nfDxa052JIF/I +KVddewYtlGfvnsdZLd8ySdHx4QCm5ncJJ5DMzBN/dXrJDFI7yEFYUq22VQcimVoL +vFQI2X3MhIDqv9nzDR1xvcSX244ezY3ieZMxmBJ6Z4tsbDE5yULyyHee9esAvqMd +uddekl6n5zG8dXXSpRWrjmB+HzYIadRNowSEbJpJhEXaTvgaxWjQ0JLB9znrEHP4 +Y0mlB238Hz905tYy6nF/TChAaIsSDMc/1Fk74PpdoafyFV20SHx3072b4gMfZzvX +GxNfNkrI75jgdGOjny1Wm9QfXffSy9sUvfF1eOwe8WCiE4o6ybN1lXNNK89Vsim/ +EstyC8TC4C+podIepZM41/f81aFsdtKKsandMnax/u2ypxZWSdE7xRsQUNB8nbWI +JUOixQMY5sHOxuZy8qFB9SBklOqUZexdZ2ZbZESn9FvjKLg82f9gNGyfkiqV1V3O +JtH1xVUOzP1dlZer0RE4d0Fl3k25RmJBDPZwetNK4zepK3ComVW0XlAwNhJjVliL +aWsrwpfgmvnkDjbM5atpL0Y6joH3aWRXf4sSGxYHIw0d7/S99jH+s2vV/OGwN9IZ +Seg+6unCFc1c7RxqZHnzT4NmvRFDErSZ6P+CDAgJLVU8fBK+RYkKQlbACGYPOv5g +AhspoEeIclyQNVem4ecC9Z4lklNThGF3L4kWZAAIhTkOV2e5RwGo5xAZ1zISmUpp +lqMkQ6dptyG++tVIBJQw2nLTbIjqfyYNgfWxF1tC21y2+j0Ke54narOOt61sUfPU +Vk2uPpQlLv6e2zv6mSoZMiSDvnuuW5hzCbONOhhua9YUwKv4+x5nQA1y2hJIuTBb +1JQ6mMC0UDf82xD6V2ls5XJqJs4Ct+gUk4WwX0wj/U2XgRR3xDd4PiGwhBpAloXZ +/Ci7YU6HJTLZDQhMvjrLwDe62f0azxN0USaBq8QthPZJ+TyK1A7oCfq3rIxVeYl4 +eIZ71l0Ua+sc68CH7tjELHTs2nISmZb/rRoj0wHkNr+JJUB19yidM0C5pX5KmPWU +MeEPJ++HgMK4BKLLGRyLc6Lz3yF2mJEcCDcwbE7nZPpcCoOjGLp9EXysE1A6ezNK +ZKdJAkGnatY6+G7USt/Pljp9p+/KKbHR61WB/mg9z+TgO3bKYFOWnNsjfHJ+H82e +MH8QVcT0AkX7KIgDfGsjLFe2+Hs/icDLoSqMa7DlHqUekUMTA7Fei4BlFXIvH84i +8QYnsbVvCk/GtBoLKXKPJIAmhv0a38AjA85nfj8x5lezUVTyBFgn7dtY96JwWl6W +u249wQz9J2XzUlYx5oRfxCdKshWMAZaDsrcpyaQ7h1sjwHaPDlzZN+KMYqOlppi+ +qKf/WNopSl+JqlP05AVPPXiMduB670B+aWMo97EIaMGlz99tSspGekYdhxyApwLa +2Tl96DPsGe9am3AZlvCSbsKcyPrffsqf1Y/w6o4pAtkmxnlscb3xdyWVen3m0O0E +cff+zJFqFVJjcWRDWMz9uDtYhsyiaOVlW9zdJsg38YiuHPH/8IMiBLjM9qnDeNsY +NNWo9YzEvvn8J4Xkahj7ekl/jAwACypp2rzL2A8L0MHiz+BcnDL2TmUE4MP3/SJh +tiT4hZVlHc+SD0Jp10iWv8B6bNoJbB/Uk17VYb1yDdYsteIWF0iFvVjLUkzZSSP1 +O4k3TBWxVgSyrVrrovbXirT0RX0ijkCP1Npi/2tz1SNzLej5Fnr1nFAFI4lms4og +iGZN/VAB+dD3lTFEM1GtSg/GD0/uVjSVhpfbTnRbSpDWtlQEuYh4LT6HS7Bt5f51 +eVyD7qW5IiFJYRXw8SYZ8F1Ehx5vlxmprLu9ROIpBihiH+ScF8ZUT+sxaA58gxO3 +a/vVF070qrqkTfebDS8uL7lMMwIKIixV02lqvFdXtPSwnI6lBmCL3iDKgYUU5yA5 +/ZxvepzGkSLJ/mqQvjQXK584hY9MgTCAjOc+RZ9vm1PN4KM6mx4ay8smmmADJWmg +8amFlXYdQwzQiL9eYrPslSRu+7V8rI39XHq9aLUze7FNJm7KvwxC5Eb5vUf2ZXw+ +D14oTkIQ329l1KNdEB5mVudJbNGuJCEc4BmZnAq+G8xr8Tn+fdUUbQf+UC/srZqh +X5xtP0+mTvy8vK3U0qqHw1U2qSMaYOQoW/GSg0qiLoVRAIdSjqFW8b9rkY9eBn5P +D9LWuMaIBrS25nkjx6Bu2vtILNACUqP8ICySE7r3AC58eD2L1IjtcksecsToKegG +6jNoiDl6/WW+jsXYKN5assoNlR//Zu3VkF3U0DUzmcCP6EmQOnEqXKr753piO/gR +HXInnI94yge+wWRDDgoIOrYc1b8i7m35ZM6lT6nqyWDLCCNxYsc4xbDEiisOQwL8 +dAHajnQJLinM4TNF5dbaGamqUQ1ou3FhF7wgCIDr3TF0J/OyerV8fnXKLbvL7b2V +qI56QaMJBZF6SbLqgioaLXa0fMaM1wefmUDRv1yx0gqEkfw0H2NV0e3vJBtpVsOb +/alN8tob+T4/e2fZOgh+mr6+fqyMLsNw0J/6iZ2NoX7kjmsfNk5t0Ix8Du2U/Pnv +AkVi7RjmiEdQdV64TTwSfGNdzMuql4c832Hyl/CZ9MetZoIztR1bs4HU/q9NnQK+ +PvLPLYgV7XpdmScs8X3u3IdF86erIQbFiHuglFdeA/UasIt5CQ0HfhSmbdzcpvLY +GuTYuaIShA9SEjMJyADqy/5NCHQcNSJC8kic/WwmZl/xIbygyBSt+9XZJdDDSZLz +nJinip0RdNNfe0xU1ffpoTO6oRm98ZR2T/2du3sGFz1BbWyBdWrhJ2OiMSNwy6Td +6t7XmISvdHPapGleUBKNoaSyhVe4pfq1terxQfeQI6zbj2piOSzWuTCWYhxv5K5f +7bIHOhOUQpLkJXkS8RGpdMx+3dnXRCw0X/WQ7x3ng/6HfizX42uMXEX2fLyl7XHH +0LFiclyuiEQptyAntNbBZ9gWlP1EOvcW70cePsLzba/kONChTZdA1vhoVPcVMz0F +XIewMQMMuOmH0lqRNi8eIxKq/3ARbuQ21IfOnC9Uv0CSVZYXp0KgxyhKHUW4kUb/ +kkfC5SmAAmqywEqNkI2E9VSucf4yVYMd/dUhBNBHmlg6sTuMAWkGziZTriFt2SPg +mgE+sODbdq4jd+xRe+cSUiquKcQJ3pKbK5KaZ/1w4+I+d4wU4d2XWtjy3kjwWoSh +RsTqW5o5bBrP7eFhO3hJh7gkelvPQDgRo3yBk0CHiyXEvdshGuzWnUscjBcSwc8m +pRWR2gKBfu2wH6Q8C1cid2KpMIQoFQvyI6TWcCBoMAqyieVFhj70kuVz1Ez44y58 +Np38tiJgUw+SDpF3fm2E9YS+795Og4M4IXgjxqxu3PKC9cY4DgOvPJ/Qx1fLHEK+ +jNE9C35SR5GL74wjOR2xZpIkXFtYi/Xxup9dkkucInXHBXc4xH3qTtRb+pTSn6uv +gIHtkEgBsP/HQ+2fNjL6YaKoAbVOHII7uzo0hoOk1w+u1NUcafR6HYowIZVEQ3uI +/zRG2bvVTT9SbJ1nhgptJFyfoMM1JphXLh2ymKN6j/unct9L+HQHaKnRpPNjmk3Q +DDvUWK0Ig6LvuU/p5b1eUXnCaiBccFjDDuG77UWRNj/5M9OWRiPsMQZZHXkvU5xs +63HnjrS/oisz02dWKhDcv5zNH2bUkjx5BBI2sEOht0eJT7wHu9BpByrQug5oFtLn +ygq4ZEqe2me/8sVCp0IxtGWcmHUZjbRXsuuc8u+P3FE3LkQ5W5UQA5TPrvp77W8M +l0zYEjkkkgmLRQ1aUx4CUK008LuOAn9p27jte7CD1ozwYJ4COdBwZO02puoJPPds +g6KGrky4OxJ5iuolvhVYb4SqMhf3KgmmeWU8udSh5JTnKCGZGrA0l7lYvGO20fpH +PxwMosWie93KDIYnvIVr2t8PZ4Os/1DyQr713aSt6t8p1bnR70CM+OMMjg59X0dd +JVKqQYPlGeKrBdzRKqkld9DDksbH6Gl9bNjQGBNZqiCJ907fYQa0ntX4oO5XNh7K +tMjs+6Wz0xCntZ7C57P0r1AAY/dgSZ5xsoNjDVzhYli6jdKaCWKSOM5twed5k2N9 +uc7oU6q2aCOkUUchOQJbxXhqdI8ZpMqsxHJLoVY4uTXCJUXpqFmrcFunMdDG5QpH +Mz+XtxdQeCfoHSKDIJtAogU1/cZDDAbroSbMxpO8GvZWbeZNa0WEcIVyaZiqs7fq +sk/iN2K/ZyAjksZGhgxlVssLMrgNPsWrnl9Ep5kV9ioUGUEdx7EciIt5xMGeM5Bt +joA3Wq14B73dJHKZsG7bGU51OZT7+Fp2j91uI3GPosMyqdnOe2aaSLJCqxL3w/ft +Ybx74PSdBPFPTAN07f7NebroYX2Av8FON0J6t9Zeo63+bGmDRoiDAMF4GjanCba6 +7pDukmWxf99GWNtK2HOalo2uukhvBHPNIlUq8CtqHwC5JR9rC6V9mWmdmATMXpbT ++vDeNBotDGtJ7gOPx5uiFw45U1G3AqERRT6XuoRJhmck/M9RHO2maYtZTE3MfC2s +FXUO/MaMkfjmfHzMmtFTnPq4KJF3sOdXKsuHSrOJ1l5feGPDLKiS3FomVCqBO9ei +5vxlEzaOQ038LRc1gM3LsWjIUMxgeH5WZqXD7D+BHYU6fzA6Ly84FuF3EsyEFdiC +WuBBzIl3hao+0neHvAhwLXV9mxOhKg+ahHrLU6IkcJnuuDPvDx9RHz/3I9NtXXOu +y4g0ipJu5bGBcvjayC9vIBL82X2ZhmsqI59/MIjI6FCRDuUWnPGXBVsPZsuVrsJN +0eaE1BR8ox5pR6mwhgqCD5OFT6qJH0NgHZu6H6krnZBeYTEgEX6WnE0NCB9hWYzs +UX7/Oj1r3QpNOVH4GxuAXjvTEa+URcXTtwYNgMKTFC01cmBb9jYPUDtBcnukZCjl +pXDpfGRraYSjWvg3+pQloqafdH7u4wUe47DPyxw0VCt4ta0C3rV2ju40ziP7wcxa +vXKPmUZfShTFo1gy2sDlSfcRVW0FZCxVtZPrcb2fNBtBFNvZBrvEj73GYSxujqSR +b6qks7qoZZ+fas9vLTuiM8m4zX+1cBudkQW5bL8LWE1OuueLpVxgaywN62RhrRGQ +MUh+eXVnVGB0n6pNObqmfmSnGOpVMvJfRiE6v2SC4QVAUUBbo3o99C/usG4XItoE +vQGtBywtB/BlX2YNE3KsFxvERMZyaPxXiXH2kc6YVQ1l7OGiTrRs/iDrwtTZwaU0 +4uvUqJ8AOL+Mh4sshXXuddFOzdjJmp4aO/zxXX/EX3LtI4+xU4FU/mc7pozjWGxm +l1ZLF/ydOhqGMuR38UOw0j37XcUaEDgjBkluk7OHZkAz5F1WgoxJSHAmAf4nyHRp +Hnksuyqrpr0Rd81KXejxjMT43iDeK3TUfiU508/dlWPk9qw0JnqSCkNYoOHs1sUH +WGx4PWysRWcBSHRfq/3ra/iN8J3yqKTjF/hwBT1D6iqYZOCvpEI8xQXR31WJcJDZ +Yc6zstwjbxMhAYIPYFqFEvF4XTLOUDXbKVUHjMnEnFt+4GqpB0f4f7pb7ieyxqyD +/e/UAAPx79cLFVSkNW/p1BJ2E/sBr0tUHGHdYnm9vE86m5aGuae/pS5SS7VY9mdL +9W6kfvqKgC1JEPLGer/ZyHnNrZN2eUE8DVuiWSLMhgY95x7SuYxUudCr5WWyJVWT ++MkMZgYNozwkqdUbhBCIdo8O23mNDn017xUn/jFkMiJlNqOB+58cumP1fr/G0Xa1 +sq4QZN2CmEW1SJnKtRzHHDl/gxnecFIM4QYtAyLw5xJWyfz5Oi4sPWGHPmkL9GtC +XAjcFtG5XD84jmeE7gV/C2hKLEGUcb8XNM+etGwz/8rOG6mUAUzSnLVYrSX740cy +Vb10X0jzTiW4oNUhsjfld+wEyx6ofcZIiIHmYYr3OSc1rIitlg/mx7ZoXJg//ObT +hZXP3AW0FMRFURftWbtVSF+Wo/ZySCTu/GwxZmXapRzupveWkASIUoqazRTZeiWE +ewwhoPJM5uOz3EG6iFSO5jAuZDQYb1KWoXnDWhP2xmWsrEAlDOF+blPN9OK/mGZM +KgHu2Lc3jOEi/t/fU4Vc2F598IgUpRSVcIMHNiG4dlgffBkwUSLeNlQZie+JdLzH +ei2WF6kiibRFAxMT2EyPc1bzMUNwqxS2CUi/ZP+aBJTaY2D5j6Y4C/z5a9AG7eSt +TEw7EZrjLZn+fnxuD2AcEKvZMNfJxu9NHb3013ho4J2bjwctx065IXSbaASG4Zli +0oa9ybbziPU+U/8EToyBDfZLC6dwYUQd53IwYfdMfCAdy6tdLJkI4j5xIVP+eIzl +hufq4WIU26RnAkfQ4e7+B+4hR2bXTyoNRwuCiISIC5jYBpbFfULoxtRupCz+8uNv +w4SWzyz6GtFJrXUBB6pQxGPGhRTxWvWUy5l4Nq6YjPPowhJGhjaGC90PpJ9Govt4 +/aZGZ1Oz9QgRx+iP5ahyUTpe6RSk0DtLcdp4wnsdn5k5MWloqFcarw4rsNVTssA8 +ZisNnzdeLjLlpvWMzWA2kyjFhPnfC3NPbR2I2PRx2RSYsMha3rIIdJ69kjHjdife +xgwQqTUehdG7o6CzUeYQSU65lsG4Z77/AdfZ45GKiOIfmKND2SzeKOpyb/kYNujb +DFLh2buC3MxXgNsern8XhpKynxi93EqPZmvxGrN1l6zGIirbE3d/aLU1SljXpn7s +Nv0je+zFzcUOspnM46EHgKXAHyVRqmMDCagc3hTjerbLi1U4SZ68/7NMFB/GU6Zi +OCYvvTtqBxXUBnsk4Z6YTdNJSt6noUof35PQkKxojk0sNqlhl/5apTYEPWRYRPQ9 ++SEpmEZVxd4cf3hVm8gSZnuADvmyNB+wf9jN0/jJPau5+LdvfjhIPUvjZnh1Sy/k +nvT4+skyG9eITbPfIUbz7Q+tOEGixewDGzfagmLp/0e1iCJu/uBnaj6VylmB0SfZ ++TzX3S0BhUTyp/Q0/QXNevlLq+X0lPfcROz33ZIIK+ZN6Datud8c822N07EiQkEC +V+lb3zmJS/ZqOUC9EOlVJZiVMRM/0+lzIV7+RfmUgys1nVtPoOb/MfHWGoAd1iZl +WENYKPg12gO37mEHU7MF+PGWh/YPgN9eAKEj+PBcDwG6Vfo/79yCE11HOjLF9Rxp +Mc6QNNgBgKbp+ZDa3s2WZLr8TmKmJUwqyktxHllu5RW+r/Y2F6kcM+YoF1ij1xaV +1J430qohHr4xl7zxO+o7Lxp5RUMKllHoHJ6lKg/wYAiG9qCLfKljPJLXXAzQxpj5 +BxDtF0PJ0jLBLIbuHG/h72kt9lYWCVKhHq61eutIrWCj59DA6moAgsn0Aj1kTy/r +4iex3xTKoW7StZvAkpOUA/8zXz8ufiwyXrdHMPKyRYJyjJOq8pmMX+OM9pMoFGW4 +nvinJn9Rcv3aex2HedKE7wOYwxDNxaK22tT07kE9lLFm0vciZwcNHJjGNuzbNya1 +N6eV7fdOuQT0EzDcHxi1GLI5bY6Olts0ZoZ9GYC4BFgd4ZLot6Nj5jG/jUABo/rW +ZNobsLubsOpYmvdluW8lFjs059bWn8zzOeCeaesRAoaEQDp57l1UZ/XSQLq7AMGS +s+9QNir3ZEDTr2coVFqzG7GhSn94+j5i0MN8xjqOBN4XxC4iborGWdxr+XZxpbvc +YHIaW8Pa5fRyI7Sp0v6RM/jKWomiqVLeu+NU+HhxL/2jTHgTSbnmZnzPg3dY7pfk +7fuJUARcE4CzHmSIiqK9uQpgVUr7yvl5T3hQb4WeySv/C4RfL05LLKZVn76Vvd9E +IHHtpgH8KtFFbswl7ubG7ikmV3ANTZJgF+WQEMzV34lL0D0SqI+sHWP5Lk9MfMTA +a8ut2iuS48XqOM7Av5/E1yqUXg17wbGPjg0JSHSj7Gcr8UEDfesHC5qJ+nyCM43D +YpgMhRnWmdLjE3pgG6B7O8AqmanSRGLLu7oryv+GjDplcqdrj1iMTD9h6q9aBRUn +gouKESxYNCVw/+8op7xRZgnaDT1uim2JFvc2CEPomGPGzvtLPHaccn4PXxwADSLI +MZvZTKGMj1kXZvxFl3Blz2L2Gr+4Y8P9VDsMlrfE3/JLEkMmiJGyqNXgT9HA0nKw +KQqlDpH1gQ6kWBW0RZffhR81heDhR1Hw7yHZ1Y18Z+kCXDtyplRGFkJZEQ2lsTy/ +DMDNw+LGVfzThzSwXoa1R2NupUUopPllNJztAqLFHnM9zynB7/ySWYGEHONyoZQg +3rZfw2JByabU3/MOIRnNuVaFBU842GWySXaPaeekZiois0T0gbdTBSUTjyYfKrkm +Ci93akmxrDKWacHbWTCYZIpdrsydbJnaO/F6vFK79j+1GDd3GrQxcEMQw+SwkhOv +1wFTe2zDcDI5K9JedOOb+B4NsWIrEazK9H9uWfZmrQOzIburuh1otO7kUmq7oalR +0i1juWZvqhC4dLcbmuDhPLlBePZoSaZT8rS7f+9HXseM97VAloorTDrt8+y1Etf5 +u5S1oLPx5oa2GzoY79NLNr2Av6/3qDw7Rhx4hO+uDP7IFY45DdHoPgKUVIlKUhT6 +DSkuxtatpgR8dYusJSTctG+UnNkD5Bi2UwiM31Bn1QK9KERi0I9C0/ogAdvCMQD3 +9cIde88WHf2g3pY8z9KCH+lhOIGYnHBEVQ5pFgHp4pgP9yndOvWegJXJKtNHwCh/ +DyxWnSbM80xi0EAE0xA2Pm/Nkz4yzIjA2g18gyElo6quuSCykMrGicPCD2QvWlAG +pVhj9GuVIXz35rBBjz8u8i2NYgETY1Tp8dDlU31rxPSKt5D7Zpl2Z2oUoaB2M0Fg +GCdDLneNQrU1nvu0zo614+Sd10WXdrXaMvTodGX4RC9j1lfVoeGMP9oNow5CP2MR +xWPSjXnGU0VgB5nvAoFGCAEAPbskxrtwqH+5YOK2mspanxZ7npMfvV3nSZFp/XGm +CaT/hZuKIuPNWl6uu90ZsnE99y8f/boQMx8v/MOdCdOkoZEAGkAH5L4RPVlUzPMH +Dd3lApe5TcV8qoAoWfm2CXRw1IaFpuypVVsSS4HYRfNiFRaSdPe6V4lHZj0nkyBw +DgT+k5DiHH1XXL3R6iHgdtlpGLoZeaj5+jJGzxQQZHvexFGg2eSHKuFW+rEZ82S1 +Vum6+EPLH9k+ONENZucu/tVqDdIyG58B7D01lSuRh2kh02ZScmucKEzo6QoIASR8 +iUhJsIpCDyAOxgn964+1hBeo6BJciKG3fwf59v8El6PLEnTyigXdrs4acbGW5cyn +e+IkKfRQq1qJECTsIrXZNTxrDUas3XheDSAq8kk+/JZRRIDbln3hqcEn7SKpFwZb +N7mE0dhuBdAPX937Edkolifey1vrlUDRSBZuX1vewbst/J1ngei8C58k/lhXJCDa +W3GSeg0EzwSw+05umBzJJCcGLB2UIK9ATKN7NH7jF326DIex5Vq1VSU+RC1LXXm+ +Qe7tszCP1wGdgOnzOAA4FNLWmTatNNYygDqRGe5mWeUcgCwcdWStDC+AYpepFcaF +qJlW+T50TFDBRrX2K9BAsESn1ZYivt/T/k1eQc7fP9TKq0Q8vBPutvAj0onZmHW3 +CU6jQBPZoq6IwgfMNfqUVD8oWE3BGRpTQODivvo7J4zfuXh1ctg7uCHf6UVESKqq +8dl8P67Su5Qu1Kvqa8uPX6lUQFW+H0uZWEkZb9GPjhp3SBtaSllkR9HRrOxd5m0T +M7E8chuxGBHYAfF4kPFqWY3PeqmMC+utNV7nkrJ4mV2bVl7JzFUEFfdILBhi2dkj +QC0SWStwhyQB3aOL73gMTbUx2QQ4ieqb6o4DYMIsCWa7noX/XSK0ufmUhjRY8kqQ +ZfJd1vQJgs5651RMTDPu5w31DRKeLMNpRyj9q5Qovrboj02L4slvX21r3tC+IrDT +5KmrEn+WrXmJBZiCGj4RkCZcFWw++INXKnJFyUJ+jkUd5qp7q/sIM9TtARYrDe4J +OImoVoCkJt/fEehjL2qPAXloMFOJ1frGBPjC8KbNOxbL9RULpBL5I3bcjnIibQVL +xZTyEB7ByV2ifDnqGxbYJRFIEWsum0fsqkuudSUQLOq8kw0gyl6fMSOQ/y/t++NJ +vggNOm8QFMCUhzswTvaFF+OHN4Dpaxo3xWyb/OnkGEjNCGq+6trbVld13JNueb+q +nc103ENqRBK329Y8ayCofQdG3Z/Vh7pkFNlti4pLiS9xk2zXd55vrke4AY34vbLL +ag/55EGd3iGkmrI0nR+qmoH783NMQ3CHA0pTbbp9alKfIGUEYm+xrSNiGkyOkKGO +Pm59L0Ik+ppz0g1X4WHI/k0HoZTeevPAIpL47c0aM7c334MkT8Q/OaUa4IP4TZhs +Jh3GNZ/CJDb2pS8gtpMxPeJvmodRVofpfSQbuDWkXfOdJiX7PVX9WquEyRaAFS// +9DEPkuXWTEQuLgKMpxMvwExwcpFojoIMXYTYZ1YNbfQdPlWNKq9OjwebymyI4Nz6 +RlI+UlAxIRFpMSyM4VzYrJEitNmjOpGXMpvwGNh06WQUpXBT5U0bI1HcYHXfoIHh +ADKzPgc4Z6uOCJ5C3f1G6k0BJ9bxV6HgjEn14pZccBHGPWgr+Haxn1EEMD0k35Vd +Q6i/6rL1ybbAv5I3iAn2pscu04NiPhpEPMY6xKL+UyPCagb3t8JmaVgQj0GygWgO +azA6hx+Ygp4oYvyMEeHJL+K8AoryP1xsGSAw5JkvEdJMNb8b1x+NvkHcDFYI7+CB +SKr24oD+Qu8fJqYBISt9OVq40SRrpIKrpEwcjMRnzTDhkMwjHu6UZt7+/7DYHT6G +OgzazwZdNg8af2+q7fiYjIWUuP67r32/NUL58NRiXZDv/gO0yBurYu436avfsHN/ +/h/JUdhiaz99adkOrtFRM0Ra0yijyyr0VkT4gzTYBw/pU1umRKfutUMuGi2qTsSK +uvGlfRBFjRreCUZDgvdS78KGKRQaod8SvsPy3vriaBj9x8koz/1ubrFDgHIn+4aV +8HhRagTz6Udo5pt8DK0YTkzP2P9gt/jVrdNEvwMA/5SWTAH428hOya7adJQX3j1B +anao2PEXg0ycn+z94VyAmcHwDlwYDt9JoK6l3vjOqiVlgXCsE4V+IE34pb4chV57 +95rWzG+9JUd3QqCDIQXycl6txJAtb43DCkMVAYwSXKJFf++uBcXXOqld6/xoelYG +KdW3ihWusMgUdqy6Vev52Ij+tjVtIDSmueDt4Y5nLJpAp0Hg3e1pGVy7zaVCkgat +CxnYCjajTQ15HoQCRvt8FhtxwTm8aBSKN4n9qDsRCUUy05/v5RCsdLtXdjADdR2t +ShV6o5h+cn5BjQThfsjlYxJLdOFvs1C+jw5YuQsxfCfN/k+p+MIfJVbhswW2T7uc +cNqttBSgb8I8MUc0+T9iR3CU6O+1OibEzdZJwCAuzJ4SFSsYnO+ycmXl+2AxV3Cg +/rtDl/pjPkN4tLOHJul/AQZphQuY0cfHeuQEdXO/0Z/Swmy4cu6f5B7wf+o/PFIr +hQiMu4P184UzM339DzK1NYbGmLW/pC5yID6G9L8R5bjcCCC4sDSDOGvEQ+fzA5ML +JPMZe+h6obFn4PPcomrPKbmugu4kLIqA5a/f7aj0EbfgrnzekDWcqZfdllr5Cuf1 +Rr4ghLDbNk8Rtu8QWuR/GtG+7xNd9K4xmHgF9v8xvCwXHZl+0I7hzvWwB0kYxzow +gN2/ObEhV8fXBS9tHjdUUWXTf5/SzKw+FYIH5ByDE2bkDmK7Ul5go3G/N6zfusSQ +jaC8Fngjvhiqhuno5gbAA/gSHDznX8Xw/i75aNg5Ft3LcesWE1Wuk0y/9HJIINMA +UPNP0qw9CaNpbbtFMMdQD3ftUav1+cloiYnc2lDmQSJf/W3KGrqEOPE7OajS66r+ +K1SFrk1unEQAeVg+LpVqJ0zJa+K/XV/YoB5inDKo8GE0QfwwDkQDw+R0sRuBhE6y +hwhcjgYpLoGTON7an7cDhkAWHdrL3O/liuYcDIqoQS5njrz9UR/KowDuiUkoB6sE +6qqZ7LxxA0q6JB3kb0w1YOt+QHSyHRNLRrufjftRE3KzW5EQD67nDBmxwNR1GmrK +3ltHpnEU1qlEJOhLpQuXeMIr2+QQewJ9tVIIDphiPgsvvwtJ6efM7/dvpyjX3qFt ++0nsVn/MpO/hqaKZn31971QxWoQYrAH+5MHAD7hvi9jix40xAC5OIoByazHr80QS +WRy233epdVb560fQhJcpHfyF48As0xY4qMcArVuq3HGHQ355O+ewB4aKThGnYGSe +hpSpL5jb7gLy9XmWf8k3UAZkVwJK6BRrdBJY09xQRa+MC0X0POPiUrIW91PWO2Mj +9mDRY+kE81ay441WukpIQHMh9xvMM6mfWmas8p930f/Vg6Nph9JgGIv323tnT9Jy +HleFaAcOpMKADKKTAUNnzDOaiz31orghM76/GA6xP2bnnWgyQzYJ+4cEHW1R6/o/ +9SzHisoCETFGI8UWD7FSqKm+ZSn1c7VzALTWCRtD/2fmn6hOd7Ys+jLRv/j9dk/T +qj7fnwmjG+pRc411jwukD3Ct4WNpBed8AnjgyJt8KIQRalcD3s5WUW/k6TOlmXQw +Twf0DYg5Gq59fQKNM/uxkJ8KC6YSgERJSuvDYljijesrPH5hwAug3+TZCc+bpics +x9VgRXNYxTMNKWnX0VJsOobaMYWssWHr/g7bveGyaHxJoD05EFCOKRUBfPbvYQbR +wJ4eioNNo4Eciwx7fIS7+KQVnVfCYJKSxx2qUT/EsLDNazxdw8u+AbeVhdbDCEoa +bfSkf5SHqKGFDwFv49R9gzaDEtoSG/8hkTXZd3r3s7oPyGIj7VlAUq/bkgPp5tP4 +RLoHiIgaEDSgZFkojAPfYtUpYFBBNqPOXyVk1Kac2+xYGyr0kIZ7OPD1S3eaidbw +8hK/uku3f7Lxw7vsqidg9R0PRWzIPhT0nTfYjJx2iqyNY5wq8x+2A9ssiZL5SkAp +4sfRwKLdqi79uj8QBSK5ono8kz8b3CPTgmH+LfyICc09AIbxWlYcNoF//J35b0kE +P04sbWSXSyS3SUjkQ0sEUuMBQKC7KSfb7a1lpM+JAkKob5msvzch53MwOqfTEwsM +iBsP3nrGbhniJwlMJTNyXb446GOfXYOk1HVhdDZaZP0TI2g9zq5Licx5/Tnd7nZ0 +TgCtAFY+UEoq6tMWmJ+73Qe+9ZcLGJfQV8/4aaRva27QW+hA+POO+Dh2bgxrE+qY +K/zRW8SHarA8tB1UFgff59q9tl96Nd5iEif2Cv0QVbvN5mKfCU6OrhnOU7njdJ8j +PrYdxr+Z6QCQ4fax5NBVTjkGP4ICnLXzK5MfDi5p2AdKLy/r9VJF/dVBqLFhwwIM +HDSAg8XgFlelU6h7cK5C38+S7zBMsN18lN9YkjbzVOWPFS14Vg9RAXYb/7KGMCbc +TOmTRV4+QA4+T3VSplWX6Yo6H1AvqRo52yRrt8EXEI68jVxppxQgKxigVB88VBW5 +nt6yEwRQww4DW6HYJ84f47pckbMwTMQ9Wq3myXczLSOe1jv76TIWxQOZLn5R39q0 +czCxja74o9KdSaJpS8DTFu8AIZfA125tlhuHRwBerZy0t3lXJ9iBowAWNHTxo4wS +6fX8yVNYZk5Bl/IEL92aYwFGkbbeXIOj0Ql0KGKr10cuDwP/QV4XOXUmWOGzjCun +l4s2tBkqCktNP6CoJ7v4M4UivgkJSJMJ2xa4x0+uJ4tbVhCqzY80CEYekNr4F/l/ +zWMrYrniQSn9iVJ0nX+oybwPLV0yakmfm61QbbwPYq2kEYMWr6o/92HHeDyoKM4m +7VpDcvbBdH1lKOXCxPY2WAfzdOPAOXWlOdefSBKGd4blx2dfIxKsfyJvQI3gBlKE +E5REs30bpemX0rBdQciPcMEUYJcvRTBpAyvCr81GmeJMgNR5a1n/oVx7ZdChEDbI +siQKcopv5fHI9UU1rAeY0rpFdBtxTuVFFTGhS6xzMo4DSG+DxC0zLWkM1bQL1fXv +vDvtwhlyxnm8oK1d9vgqX8pUahH/GBmyT0YuA2nKCQ9v3DIjX693f1I//WGsXztb +Di50nIALo+yU3doVHutjPxZPhSnwsOsDfBj9u/tRX7W6u8rTYXRVAaUhH24iWTG3 +CHYgBwvXG2vHeWll+odLiE4UmInueQGmcVR8UIYRiWsN4ECbImU/ZYkm9APahGPc +nB5Wmbz9dRw7eQqyV6ls2WNTTu9ihaJjK/791UYOZVpR7V/kKrssdbcruDyr8foT +H7ClZIZlcr7XmPnuNNkRasQRMLrEv2JgRQzLdnGNUMcG3vaBnaSIk8XhHF7TOiLS +Y5hQxQsn8F5NtQSFB4qIzXOaq+go3HvY5vVKJZSxRppotP0ij0XDKZWk5fCJ3heC +oSIr4GfWqS9/URBvAQh3lTSNKkg6KPEop7sQCNUUxVkgrU9U0wnGay/ySuu6P0BJ +OiJhBFNv3NO1+iiee71/hunICWNT4yXSx9SxGnc1rrKYW9n2CsYLnEQ77fphiKqR +sBzzOWJ8JankK3eT/RWnyDCfnzdsZY8pg4fxb5ucMd0IsIBXbIkBj2SmcsVtNOcG +2OOzdg8whlAYemouQXn5ktaQ/8TmqtpxU7Fu6cspyatWUhWPydfB1wAVknRyQpFW +YwlI4OrLkcJv9c/AHdY9tuM00YyNvVYuXrxShYmL76I7gIeQgLFFc0C4NBl3Z/1O +HeJHp+4BcSr9hN4rUhy0WUoZ2oWCIflu4JO2nogSpsTJK7nuzQaHeFCRs5LPx3lk +sUKeH8XxexC8uhvlgjwdtY29G4BhvxlhDbSGRw5u/LwwjrvmqNBRkVmvTINMq/rq +/DrgsEmn3jrcVJWnJP216frOqDQ38r4dwTEAppGp645KI3FFVT2InE+eInvFGKwo +WqYjXHMwTILMeGmZ+WfYISOtgLanOAWjLPju6u4UdCw2I7cv9Tg1Bgv3u7A4egVA +oNlQnIEEhA4iQl9Mp+ixeErjGTk1yr+Ms7wj8GRsfWnpBnXYmPymoohgblrrPKBv +vbhdCcyv8PbBMaP8MtLgYD0o9Koya3yUVJfMYQmh0sBXcoD8s+vkZVLC4aqv0sX7 +fiouMbdSVyD1kA+Na9o1JDzwFNF4K0zI2F8gml1ZFVGD3kHueSdx+tCWN8wyKANV +QKkr5gopQ0DlD/QUbaLqNOWcCEkn2EjHktBwCF1G/1w3Qg0b3OAMtLQDxfng9fc3 +sNQqfnWLiVBmgxbrPm3ZBu0FxtP6EnJQ5PxK+SVsfFO4+YHXQcCy6AAIMFuuFLSt +eCE0y0o+IkYFBZ5s2uZ7MuAdbe+gbeKNTqCJZnrsR1s1SvizAdscmekvqfjQo6zd +2kFFAgU+uFQosBq3Ji05B2NGnhmzvXD/mLyJTbXsBrrabfzan7q3IlKoF6vkNB2U +2qt09pt8+/s5jxkJVy/Ul9U5R+ZCbYQW7GOn54Uib6UiqnwP10YCkpzVR72Dh4RD +38AIbyPyXJRx8QbuXxFN9MsQ87uGjTQQZViO7YHoWtouLyuB2+qotUif26R/QH/B +GTVr08hSblqTBEO3Jzh0kOAJ/fdPi95GFhwcM2KpzLp6EUE0ViIqgkLYvArxebHs +tCFklYzuLFlJMe2dNtpCelqgZPVGauc+yOAvw2n5n5i825BHTlPpKd4fl+4SOk+9 +T8NcQDIQeZIyIMWVCZOD91lw+kapTYVxg4jW/c9Av+pB1pgjpCy2AmVmnx6ZXPVF +eGWcDjmt0hGWnAea/hLxFbziXKRtly8obvML8SNp9KfBwe/yFBntVzMMpRwYFs2F +Q+VlyLR1E3NJ+YhI8bvKNuNq/oZbCf1jyQ/7viHwAllN/L8knCCGtqvROO1iAvo+ +K4l84TAfgTLOtufxsu5ShpdpXl948a0F+Rn3MP7ek2EokS/BQQ/ULUhGPL3Gvzki +xAp4jdxsMMtn1JNL59QnY+6hPe842DrLSt7Qw/k9LEA06Uef3UUEv3tUJ6ewT7cq +3L7kQhbSCVionyLF5KY65KJ31nsY5T60/0OjYE5UWvRcXXyg5/IyZqrYP5vpHRS5 +2xI4EoAAw6LW9m1xBp9KCUOXms7DDUrwWQoUsVR6oEfkgJowBu1XusRO+QbAx64r +J9GhO6FLO/frb5AhCXiXRzZpjIq8ibyfx8OGXLt7kWjc/umbHcW7KgftCtBmBZ5V +vRmEsVDsPS/Qlo+gq9jsvP1FoWiWHVF2bT/tr+brWaNdccJZVbDM3gO5dSAAyTJF +OGsmcI+21dhnZxuvyEUv+dL7dpIa5HYH72hD2xepyqzUWcvZyWS7K2agBKQQWGTP +3VYkxbhwzaHifXKzy1RQzfBaa6jMXL44qUE8wWO0tdlgssRgIDdUTvLGFRBwmcuj +Du28kZO3FZTJyPMkX3Vtn67Wg3Sd8UrZ/rcEFf083rqTWq7Mco6wDXsei5BeDtA4 +yei17BLLmSoyceOYwxxwZthYLMh5oVf0JaE55uPmmjjRdL6Gw6LkBJYvVHwx64Wd +X5my/0OFsbsWUUPkrPDpIRpw8uJHvKF2kdOcScF7FVakvReiuinqPl3In5ACvNRM +O273x9D9xkht0yHHxwt0+tha9ICTv6GvAKVvLzcxm7WJPMzvXE3s9E/m+WNAU47x +6+7jLFd4yqPF026ooAURjatRjPmKJsOo9NLVbWagtSBsfRKdHF1579LomZ2MRRVS +vWe0bffAu76+R/NeKe3nylKnTuYagTUoBAylijTLdYauhQNJSYo/PQmpL7XH0mod +9Vq9ZwSrHeWimgoJiZG+561lG2JW0XFZFUDl4iV/f9J8qnjB1FVzxK9rwEIt1TI2 +atDIRUipRUE8b1kfUDrpvij7qGqFMILa/MZ95TkctqtwUgh+/Db3IuFl1xchp6fj +HvRUYM8CtW92CPVqkU3Gl0VTb2VgKIhwbVyyKs8Zj8JH/TCNHwM7w0mJ2vujIu6x +JzNTATwK4GcbP4LTY8BbHU+f+0wcpaks3VvbGY8KYTF1n+bR7JsFKwnzooBhE57D +xreFFilbXPGrtIGspJ3vmGkjtFyKJiYU3k9AY7yhU4JzQYhgFarjjk+nJSOyXvvu ++PbA40xYHtxlubGigMUWUnS53FOQViyoi9w2Kmrsnr7nVnAWqMo7Ha6oBzZH84rA ++Sdr7Uigys+dgBin1oKw/0l74hu4ypAaAG7jqw9HndLfNvJnBHS6aJIHQpZs8Ikq +16UvD6UF1YaeVBzvQ6n2eoti3u6wGrNlIqBUIJExmOHoKU6Cc09QdrO+BpIwLL73 +q/MgoK9TPxiC98/vZoV4nDIxrK/nveboHF8aC0UIjljLfcGeyhYheR/YPfyBpu3N +guoQcfcSpClNU1z0YZw/eScGikvzvvcYCiNKfAM8zzZnsLJSuMaMyzqsWbaOxt1/ +pZkJd64zudB79sK+z100j50rau2CA+XFqjTlKF+zdsX4ZFR8XId1Dyp9iE0ITYNv +v0kn6ihoDnSLYseCxhNlv/tQ0JsnLxDqOW7nTv5vUNC+Co7zBc9fH5QHmUfPWrEA +DeR0DliNg24wZww0RDu6jokmpp3Ay+TXJ0UB1cHh+utEEZyOfjGGYnMVqiyYTmfI +IpjI/TUB6lu8l2tOK/Z0yJf7HkjS20G4IW1Dv0u8PxSjglS9HCVEP3fASJ0PvuP8 +3FNQMqzcU4DbsA1R3l19L5Mth8yy0NoLmPfC8EN4YD21up1Vsx2sOvBTZbQQ6hP2 +3AvvCtjt/IUipZk4ERKQkTBTBItoTDNH9eIK9jgTx6dyRyOBWS/dxHWkurN8g3VP +PJ0QbATg1HbssfJvdTdxRDUjR+kQiWGcTKyyc/ngPqtUZLaRnfS5j0N+RlTpQuJE +jF4q2tGV7tHltxGWfVNjbMsZqZQLhfjIRvnUnPd2lVOSnqmOWjlCZSzC7vgFoGz5 +y+/UFFeSAZOgNNNYct3TBcB3X8+i6EREHYV6jFLm9pgwYxyPGWTSDI5BRTm0I//i +qRtvtOxUpcauIeBvcLBZdBkE9u3zrd+1wKMi+obq5JUuEAQkswDfDwDFdreQ87Qi +ZnrPkIaDCzE56o4G64hDkBv/zgiVAmIBgrnW2i6Z/1UM6h8tDtF7cuCtQlSMC5+9 +or5dXzhU0FEp924irHureTi3wWevkgULLZGRqSMyfEeEsooUcI/1+T+4UVGMMIM5 +DYUjW+DP46ysHk1xfdgX6QKuV/moxIJf7jrYzqhNCxR9Z3WVY4WHvjeFZD4UVIrh +Z8UIXNWiS89TCYNAktGuquLEX4Fs86U+DnEScqKRDOE8k0g8kZBkM7IfLPkNKKVQ +nWyvFFxh29ACBbkT+23mBLuRamO+zwrlc9dx0eshkN0xLchNBCPDyMaaBLXhzaWe +5nUaK1C080yfUf/ILY/p7AvKq1Pt7vfT/r6fQwBh59IQ1HjKwOQsLqNPsrva7P1/ +KKBwZEYNv1NpEuSUqhWZHKYvGzY+I2a/s8s8eI9RjrPWs8xQ1fsBD4aSOKlz095k +pf1hWPv8gRRJ2/VbvLUN2QQt4RQVJ7OkMKLD8joWhCv253jxamVHON2cS+lG5WhY +eG+vpuSiMXXQEV85myuxz0VTo9fHu7ur0DR0bZpdoUaN6rNweabEMa6UV6UwzMF6 +9RlL6APePAVI5V9kWN+YQB1Rg9aGnqwHsieixv2Ls27bNtQdVqTDAI4tsve+o4U+ +GQvuaym6fMflMbscW9DT7P+m3m0XHIYuTwFfeDnhdSdKHyqyofCszc/Y3yf+08zd +fPHMoD6+ODEfTRmwOxmsbtvQISgwXaVfzt5kp3jx/xK42q2tJUlw0lqA/sLIkKHB +cgc5iexSz7XeKyDaRL2LFXcjpnlCNwEl8hLvoyEBjcuxwkIX+XhHOonm40orwjoI +rj9yJzBcf+j7zsHXbZcz/DWdd4PuLP0COqIFHKYDc27cJOYehfANN+ToZC3B5iVv +TZo8OXSuCpDJjz/3XBzx5v2hxhZyaMSenopvKQkMgvIfB6dmUnE9M8RzEOWfirKv +SW/fltl5XtmUey9v9W280cQNABek8i3aG5dVHQiFY77ER/ALIsEdVBSC29JTlHzX +qBBLORIBFDPPkael2pYgm4CXugWrTNkVikk+sh3A22fj2LbbgyTMWNWj0TiSvBxj +rtF0AIlKI8oFwMUp6rmwsUUKGOktPZY4C48ZHCMzOF6wr1IlYAPKz1v5cfeimix/ +flqddv7kp531DFtzdn4jGvScdOv1+K8y3j7ufk1RHPwLQ6osmgDTXHMMypeqDFBI +pJ0Urkkama47HTuy3k6zmgxb8d9RG4kGuFBYu2Q3OYXfvIphghfSTr3KvnZa6qf3 +BhVZpJ9bv7VOc/pZTlnV0pfc2zXsrSbsVH8UUfP5fJkUQ8LunRk2/aPb/7t+V4DX +h5hfucfk4CPrBYuf6Guaka3BQQS8mNJJv8qoy1rYzzTW2aYz8PGzXxxDOdBNeOAI +8ZwERoQwFUchdFrQtpGsetkLeAX2jA2FQYY2b1ngAVY/qwY6lYtP2E3Y8KnV4kSF +piW2H+aYQ0H9Dnj1h4tWY71HakUyuuSaw83fOEif4hOUn8I3XxMmU2CkLdO3Ic4I +wvrDNnBRkBAoAe5fA4T4EabzPTVwTRn2M5AxhA0ZW/ry/tX4dT0jFOF9ql8YSMxl +t00vdUtlvKqspuZ5/NE1LRO9qIQd/Mu7ZzKLWHZgYsv08GkWC3QNBs3P038iEjmJ +kFt00J2fpZ+88bqDzrlh5lEW5OFOhkk7z2WhkYBn6uZAaJi9fWVg1taRlCY+0fRd +2WnSvOSAWQv84s+x2VyFXxKffLVkp6F0OARTkC2u+EBBP8DITEtnsLPRwAwLLUWG +9PXCJTTRerijsG8WLTLkEp0z0qqvQe7c4pdSI/WTAdCjMdxS7hX6K6MfS0iy9PN4 +cOFwATd9o2stQqtnAOlryA7oXEwj2u0+48oyC0Yh7WveGPeRCgI5Zmi+8B9cc6ca +dnJlr98veh2C1uLyB0XOwjvE/mLM3eF9VsOIGhG41Tkel/RWdZTeAR2n5gi4zyyu +JGu3H67ENTS1p22F7vDp8kA1LX0uCOR3SAJGvFd+hC+s2whmBUgh0FUyK0U5OlfP +8xRkjMzaC6eMYb1Z4f2oTKzOzE3JFhXqTqd5dHkSo/ijC+4Y4M9Eflm0o1LCQLag +j9Kb2Yt7h/d8sSYuwpb8dpbriOZZPyRWyZgDmFA5E3G33kKmJ4Z5Q2/Mtr1/5TtA +s7ruE8+Pvo6mTt1nw3XZ8C6G8FhwIG2XEb6Tek7uploJxmxiBIf/0JJqIdCe6ygN +QNbASpTYe89ogswuL5UahT+wSNmqPXjP7hiMRYamtq9EAKMTS/IxAVQDP3r3my98 +GXax1RXfcIbD5DTHezrW68u3Aq8CYnuLpKqXQjdqJcg2PYrrh1cjZYfEFA6GgxhY +bpgadshNK2714Q3ChAYc//0ZShSjgwPzXW9qDZ4aguIxi1k6qcQKzjs+rkYTTjz7 +vZSYMSv6Ky0swe6z4mlJCL+Ut/kI524gxBRfGfWHTT8pdvYZdmThOZqxOZ+knOCa +JabiyROb5aq2Epprd5kpsbr84ol5E93nE25yIjl/AXXLKyfk2IBsiD/2imhVk6Kv +EtThSwyChmruxhafAwcZjZiux3/2d6Lu+kyGF+yfawwcOp+Mzww4tGKVApkjKxrf +RVZiPagTIGA9KOrO/EcnORHp6cDlkLp5Bk99fxEq99VcILIujmKfJlo9mSZZd1f2 +WebYQEY8Jt0u7CrhwO1zfRs2VDLBr/9va/3P4BxEgP5iEASCgYP0qSFVV/Uqt8Bx +5EzyDTW23qO2fhMw877UEiirYhl0hCFFtsGQtCZ4FHQ7dSpLhRTRwUQ9EG/Nl+r1 +zx48T6phHlK4aGMFMTScPgpvXoFQsoTIY05z+Za4OgASSEDIF3opuaRmAIH07C+8 +J5WdXh5bTt+4D6CSjxzn8crqfNMZD8wGR8VYJ5dM21Pr8/5+0768OS3/kwLSmUYv +7TEBlYtAT2pWYnL05nH7j+Yq1UTSexNxjsQRP6/YD3o8+acrFlBvvMW5krW3n1Ce +KLnpYiGk6BwABe87M1xGJv5lpyE7sU5qbtWIkMhnmSbnwtKlUy9W4PQ/PkqvLhmT +WCq5tUYW9lD0z4qv4LC+/4TjpEM8KBiPq5GFLR0XjbfxNWCw5WqIO8RZeI2BmHL5 +VGkwJpJutR+mXEzdnXEDPl/viaTZ4oqdkNUusBuqmnq3gdK/Q7Cj5C63g9BLT9Bp +BlLkVKlYMlnREE/vm/i5C3wbFqE0bsVjl4x4xUTQcwBSFDStx9onw0QoebEHqC8G +LFAQ8xjQCp1yRgx0JD5tYKJ0WO3AUGjzB79+DV79zCHimZ/B0uNbN/GEwZXClenh +1ECMckCPnIYMcN5EhfzUIndoMyQQ4SN8adFg9xnaJ5XG4tF/UCUJvZC9fmujP4+f +YPrWmoFlq2WEtj6O5xlJpb8+mJkJHO0CX1kkPLVyvPUNBdZwnL1leIMdBaJaAp8A +6JL2YNkS1IQzMNJgKpQkeoH0NrgoUwm082T4B4omw6Uil87LBDrCfo9Ig5/lj2m+ +nRXzEtdmiGYszKahn9vjy5M4fFUARIKMMabpwkXSIli3lSo01okNFYo4+jVJMdUB +Rxo6fP/tuKuBZWKVidVIvzRmA99j+7OZfQi5+UTb/AqfGdkS+TJWtrRdky8PALUW +Nk0etGwN2kntW0A16S/AYbwG6ccae7iaLT2nhjTiKvonqjXDn77/OPiQBQZ5Rw7k +h6hXCoZL+k6AVyAjSZpca6bSpH+978K94u+fIC7ur0m4EDN2/KXDO5Cex8M9Yktl +TWDbOx5QB9jBWD4hXr/CTvmupbDqSgaJbPMARFL0gOV8/TEQVr/aa8MU2QtWkJZY +bqqeGNGoMSJE8rXVKI48l3dleA2X/eJlXdsinlt9LRYLnDUXQfn8GcDXrg4rVKQ1 +r8qG1dQT/zUQpZMWqDss58UjJ7S8K50JVUs7xfoSzAKZpqBe6/3EQ0l8fzOYBAcn +Ml5t8W5kZv75bg+Qts1zT41waC60n+0EItcmv/uMoa6uazwtXYShoOKnT6zfWGBG +siwFRG5hYl84Vr8jI90eUuB9PrNOHaqY9iomkWGwJNHis0FkMURO3xIWlW0Zyh5e +T9jIbLuV/bcS6qk+pxXal1+MlTEplbadS9evCCrTp/dazBFHUkIWqpO0y7DkR/jL +NySw+xZuacR4SvngGRPsmMdW3oDnXqba6015rE0x5p4sVlsNszRkj+7JHe9RiURf +GaflAavgfZmRT14gTPs3AxUa7wt9nq7xJdoLBBf3XOdgcCngMEa6YmMr6c+gpWXc +EYwo41x+7CqtHJUVJymEkwuTUMnTPU9bAyW4vx3gxauyF8OJQmFd6+PoN8gpemBy +2lAK6NNQrBPswtSOvbSJpmWKZVH3uHiXFbtK802DNoEE3Qo/LUr/EZZIdWsnuLny +5vcjlTpW4O3Pn9Xgv9A/xj0NLC0KL5sZiX7r9nf4uq+H4dcgoG6CUo28/7zRTtYr +re29ubaSU5nRsDO85WpI5yMpgeGYW3fG7Y7ltCYNlBzsNZ1Asew6CmT2kskTHq2N +6qhnr+7MMKxkt5ct64pBRLpIhtXumQGRkI/E3uE3PXCW33CJItafcF7IR150wV9E +nv+S1kvAT25bS6AbEOdMobFo3hJ8RREyZA5nMuRwh258rcOhBWMIk+QvoAU6bA37 +vogNGPBpUevxGj/lWtfyceeQ9mI4iLqYSWPP5RqRFiNATbq6cl+p3+CdLA3pYz8I +OANrT0zo8KDXD8UEMTfMlyvk1edhxQeQ9dVnpki/HxZ78tOXWr9WBgUQ62nzHCt6 +6B1kXkmkjkLKJTwLRjUCGzfII3zzzcqVzJI2sDHAF5C4ZdGSDgLygF2XISNbWaII +wI1TpcwoulolFE4K0Rb74KLtC59nboo084DYFs296r4H9drdD0SgicQpvBF4KKnt +yKSCsjk/PyUYUeXP2wgOLrbxusBEEcY+AzEzYCHYNZtrutxJrC8+UyQAlnyBNKr1 +UM4Hvdr7l/wHxNFQsLQWExoPiBHBocwd69k4FfY7epw2UyJqblcnS77R4B19OL9R +FAzLf7Ocvc6LjeNLtSNX5DfXONOrY7rP9UTei2sWnAIH4Fj10wqe7iJ2OxRfMVOd +eAP3KaJ5u57MifnXul9+Ayu33dl+/Obflsnr8nPMpCOBggc1b9KgNTkg5TzjybIZ +/XUZc91SAvwFzMaJJJEGbNo587UXrIz72QdMEV4PV97FHeW/+mZpXb3X1vu5YaOL +smaJZrytLNXWLEfEFG/dxk8tImW/2U8IN01xKxuXwLev7iOeeW4YBOlqaHL/hTFL +GLzapefVghgJUsZxwaxSEEehf9MEgg4P5G9FR3utmV+DH4ib+ILqLA8FcoyXC5JU +dOWX+S7HvSwDVjVl9YyIMXLwirwDm7HpayT1RvfiwgM4Nrzv2xylTdfwVQUQ9tyy +usnl/TLPVrsYCIkLafZnPrN0kJSvOOo3grUNiDJHI8UPAXBtEDBEMjl1T2UMX3wQ +0wt59wM0N5jtpm9jIpfPvJKrHnA0jTmYvUR8a0WHr5OCkN++tPL0EkrXq9ql0FYO +BkDV+FTGGG4tWbxQAkEPwNGAqigAyYHCuXpYNIwgKvocuWXkVTuf/HeoMOGH4BXL +Px49Snjf1jVyjVk4KCa9fmZZk2+VDm7ohg2UAbDOuauLM9vqF8WCEI7ajAQSFHmF +6rGHXKifDA4EgXd+DXRFJDLDjxLnMw0HWJ1ZV9hJC1nAZSHBZuXAt1IlI2+Bw1OG +1jE1CxM3LideXkdWCcVNbfFx8J7jpJl1IvBQILVuq/MfjHJfjhcVRwB3pYUpnvzj +13dhyG/WciYReM5lr7F1N6FgXlpYoXIpD6sHRzn+YFUW+UB1pH2gA6ef/B5cJ61/ +6ybmIp6MwP87fJO2keHShjlk51w/hgHBfFcxX5ngq0OlofA51hy9IAYTFYwC41Lt +NamPKcN9SRL1YWZWOcbq6khSIt3vj/3TS3SqD28Mk1U0ns834wIMc1MAQJ/d3W/0 +W6lS06rnhj1B8BoB7ByLTvLiZJs5/DxSJl0MOesF00zSEoSXvsHSSF4aUXW2+cqi +Rz8Dl8J5+gshBK9hf5mdaNg1uU1jO43+MiitTos8nE83PyZ16TeuO2F1E8bNtqAK +mMn2EIfppEje8l4mDrKcLTw5hh3RzIZIoPwjnssCqQQibyhpmQZr0tPubIBva0X0 +Uqg69OIWyTV2pKri4c++WudNgul3hcbHhaGxMX6pz/kK9gszcjlhEpICFr/+CbZ0 +RCL+LXNNJmw0RvVW+as428Y/D7EKrXUqUyeVSxrAwzmADTgiyargI8Iv9IXtPEpx +7+uTNa9w3bS+WrO+9PAkILwS+w1bK7Sz7RuctnJC4UczNChDU1rg/OBSl9paksC1 +0TGMjQSPZPglrzFwrzD/7K9jf7oIJH0NKRVb2pYCbD/w5BjGti+UaGO4FMfSCs+A +m7iiv2cIcbkCwtWcYbEWQEdC6PS/BYcxJDSOC3Cc0iIECfsGwKogcNz9D5QRp4Sx +HXR0fts+ks+qnbemrcFW4mvGcVI2Q8Uzbk2xatfNI7uWbk8DsezkMegM4TqeJCup +fdG0xQb9yzSVb6tZN/2tS+FxNDosnB5SrGo166pYrGVh8s8XekdalYGGBWYbphQV +dD0AfjlzHscx88mkyXdHJhW6q8e8Tco3qUEysCl2MnuBczIWVJP3Cqfryk4lH4X8 +Rz5LaevtbASLRjqBcBOZphcp5DH9yXOfzMFRugHdBrjbsLy98q5Un0VanfqJUq7d +jZjxhcbBkIDgu7FVMlj8aLHV9u5tueg3VUo1BxAwF2M7WKBMolh2QC6r+20DC/MS +aX6ISoJ8SdINoF5hN6uAvlfWuY9zRL+HQL8wdX/A2wLxP40ZuFOcPn16s87nRbpN +2GvQ/H+mcWLHv2tPZHWv9xU0ZhkQRnYyWvdIEgNNGtONbCQ8ckj+grjNkOjX0qrq +qdYtqrogtdIjrcIwtuwntR5RbD3RrquqTikDaiIxGlIjMvDRkBTLh2DzS5pL3enD +/gz8t+a/uP+7GQtgryI7Y6nlVSNk5+fvRli6lez7eNEA1AvOVskxzgQ0zgNjWvfM +nBPAio5RfCDwrOMEX1KIEb2cTJ6rzDmBToxQxo1etqugllx8KlJdzdiFodjtIk3p +lrtFScDAPcrO846eKwWRkvUwjP8WrZGPGf+hywYh3OB6ygzpTSUPMMUCdp8XsZq8 +a0w+R8e5t2BbUvhBusjIbjc+FL/e3qJO5eGldnqYaKjNDogGnliTZ593elDYn9CB +4VFNiscr8+bOEePHi6fueVpMoT1v/37Cr8UF0q3pAwQJRbM9c1uYnOEdC9AEcv5r +VyAbPB5C3e+BDq6Rqulj4l3Ard9F7xOVIgiTJt0k0wA78aRxpkgqTZ1jsJy9k7yI +oJ+UI7U2vnZFVPOXEn1o96BEV+mgdpXQqhVTLbOAI8rPOSmR5C4CYFAEbzuyGwNd +by15W+/Ny4+2lYLBEMQYv/v8DAaOGw86Mt+6D63x9ih+Sn8AUmPnbLVK8ckKRB3O +/ziuPJ3yPaE2EMontVTnBrllI+gGeAOZhv7dRMJvbPRjsEJBf6Ood8f7avHbnnwB +SkOaWa19Mpdpcw0J5FSGiJ5tv7eMYi2WRrrFqaw9OP6bK/sfe25VaHIyOQUM475S +I7u2X2JW20dWVm/xVBsCkbsoMqn1k5zZ3iItoEp4JACKLpyUhSw7W3bJ8DfsGJ4u +84wqlwE8Tsyu2cD6RLw3ySe1OUPgq5vw3ReP+bS9s6Iie31KY85qDSD0EY8pJZxd +mcYQzcVKCxxyytvo+Iord44fWWfK6AocASdvyMiu7LJYi5SrEEMa1YWnsUv8f878 +oXX6rhYjKmOvjwR6Zz6/H7rvXAHs9IuvXnc3aJO5aYt1hd1UA7Zuj/lGMr97t0b7 +Ss2jnZvIzX0qZ/0K82pJOrC4Y1pHdFnDHKp7hm1Sm+F1f9XOWqeKs5LfL2eXhQNm +SUVCJx5m5s2wUCgYMA9jOB5mB2yqZWXGtyJ9rWPZiGeT7SdzHJL2qd0+O5jL9OlY +ifxVRxtNnXH/Eo0dXQgT6nkcOaDVD986Z/vttmyXNFPTANBxIrsayjegC1QysiXo +OVHiBZFFYw1Rgb3tghhs8zKZIeu4UCRj33NVbZalJck1F/QQQTbnvpbgGnm3IDRE +3BD5f37hCsPVpv5F0ZnrGtrTsfwsghwlhGnNOM1jxg90KaMh0gIZYibqXYDIFc9n +7nEuIUUqwXao4mlrNd/nSP+XHbA1POIZ0xk6U1w5FoWiAVQD08blRRM/ggWmx5e9 +7zT6mEKSKp9Fl1y2QilmG0blpMykvvtajLRQgpZOhbDdj2qakIg0W/fnylXg84v0 +lp9qFufyUInIX7gKSRRgMeRUtVQTFyO+zrDB/PHyDepJw/SFOThVBvgkFjwCdG/d +R/LN+IJNZDWDBFx0ylXmcsJUNKgsOno5ovmyQAWGXgyhcjXGoBjVSfaVw4lC4DjD +1nxgJJe0NCcK/mMlxRxgIVw5K9l2EVrtQs37Kuu+okqBZoWc3fpcMz9B8X8hP6y/ ++AYwVxxy3UzeB/N47UhI7m0MZOz0qwp/nGqoYeqFmFVOn3L0LZj2x82WhCYRIfKG +Jfp0dINMnterDZWErLB21i/Lc4jyAxV8YT+ciF7+rsnkf9z5vy2eaWgH7gHbC+Ce +E/ueRputh32ClPO+iDyfvAVLgaeCwLf5yOXFc8eKMf8CEZCCeX64ukyRbiA8IW9/ +4Cd5bN3DaCs3Ihqv2zvAWshOqZePGYF4D2qQlSmaGKEYUyzOzLnFm+kWWhxqUDyB +ZYPECeDbkmpe6aouWigiErLjd7W4TcRlUxrvjg4H4UiYVswZh112ZHRtj7wNh/Ks +PVazb3UYVvimvgvD5QfFHWFCCOgxZNHGzaFi5HtbehYIHXNS96ualaZ2LI9F/3W/ +aoaptY5pmqMayoiy6goQ1F5G+u+fiH1Lodg8+CBjbFJTjagoqIierj9Dynbz9QqR +GEStUV0PbZNRHO/3vRMcP6t7G63bD2aVkvsmqFVoEft8t07mm2MVox26KjVfgMwV +fWSSGPdg+353VRjNxmG9K20H+VRtqsMc22DRcmejoHnNUP7I6ihMXO3TcUSvWOUp +PPRCvtr7ScjUu6kolVo3EDebBQOiZNMXTPdSXeX/h2BywQuWkIYrfzJh4H6gPug2 +n/Uop8GFuSzM0lSlw6IgOdvF5V0BAYu5CeSkpW2u9bqAEybML9HXGdf2WaSUq85T +H/ZMsWuOe7rQcCkwVb1iIvpTYc5HSq+KJiuhcIuM5SW1t+GRHQ087N7VMlps5ShQ +NeN7wnsgEa44zwOd371xcLqG1wfXOmFD9xNX079ZkPSD6L+o81c0i3qaVrTVLUgS +JpGn6WacB81JF3MHz1YozNJFj6HdZUFSc7gx+jCyMbOxAbIl+MEk6kW3CEGcGHLe +PZPOuSa0yGwWThjNthsssoQURm7gvjw97rLcme24pRle87XFiDCuL0kBJnUW1htO +p+IldwM42WaEBcnoHSzDDEpQRx3qdkyHQsem+dTPT8X7YZXFsoMn5TkxSLJYSJ4s +YnR06S8LVEXQfLQEjcaBQFOaKqQ+OmspkkUX2FOFQY2FnkxPeaaGf17M+PW35ObZ +oxNGVuDJiZLyAk2BNGLGdR51hYNND2VoyqmK4cYHPQC7ZyGF/IdmzqPZJBP3KV2X +CxDw1B0jw/GDxRWbCyWR9pQs9dV0NazcJRJsPKXqfo7ShsKQL2MC0qMAhbKKFsfW ++2xDtqyipoLK3yDMMSnKYsrlkNntdnWumCyBWGU77pzitFrEIvg5IhyGUhrr2PYi +CdNk5GESzc6CR+CcU3UPLUTUlbUpTV0XVDBjhoKfHDuMW4ZHTGArj7VtryVcOe2F +z+nLsbMpYmyO556sv/ILd+r6TUeB4USByt45njcwrOsSk1PoY7CpI7K5Uvn/LJhN +En8AYlzzSDxBww8oKAFl7+w/2a1mIwVyrDgZP309yRQf/D/Z68sXPsbsD/cQQvhu +/sRcRWkqnZ/UGykOJvQ/b/G7QW8mm9AOPySDfb0ITiLT7kcEagHg/7/C62oRFwXo +T1WyeG0G8yeEdHCX7rJarh9+Wj+7imUzH3PqQ3jQ7mB15gJqeGobUFc9Kn0zdpb6 +cRJpkp383pW62JmSw9/85cxmd4r4ltb19s/ZMrv8fpa6ACilORhWXzlRPmgnrKKe +Iw/1LdyUud0DW595vcaNz2/kxF6qDz1C0tWNGJYR7C1dbZLxqtKCBMJ3PQgk30Xv +fAvWAzSsost/zSI10GeMI5yg5qLskugCD6OtoxMpQMAFM/ELktkjqcWY/wWegxuw +IjT28e8P7cTc3YoY05aOzg+NDgcUDX3D7/qQzEN6h93zBr7s1RJpFfVe96bsyYlZ +3We7OfBq2S6YCe0VZfG3z9zmzvg61uNlwxC/GKgWb0ZZOJ7V/LYmDucH3JdzHwVl +93v/hfrUqh/f2W916TwmGssRo/VlZZ7nqv38TNfyabvfjO4dWE4sJrNAFm7v4Fvp +iG1wLncLsiMMvdexUB3EVp1WeTr2V0WLaep2kcp/Wp+xOm3ff7zbMKmBWCJ0/ojE +07nFXt4LlmSGi3HdJzzv9G3hKBLZAnKXFqHd1OKKotHwbX3FhBPtza/ChOrB7L+o +/dyJQ1ElGUVhRgbAP0eCmmr4883yFql8x3732onXmZYfqH7z/mrKymyiePRXl1XV +BJdFrDTuCNTiaNZTogyTXu16O/8qrbhqcUdjxOYykIaJ+adV0NX04A6zGAqsuSc+ +RqoTLQm6kdZ709BfISPKxgGN2Qjj0rbmpz9RMoV//EpS2IQTpmHVyb5lKPKnXRzM +KklrGgkhXTipTeSecGrS/vdeh0LLlF9Un/2gz+Eb7SP7S+bbkWPwu9R41tLPpuF3 +UrLqPDGCoxXGQ2nWYxGjkFDr3Wu93z98UBaf3UeZ++56PplKb3VExXQO8pIl6QTC +1xoJIODXTIqhUSdPrvV5okDYNKMD9fTKgxGBnDNxl5stWp8o60XFq+xFsKbDWar5 +RvG3aV7PqZas0INF1M6xguTe/QnX7W2FB37mgvCF77ESBXYwRSpGOj2Vak+503u2 +BkVHugyXiO0micXeyfblC15PCkM6UEWlBoM5j7OVjoTY70N27oVKDCTKgv4mPqiq +UbfAp2aqlyzIuCYsXjdGuUYVNzMOB1NHKlsQ7e+CU8hAdQ0IOSj8qPThJcTGUMbJ +B8Az7kNdinwDwAQ8YhlGz4bp+GjCcos4tNV7i8TVbbu9SK9XmJUE3B5bx00otIF/ +mMagNt/+LD28tg+RoQwIzxlFJMeH5G/CHuvZXOOoYCelTuD5t+mmpallMoYIwj+j +zSlY/EUpVZkHlJqEZAU1aR0xbCmuNRcxy/yykfluewoKOi9pzYpGLhwKWiWtwlzl +K9XVu3VDPybli2D/C7tGM8hN1xEDy3PqXIWDxnzI4jfgsiKEO5Iwwyp9jPKG2Rmy ++s8dfjfoHYI/0/PnX8ENTq7AeMK4PFRqfoH23qUZ826Vcew7YnDVVgifi0LIh7rr +fGplFzv7WDBbR0R6vSgI7x7A6mUrXUnDTUngCG3VGQ/iu0m4OQazp/hGNTg8T34r +TOOIUG2hlOhi8aA7okmo/IjuMLeFsv9okQjAc0IXIfYq51zLR3lpuasON2gHonRk +uhwxYdE4JkXSVzs51BAfnmr/qKwwz7TpLVJesoF9wsjWobiSJoUiD1YJlN3FHo7c +/V0IXiU+MxesxDSriXx7fhMJn4vWp7kzih3g6xTVTxaW0/386rtNOS7pLHdQ8n5J +//JkmXjH8N0n9p4MTLIY4v5rLOTDQLCK+FOKjqZPvSVYjbl9Fom+h49HK7egX/MU +y8tNWIchyp/P7HTea63wPZNCCvs546eyIPgsKQtjKsY3ctFY377KcYZF9XDXUXjW +DonSAg9LaMAvx+IHqCfxp7fvyhKT7lGQyy9xdNIwuW9D8UO3G/7+jiNAJHadPdxu +0sFguS0M2vOvDqQbJbxDvNsXzvTCV8kA6i4KqyZXDZyI0nebPgziJz+ZrKKGYcKc +u5pq/GZ92u6KjR9D8cDiYQMFzL6oEAZou9OqcWqWQcDeNzOX6fktrli46se85cka +tx9Pl+kJ4MuJh+CrYFVJWh/26d5xnW/4A5hi8YNP6TKT/q8SIfPR44u6GUDTQsdf +MnABPcF73jBVCskZ1JxaMVMsNOmDXBlQIpQYDMeXvKjdlXpug/6DVq9xwC4nQnsg +a14VJ6oonnGNnspva6oKpYb0FcWrQ/JVu7pL2KcV1zpC53G2X3UZ/8H57zXapB89 +Q+YNR3G66fXaXpV0nyHD/ojyVMBJke85we/X0oC1ny8dpbUAT2PlZ8Y+VnmlbXGH +k3L80PQle9tq5OuYxISFpWvHUGL8scRP+fU0FtgoHwoKkhjH28rVsP3ibdPHTB7n ++xdgPfoEFSpHdpYh7t+vMDYiDOf94Rs3I9YpxZUORKLWBp3xXqsc0Km0LBkoNHxU +9hSZunmjVG1h/YvBn0XrFAvX50xs5brzuf0DCsZDdjFp8Ygimn/fXp27AG4/3X9+ +1GZHrHRzEq6264UWEYV67lA4SOqZJiGJnbjv8AHq9sfdQT+GLGfxXCKHuTugxDdV +23FEnn6Vpc0snHhbz65e9jKuG+qsdbmJE8eeS+OgYMdFrK/4B8M69RVYyEwA61zy +EM7RaqMebsDUQu3E9yEHx81cK0it9L0xPSFSC33Ua94ZzpZ+UAmYf1hx881Ri2E4 +JH4rOkDhuczm64a69vccn+FLWpGLLNl3rqFkD5+D/uLimhY4dlp1041lpXKE4E8x +b0EkVWtYyoB97ez2k3RuUhE3OQdWicxKiNIo9JXTWF+O/t/I7yVvdCuhb4TXAZEX +ls+QkcwB53/4eyUDYDwRXpIuMACf2MTt3BeAKtz23JME1d/GLPj/gVH6X/g2Sjbo +05lY2HbCuKdfyqjK9u9gfL3aFLAIzvyaCIDFe0QuFdstkya15ppAYctb7Yjo/kT7 +A1OX/su0wWiUaXQZS+veEWKWrDacjCok0iciVdxB0245tHbmvaJJOF+V2aA1rwiJ +TxgGTWhv2BotfMGpYPlYeRwDmjPjEfXl0MRqZZii7BDsOFvhTK26cAxQW0SRpLfu +HiEYDsh22lNktCRyHMgBJ99ZxqHyKJ/HEhroo7pBR+lkaG+FuWxHYUzoho+Y631K +hgfi1HKduMLO2UsQQXq7blV7ZflcD7bWywP2sQkEAezlMqla79fI2s6BYPt95ojb +nHXLb0dzm5k0kll9YKIsTR4NZrU4ILL+WN4Mf8S2KlhQGIoXigFZBgmkZxHRqAx2 +Fj/IsZsDCBRsVe4hfsGPx251Qd8K35qWTHQKgntFOAMlLxXUuQZqrKqbYjSfU6BQ +VmEl1wd2SXgxDg3b7/o0RkqiMf4VbLGUGuiRRAkVcGiClzEZRLyW8Dx12phzf/9i +/qawjmXlvxF+ZULoCvbwkbYChmB5qD7+Q320o5MnJDgAvMgI8hD1thxFTzu+mlK2 +29W8M17wxP099f966mR9E8Ul0om1JSc70m0/cjqlqZvIdtA7c2e24lhreV4LIZQO +TePmt+okAjMhMoaAfidbjJjboN8WW0t0/FzOZ0i2mIcyslH0Udn+m4kjy0mq5sAq +AVe9BS8JdhNsP+kzQLEueBY+O5pCN1VbnjbmscxOaz61s6ujG9AK+grUJiqTca8l +w/8kOD06B/yRFK59+2yh25/vUUSStbPuSA1wrBWuvuL8bI7uT+3nxEeMY2e4z9Be +liPoiUaL5CDb+NNbn3SKxEp0Vu/OJaijhLk9SA+PEEjsvCkOjTIAj6OZTnxYkDe8 +pIjYRcrdyyAoOS4ES6JYAizIIUqL/0nZdv20g7juRghaXoXIrQ+J3ikHo/34ZzyQ +i+iBTNt381C+oNJI3NGF3j6vbdFWJiSas6g4CqzG582fB1bBxg6jokjV/CvPWD8d +eA6CNhDKUe7y3mV2skvw0G+V5fd4aQMX8wXN+ArpTJtIBVDNjy2awhHNqpx169ox +Hg+5frSkZbXYo95UU+S1kma4oG7K8C+iKsw73TdK3g3wdDljISEVxyCldW8DOlSF +9HpXye1TJT0d0ibHyaqBCPt4ef2KXtUlhLuEfvUyOz6iVbuZCAk8zJKG5TqhRQJ1 +F5HbOVLKwYhddKlqtT96UvRmOpHEJscM22jiS01xkDh4PUZIRxBzuAD3Y8RGVQjv +l/Pj9y+Th+iEokkyyu3kHSCyn4iOgn8JRY2F14Oi4UwHJiRgHNktDAjvBhMJOfsx +xzePIJF4JBtlwI77jMDUuYVks6MJyO82CciJERWUil5zaaGyqoYuUQMuMuPAPFvy +6vh9LxUlwn9KX35Sy3Xy4h6d7xn33fdttV0jQHq7wykP2nNBxxBqAmDBU4MBlAJ6 +KjnMNLAKdees0L1JXj2aFxV0xHi9MS+nQoOJoxlZRaXwmKT3ZuHPkmUXmJfW1IzS +ic2c2lsmhlfcYeD5EDq11AjSeeenmYMTsEXa3OCoHcnRayZlCwytvJ0gTGF6h6cB +5EvMXaS//cDLCuH7FiWei3t5KGm4crR/A352XZswDnVHVWXG3JWUhyQReo5pDoY+ +0Qg9a0tZjJdFhfFOlmhGGpe4nBb+awkwijRkl9Coqdo+Awbun9z7ldvGC1fHRRXd +Qp63qSXD/agcxpjcOVbtBp87rGEPMsLKmercf531TsfvseatQHfE3Axb/uvXa11S +FYzYz+heXM+Aj920PE4wbXPRNihcodcZIKxTAlE4LTdVzQWAIlkSIFrDKyGNDOU9 +RZkbpZrgYA9L8L490ZqwHcecRxmTiAnKVkB4ZaPTyK2soi3T+XvQBSAy7WEW4s+2 ++zKNO7tDynvCj2rfIrnvSycpoLKGWQ/y+kFUVsnig3csc18PsxfxvB9e55jPA98h +Wn3hLljrb6lncD2bQW07dCfv51SZ7iC8pb7DadFr6vXzR+Rt0SYPepLeHB3QbcmY +cyQ7FLDkaqNDqun2amEulPk/x6vATMrI5YPDRJiJHQFzFytLh/C1hNIMMxWvorML +1aGaDKoO/PixevXA3MWHzvr1w95f0Il+jM73n/20MT+6xeREX/XrDTE2bDvS0b4f +J5ajzDRzVHjSyVygTujRKyjruikeWVzosYim4XdciV+qc8lia/BkIpl1kSRv14Bx +9BfmwlwIMFRjzKfY7EFPDqI8Dq+KJ3Zfk4NM0cb7uMsy9NKLQbL6GYiDDglAABfO +ksC2nGWoTpQxLmziAIG3hmuYFAUwTLoL8FVqYh7EaUYmRKQ9USPEcgJaK6aWG26V +kfTNfGfK720lJ3MIRYiWUb3PGJWNNtgzCEhJRwiPK9sy8hm7xnVn3r1ey74xBYGR +q2kQDk2kUqF+d6o+rzP8MFQdxXmyEajadmeH5y45JVPS8QusN2q2ms5s2RUcioFm +DTv1CbqDiedz316eqXpUG5pfDWHnw+it353EcJEV4HAsgNN6kT8P9g6CBbURWYQr +o4SgKZ9c1mZE/sGdy1xiMPJEtd6Ny75AEs3r89DzwnS6zDc9l7alVXgCdZgDnEuF +0oKSXMSeP9m5Q6jiI6513nVjbuzK5f1FXKphiF/doGntuP/+7O4S4beT2lcpdFBo +HA2aIQYKRrIYsRNozebGpwO3vP1tUCDy7FOHbgBau0idMlV0IP5HvfuZKeQQkXwV +ONNwtkIWwkUzC0/JoR2yipLO0VK+JeKf7p5VdSkMbSVsr0hZggkk+BLlIUFUGrRC +aKBR5MfoDegPk5c+Qs4N1MG9nMnqDbyVGU+4yBTx47JtqduER2jRyWgJPIbVbWVt +GmGasFzhvSpsHFZ0MdUiwDtWo/qjOTvoUnvOjhDydq/YgVj4GD07My80H2J+AjL3 +2miYrCcm3Q5hpJbZclwUlyCB+Lcau/hHEZm/iVimom1km5eceOQKpQ0O7j6WDJFP +ICWOJc+MT1mZUWgC/2bftze+qAYmVyROTvTm5QXS3/QHtfFm4xXzdt594v73WuET +AHFHUIQGw1fjvIJBzMNC7jdo8SQeacYlx+jwkbc4r2ZkX2A10m5zeHSSNZh9A5vq +qucwK7t106D/jJQ7cvmRocmPdJNoJ86omKCrEGfznGdxgAsP/IIyOFFpAlcHpbTX +G4PO4J3xVX4FozQzunJVKy6w8DuX4sMwxyOGa6oD1pKPg/CTw6mDoUGi2ibb/jrG +a/hwKPktJCbrJrf7cFaJ8JjSO4nBgQ8k4Sk/vcafFrdL0ftK4UuJGjKVKPwyuVeX +fiaPJ3WLNLGtEoNpOzBkJrY2ITn95u303gCkmgWEmSwTUNFren3Vz6xRuPpMqJWP +MbkJ56MBo7L86S68VW4finSK2aJ1+qW3wzAEfLdGlZnsCbYMvNQEA6pwJ/6gOFQp +P4/huDUKfmk9a1td2usVwl/wrHDZ+na1kFX6XdPyEOPk7Wec4QnIIVUprS8H45JH +OpJKfYDOFgpAknlFOqi4XZOYASNJSCW3zlWOLAjbWeIOl6O0mkSqsd+VGBofWz8T +pewQ0E6T1KUQ9jXU0NYFMnHt54vN738VYfzFu5AuwcJ6nVFx2UAaSE0zlOeMjyyp +AMh8arrPdnX8keBlcAlhsmb8aityAbl1LkRB8ARpQc9XpwfOK1PfDhbaICrBfFHL +2s0yvI6aHJOJ9lQVZgMnMNsbVhxgpCSRKis1lDxAEqdf4vsWF/0sYK0WTlXCe/ee +TfURnuYeMewfNh9aZr9PzzBnF6CSmPSxjmsvLe8K7fEtbzUs+XDU+BtOmsS50mzj +kCU4l2oiEiEcpyznIFA3jfbzabGQrUhEdTwLaXeBe9t0XRgTo75xD74WyM8pAGaK +fnXy4NxcI28eihoDa1y/DoGkZiYJpyurJ3PehhwBk+enHo27j3YyW9Uklo1QmHe/ +/R93gFXF+QwNWGUScqqTn3xgMYETw/wkMvJL5edRzxWvNDk5kZIq9sLQLTj73TGs +v8dkoggJQgJe9uyGmu+ZFFERtCYSPMsJTB4oCRSzsD4w7BCACQZMCKT7Zscep0Xu +qxu7G4psoJWh3Yv+H1ghGkuBC49x1++8rZw/hHfnWjyITJ8YeeS9kqYTD9YOl0QK +mPWTEzVJPUDnrjCrHJxMhznEfCwMI/crdPScga5Cb1v+1/MDhmCgFrHQJmZJ82Ej +/46mr36FmVSP0RXPFHkhcHix4fO+JtzkrRwT7se9x/godGZfe5sRE1g/diaAapHy +iRrSdXkI0WvRQJooOWMw+84cp+Vx67TIG65roEtcXsKYzfTA/YVX+ZgixQTCOwzR +nKvMEzvYjw0jikJnFMQpCjpZfpY56u0LUqYmbCf9zx7yH1wrdoet5zqHQqZrogOa +rngzy1D6iuRNskZ7Wcs24i1uzWrHxbS9B6MNHznwyFKhE715lYY7M2oKI8GRww3N +P0XnW8duvLxWkwy+H2qUYTwzb0wwmlZeowxYkfnAyZ8MrZMUmG4UZBLBtbIKvkKU +xgn4tBXJwxMeTtse78YdFo5NYj3DLQJ1/1RrRjYMSmjo0xBVL2HefJhQ6a/xWG9K +TuBECgIgxEyHWvMxUxfrxvgk4hYR22PDK89yEJQ4mRXEBoh3isY18S+28fYuqLPh +LX3e0D8Oo73zCKn2ParQbYOMu7GJuJGuzKs4f1kff5YrvQMDIwkqTraNTi7hSYkh +MME23TA5IDOnXyNEmuqzQzUPYGuTnpJ2DA6dGee7K8mdxDp7WKlj2Ht9fQp8gz4Z +JMFNCQwFTI1dyehz3dLcAvjOwOa4c2v+2OG8HGxOSX7DULztWHuV5TQiKn7xz6Ot +Aght3AhVnzjPVwkWfYsOeaoobPxSq/v/kZkj9sRAMbyVlwelj0GnFIr5T9Z6sCpZ +ZTvlYuzY+1osfmnWOz5XZLusvuVDSEq3QWjkhtDpJQchPrhcXHKAJavM0HIYSBNC +P6/AVqBaloPZkpAwpIDrE2uVEu3T8qIvOVTWst2mfDjFVSQeBZa0yjtLQ0wVj4yM +JIM/s2lOqZlXxg/0akmQdE9eJlT9YC6mCgIYffnwpsMKWwxfysjCTR9GYehLvqGn +UX3DYqZaukCvwvRxxhXOwkSpHkY6hRsKB0HBPDMJjyAx8JRN+Z8aVewQe6+0alGL +mtcAX8QBZhLAU1LbRRRQVIAe6MwfaLX76IkCx4FZEROEn4R1LnH5xN0NVJH2k63C +9PDNTNF98TexSkwsFOLgIjeI/pOrzaFkw6rppDnIwxjY5YpgOSJjvR6LrS4c6VeD +/1X2QM6uUO4flzMqDHNn2HSWZgY4DiBAJn7hmL6RmSEviYf2NAkDiDH1W6zpWy7/ +eTDoSSX1XQljtP3tdDGR9N+IYVLrEJ0NtaS4HfWmhR3HyaYSXI7JCIc6FCWDLIyY +FoxwSswdXGKbBh8Bb/Mkfj76RyTET8DNofP7uH/i5opExjNiTRgRkOIlO/lldUKd +cRn0uUw+FRpQoERe0l9xynWR+p/IzC6f621EE8Okq3ylWQ2j85Hr1BiS42PNiM+C +TGOPdQqyktTWBQTME0G7kT3jSlonv6brPjdfLPZpVyJltWhQR4yVFrDqIbsUkJr5 +5Bjt3Lse1s78pYCGibJlJ9ZRS3+l9Y1/qEcAciaVNYTzoSR8bXEXDsI+B3MQ1QXX +vKbW0fYbGFzTe3BU9B/bh17Rr5W1/CdZRK2M2YX4DDtSM3/fK93vaMddhKq/OhoC +rYPIEowqdef/GUd8QoTsKVBbpf/ARBiJulZF8Bhh3iJbituEe6yeOdcUVSSE9GVR +13w20QfR8wfU4ujjV83cADNbpPnJRN60Yo+RFilGePskIWsdFG1otBx1/M1aS4Lx +tYYBUojFsD4nzcs31eYhLbr0qoBmPYoAbxB9rHAfeJo6Y/qBERXg/0d7NveqwSEp +q2QFeyIskIvGmeXV7rx02kdysL3faZMvSVpkrJF71Kp3EMexuhTtOZTDnKCxp/Yv +KazRwDCR8nueduBlSDGgHe0pmSj28KoyIT5Dm/abX9wRMc3uotGXlhWRTnr51Ek8 +DiBpJBxZis7RTK9sq5emp19ybCGjj156CicTFdJj9FLKkfC+5pjJe2BhoIrZMghX +imRLaMj7aqIP6bYtVcPYCcfns2aBdvfSQA44Lx99xhOmk/yP+oVxJAhMgSuHlGzx +mPxKca8I8XH15YUrCw5CYtPqE+xUT1ncgOanC7g+T26u7A1hp0tzmXBckMm/Cuq8 +k/cF9T+dXrf3jHOb3oT3UVdsBRfqgBnCKaZuzflIM245sIeA0UBhK20CJq0mCW5n +2t+nmlrGEECtELBM8Y4/J9wc4uspdDbZUD6z1baguEZ/f7vu+8xtPUuzRlP+gGO2 +gj/BIUygmDipgX5oMU3iHrT0Jri/s7AbqB1q2d2IklaowP+0Nyh+v8Z2A6lQ9oZB +PZkudU9bMCXrTaLUIGKSausi1ilrtonFSx5QNXRliEtKzSRcfBPhwMsHr6NvvmBm +YAIPV3ZovYrT+dLZATPJzq3UOo3cTb998GvAkDOEA3kzxz6NEvn10NAgK+K1gMou +jMSaWCKZbFbvrzX2QklTHQHqNiJzZSAkMIC0XbR1zypTDpCKxEaZ/1pdx8Cf36Al +5Jc+K4AAbahHRIsnfxl4O1bWOzqn8pJ7tcxcaIu3nQr4AtdPph69IfNACbrdsxGw +8c+mU2eW7UYr/r4BtmWPcpCd+De6xPwvsWqJY+S2pDOtLNvmMFQiJUz7Q2ZGrx4d +3tarAIOF79P+rt1SK06g7sQqFwn2pen3qnF00RYuQqJ3+cLcCuBbbhhHPjqwYcv+ +0bn/+iQMwXCOTVdTrU3w+lFNQNGy2JzO/dwDsIiXCr/eIuXwzzDEkvLcAm1tqAWc +Fser/QoGqTo++AWZ9gjJUvN8JfADqKTEMuoHkx67c1Bf6AihPPL9tppmqG0GHq3Y +t4iLw9ZJblAvKy4eVlqVJYOUICcPtsCY4ohxRotYrOwXib0Kl756BkCTKL89uYfM +cCLdOh8+zq3URXu4lwLkauoZVw9k9AQg76StZDyZkmgVoQqcKWrHQdGwxdSL4H2M +PUu+S431ECuoN3B8VFSEyc51NLXR9bOBmM72zmk35WchcWkev0+e7kZ/1AJFv/00 +OngRsjpvRPOOOq7DpVMilNOOYqf5uf6smcZ2jI5GETEmW4yf7qN0uFL5+ItbwSIq +pQmE6JXuPYlG06bt8Sky9u7ud00chogxVKDt+5eP8ThyV+yMcDmjSUKQE7z/vb6A +xBHb/xkmLO+IlxYwuAusX9yqQUyd6JB3bkgzmoCoToeX/0VcNzz1qFH+OZ4XG/e5 +eCf9zV4uJzCRwAuVb02SunLoKBmjOWSGr9Ui5HulVj7+omGQzZAnirrLlvT9T6x4 +ByPh7QuN1dsUEODNojlsncsVNXE2mOncorvuv+OF4mHUubO1MgopKlaVDmXhVPy/ +Ikk7STpIeF88DNBLA6wUbNdKbYegc85LuDISjCjD3pQunXvFn+Tv+GwPHlakqjNj +In4BMhonvaiGv9gmPSR416hq4dFBkKquV75cyQmSVGpn7FgxKYUgNt0Q88vkfJwJ +TD217JOUtnY88gM+FbaHUc2LiQNFO/qJPSouOS/ZA1/s+rt401lUAym9kzeqN4OU +zs5TSMd6dDNi5ilSoXkG0FCIALnXixHcNGLiA9jwiiR7Msr6NGNBqKpbSIPqTjEV +zH1/IDodnUBHd8OtSHc9ID7qivoKUiJ0iDE86ZuJezTfZ8zJW1lohcergWwpIngR +Lp0ZFwloCkTllhbwyHD9uysTwMK7bwklzfsSMe5IDLeMJ+pjPYICucRV2Z86rp9M +xuxBhk5edriBstSq1x82cj3AORlZszVRrJ/a+hCHUVs966pG4q+HCNDp0fBnXjPQ +um+ye3L03U5XzJdhNNM8ZijTyGKKCy5GXNBTn08YkzDRgH0hO0Mf4qZQnCn86dlD +sq+HXvS5XSXLo++WiPA67s+lt0++cTLhXZdSC5aq0pIdHJJvUDcFMd4kRgKOcHyV +h+Vs3qjPoa9vLuwW0AMoFKL9hkNUjNBfzT11n6K+NP4oGnjK0dA0IDTcYvHdUkfx +x2J8whTdVXjbQRSrSttv/B8zD7QQhwdMr89UPIW27NCzzxH0tOqCojEmUDSVLt48 +czSz4XjUBkkKznbFGtHchJKwoJr7gB+0wQNxW/5koV3h54jvGLtlIPFhOHZ/FWgS +4IdvgGxJFR4ZU11xoKCQxNJntM1LOHPeBLrzhleys8p3ATZk/FTCDndNr6iDwDKL +Ijgo0kgN4cp+kVkiB4W8mxa3A65kNkMJYfIdS291MUGICU21VqbR8qxJc3itcCOr +GOx281+4eXK3+aay/WjB7/gy6FDHkVpVIYGfdDVVoat6zuWToaGlFWDqLcas2D7j +TTu2MMPHfkNFoylU9NDVMxT99knnNXz486W5E72Zr/3Ar9f82dO4YTPNHd7nIugg +Eawem8TYalfqjCWWHfOkt5/VU7EtwFd97Exw+Vr1Gjpi3r2RhdrwwJEbKMUTD47T +HEGsH7K7wlo58cT6AqpZEiZKdN2xMq1au7mNPSrV8fWRyw045FGA4/okLf3gdt+e +lhy7HWy5OLWv84dDZFYxkjK03RDYksaz1b7BUI1Zz/t2FAI1AQ5MpJhKOn9vDZ47 +uhx2nKJSb/NU1DWWeDwzNtRRGJETTdw4aW7/iPC5NNnuWVK7/kFIJICNCmSQqlzb +nBc0At6LzEbCTrCSDaJqkZecalomPdNADTbQFiTjaaHGJa2629yqVUaUwOCLru04 +TININ3H50gat3dqYmUGEh74laOMXRfhOLsaU+DmaWaslWBRxGsWAy/wLL0eNH7NT +K/jzJaBhRvGO99/Ybt7QANsT86vRjh1Y1UdQ7aFfuQQ1LlWPBj7ejSz9x6FWnph5 +RoqrIckUd5tUFAlV+664vfIsTsdOttYXnpVpmHzZ5ZrciLNvW5Z0y+5knsiEEzCb +9PRoR64LvUjOIblFfhrcwSL2ioCiw/aoRAlwNtkUn2e9gc5BjJ6pWbSybjYq9gFL +ah00xrCPKujI+1iCv/NEvQESWGydv/GQ8MK5HEfFiwW82CvQMC7zq4qG35DtF0+N +CyTTNP1s+8tMpL1OcTp5Ixh73hVlGqYkqCgP2c/5b1S9We3HrdBTnMt2j36AWSrK +qHwqHHQYrr5TAcR59mhk3EXRAzNuuyLmj2qhrRbLQ6eI2FG+M7022K5YmqtEbfVu +y3qrgRiNFJ/IL9RWbW/u1xb4soaoMTcejCbd7oMlsJ5OaI3UmNSL/XnCPy8zBDs+ +4AXkp5kr8Ars4fep3rYuGjXAsKtIN9YHrh1oMZ9kjy93EMlIOPyqYZLQWfEUCVF6 +J6FuJOKExx8omsMeIYO7heW95nGMicVfFm7LYCqyT5FKyt7jNOORH28WS6cP76AG +WurakDABalcH7ORJwBlha0T4DGWzdjUwJvJijk6Njd91J3yX27FVAS7UQOxzzfl7 +aVJXOf4y1s/25Z+wHdWVBH8XFk2Cty2Rdzv8qOU0KrSty69GoyJfaMWRh/W71Mmx +JjbYEO51leAY+yyFe616KaNs7N9tSTX/nmSLl6/pdWwXndILCqFSwpNqSccprBP4 +49pcA0+/OJGX5HXiQJ92eMR1Zpw8QfhfDrtdaHo7p/ePppDdm0inyKglKhu/Ae4S +8YvQ8yAev0d06LESx3n8dG9kLOTBE9xhuc3PixYI42DN7ybUnYVfkoplx93LMv5j +DN209fNhhFd4MUbB0ZsaJgjJKnzmkGTszVNV82CSY6ucR7WXidkn5Lxd0wfXhuj0 +qkZXA/CZFmJzQxAIqvtdqJT48C6szDuVVUoD0dlTGWyqAy3f0WAEuxzMDKOepzle +GCrmruPO6fn720X/UiYYa8J//PmeFG3iacs0KRElpe8qRinfAsV96g8/oSMUf4wb +w8KnZEa6jQjoUzlq8OdMUbmhaLfAf/MKLINYWKBAmVtbWQeOPdeaT7NwQU9vTinC +rA7CJZi9s5eeVDkoy9LT88+Ttttu0D9u+3129UDGr+3EoHUZI9YEjwad1BKLFEmJ +d/x+nbXvuHY6WSHeS9+giYeCSw4lkSLdVHTGm/R57XMld8LDPRoGFi/sr2WdFv64 +UToC7uQFK5GfMvsgBEjROwQe+2b3/gYNAldTkwC3k9CR0rDE7GJeXxHf4qOux9Ro +VxEKLmQ5+ZGlt8yNhXkADRmZM+XVQ66zh2t9auGLMH31+JoRWKOZyrJ/CPRMLEhD +9DK7tTm5IBYb9SIED9CFx+U9984yjahhnZS9Ujo5cMqlDiReFObYRCyjJxhpi2/2 +3esuJmoKqK4E9E3ouhtxn/Jzg8MdabUlgTyNNSwEciSa5A5CW9r5JmVSSY6AuSY1 +6KRzYiME498xU/CYgpyygQmOVmLO+/y+QPFDao0fxbKV2B1ge6NG0zn/73gB2CWe ++MH6cd5p+mrjAYzkST/+mSR/34foLnf6Ubs+XcIVI2V42XvXuCqkTVP8uo/UovHH +ZCFjtPr9yJ7vTHLGbfcWik5Br85lEIszh4XlVpbmboKRFCdspBD6UUDQFOXbjjCL +9C3fHsnLCSxoUeRdWOLkjmrwEf7hNWRScV/mKE2zv9dxRbe8LE132DoxSR9XxxFg +gH/Vol7keTUrCph5Oe3oNkh97EfH3v9Kn4RWlYlE9Z/cLMVKzLs2wIPNLEukEL/v +Ra377AxcTGpprC1aQvJpUXJXvtVqBF+j+AJahbaVlr9kU4c3nReTLMi1PWh9sWc5 +mwBFOov0/IFW4zRl79/ZjdV/EjqwyiQ8ALnKz0eCG2/QakYuposoYOx9McL6rbtK +Zs3nTsYkAzSG40aKQoABr414F35+q6kaciEMFcTeiDGFZWnwvsrvI6JC9p7mLKGm +qju39UsA/BUK5ck+kFl78eGbuezUODNa81/qccJxuwUdYLQtxQtLASZ91vNLg+Mj +JiRVfpQCyfsZYTVZARPqpdFpd5Q8fvLEOeABPp6o2693PapZK0AFnXwWJxpP4cZg +m5HkP5/18msLtBRWbYChyt23H6CmthJRdcpKN6AMRAnAQjCIOXBrKBxBcAsokEOJ +RErG7XcuX7Ki0QyepKtEG7/7vKcJJoY6p4T9Nm3wZQgtd7XmdOavKPGDp/o9jVxx +zxgQIdSJmiNrjlCO4xIGxF7dx/ZNS+VLR8qQs3eD5uZ1Tmy6XfeonkGMGQoz2SwC +M8ckwtNNuO1dCnw97RwoxKOdJGGrWY/uapm8LuVSP5U11IgqxM0X2l76LGF9MLkz +3aQU/mZWv0fvL+x8jaHwgiLnaA0u6lxZes+iRLXV4yXMrDHwU9f+RKbkBEs6Klzk +a5PDr0FhSFrA/7wzoscBbMmTi05loH6OXSGhGF9lNxdEo3qXqGloX2Z+bEAkBDv9 +27NIIRSGyDFCFBDkDEsnKwWgvijYnKsMf4lvXTQTAS2gkDOfpeqm/8lmEsJPhBd/ +aP2Lp9FL/bv4LARyxDAyKmReiYxBXxN2ECnB9C8yi/Mql5mUhOXVhT1N3biFdfr9 +J47UeyZ+meTE0a/E0sw7O92PsMLK1xBczbOLo0LZDX6Kvg5NUTV1bCc/veswzEG/ +k9x9ONx6RnAj6+9eIXHd3a+RdLxoRNEdhCWpaDHY5/iNg4l29cfzVjyD5X5v4Bzh +JXNFkR/9YwvzoqzgGBbL9GVZ82IoGvgONGPQzX1ELlMmtstNuu6kE6kr/f2rauE0 +jrVULOdBdeaZ7r/VtuMAon7iLjdLZdS92q1rqklg1GagBO7/5eP7uuTShLa2ztD7 +hGj7FavFG7nRwfNT7Wf+Q6CZDR2xYKs7LiMLkldxfxXkDcKp85XA697bmXOBPSzw +IdR7Y2P7tnyoATW8VguOVBLBs7Me2F34JtWjvvjyQ7wxiaoyd2Syok8la4HIOoj6 +1C76OtNpk/Y2zsP/GTHo1et5wC9igkyZZH2UzzQsO4dp4KdCs2uAncmLIIYE5t02 +X3RF+//9A+2fbUY8UzEhOr/9yiM6Lp1w+kXnfD65QiODpQakyvOuHV+wuPO1Jb1r +ZL9ONHANFwX+PdEUzofR4u4M05NDaDw5vrjHLs/9eP5UXFTvSkBflcMUPtY6gyDn +AFKBFhYvBMDT5aX9bh1MezkHkRQEr2ab8LWFkCq5ocsB37Dp0WqRNKV7CMmPr1eH +XvnlmAe+/zw5Z46HHXzVlZVSiUaizKbnPHnkuA+iGRhgGWrqg1RbT4lMIaa6vTAH +9s5Hpllu4c2HKl2gfeUErUn+ustNNPP5uW2a8LB/aOWQvKGR9Ro8o1daFxuCZ+ob +jZLXWIQ4nYtWVgNAvoAb78YgjdIxFCp9t3lxR/u94OQxpaj0ey6aSjfacNfZtqg+ +WtBktLUj5GDzVDWdmMMxwVlgM0k8AQds5nGPpPl74nXg6Nc0bTmjMr+K2HTEA5v4 +f80pbjUkNplzbPwhPnqDZ1K/WIhT44kB7U6Qi0yK/4ujFSPB+iAYV29qD1pYA8rl +vmZHrBVWAoz+JQqhDjP+sGGSdxTwvjQ7bWW3UPYqQtny3udD/P4E9zMg0iIJcUdd +Q4TecZt6HzvL6XSVkFmrBqVnp/tcE8WzuVKyO0IieF21p3HAvEt600He/E+/V0BT +6vWxlx4JyMLf+fXMIjC6LvWXC7nbxUflTYbTwTY/GcU8vHOEV5NUIVFacb5GyzWD +AJ3IwfnSPuzAaQ55lU2842UvItTBfe4OzulWZtLak17KOJZPPTiDRLFOtOKjYlAv +NQgG/BtkptBElws7LHuTYQZErzlbQOLwGMawx7tAWa19MqHhQ6h0niG3wdpSHUJ9 +Gl+eOostXcckiEWY+Tb2jejBlFLvJXi3Rzq6WHl5V6PzX3DeEiYy6yElMlW1TzZf +A/7JO3nNyyHcS2PUhl8RWXtpCyo8Kb+E5GSKxdfmQanXJpMzRFoiaQR3nCYz43Px +pbldLeaOUxU6uYD5inaI94AOwFZcX6YWOqT76nbJjU1AI29ppHdSzZaDHcGLVLEd +AJLmxSdd2sJoi1Yfkk1XhTXEhw500Okf9tbnL4xProvkE/Rh3jALbzSmYy/WmVuV +26DjylcQRTMQtbG7fLqUWAQlS7K4oNqz9aEhbtmEKNPr6fAErct1697Bg2WGMhXW +KTN1fCTQe8xL4g2yXILymLV2brUKVmxIG5JA+5LFYnfzTQ+T3+EaPIVCcRBxCRjg +4Dnp9pWdxer5aYX/zfsyJ2AZvSu+9EMn+zZm9H38fp+QlE7voEdVSBG/xcMu6rBx +isrz83AW+GU77LIjaSpA99nk62Emxkb1k9AnV46il/9z3YdN1aHmH8o06KEMxYpU +WGOQrU++Xr6azmi+kGL1+eoOlA36QPgBaJhsY7gj1uSgwmH7VEpWULCxcorHfxHt +dOBXTcM5UYzR/0euR9OiwxVkY69Vmpg8d8xTu4Vi0Ig5PS0zD4Qr+M4KHsdSMWzf +xcHCasPcdgzl9lTf+b/6WMoXoqVxd8SHQKTPGsg2yC3WznQasxYlf/GBxuwR2r09 +ap2vESfkdJEo74lcEQEiJn3vZ9dE5pmJ729p7hM0ikNV2kzL9ny7vqcNSj8Y1jLV +nYrGU1ecNv/uOTiQDnteAPIHTBij7ulqhe7JmAV78akBYpzY2b2/VuVk5BIazWUE +M6GxiuefSZvh0dFdBUBIt9f8Bnxg9/nVqC+w1ED6Z7R1nniKqfo1YyaVAh5/TRA+ +ywhwmzAq5G3zUzuqMLdXI3lN5LSj0538rjmGlBBxvYgZAkEX4WjlAapemEX3phru +HEy2ezDlzPfEYgYs2J4nAutj5Ny/T+93q3dIeGn7pNbK7dwLypiJPr8t3qf/2S0f +s3E2MHNw4RNcrOZNYMmcdGdqjZDB6/YWyUu+Sm80+Q6Ukh1qrIdEOgv3JOizCqGk +UA7/F6X1K65A6Dzt71PZ/732p0ubaevlY5AgC4x2/ddcMLrumgIkjJL3QL+I6y2L +AjCm52YiC3ZlsUUAOS/micmvjGOavy7JpjM0SyNozUplD1mxlAwLxvrKgJdhCuJw +IY+9ZS1ShzltC6Z9UfcpdWlmwmcK5vJf6eMS3/eef30LFBryc8GFSw11v5A6RiIW +MuNIkErhoYHlA3oXU8jF98JOwUBe4HqHmEpZmDH+Covpp7ExEeYCm9azU6JHUs97 +0vu1IdZoE84djPId36ObxCP29IPfRE1rFHuEu1IkbG+cEW4C0CwXza4ezqJaZJAU +7QOEL/5JxIGcKu+3xNrYeoMWtpNvZIvmNnQYudQqzFq07sy+bCFsT3UCrllNidMA +DYThmukmnw+7KX61cw8YZ6Mk+zOs8KtztGhx3JtOWZL+XUa+Fyo7cutBRBr5ZuhL +Q+mCChtxwNhuDvLDkBqqMWjEsJIvu7Hsj7jVw5VId0CqsCxC99QkPtboDRCc645L +KyjWBZDluYFt53CaaTPPjbUKKZnCvi2VH0PwoRemQcvyx19GjuUmixK5UgOZscYg +898Uwul0lok8KkAxflDJCsgnASfG2sEJIzq/wnQk4tlmIdj8aUp7s/WlRAdHbvDE +c3W+wag2pZEEggIbWPcLup3D/rcL5WTkjkfdhvZF/AVGLjdXKzI+5N9yOWE4vQcR +K9QLNC48crhbUAYt1IT3ysHRfRd3U02dJKnYX6/E3zNKKDTfV001uGtRiQ7EEw7E +ZHePXXARtr0lvwkZHY7lQcZJiXzgHoneJcHmU8FdWYFroSeDjOY9vba5sfwBa1Jr +Izgpybmewe+IaFBOkZe5CtVfvNDTv0HYSe9um5DT1O3mkxPatbZ4FsBIBbukttxk +LZSxfo0mBWCzitq9fzk4x35DSp5OCyrOjOA/I6I10tx913VSBU9OnJ/evdvVM6IH +7bw7kCvOmhV/Lt86y9IOzMxln+6Et0I1ToemIasYQJqBzBPbxaHpxLWIxmQnInMx +su8FYX3I5D8k8VNkpk84WWvOnUVjmEz5gcs2onFQ7lW2a8vchUShsliqwLiryZd6 +GDYEqqih9MP53sQj8Wr1RVeLFy8697zV+hI/7mew206LfQCl1YRBcLmT/QPIoJ0g +lIdE5N0rIb7GWr2iPQBw6H4Q32vkr2GFFpuhZnYXVrswKSTxDtMgUEVEOrK8flJp +ICp98NNad9nkoGYSxUfFBUgFrg8YRb8B3v4GzuSarJrfeFdgl6sfQjLeAnXn0X4w +2l7nnA832weI6eyrRUk4TJ3VaXdkDUsOWi4peC5/Y3qJfzah3KVGCwuG5khvDRYc +v5NWAknC//8a8vKvQIiECZnbNQpMvVHIuFKzfTQbQd56Ub+HEyAaNfdHFVI0SLV9 +O2JUfB8Zu4/pmYuz0L6cRz2uSCArGCUeg5MDLmm63K+whtZNx103QQk7/Hol0Omw +cRkB7t24ct8aonZ30NkefDlgNjrCI6ynz0Oknxctoxn5mn25Kv7Ehod/P78eHO6k +/IpSVQFN6lG7lxUKNy3KufSLWAqznaM7oi9BqcO0E/GBsNcotOZiPnOd4t9tjOGS +XOxo6B9Ptvc6QeOrpNdu3Yj8zIkZUELUuzOYK1Q2eUkmvWd9mKEu9EC4hTGgNoSs +oMr2Rjb8S+dQYGT21VQJNLenAhbleD1i6HBP7dzoGi0OeufNkX7g9OMryH07iznP +10fN4emHc1WxZMwEHSDHQEbz3KkgPZ1E80DK4xbQA5Qj0Xbb7CB3Km17EPuWeJvs +mOsQAKA0B/EAxx1oygYXNRLka8Deyfl6Kh7VkvQz4fcWpNS/KILErt6De+yHQ7Gy +gc4sC5qfdAGO4nmlmZfQtu3VLIjVbWNafbmI+gLC7l7XeAYCE0O+CLhwJRIW6ME2 +fWi72Bh3cT4V2VCdpUUgpeF1ogBcoskaZYCGhbEKZR1dG9Nr4sDYr4OQDrOHKKux +Q3851dw0qUF2OSqEdRj2ZfrnDLI4+MgBDoydOjzFqUjYquxVww2J6zuQPtMEaTqv +nb5gnQmil1GuLlZT3szAYctb2TJUbJirsYVG0KAuOMiod/IjtMC4aCGQrxCMQLO/ +p840x13vgciJO6HKb9m5qWMcrFZxn0T/pfbDhKoOTuFuxlJnVGI7PgeykkHscEBk +HJCOO/XBBAuGn+o+qgdUgCE7GA8SazuC4QmJhA0qjiRLKZ/+h0JBk4fwTcEc5372 +8XOknuJjcE3iUEF0vm8Yr+Te7ZIVxnv3wNIEHDGnACCxlGYAq1RjgD6jpAhEOlMu ++Vs5Ol3hVJ/sLL8/cWO5RPUnyWvEtOMIIQloPJUCnPxM+U5PAGM1eJ/J18B5NsCK +b00wF2fFaIITHsWi0Ze0ORQklwM9YdPfuHuVwNHwiQATiCyCjg0BbWjwsVVgRMU6 +3uTTnDPMy6OWEYqCUhANqF2wwKjV7POFQgogTevaPqDNytgJBVweik4jtszmAgMa +e0U0cSnSF/auyEifA9aBcQUbARq9mz0or0o+FAshOGZaZD3H8tKZ0PSuObiI3HPA +nh5vSttBrswEe3zpLKw+sPNTq8ZQKJsGMQXVoegsH0lbKWwmizYoaIXuh+FWQh63 +cgpHT3nPc0ORNDFPRiWHLekQ2ayK8YRu+uenU+sUygFyNhyIopkWSYHjIPjFp8PC +044Fa2nRu/I/bYDezA1bUb5sQrDXOMxiH/iBLDbSisI9HSg/Qm/yEeVxmgOeAEOC +vNmiES8+gz16RpxJwxwnqa+e4oVkuS2Mu91Z53BReXHUR3a1PxadXA+WeQ5/6lKt +hExGpC5I3qsQVxs7FWVLGwExIyo86LLoYFZkGZYCr3XKTOLV//eO4esJhWJBGw+a +X/TQXVKZcWuDrsF6Jfl84lrKw6vbcSA4wgiJs1qROYta5Z8gsdzcMnpY4joque3v +qXgef3ymhrGzYsuqpDkn6SqNCJLqKY21Q1s8awQ1QGEzgpb1zC3i6xMWPMSjPW7+ +oyJH1cIByJe6C7ZF/jryxl+jLmEOzn/4nrkdpNk0hBCEZ15M1iMc/I0EF74ECGZ/ +RKYpUs9hOY7hClqierBp+B5SY4GTywduXbDBONnd4xBVmIpdCun9Fb2cXiHRbPnX +7U3HaHj/ulyVjS0fxt8fi/dB55kdJxfD6wvrgWOHFdBINzacPXpiNNofS39mdjfg +THC8kRgUMi0x96u5EhoTPOJiF90En9O1IGGBXfSXpjNqjZOlPMBpP1nnbkcbvWHr +w4Q19cG6uOdl/W4OXdoEobplGVuHQHGMsDFCbJ4y4/jnIm0T+m44urBF6CLtSXyM +3VQl2zy06vOrligtkACkhwySJTKJLisyHmCuyr4wQKLpSORqKa3jqNd+K3h8XkuC +tTar5u9gByM+XA8+Fmh61/t/tSYOYQn94Nen9rNJoPi8KfYpqPAri3a1DXefMdMl +aW78iHjliGLCVHaP9v03yddXE2JhDv93eqejc4ghb39O216T2mcAXia6QTlHmQ1z +hjeKkw/gEbbWMBCVvLQFaqnRxw9nbyMJNOSvYhX9lG1ZZ+lKU4iZ0CAyfdqTcD/X +tiY+IBR2iMiWi4ieXKC4N/5CM9DwH9WXOimGVHpv4win+vgbR06UmN0J87+LfX6+ +PUWYnsuVewsXBBkAoTs8exDhKEZqTIL0CEexMpL22hrdIASgWdFNMoLJrVH4F41M +Nqk+BCSPe5PIObeE2hIaBrrBjePQJ9Jvq5dvwxxEfmCzQhp03Ij2bhA25aK3qsui ++eqBuWvvm3tcyAQKOrrHJG5BLGIfnt6Rzv7mKFFY59cBSrmXWpRM4VByqVbrbEeX +HBeBTBF0Yw3Fy5e9xMjNWDdja8w6SxOTkyId7jvkw0GJBkLUXyBSGJMgT6LzmlDv +thgYvyxmpeooTwfYtuuSR2+AzI8qqece/PFFHnBbYXdQ2masSzX0DggWflcvy4MZ +KUAZuW0c0RdLsaPCNoX3veN8CjjVqx5TZvWZgMSFffnDlnRFHQkkDCqZpB0iCFp4 +97Ut1zJTF1bB4Gz8LC6EZ26JJdW2fPVS4dMZIWEi1dbveYdIhG61wcumLBM1a1aF +Akbxs7wOaIuFg6xyy4Bve3qtEBu/WLF69SRIZEsEp9sCDkPJxxABtume9WDBhmdl +GEvF7aGrZc2qImBjE4sAB+XybExCsOKWj0lyISL7bZAZ+jRp8z1RzzJs/RP9QZlr +9BBH2AEnnCC+FrhFHSdZfTqEtfRK75cQphEihPenKJRZe/1fFRdSjwA68E3GzCUP +/jctwczPzv8CyaG4NP7AK891i0byBsCRRBooJMTfe+vxVjnyJPYRAz7gq0KTvh4j +ksdHLlKyTo/4/v9wrih3iAORtBgMFFCYSL6/CZu9Gh7GEb5DODvZsbTfIdJghEq5 +k0LJlWMrppBKdQjyZXU+6a+QfywQiR/tyynxvSR/lzPej9wQryY+DV9QnYvBdODW +9FtM7Fmx0Rc7Ek5trBaPRg84f9U7a6fyIPxya7O1F4GYAQPzfQ9dUZMtmCDWyxhT +Ktay8YoTKSYhpAXgUPorZ5T0/6Sb52g4uOylzL77wlz0EsFtXnQDD+04fXFEyoE5 +hX8PTU4F+zqzNxvCH5yXrDz431FuCVLZwMZddlZO5xg/KICmrkoJ2IIXt7Z6Fu0W +Xc3K3T0Wek2qCvcCq6rtAH7Z6RA0bD8h0pha0F6zFXjiJ499+Dmc57loSwGhnADR +rKIAuzaMFZ34JUM9hrYNcCRvR7g0sr189YAeDRha5hewFO1xYK2pzyL5THsq2yv5 +EU9BNd6IooLiX3dFzfh3whMYtbHDZ4FvIk/wuf4nQQMo27K7K66ylJS+XakiIs/I +TepVrsoJY0hjkaexDFluoH9d19HSHr5JPedp9zoCtxvO7MHIvuzm7iBl1hWxKPVb +/IMoe22T50zorJck9qMTMR8TK6gZT5DHSjB15ViMPKUreYc5g+JSaY2NtzWm5Vx7 +3ConW4zhYbzShOTQa99ogRm89eyQots7qj/RfOVyzDFAmcp8N/+Qne+B8VBmycO/ +vsyOft3ZpgX9g5k/rh7jNqjaPmPefQrFM9bII7sOs+kQr2uJu41RhHBYDEyETuWq +LmhXYgwZ6qgphbdP+9dB2q9YMkEHfZ+lY3c/Z6NbS5jiaqM+U+z4ipOLV3G4TT1A +ZGQbWpaVezMt6dfO7BiExX5WUrVX8ZVcXaONdzUwpTdL30zxpCdOCUtWqGMMviMJ +m6tkeEHv8EONuTwGI8yOW/qWuBPXrmLzi6/DwzCucS2JeEhQXnt5wsLVDi5igWIC +mmx6GV3ADehH9fALb+n2/SiQj8UD2OH1EzWUobQ52aIZObsfbKgeYHNHORIs7iSy +MBp9St+q6zF+KKoFAJjzi1U5IKsmSeMuI+NbykxRIiBeaq8VNbXQ0CAt13IsEa3k +QnDtPaLUpQRjTTyTs7qI+FuJfRKsom61Yo9fg6JlFUWY4Mom2j7Q1K79CxXuARnr +TPK+l/fh1Mkse2GHyUljuFdM7kaKkXME2LJ5blIEmZ/ry7u3RfCAiiIzWKerKj5O +uu+8nj/KGeWlr2Uo8Dou1C9KVkgpwl6+RsEyEX7qSETyIRYGUMZvqnz0Cs0AcvMh +erlcAMN6eApfj/VMwKfIZ9LinbHt0dxB8QnykHgN+N98/qp1ToBiZdnQ00u5rRpu +BVedlRp+QnAlFSb8NZKo1otfP8fZwcp6C8TCaLuiC7N+vdXgy9e+iSDQ32M2Z6O4 +aF4TgGNmV14ipRJQ6n18RuOmbEBfPUyG/PKFv4vXWdNDborQTGWH3DQ8stnxtpri +fkQXElY8hOC11gj6pDWkseARbNZmhqaqFAIcBLb3io///N0MP2L8y+eO11sODdvY +wvtskIQVAc7BAeLQHf3G9bmONhOtdGPfrmm6M0yDdu+8TnPnp6j0vXt74Y8VJ4L5 +bgzs/1OToFp4Mlo2bY5totvBThOMyhx01AeOYHMOfjIrySSXpPXH7fcA0VhfQtgQ +TphmtFCgFxOEzrP5NmXR+lsSaP9Y3KpxfR/8Q6UsmX3R3OP3ajAaHNSdqQhxKfm5 +4QyQkPv/7e+yjn5B176skhaiaOIhFVQWWprGaTlSfAKq3nCj2/xo+VUg1AXwOil2 +AtYP/pdAbwP+nnusFiXSHFIqdAJg7/Wvf01VxEXV8o6gbohvwCrZBjjmxkAYqWo1 +iN9n8r801UbMk4NeOROJMppSzkyP0R9axCiF0gmJHuvYJF6x4z3fgsuBrXnBQxAT +BRpltTdj9N8wb1G1uTNOKUkPBThV5Sko3IlWbKHTlu1+dKPD0YxmDJoSxD+bYW7M +Wj1sx2cEkkZ6geeNJ9xyovfNr1aPWFrT25xNIm1G4PsaVoBH40eRenQ8kCmSfuUt +BeFqZ4k4B/s4/mrTu+Gr/wr/4xbcWFLa5ueSRIg/1TRaC72CBM7cZ/nPi96ClsAU +GviGJuvp88Kf90PCUDc39A2o8PBSTlIB/I1vBF7KalpYavW9hQRlhmj0rep1mzGS +7to85zk3r8zXXU8yZwmLAEQ2NL09DjOSpGDAc4Ok7WsCAeKCEX+agoHygBLe3nwv +2f227r2OgpqxgPKRS2Fdlb4Sr5CMLztQmblI3T7M27+ooCYQNkzUnNAmGpDXU6/Q +bIWsiYTBC4QLF5SoLjpYwHTnA+R6BTD4G4+xTgOaHpIXrdgfDyqeaeya1IiC3LKd +Vo8uFSSgrgri/eUr2wq05fFn+6YWJAmGgRI/YFSUlDUZVOnMcLSq7rGMM+mw08AR +HjTsosis3UvjrFZtWRNqviKMreAdOJyvKwyCgpwfyU+77YKVRd3IcK/asp43Zme+ +rLKvuoaYkC/l6tFXqusi9wnRiG7dabxWRSuVt17WbCer4hJ/GeUF4nvQuZH/laM0 +OtNgGjV3GgfBVooOd0f21wzLAKv+lJOQhhoWIolQ89uNM4HUTtDv39iPEKC9rzAC +AbjOGPdWgvOVb2kkDi/CL/l9uQTo5500YhV0JnmfuoqY8FccJGiaabvb6OucSdMV +0mXvD8fvgpe1h1RCSiftKZ6qYv1PxqCk+1IPiRgwrgRsz+6bljAjAdyO6rB/FY4a +bysaT4y89e7+6UFohzh7MLUTDe6H3YUByZhiWjznrb/JQsTpinS60hmFXSd9e+aS +J3yOHFZ06zq/opEVHf0KVHz8VV3GPkdpvFgh4piXxefHTDeGpFqX9aKP00sHQEJE +8TJVbsK1voun/9vAWGNnWf49C7xC6z/Tc4e7Vuzrd77gM40akQpBQQ5s9FJ8ylNC +lm/JnzfOWwvk31lGhCY/DBGw4aqpkxMlt8kG7dY5Y7Xd6JkbueN89VCXq/7uBvbf +VjnW9q1kgmsxF+JHSltVfZA8aXNeXNXRIXIXX4WdaRlzixJKngpQMLLhrsfIKtFy +aUn9bKaGN4+30obyyeJnUIfenx4Lm+jEz4q7HPlV6BradZQJYs5uzwk7VSJAgUbX +ww28m1+gvEvf7vOjYnQtwXhzOw6f0XTPvyJPYSRCLK2yA4IZF9+tKwM0TO0Z4Nxc +7oH/wtkQTYk0zgMj2CpnPEc8Nmfv+qArDXrpK9HpCoGZqflqsyYrfR+/MaRkiZX4 +s5HobKX5QvZvDKSjgmPnNUyqQ5mJiD8nqHn64MArwpsnaq+jQ6ZmfIALtn+099ig +Ibjp7ltTab06sYWWsJNKDYTUyw4PkfrHre6BN1H5JB2taGVymV5IDbhI67qIwrxp +OISUXRgGteZ4e5SzfXV+NAbsp6nRyRLi++Wek51d/rtlbmRGAPm52XKbhuySRHIk +xXLSc8Bzg0F7Itk9N892NV4NbMkfxIGcrORicFoiJHuTultA77+ubF5ueJHvdWd0 +B6lcthoc/ZqCzSh3aVwMMznukIQOCjnikAPyg+B68xqPO5VhJhjsvNVcCVqp6dxy +JGVY0xqObUdHQVef5J/Nc5VuML1HL4E9T4TttQOoZvQz11DjkRgw207ytfU6UlAB +qPyjA1WO+zlMPB8vwPnusQ2J/AXUdHnuSQkzGYL0R1a+WLH1P/Tgm7mlH/1zl8jV +6iNwHKWEC5Z/7mI9F5FeErm8Q+UoGhjdSCuytEc6pfjptXespHN3Va5+ycj7K4yk +B5xps3qNpn2x+b1NNMuMr4RjPeSKFc+b73+rEaId+3KfnjdWWw7ld1ud3hup1bAz +5tnNRckTipC5oOMk89ln7hDpJOGU2+UaSTGEkpFfg2cmgE/vV8WFCcr0EAsC9/+W +NgPn4sU3zvF+6/Tbpwwxqedw6Mg+lnKR0RI4ejfeU7CM75gq7xPsfaTXP4ilQ0H6 +El/ZcElS83EfwlHZ05QdiyA0r5+3DRwvG0R3Xo98+ph+80DoE9jn/IJL4oDqwrnY +CwBNhCEC5eDq079IDLV5yIAZRnJZx1F/KS/qMKwil5jubqVkflp2af/N1Od7lji5 +8a0SB2XWJWn0MeYRY1UWrRjdWimw4ukvDqfCWmXWYTIm8bG6NZtzzDSBKlmtJcdY +mPxN/+aDb8aMQFgYaU+0XOJQMnSwqmf37Qnq9Md/RVVpmfmLVbedZ7nBvhZ6ielD +pTEfyMG7iGUcV1YGxnWBJiE0+/E5ae5ePR+cYo5iN4cJdwt8YDP/z35vxZSfoA9n +mcara2vCFJhSlkbYWUJq/LkobsrT4A+JB3o3vfuOVWDCmKdoyYQKwoG9/ld1t13I +YbhTnEZWYnD4+Dk8tqYmfgWvNtXTo3FlAb92+PG9aYTuU8LyKd8S1WRTgSqxbbBP +oTObRkvhwRe2H7W2MdyxnQOyhKcffu+GHmcuLwtjkbNligDVbpzBZe7c2N9d3lWa +6J/IoXiyoxA1He0b4HQrx6k07K/iK/YjpsOXY2IKlASGCN0v2hfrlIH/x4Q+czH4 +1xNbThDxvoTpcdMvXIF7QnGr8UGIluCxw75O9zwQG6Hiqb1P5Alay8tDAE1r/2Gc +cSro/gFlUxW91X8/fExhNm2OeFvV1HSlufhZuy6pjFiTz1sehR4NVATPODU0Xav2 +PSmTMGJkE0s4E0hnAo2EtjB2+2Z7hQC93N7S5aWkCbYjwoAzirtW5QCRp8dMbk8E +CVhB0sLz3DOXahoiIEbcFBX1/2AyDhxoi8pwHKgjn0BDFfje2SROAgpHPRp4YQIq +DpN1bBBhFpsMyV3J/qDIXHMxsVd4R8icY6JpSJWgzpIjjPjhHEW+NUgS55NqHWsY +I0MZM6ZJ/kpdnmyIH8kMR1R4NpGkbwzGO/TK6hoAFKODAEOxnVKdY+MxYar/YvIN +zGjIgwraPVFBs1bb9ZV3p5yOYy6zkb/oUQ9ISA2hmiImdcvAUSGfzQt/s0F7Ok00 +TTC1leH+MSraqA3reWC6ZSGvLsDP74GUMI038Eawbb72B3TMixcrmFvZgpzc+FYK +h23sOSsEAjcc6EnGNksCKJAyE3l0HUGCeikMbH1g+Hxf44uD6xX4vqhEyHQgSJAd +ndybrY5m1ljxTneFs0MeX2G5BHVeZs6CmXYZ+PntxwaY53GI3Kz+fPnRw6lboXxz +glN0/1yl3z3nSmXosenhKqgQPmyJSP8MTHNk6ZnQUljAEEbeMwhNFPtoqbkCCeIc +BPxqk3/Xp+XWb9YzHx6+yQd8A3tRxE5vtvguPNWdQP+D5i50H4LQMEAeYzoH8fPg +lDuD7E36jkv8YEkStcb3PWgvkHVUiEch3PYgeB9y63xakCIMqHN6joA48G/0wYqJ +JmJXyKJRcgFBh0PEIT67nwChV95Ut05ng6s/yOpqNIC+BDKDZq56i2HU682dklYq +8doHw0ui8i0IXiU8PoMEYGy/uI5q1fA8Fttk+dEpqYCDJ9AejlzHg6gTJOcOZV4r +iTdG5hvT16/HbpbdHs5p0AwJsAOqoGCJeb6CiQRMCUJ6TmPnfdttIkoG9TdlzjZC +dwFrG2LJFVPhTQ7qu9qXkIfiPwTjhmTwaEZDSSrlxv1ErDuGxV34zeX9uXwdhkfX +kQdhlsAVUy9IZO7X/IFn9e6gF6NzzO5FNAO3S9TzweRHKOfTRxUv2vDUWCU/cKo0 +nZPOlyLUHXNPnlYCMhvDNvyL+JP+F8B5jS16oEwk/AJj0vpNK4dAkbKQu+w99Rt1 +RkzOXqTad03pB4hBjJG3Q6c913VH4Q4eoepfezPHkSGOJzkc/9zAO0ByiYGHCSmR +dOSHPBjvF13vrmx9jPpGRLr923RqNjeZEoqyVjNq1en4XYClL/T0T/aAx0SX7BHJ +qCmeyuNXaCMWCwL23UKNVPamVDyqH77MJZ/v8B1XBEghvFOzv3nJr+m2mAJLGHya +rq+IbsrOi/tiFEVXH48IFm108ixunTHtQtye1N60NOdK+T7e2xSl6j2wsfJwAfcv +V74SszCvxY5AXaj1ciOsH67GmNuzkW0LQ+35AIGiF69hpkYoHr2zfbBCe+OFRrDG +l3JpIgasJoMv6/lU5VXs4M5qbRQLj8ZpL2kvX8/7WVLFfE6OOLQ7Cr57JJDwRBja +8bGd/+EnaXWy2v3hlSYs8S/T8e6f2PBNll/CQSuEZdxWbcT/5evl5fUjwYdBLkAB +qF1isnTjWp17jbTCZ3gRGT+3C8PlM2edvDtjsqVQzPOt9gxB4H0MwE1tocd5gOgo +KngJ1SZfEV2yJ6TINtKdbJ+pNAcJvBE+5YgU6POtVASIXDGbJ5Sj8zAFg2CR98Co +6T8D+tv5Dye395iaaEHih4/O3TjEedNBurK5XULr+RPQ58OAE9tauaoBskk3oKir +FxoHDFN1IZnJ5eufX6zZtR4kFq74de1PqskJqxqAPbyEZ95jeJS6xEQPsgGYOXx5 +1As/oCp6oFpW85Q3+lpEp6bAoblu+NI81GYsztAMGBkl+x1Kr9LX2ayPIYG7JUu5 +0jVlrMHQdHcH/UW9ZS2uylSrgm/j1gXqVtrblvmCmMqYU92Ogp6TBr2XAo9LQBAn +NziMKrz8x9ck6hkqOtY6dC6sm7VrinAbh3nOUo56sqrXWg8jvvLEh2EB7NZ2fG45 +7Qd5N76/CXB8QZ+HN1GXx0AwcLcQu066QDNZQJgYPo31u1NnMf1e9yr+miznontp +XGXAeke3n88JDDwrvSMQoiKY0eF7c1rRXbSF52VmAbQtFCoH4BdZWDPt5Egk7n8Y +HWOxNihEY0meOAxrMozp43rVCgDjLM7/0Y+7pdWg/RqcjTcNQ//swUM29sH+xXnq +U+cryzef49ebk6Bi+xFxn96pLlMeeJNDWnOllXpSOWO3ENWHCRSK9jskd/Lb206i +4EJ89+fF1+vFAPujdO3vi1AmHtA9ifp6cvmkbZbV8ELhWaCwB0npf99IUdbpqXVg +ALG3JR7tH+RJCG4xtSZCt5JMh+3R4NcsJCT8pon7YEBZNSeW1AJVC3SMOVQ9g31L +RBFH2EV4aV294bA4YmXyaugOiV1ZRdJs4SM0FlbH5+qCIZYQyi1sspsqf5OsHhWP +q3VoxmiJJt5PpcBDPGLQactUQ9C++LkWBaJNvUPJYMjt4JVGbJ56q64i4IlX0Sll +cn1r18LLzz7Ur2BEj6YxvKsAnloEc5711VDagqr97xvz2/MfHSxP8UVoTgQdM0bI +XrMbuTPUV5IK6y+OvA6rcbKv5VjmPUCoVzaqztUHvJeSdyWQA9lacc+B8XbmUzLM +WGqNb43UM9moKGjDIhhwFBxoqfIUCQubqWTxk+f/PssjATFQmZy9aX2NZvl1EpaJ +3oPikpwJmY64jmYXVmJeagYZhkcWgsms9JSbCBYSxHZipQsv/c6W3pcrzek4uVe3 +qmPAXqfQwKycATW7o861mjtHkuG7eJtAN+aLeAieYoMtp2C+l0rVWW6cxq4We0Hi +3GOTbL5KAVapvbPlWjUl69U3JxTo3/YO0DrXzgykUlW7bN1OoT3v34J5b+xMfdjU +QTucoHm4+xGKW0wIvhD09AcDO8bvteEVW3PwZtejAml96VxLxZBfIuUtC3lrNube +omhgUNTtRzm3ofkNoMDHwpxTR5o0Fu7YLXzLv75BL+FlCwCnVz4/nZlhNsRhblJj +XxnRGe2wPyyMZqiMIkF2c4D65eDi+786bvSm43v6SMoTIRPnUD3jnrec+e3zzGDL +PEF9Y/TghpYCpDj9pbNzSIRwvMsjEEuwGrPGHknG5my8AO3rJHisB7cv9y1t6Den +auA6QjhoVULe0GCcKX3J6KAQp0ETaZZ/8vWTYfp6HTb1O56BW/gpiZ5r6K3SDXzV +mXMC/YrBmjucpua0rrefQW4ro92yhmLjq77icvuC0Q0o4W5NVocqyG9KS0c1IrwC +6Yre8vcn07cfE5T1UxXad5ldqYeUulddkfFP7TQwpjEK/DFsVMmpa9QNHvv4HUN3 ++dypdBjiylgZ3VZqPe1zdK+s0OE9RwLSKk/C1KN8A5BmFfX46gwobE4SU5+VyQDP +a9vnpRvRM7TJ49gg13TkC/j/kNoZ0hE6b1hR8+1jl3yxjQxbcwYPfB4/4jWWazTd +OVgtcw6f+XLY3h4eDaGZrCQv0kqFac29AlGUj9PCW8075lB1SNqr780V5DbdkI9m +wH2qkBYJbm0JJ8HhJLoTnZg/B7UvlMWdiXfl8/6uSeV/EtWORWEuCPB5T9M6OWdC +8Iqe5j3PLSO6c2mvsV91CgXMDwTM9yhUAw4nLuGUCK2BziT5b+rBR3u6FLg0lxIy +Gadvao9N7aIbADBuqRrVWeW7yqniOwPJTVl1Jokn5jJL+LPQxF91/GlZ7dTBQ0cr +/9Z/d04EWs2yOkWuzapeOXOvsdzKf9YhSnkG2vnY8eBdSSe4n02Zf23bBhLkM+bO +lgMVMKbY66QU/5oUza8LgtG8Kr3BVT1IZkSjkfpBru5JYxMkY6COiSL1ohV2RmsP +xPJhsh/pJPPS8pF5xUDAf+cy2cM1GW8aSvq/B18MyGRmu/cVhodz+kca5Fe1HMgH +RAbV3BRnDcbMljt+piJhb3S161nxn99/2mRRvNCXh5kGL3YIoDVU6sqDYfYHJUlF +I+b8PVolTWyKX3GsjLqHqnZ088vYKjctZz7dI6HCqEs67tIcwvOwuDWzKAlUDZaC +qzvQ1j/+pVnkPn4cHK2Feq3ZVvdVbIwKba/zU/UoQwvzggVBHdZQ3SCmxZSZe4sF +HiMmcrXFwYh7/gVh4lWK1vjY6qtVzNWMg6UDXbe+Ag7ryS6dxEZK+wIC2BLa2d2p ++U13XSEdAdwIm12z/8VW5lTI1f0MclYlJQ2PFLjAIjUH5WUEjSw0UaJQX26MKNsl +lJXXc/MQmrPjbJhsWWMkvqgMBhIYGFfXA3Uzo7AFUN0MNePdQa1EJX9KJph63hZN +XdEwETOvJHcRRbt28ZlwEkGjaofwDp0NzcO7l6LhWjdunKpE8cnGKcQIR0XVbHhT +8Z63cBZhh/n2RmL0qf4BB0ANWh3gMXZVmGiBky+Fvsf6A4RViDfCCYppSUuFPAFs +ADYOCD/8ViHlQjxs6MXk7PKTmFeQnVnmG0Z6dxzGzs4pxwuByxNfzwOH1YHbC9Mf +hnnap07hsCi7d01qw9+n1+Cs17e3WwEPJ4kCaoLCJbKTKJl1Hbz55JTvIjblTYXh +7oJFAj2QHweMMQqGJ/Rea5akk4ZYPWW1vnA1YEdadedo43r8/96KixAJTx9dg/8z +kRoKgTVu76QopsIvA+Nc7am4Do10iaxRqOTrPI03z6BN+8T6+MLmVU4fnvtjGecV +wKx7c7lKUwr/MBUujtGx/PrlE7UWYgpBaCQYqYtocGrMtzibNiBMWGnW9uu1R9gU +aEKyzzZln5z+2ajDs0S6pi+99mNLEN4IW0Rj1uFms+RbxWod5P17+V5NFbK0m5zT +iwo6HhycW9NpWuWg6Wb0GHSZJExZJlrM7rMnF8ylPCwyohvoJ5cXGe3sAyursovO +VWSKB1Ms2MdTPhCxLOKx2sjHRuTwB1FuDn4MfbRGAaxmJAjAsevihnLMLiVDJA6y +NIl6JNk2OXbaQmO+ZCniVlI6P4kmtNIgRi1ldSmO7gCOCAhIRXuSg24NNWBpf3fW +QEGUKlPIyS2YWPJVALkR+uQ+6nDtf3qg5Gw2XNoLWvpPJV9T3yXeNIeovFNBihb/ +ZVehs8hwYWZaOQLfwpMJKltOp4J9dYHHaphRLgcwjEUCdu4DgGSvOEuQaEI6xXxM +JowRogpplv4qHY6KfuC7D9azsf6GXpSl6zDfJwhcuppLirzQ6NKQsxWHs7os3HpI +jbjYY2G7xp6ti7Rzxyovdbntxw3k4VmIUQSKpNLpM+UIL4dJYt4PMeUE2ida/Qoa +LqH+bAslR7C/7LBbjZTa1YJkRNnloj1Xd76TRRwiS18ME509y68zCejw6Yn0kEfr +Yw82Y967S1b5jYrcfjHlFs5ZoYZ8T6k3G+0HRE4q57hce5Iq/8fZg6tuqcyEkvj0 +j/qVXzQJ5K2ShmQTds2tOdFVmdYiRYYusHflxHcenwLZywmL34M1IzAEmLimNHGe +GwAWqTxmjGnpwJFoZ5MS22Kb7iAw+nha+i8BYf5DF3Hn2+Gu8uAU8J1G9HY5+okp +nSPgCK4XqgCxya/NDiFLhXjQdxr3OCxSUr+h8cU9I/SFBo6wACLUEjfXOkl0rsyK +91vMs7zz2EsAdfjGSXSsaW3Awg2DoPX6gmrBf7O4Kjw69Qw+oO3vD7o8KPJl2TQG +0yugwo1Hg18TP4RUUQJ6ogNqhSWk9LgKc+BwP788AtCCVo/zkAWWj5JWww0ffmHy +Xt/ZnW0c9rPYGh7Wxew97SduKY5LKc9ZRZPYiw/tAvkiXqao7DG3ADug+MV9QSD3 +vOw/I/hqqtEaKDupt8Sdm4qtj/zoqSJiJY/swe+SQw+gsHcKvZZfhkSHcM1kWHA0 +rWGYMmghWWJ68wErSZRA/olFPozuxeVLpcG2fjPvWYsL7mtIL5sjvPyXEjoZyI/O +2oL8eWwz5KGwS6Zmvhnyl/jgJikiJAas9Nc17b1hjFX0RfmHnL/Q6Ghu8J2kLQIk +uDqC/XpR4NzfF7Vc38MxfMInuv//IQMLvuIoSXpAMInEFtgtNeFWQOv65KSWI/Ej +McO9oQPVyKzHIsxECqSWArzdUrJETUbJUGS6p/iK33rVPwy6xHiORCuYrD/k3+5/ +u415f/SgNhZyuqBbcEdPVIOsJNE++vK3A0LP2tSn0vDDFp7cgVQ9EM2e3nnXc+2V +j5oF7OL/tBzuXSQI0x3VPV0gMpMuCHi7E5CIRuvaJe+wTjRabgi/wMm77KXaWJsn +Di1FxfhtTb8Lv5iZsLWIcyIcJF9JBlbm6k1k6ZNI9mIMnkHvcb+OY+9UchUDkSle +vjHvlbzSArU9n7L4QQoiWT6w6SdcksWzWJEroprERvisfjyy5Cc0obC9kl2n1G8L +M7iSWiToNWf73AdVUWXHq0wn3hTT6/m+utu2UZXeLUnNCBVvmJ9h8AJ20uWr6aEz +OQxszZ/i7zM2rfonjzb8PjjtiVW7uPESQYo+XnH5aqjWC1J2jMOwP+HPI0U+KLsy +xiT/KFuIhHoceBWaf8aXdk1/7I0YWwVeSHa7nQKTnbZvRtURMiw0VeYpoiC3i03Z +s71y64XfXa9b/3S/UthdS1u531KLlcTVUoncSAV+M8/mStRBCNJ3vInSqMrqGhSe +MzVDP/TmZGJQN2IobWi0dZQ7c1eYNanXkcAvQ/Cc8+gdLrJL2ECMx/mNScvl+VUb +ldHtSNkC8flrMbQCRQWR0FBzOudSkz9SovekA6FCrp8dxXqbVnMTJ1I+YVGZTTI+ +fzYnD2YgqithivbQpjaAcXmiIAA+Lv7y/Ehct7+7gsxucwHmJ5YAmR3Q6cRjGEZk +g4Bx9wZxetYHWw7ilLFvkwJgYJLAeDpWkepPr1yI02d1bceLi03WftSdo/1sNJ11 +N3wd3YXWO4qS40A+4OQy77eJi9mwCnAc3ir4J5Vh2wuZDirv78pWIOkwhPgdaYEY +ipeg9b/BEeILAKqiAul32kAovjxrAXA9KQ1tCMWdMW3krTdHizbO9/r1VW2LP1+v +kSmCm2ZloJrwZ9cSW6pasagqVj5xMR96NXP7nrNg4P9Ou8bfY0DgAdWCbzBrqclm +BsaTZAyfgyZVU97nvwUOgoJgw/q+038TGGUPM5I/KooTEw61X4wiooMmVYU52W9x +LVyF58Y3vJKNmA7AD5D8bbmYPshijMNadA646etxPKpzGGXc+TUXjCmDg8U6u9+/ +k/o6EM1PFiymwAWgqC2ixyfjW4G9kDxiXIfIYtHxpSyzxLTzq8tKPMh8L9oOoMqb +RTV3/+ZAlsXYhoaaoDpjpLBI1cyLn1i4umNTnahHg3LfRp1POjX1rqb4+Q4aPVYL +uUIvk4asn4JcC0X2PAzU5ttv1qOOZwhN7nUFql/GBNDcDoDkHjBwH5xJpE+1y8zA +ZvNeiSfEshspc1QPz2BFOXyAhXrUj/TEGMSYQR3cTWJ8I3ZqZtHsN3HpSk8nlCZW +KiDLBfjTCeeQxGcni7fEwfde6bdmrRjE6kQfXjTSKRet7Pe2h+iGtk7TkWNpRnfY +To2RRHOF8+lvnXJhEcgFYkLa++tsYl47pwYIwDSgu5GixRvRS0uHmwwVU9LZDUyo +dH/HID9usI/M4A9Y9kLOM3dCMCCNdqROiluBYzb8t6HqMjRKPZmkJejG1Kq8P0K4 +Vj5g2iT1jByWwWCGHwh93X/nPElc+sHyvsMyEwkC6nhTNjo3nwCY07xqM2zfqu4E +zJG5a6DfURBKMbOi6DQH7hRA3tEQNrcpVK/fpUtlwv/Z0ggy4Gysxl426PGPnJOj +lW0xIeryCM4e37SdtR89xmSMurRSBBR18i0HHUjgNIC+54c/DN/J7okSw3UH7S8f +wXxWYBG1WNPSG+Zsb2a9TLweDzIPG7SCChLxLNHOMVhl2c7HRuE0r0AkxjNkfEMo +vKlUv6veQ5MU4tp8XAILBgN9Idfote7rTGywBaulu1KUjsF+r9yO79rU2OkjtVRA +r2YkAA/8a+fwvvuZLm2rM8LelHDoU6x/mKJuUSdPSPUFaVXhD/PKvsiNso6k4Pd3 +32/QvDInk9EcoctkxjKJpIm+nnEC62YAvf2kF2abEamAKgAa7BR96uumCd6tVBXz +4eroehaMyy29QbQ8P4KTyJ/zo9+ieGQs0iSsZwrLeatX11X1FTmLkLzbQqdOysZu +mo0IG5QZmldN1Xx1VuRQcJj68S1LFhGsiJE2TdSKHFWUDlSpX9MsqbNfVXAW4Bjw +mU/wKMpaZ5Q3eHRPQGLQW09tH88jv0HHUQPvmce1MkQx01JI2AsROT9DmmKxuata ++Ly+FDFd6tLvVAcQjKYbYzwFv+u3LuWkrpprfrj0mGVCdnbETkcoEooYbt3KGqF3 +48stq3xNyi6/ROo5M+pBpGBkoDUJwCXN2NHqUw4y58Elr9e18x5Lgh3jqKjYYjle +AIbMHU52hVy7YmI48zAgBVmLXr9PxT4mWIQO6JOAknKM7nlNZDpauLiT+eSc13Xv +U0By4bdia7OvaIUQsFursQZBCvS8tkfzRrC/8oLzQRinL/EylroOtYX6oIXGohLn +SlDBxwELF30Yd//BPEcWD7Cp0KjCOjFDwWIR9jhbTmJLF6+eC5xx0J7G+64yPFTz +Y+3bKUmSIWyqyBoRfAmgGOsYjQVIQPwtO0D+veJoeQkKfXkEUQRg2IkRSZQE3HcL +OUjWWKbJK7ZN4RiTMQ4kYPendtmRqLv7LCLMX5r7Q9YFsSfp1s0r5dn0Jb8FrhLC +XAmNqmyZ34h/pLeo1sXz+jyUXi1uCBbBe3lJdhtH62+JnwVjeRhXae+Z2M+LELiy +DocqhqmN5RKx4dv2N4LWCgoPMsUKB1AbtkMwz2JWnw9qdBebkWmE0jls1Ca5qA2n +5vI6xwc6lPBmYfohgx2DIvwqpo5Y/mG64KSAueUQ710j65OK1zmtlTaRCtmGfyuS +6GGONkCdnbm2UCEc+fltSbjY/lipYC59+UjmfNOrVfwXolNLAm9+jmIoYMNr7BGD +kQCN8djYVJGg90wtgxuVMbMWENdS/XnkelcqoEfFr+JXGRiT5maddCg1mA5qOYOg +EiNf1Wm0x5ZwVfy7b5LUr5A0dN+u4GouIY+S8PS26LD0csXmbp+KtLL4UpCWVlOK +pPvAq0HhQGZLEdqpzkzc0mHpTau1yi61He8bMBwe8i9OXqAN6e4gPFULh9c7Q2Uv +6cQBuHjTxOaRUkbizNffBRHU0x3eSZ7dV2nFa8SEBUldolU1Pt4yjDbvZMwKa/9O +R0CKlWgIOsV6n0bjRzl0LYJTw7uyxACTnIgd2+DV8TZhhzcKavqm3FiK7Q/dRwA1 +8yJwI5doO5istO89zAhcLqF9FT3e2Qy9rNp9gBwRTJD1jJ8MWzbygf+UIO9oRORx +X+vby1rtmxUNqRCbActfkhkAgLewGIySmU8/FzeNKUvy0z0fc+o25DFPaaa4XOjq +Du6M3zHDhb/7KDYhsPH/iobpJ7TouoQmYtUIiRmrmdG8HpjEB8/iapbuo56Dz4Nr +nQ/LqDXWdT6OincHdRcufnR5o7qM8s/ASN7nQHnEDENmVijpPPhNymdnp36JOPrr +obuoMuqtVx/zKd+vBNwRlGj7JORzjgEQdr8SBL/7e064JUCcufiSNk0INXIoIl80 +IzGsDzV9rpLsc8+VRfDD8ZxL+7t3gFaT64PEPMXSaAPCO5EmLi3pFZviCL+vvlA3 +VYRv8eXtQNghqQYSqlYilNmT4FfxwWWhFQaTkA0WTU7uPwIbIOqarhLAngwYCHj/ +qWK+BEmW7VC1lRGfgmaG4CbXFH8aTPSexpu1NycAJ/RB1QAyYFBym12CqG0aIIZp +2CKus9GD6XNhlzjvi37/fj6v2oK8BgLLgQJGkAdsYp+P480hTskSoC6lgkaAYROa +/09BD7ECEfITFFvT/jwpReDaYgM7jhpu/ei6CTdocUjPGDNQCzN0/2bzem7WfA0q +yAq2aXE5Hf34OWZV/BjT2cboGCjZG9LCM5bZXMYhpBxAKGuBKJ9aPGWU1fQaHDAS +tO0z/qT/ktFVCzbcirYjnwfl+LiRdj2HkCT1FokKNMenmMasc+k6C0CzKrOzxUQg +ijPSx51Kxqt+YWD9LYtAdD9C7IyEBQp4SB973DMhG/WH5U7u4VgnovgUgld/3jfz +K50M9Ol+dpK16o8/YIKTZR9ytaFFF1lpDQJ2AjH8KsdV4ZiN+XkUuzm4Q9O9zGja +hzod6l66w8Y5/TM19qIrYrSVh90IYZ1YcwoWR6i9W4QAa0NYN6xE27JHXd+DJJU2 +qaSzq/trH5/GkunE/DwRwT+lZxP0GBJXp75ThZ0AQ48+DcFMg7LmgcLvSCPnXLzw +CJo/5MCNy89mdUWuSBSee36kTVWZf7UIXr2AMGEoRsz0NGogZ8se9k/rCBIkQXDo +JCFdPQYeVdBBixZvPRMJzQndiD2Yu0T4Cm5TGh5+JgTrRFgh9Ntuxij1++EskOkx +iRZP5e4rR75ZEVFAfTTKV6E3bacqshS4YgsFk2AhhWTIp9w6v+XQPNpJ0o+DvLaC +QmysOtzuI+lKXFuYdKncpjAi075mYRAegYWHLBGEJ18euMtJYGzmm5bL0aXlbv2c +oEIu2y3OQMBV+eetMNPnaOKXpoI4uQIU2QrfYp9VQIT0uXBpaXlVhjrg2PUcUNgb +8Pa1SSVLTeyVhMpeyjdgzzaC61N3AEywQHwzi4bVgHUtWtpJuoN7XWvQ4Tr48CYK +uJ6Vbu8L/a8p3uH9Qywyn4+EBowmtmkz6lUVmt3ZeawBMcmXMlj/T0EyTWh5bukT +V4N+ZES6YSIjnLJLabSaacHZ7w8Im5g5x3Qn3kto+lOj+aM7rKxXCG1IqNrOOnXH +KMbwhhSWX7mwt4Q2fkFlDhhszyWkuJJ91JoV0SBRcVMVw27wlDZtv5s0JU4eaY8e +HN1qSZCVZgSPx5R2nKqaBaNnD4UIsWess/e4xGRYF6ffFvyAsCd7ostaVly+nzVn +lVSClC0hT6nFxTYNzB0gbVvCrEfLdQi8xwSGnEXQJVjWdPHqhSe4CFbTAM0wJngG +zPE3fupOgZOPAsV4D052PUZTP9McNX5mIR2gs+iwCdecUnj790iABWcg+L3ShRsb +S1OOSfYnKYIg+Mzc+MEhW+2iWgLqg3Py75BBbXFgN5oKaIcXOp1GMcM8vKjNDIsm +UXfpihu5RmwnGh87THXgTLlfARkjSsvpjEIG+QHCWhf8BORJt4KHIc4eHp0gtcku +j2Hh++RNxH+PaHXNqywOo+N4Zx+FNKaAMfguXxtcKDyKUUfxoqOmWo8vioRdFdAe +PhoVm8IkJd2NdgqBZwopsx+W/lMKBg04amAkWyHfe/yqa+sFfkxtuAxRHjZ54tUs +EzL0WpBV3iHFVMtLGGwvN+pyhSrgDUECpKfscwHEQqV5E7i7/jbbYwvr8jtSTUTf +uxNICUGZnypuD5DifBhkx0g06F9Obrs5QOpEao+SOLM6zWQrIr85vN60O+FMzsh7 +z7hmG9CNrcQLGy93frP1CLqNBbpLykTluqLCRqrFPNFz8n1/HZ3c1nVbB8m4oG4l ++ik1WkCEec5AHbT1RLKjIn8hXh8dXFqq82ak0zIguuIyWZpRa8YsBYJVUFQEJKBe +YbwubvFc/zoi7gOSh/EvUhHPiQ7u3Bx5yJ48YIxQZNmh7xT02eOtogxD0b4jAIRi +nRrHqUKN3ZEWFLFukJmwHEHttr/eHQ07MkFalEH7Gs8IAMsLh9vHjBwHFougJ4Zw +YTa0uJ9iSIiub7cjXLGeUc49jSyBlriq1e7zdEFVXGsYdCmwLpxUEray+Q9JdxGa +mf9HH0fykRvHPpTkNAsxX/pcD8t0W3hQmZy6ayAGm9+/EbaREZgBw98PLGhA9mfi +JNmyVqMtgQAfCau3JnbsCOcY6sMVEU2NFAUka36ZaO8HU5GGytpqGMLqhSvNB4Lz +/4eC+7eoaKQmxqfYK9v4bacOPyv5KVaE7Jj7ZeTRNmORQqxALx0SVuphpiiESH4+ +VV7jPF4Q6HMmUydowxMK7ASxXqjj2grr4Qo6Y+g+ooeAviuABY5LOtASvxbR1e+b +9GBe7uQ1V1LFDcW3l3liWQ1oCxngXQmNKf9t/pm/enPyMI3nf2EVQ3ln871z9e8D +ynjhki+0ttoFLmJ2r9keMqH1hL1O/bdW7NDSVJbRF+Dh2Wz4C72xhpNJVYlbmV19 +ljmNKf/XtKhXo8XZzxuvczVgY7g/GUkMRRo1++tuXTARTTLtg1CzaWpv59nSNnRr +F3xAergik+h/aQ7u7rmsobf9YNoXVf58oBL8o1Jkeq88ot+r1CXM/F2YGQbDXMdW +pugnpubsAhXAhJPOUCR0k+hCCnjUuEEttWO+sKEmqjuzuCuEJkWcCGVNvKo2RAMG +Zix6uNZYS15cewaIvo4bkreLZWHD79flQHBokZlRvAMDbGJnBgnGVkLq+umaHmjD +6mmNnCtXHTYDSt8B1w+yoQcxzlnQRm/uaVPa1Wk7NLQXSeKBxRlyqtB0JZdqPiUZ +AAXz/8+EhYFVtmiiuiRnOql7wTFvPz8RfKlJjb+2ardwecaxrINtSGtIaIEFAFzX +y95tCY8lU3SEKgNG5kLtouJS5AoyVhgCdHXvPuePi7DFmiL0K6+rJGVl3KKqHuIM +pgy3TQelEukrfFZIWFfKKHLkzgdzLFwS97QhDLqK22BD0Y0ePF8LJNoe4JdUHvIZ ++S5UOLtxtuNV0/B5JLgsU23J+DffxNKDtefVgtfcxrWFm5mWpHssJM1gvcrObgWq +aLWe5TPT6rahIfENKgnV1tkaKTwRH1y9pu01kyzKqvXO1gXAUXdGr9iSeyDjkbL1 +JJGwc3wMn9WiTIlYgeP0MpuftEbwzJzTyzrarnAAH2GSOiziq30rrrC/gaoGNd8X +UYHAiCjBklj3vbM7LAIPcEQJY7iqybNZOy9KaHq/aYZ4pURdQUoYjf/3/5NBWuJS +QDxGpYE/vMNdhfaEzQsXb+IPDHTZjj6+zSci1s6ghfwlW+bBzUx+DgHZc/PWFJgl +b8bhvrRyMwCRGDIVaPN5lhkYQpvoLxdzGLisz3LJyPTY+o73g4Igj8jNlKHWZSi0 +Y/d9yQ1Bz00XtvbnMyLWEaUMBhlSyNqgxF68tdiWsV7e1hW6ocqiQNkSDHc0bjuE +1DeJEfJdir5zDIGI9+3AwEvei1dRkItUMe002izikpQRJ6EqC0hcp0HMm1TXluSJ +Lp9XxgktG5L7BKdag9cSffQCQAUF3W0GFAevAWls9eLoNi0ThjzZg7gYCqLm3nJ9 +EdqdTnY/uH9plZN1rcvK1jmHG2H5YG/HgfjyvgMFfNFS9QOFf7HvGiAJIxImm+2d +0hy6e/N7iZRYPEQv0Q1bkgpP2H7trFTxITA84wSQseujfH1faXkGmOq7eJ+Ddoy+ +vOg62qLOyOrcQQSLXp3P2EEwDs8GOvdVL+wHgHMQxVzn0QNSWkC5DUK7tNJY/D5G +GM6G+AnILHWUxhV2Rgwa7u+71oX7F7pGd1k2eFXqeiSzjDDuoqgiHtxGPtMsT59x +C2kUcmlVQH3txISRMitei492RwcxBb/ycmXAIdoKP8/oNe5oO9389gVt709T9xcT +2D8BJ/VZX9AClImkOlfuSxoXE+wv7I31NRaXz3x66qpSOrKvCdXRz1Xw37xf3UZC +ky4it7P6tE2yCzWtADvFMF8MNgQNVMuYJ9Qu5s7WsjpZj4pjSGPUormyKVCHuGpH +d0YdpF7OmH+mGoXQHQr9vGxD60PGIfXVRyrPywqz3RqMq2KtfxjQTZ4Wgqj3vDb6 +LHLsc1k4IzH+t6StRVT0oZzf32Dho5GdiYPrrs814bl+HtYZD8aJHWg5Fzyd+/iK +NxKZGh5ipj/vWgatFhegWRkDTHsO0VAeYLilgQTPN6hkksF/2T9iKamgduFxzAzj +MjqP+ddiAd3s7ieG0O1j8CCv34P3arjYnCq3uQQTXbuiIvb6LH4oxXmXdT302Z7J +0YA45EayIo7odv/Uggp4fLINnXWBWkpAsDvc3CFZxJHSQ9osM40/+qhVrR+WL+ci +xZ6FJvAu94WSPJYwiogeRJRwzgIYiPaTmMbhL0M60GanupErLA8lTeS/b2dGB5df +nX/rvqGFgklblYzGQOwwsAKptneb3AHdjWS7j1QretGQ1/FasstiQIUEaxHR278p +nl63/BjlPFHkmcVTSaXpdGSUtGJ0NIlMqNHBqkL1aTqqpu4Ita9Vx9Vhfsru+R8b +WHfNAI728HZraRERnkblF28QQvS7PTKiWAApdu8UEVu/9sIRdusQn0R5lk0y2NCi +P900mewCDFiyWfYPUMzBb4yeA5wcARAtXFLdUqpyIFWGo7jyu3cLxKq6Lwlxcnoz +BMcGXQrpXUjrUlBxThOGRMwM812J4i+2bEs+QWtx5TlI39BcvYeKlwlQm+GJ1QvH +zWDk2gX4pDeH0pUcYRi4opWAOCwULXEdw1j/lKfg9eRHAmRB1eKsZg7d83IxQLc+ +RRTlKHzChAH0+oaurH08g3lnWZUmssIB8TikDMcNXNVMfpcr47L74WZE7CLwmotc +x1F7vueYL1+KaI57cGGBYDgXN3LUBSbdVU5XJBwC6OHZI09Iv1+Vl3L+I7ZgZZ5g +oXuUwv7k/61BPxCr9Tbkam4oijwzmKveM2kO+vYJVrjo77ZG0jM13MPN2MnfH9GX +HEWh1/JDVbb4m8lSK+ElicoQfbGEx1Hgfjm42TAxNqMLxHo0wNIHJ5b29g6CZ3Vz +rXNj5R3IuVza2QCxUybefzRjkKy2y6++h8v2BfbTmBWU7vYQUQS+dRU9WimB1jzv +odU5oLlU+T1wRBH65j1/y2S0f0ncYUQIHO7XeGc91x5LiBM2W2T2r+TdVWrbHfBV +Y9ANE1fnVKgkDj1W6sr237oHQ5axRnazTpYbBy2jr2Er2nWPEG2r8A8nGeyNBF6n +uxg4+25jRXadSFqa/97rgdrJu8BBlnQMnfze5xdHNKftO0WBAB2UbottfS+r+3Tn +GnA5GArUK9PjpupQfX4KQV2CEYA1Zqj8vwiP5rm9YPdOFTpt8FaM7s7H0fQeVrSV +7K4NhOyfd72kFbeGtPI7n41mkeOos9v7TCON93EgHJLQUJHtqsjJt/HEeDVruNnd +zYeJ8LuY60nGPPiiRm4Et4HHilF8SHPC+BjrKA5GyyPbanrl+WtZ/KqpJDuFA4XG +cexu6gg6VzEZDGUNC/KBeC2aQQUZhEVKoOXbsxWgdtn+CYuCcg5ioZkeRVrm5+Fy +xAdZzmm0Ph7Cf9nlAfb7VPZAZew1ZXekM8PkLr6/uB06NDxYNSilXe2Cq8xda1nx +YCjjocRDls5anb6ZjZIoLK/lKr68skGMoETgklCG71oGnnr551sMaPNjp8zWcT87 +C3UOjmyubUEQ/KDUWNeMEYQOiCvXgA4hktM9U892g6MWbg3AlQA6LPXc+YMHtaOZ +3r93Cl/VNfZ4LMqrGt4F7+pIA1sjNrFiEBeAWIhfI0CXZuC8+L/0/gLHLA3zD7MB +7rCV1WoK/cpNPxCGlLaj/xNOR7aObsAxVdpNcT9OPsQzt9eACksX3vGO2mQCYzQT +1EEvgeix1KFuh6qrQI/W+tDFzfIa0xVLdY/Og6XvZgTdxMwCW5V9SeKnKB8SO13Y +FiT48sO53cyLoJQeJhiktzu7DchXs7zVQybp+Pi5kgKKgtGLhMc1ULmUYK36Lkdc +vWXAiRCwRdl67Dk60k1NieRpEnv9ks6IS+0UdS0qTspw4oo+e+dSp9o39EPAHGZq +ZrLVr+2/fm/vs8dA9ogiyNMRl+yu1iow9aHHTH6KlKRp4okpa+ZK3UAGCkoF1vO2 +Vx9ss3jyVvHIZ+Vtt42GnzewrSWtKdOkyQZFJipwixiw/cAXg4BOnXuw0Zoibwsk +3az1JpRd/fAoFBPr+3XA9VHzy0++/A6DSyVdlTiPSzDpPSBhU4Gx9k2GUZF4FqNu ++n48o22P+Ys3N34mrunnKg9TylqQY/z7oinD/AZAPcoxPEfwQO9fuEQLE6l8Erwr +XTjXZxQ4HmbsMHzS/R/T9wELJkxxjTyJkUUgLIgdz4l9ea3HIOF6KoI/LumaTsOd +zwNHmpcQQs9DlqcFYa9GULuie63ik22zcDSAfger/uYRh4OOrwXdtfX2h6MPxarw +7ftbbYXenoelhebbCF6fKfTwc1hKvTKzdi0GCA6KXt+ee4aC2bUJj4+Tufzr6B+Y +TbbqFgVWh5+WLaER4+mU4owuwMGsMOWcpXJpBcNf+eFfMl+T4TNbAO3AcHN1igtf +q2BMga3DRN5vvUwdSatnuyBsW/rhMfD6Pr7VfguiNutmNa3GtgDX7rkdEmFk4Kfb +F1XJlB4ts1PyK4ab8B0U67gh+KCzRq0nMIaqJqSzMpaTJN70lZWsigwh9Bi7N9Ze +uSbwz2bAazFyIZI6TUlo8u/soz6X8WrMHX+QFhBkIvgYZ4VTBPyDLxGuUJDM5ApQ +zjnvAkAd3/eudmJ/QxkuyU+6YJRH/DtzOwWER5RUT0qqioobW0vRp2UFcwaWzsJQ +ip9xbjTKazNueTK8dXxxgLQjj381KbKWaBgZZeEVqvl/UZMIMQvol9JnuSu4hzfq +s7w9hGqU4xXSghzEAi2UPN1ktsUycJaGU3JlN0Qna1ETNmcLnLEWy8FzOnIZjv6o ++hdMMgLhje0z/+bBOPDYzaAwGdgQvvc6uVp8EWMHStvx2Bw2MPau7gjNZMsalVtF +Kn2aSVmgxhLyGDRyQOWx8Y/UJ8RUnmtge/+gG4mU+IHznCh7lEUNmzB2P4NlfuZL +w3u8yCHXr1JZmBB9LMIQaBxQM5iO+A8qlTLK+zv4PEoWJAcgXbP2resZIgc2On/k +X+lt5YgTrftjImrg3MKI4hs0b94KSMHNpBCK3xTO9RhBPsqY3xtvarz6qK9NSqyt +Kf8cku6TXLXaXkLryWLyo8Oq31uJ29tFFNvHJOdvOYVz+/NnydxaOyowUYwwtvf/ +i+zJ5cRbOg7jKMGmC+DnssJzVW8ZtngRP4xFXqxrc2RR76M0EKgnQG2xK6TAPO8o +aEoSaRXxJl99EohJBMCXmzxdrv8VMjhlJj2mQYSAhPHnQ6MkI5eh7r+P6fRPw7ig +quiAn3X2Own+H9CPX0NPxmc+aIUmOUAXZjaKse5lg6UFv9RPyc7py+cEByVnMC/b +U2/dyNtPw0I0/IX8FfODqeKrj+oh1awQhXxZ+3bl7P0QHvC1K7DKTVqCkveyhk5E +kF2dfYW2xkTG/QWDdTtukmtBL2QdtDPs7g9oBeAWOmX64lhCl1yHDXG6Qjix0XEY +2ANax0GCaXQRIPevZxYaB+Ex97waCTpS9Tk1ooQjc19Fkdbh5Ml45Qx5s6gbb8wC +MminGyY2VoNc5ozamRMI4NUjVzjleNAc+MVWhOvggKpdziFr6ItemE5HY8puu4r7 +EhLL/n5JioCxd4p+dvxpk03ePZLKBwVJ/ZSjmDGZrT4tSWV+zgTYISurKsgDahL6 ++vmE0xYUb5o+0gGlCr0BfjN3+CiO4hp1258ouyWKUoQMiax6gFX5i4WgeB9PcuPC +Vw4qtFMxR0wTvgCRDr/fl3CHW4EeiP+RAUSDufP35PTq//PhGo4Ehm0avOQsfYpr +D7DOXSMiOIMEldSfCzcv23BVc8aFzo7ZzfDi78EAPqcFBN5M4CVARcjic7gCWRaQ +ppnuRr1OU1kT9pi/SrcwBJN9i6rnYlXErXSVwTLdDfkf+uh7UPmi0wQovMJPX2bT +T64SKHgz66BkqRr0NxdmdARYt2GYcYzJAFmQwobsDm6zU8e5jjZ1+rYVYVr0bWCm +bbPlridGKATAEnMa916cqIYPFnuDjBVSVvsTDWoiL04F0qjLVW44NNVY045H84D1 +dOJhP9DNec2ECXVfahjMw9fzBcgSayKu+tPea4zdfrBUlz4B0/BdDye6wE24UMH0 +daVqu1DsYZnsbjQERxVy8QtqeneLC2nKhO0ucNR7HNlFUBN6B1c7OvzgBYTt7Hc7 +BvZ9VYlDxybryAoHDeHBf7Z3pHiL4BqHwg4mDGVQVJwbqEgjb3LJri9qJoNUsHln +eGufBiBXBOoxULGt6G4/wH2yedQTul93EvN7E37oVckUhPyxpD6QwGwXvg6vQZLD +WPuTFQVPpsbwaPdL7NsZMRLBoAyrpSE/jcAOiHISSvMFg1/aKCIWepzt+vDJ7u0o +NyH3pkm3GnUvgCZzZRAnpSOrZiEr+MuvgZJL1IwdJWOoeBAb6vmKCus/a8skgnA6 +hKcdfyZl0Eywi/W+nkuMzbD2Bd56JsxAPkctO/FlXHTMveX01iZ02uIVQ/oJGnNH +OAuTl+sb27cv8xB6T+Silo+bEoeJnejq6vAcqAHLXfTH5THPlvr+7htFKqdXiChJ +oSENakuYKYrcCIsbODdYcGJD33MoIAwajj5aVmHW3wEDizBzZSUQiT0IkICdkp3e +lgpOV6Ns4hR6Jy0phcJps7J5YM4lCttwLeS9UhwUXEpfDQrCcKCHUeJjt2Tw8wz8 +vODsq2Lw5NkRUAAF7aYcsUfz8aeNYhcUQURHVunSjykXt/fmhUH8hRDHKzuUsb7L +D2Db7FSaA+vc2SpTXgKbbGuIjpYih1P6TtwbTbJZsvVDEug28DmL/8x6IQDD9Oc4 +XttdLWsgD0l9v6ZxfkoYDB+iKLxYfk3ftiIKaRa7FvngjhdMWlxA2907m8gkFWmo +t4ziW6aCBjW1OUeW+jMhpKaykBFu3iLoPH2/5cUBC5BgI8Io9gdC7EoxiN2f0OFn +DpbCih17UvDzfEkYIGd1ZUgBk6OjiQjmCF6nKSABOsMUG/y9js/c6xggE/tzyzxA +cF0EHp37C5jGR61d1intg9UJJkc25jpQY472D1F/AyapVE9XJe9tpNx2OCLQ9aIc +GOzZMrp50tFAvokyZg6KzNM4UbnRkqtwcgCSOYrQ6lyFXw8H4GTuXdhEX/Hw7oXi +D3ARcy3zcnxqrkc/jYAhYaoFWxqk47SXlW3fdxh6rtmUjWXmkXVsYgNryH2xjOyg +QaxkZttIqRQdIVlmeeAxtVSbBF4O9+q1LZBR9ecPt8Ppnm3ZqM0d0YM9HNivcORl +Asv5LeFBLYtF82QGnZgFK9NSjoGr419ffJto7yN4cPH9l9gZufzGEl4vtwNrUaDB +4vJQvt0OwPgZSgV4HqceJkdN+a/WbtlVHUJ4pecF4+aBgbAdirHqFsjYmRoD87iL +jnUG2sQt6A/bb/+rZY8eQxE/ji/9TGVXu/cZjtZp90/iZ8AcNXkWQDU1X3nF5d6x +Qg0ncMSxQtjGUSBy4Zu5rcsayEMitFyAzDTAof3psaNKLtXzpQuLj+MBZB5neHAG +GPApahepEBIDnQU7xIf6+R3k3dv5u08Qrap+HJeMc1n9cQ/1+SesGo+zk+seyJtl +LBB3GitNgFgSvKvZaDjs4n+NHg0N2CPpIvF1j56wVnrCrVneAi9scg5jii9AHmPn +TMzddaA4b/D/AVaWOJoB3BrWxtfwZioPN/E47o8RDI1Pn04oMMgs/MsXrbQ8y4JU +Hc8T7+f2Te/APlOlf0dDmzJnMWDux9JT4CG89y/MwmiKQ8NqqpFAf6Biwkg4bEz5 +cY46u/JABmYAFHc3Ud/qrWNTDT2l0ZLZIMTAGjgjvw6NOs7KDJc9HgVCSoZGmZGa +Jm2sMEwM1ZMfbC50Q+C4lOlKDDTGr9QsJuzEpFghrWrPAp9xVxwldD24C1QwOzEQ +yKAZDbvvG6bvL92xnLBQmdXc7LqDmJ7jdpJv3lpetL+cjWUUX6yIJMQCcWy7ETyB +m1ugmaa3XWMyVpYpk3NLvWCXz3TNY0NFeQHlVKnHcckNCRqRTLzJ6mSuoIJCli7+ +mTQc/BMhAtr3oL41ypCYcTvQVQCh9Nqggl73hZRi5avi04Hc0cboOvpsTWu81MM7 +inXkQu/6q4vxtIET0PY3TlgiL5Kx5KZRZFU1FbsS959VL+gehXp4xnc3q0Of9epX +Bfb9x3/K7bALKPfNWCOXHx9fE2APK1Pr69qIc8odly2rdWK6FWLNFSF2yzvs0ykD +ctuU2LG0lqDIujt0uENhGGPxWclfUEBxS2AKB4MDP5Yv7ZDIuUGHlkjmTn4xC56m +yAH1mer3PdH6umCbZKun/RCTRrlwoHVi9ubh/PZtDTEQDDcGSNml/k2I7U2S18cp +95JpgJXYZeGhhuoxJwjHQ+e9nOy6EBNzvwCPrVCWdBycqchwivxxopOVPRKJ/bzD +eUisliJzt6etQBWuvEa8/lEJHuLTWKlBIeFjZDfKHOWZV1m4pcf8ao1LnC8qIEQ3 +L9UZev7/Wv+yNmG4bitLDqyw/wyeRJmBbnQG/pzh/zXO4pQyAKAqmoZvyXrYklz+ +7gbCPAWseaL8zsicZinZM1eB53oMnAK/axfUMaLX+oxGNMB5X4RjCTFNVWDaC9Xd +FnX0eUimH8DNDsrJ+hPmDNux7nFTIDJNjsNyik3UwsIzUdd7yr2ZLvSLoq+rFmnC +uezIcoHl9L/DN/PODkCo2HusFMVQG88w7nLQhj/Xk6SVyKjErOF9stAxKsB2pzhZ +/yxGytdQ+DfqNZyBFHwmPKl6Yf1PfsCP1763ATDv0njX4dn3UeI/IwDiD7VSmLz/ +mlI/QrEqMC5I64iPnuWJR4bJA0cPA0HJf1FvIUBEE/59hcI3Q4SPu1yMXd/w8w6S +30DNeqbMkg5m3hQDIUaPxbAESE7a3bLZB1wP/ic3NDx9DdDI5z5VBsE0txcqgiGE +yPKbR2B8BeHaU+uYZNWXiSg1bzEBZdCIlWW9dCo21d0WBPsNXEFrWzTe7hzo/9hO +WSDWJ7PkpryHlKsAGhqH2vMy1lGJlk36cikIR4VRGAdH5S+A6VzJw/y8dLOfCnOU +HBiyMzLPT+UIBb0y081P2pYtwIPyLZIAx0nc3LOer3jo1ywG+GVqOOJN8U3blZS6 +yyXE35V8m4yQ0em9Lm9NND7xHqbZz9XXGL5Yz3VdND0bXcQZM0z6ihVEYyJQCZPx +Iv4SJU1u90M3YCmUYflRAjYNHEoWpYDipwtJYtOixRbWGXH2PFfzrxyIDpr4oXj6 +YgWRIwgi1p5neoKK8rw0W60v9l5vNONiGd679GetlxA65ROw0ngAEVTVNyrfbi12 +Nd20AOuByOlP8PctQvY17WMyDwoRMxfpBmjxvk5KljBfyMXub+d/jN/lQE7pieDR +VjaMSfhALepcPH/mdZCsBL6dlpjipRvBja02h3Mhi3jSz747l0zjUrcxEBf3gi21 +iIF0g6mCmnWFgx1D5IFCN4lCYorvHFNX9BrU9Rafo8CFdaPbuuOhWyGADexK5Cus +/e+AFBdmcjqphczkFoXNnzxEqXPChmmVI0ewKT08YQSO6I1PI9IznOr+/hTVuBFO +EaelAYmWDmPmodX8XFZUUKBTgxtLSFuG6lP5JnRphDJN/P7rjkq5IsRN5L0Gh+gg +L7kkKQldfzw5V3Ezx44h0geQLnUU3WKdQFE/bbabdAnxlEKPVOgQ1XfeXQGJsI5c +4HXIkEuY+/OK2D3qY22PcZjMWbM7bnq/yqBA5/jDFzOWO6aALYRBzKq1AvX24OZ5 +C/ffpyrFtQDoYJV0Igit6GIo3sWlmb01NmhINkZvVOi2jEf7Sw/OXtZCBwmC0cLR +UOgbsHRWR7LBcbHT6yVGXVhMlESuHBOPdf4wc2Oxz0YTUHBVYuBu1xDq5AtMAI0Q +Lo/x4TWxj7XGGk5UT3zEDTXmqJPsOYLAi8Pvaufm8xVtc1iF3JokIw1fz+ID0MDZ +UH+a929BeYEkXlvgPzJ13rCKG4IEPf5MeIb8rLoU6+/zOzNoahGWw+qLe5fqIA09 +blVd//1kIxQAknDIINCJRg+G4A94RYLENydFXICe48fAt7S5oWLBSxamfQ91i8Bs +TYfhu2Pl0HVz4B+/jyFihhmUK7qbhw8wCAJWZbx2pyKYpy4QBpi1U49AwFndNfrS +Wlj4NJaslOE+Qayzw76nussgBExu8mZj8pyatK1BXgXpWyo1j5UIDZ220f4PrI7M +8tQFkmfllKqCgIpuGtrWyv+9PyU4R6Cd/Hfsb0ftiFcKimawn7ve8qR6olciIed8 +bbhvPzK8TG49tH7lcwLvEMPBjyiIxhcMLjsYDpQhXySDyiAjLYWFGtN1ig74gOM1 +koF3w38zCDL0Y7AdEpQL/skvesaxE1z5WkPJzzUOjGiMJj2PE65wJ3i/mcD1uYRo +e3njfyb+xamujAvMp0GsnlDsA0+dAesLGJBxBmaL0iRLQa6UXCOG/o9Ef0AEVgjt +GL89mktPWihhl1+viGTnaHSnFu7NZhwOxc++SmY596EJ6RoGBwNa6rDKG0P2eH2H +9pQD3fHhQeau2oxLpdqZhRLS3oTtb2Sxir8jEfRqilm93ltha2fcNDPc7pcEITjK +kgJzRcLpbP73s+hGGKD8yuigp1vZFIuGLLoCBdhZquFIITSxw9iFxxagxxGZUAUe +eYpfRnpfpATlI4J3OC4lg5c+e3VmQJK+nIj9LlyqS2+gmNyLC+PNBqkR1RmeHcoB +nR/mwgeccw4jh4m3Vcan+LKqAV4cojCPN5B8aRS4Mb3IKNp6UzGJP3rhlYC7YrLV +xzOG2Nih9ZU/8ea3EyT8H8N2SkbU9w1QX/BxgRGLUvegVEKuL3yAEnfjo9r2HZTA +rRmMET3kNcmHBIglasEiaYjxM7xWoWvnI+hSi4fOUSDXAE+M1AaaaJ1GznzizEyk +dttUsgRp6PO2urW9Yfq+SxhpG1e5n0ychnw5E5gyGRNaGxIizBcoGtEnQIPuZM// +LhdY5PGw7V5DL2M2qtUrO26/iaDedW2j6kuiQgZgax5ZBK+Sq9vmKuH7DiBh4T5j +o01JCMNe7UvDWT9O5GCXMyhk1spk3cpuE3HFKJRt80SPOYJjzJpAHn+4LWGGsnV6 +bYuYM6gwePDeHQxEMSY2T1el9qCz1Xgo3et5I5Wjt0Bk4G0HMAj+1qoCFUhP6naw +AZT83E65EcIdHkW/92Gz9mjUL70iPC2dEkL+6HRlCrpGNiRhHSwUQNSl5QAOzyap +Xljzsl5IebXkhW6/i0uWua5z5GoXlovoT/98jup8+OHYruDYYPjlmVxXWOQa94Rh +q6PVC4iOiYYETo2gqA2e3e1JGxygtbZMr/KbIN/55Zr9BGJmT5aUtCI9f4/mr0kA +7KOfQ9nY/TxTaGRuItF/P5FgntSKDwZv65uAkZC5YaNhW+UGcKlnZoI/IlQmXLB+ +PYk6MorzNig10y2YGJdepdKOrtaaWxMZhxqujkL3+yB3dCXhkWEIUPWS384uzxT/ +qzT75RYs7YjUKgtisKN1D2AAD+/riBEeH/TI1pS2nhDSD+jIdzIw7SAHDhf1lN+V +um/XfOoo+e2Go+vWrsTtzHX4UxQZtsOho4dTkxCZHaeIjwGAOqkB7pCbmR5k3s99 +dsl53ZPNXuj3tCSm1CyHlnR7shzo2IY/CVupJvNOsW4IQKCZ25AF1i29edtf6kPa +cTngSmzT0YL8NSDVflAdTYRqf+SQwBgT7N2dJ30wTh0NT5fNd/SRBQb/0tCv3C1x +LR4liSIID39ADU1MMHTSdOJA3JdnM3qMiyyLEDbcsbzmLNHbyiJGkwLzcNhv/ZgS +QuXlPJiuvz0asUrx3hyEXiXtOSm6yaZgSj0QEp2twZiukr1tM4QHc9HAG/Sz9ME6 +yXXM9afA5VKq8b4pOMFiAdyWC9o5Cgscjuf4/Iv3PzQEqc+A5Vp1swAlPSR1VGrr +UPwob3zlqcBGwI7x7U6jTtHFePdOmNWCX/oz2HF2RgY/2lBy5h5yR5xRm3xcmLpu +FLcYmDYL833Ueird49iXFWpc5dnK36IzF7182DzRJPs3RYc0mnP4GOggXqJTbJJJ +vrLnNgBrRNHJFHEAMb5H1EIGkh/2xAg5muTPrOugHr/G3+NivTnXUot3pMQNJ9AG +8cV3Lh1k6MrkQvCbmTSUKMWHhj1ElJqpLoycaIhoRPhrGQlkOZ1y63nNIQhRAQbV +yacjHQbHm58W7y0jtk477PzyZQJ8fjMhjBDO4VfrePSyy3BJMQZrG8WyfZNyj52c +3hLVXYoajhXZfkBM25S/ojqiHBJgWYb1WP2cRSg+srHwDsrGoVzRenqGbI+MpIvS +QKaWJzg2xUqAxhCSS3sts72ZV14hCXlxSYC5MxeFpPSNRRAaluKftSSmHK7sYXvT +P9foD1rKxW4Y0Bcr/tiknbGeV9lLXNIsyF7NObxRXM+nvKUbvU4YOzdGUIsaDAD5 +8cQaxvWgg7T0vciQOwKpakvFP619pvCdtFZWP07bU87hDUQ9VlizAt0jwkG/hw2V +TW2L0xl2dDMJauIoPzeqj/yCJ7n33bJvZoz+Q3VfMfMkpTYxrTokMv+0XzHfZ40t +BEK5Nku58sLm+n/rmQpxTmhrERITQuUKe03XMQ6jtjX9npDHxv8EVrSHNNUFa9Hl +WZeccAjqzQYVYfSp89TPb6bYRkaNoEvaWIPDsQpIKZfWiXWMys7kFoVqEsB3j5nK +aRzk888oZ1P0I6v6BFdfn95vDrWyhJKyVJbHR5Y6NSPF2FnSRD+8+rMjzjyHKwwG +dyeZJ90If0QVHfncnRFKRg2lUELnbJzRjSr03Pq5ScG7F5NqmVvGIkZG/5howfUh +bvlHo6Gm5xKVS7nIkCyr/L3g23uqHUHUdHDBjNDwgcB2cCa9x6c1XTwiuiMv73g4 +1Tx2hVpeISYsbJVagARUpvMKYuRIGmsKgatztEDWkHxYakyFTWq+k0YrobOcQKvf +CGfx8MK85SN4b6UIjFRMREPqJXJQVxvL6HojYH31q0WCjJvphhH2fmPZsaSdxvkb +x9ILOD4ODCuf+FLW8tPlSBUm/tio9JIjeqczgs9C0XWgcDXFDhtZH4yWytITrf9B +7iuPSR1xUPolWooXF4J2z154jHD1QLBxnXZKjSaQ8OXjCFP/TP73lSVdvCCmy9oa +5/2T/V2LYzYsOFAjsDl67WMAXZFgbN5jHWEQPbHONICyVZOpbpB/41Fj5n+BDml7 +JKkLEbeSxlnNKYAIehtto7dIXC2YfQB3TTFTELFb6nrNzb7JK4MdOv9H7EIm1Mbb +gbO1fTVG3bhDK51g2PV1OynVgZToMneHt2jgvUTnWYrGZ1x4QrWS6xTK73luayUW +s1HhgMFXjlBSkIlgm3rDaWlIkGSO5GUqEUebPI8Jd09+FWq0hPZvn8pdvv6MSlFc +pncxs2EIMNL+anwlgESJdIggp9C6174X+uDEMJbbBcZxW8yEP+t3dZpmoeZXk5yi +JPk2Pu7cjsT/D74hXjgAQXdYPvaLz+JMjwhRQeV9cmFHIApGDJmMudnxwpsNrhj3 +qmwFYZB4nuzsZIQ8XVFVQyKtRhyDnCewV0JKqfa10K054Yweelx1DNm5gcSG7yDZ +5ZQbah0MxjoUE0/azSZX2Q7ZzG/OZiMwVoVc2p8V6eyg6WGDDwZmK9oaeBmWeAeM +/IGIVxgMYXnUZMFRmgoKkDvEi1KezM+txZtO6zXfQLyE/Yf2WvJoSeoQ3icf2RG+ +CGlQzUNYU8vnoTop2HbiCYyqHefxtNCW9N/oYNDvAXqF1BsJsdYUT3rrhoYx8NUk +lGg2d6BZXThyCwgH5qxViPzJAhfS1QHN3DW7CTH86kKgacKIfJNSdopBOavgifV0 +9P2uT3SIa246ROnzenk0serHpCyJFN+zmfII8wImHDAxukNEAzm+Zi89j6favESf +1FxIrqH2OuCyH2OBC6om+tTo3+DpMjESmrtBtel/fneWT2xQQX7c1Kb+EZsDf13V +GW+z1A/d2e0i7DO7uVFA7SvRzKM3bAp7DBsZsKBXRzK3JgNrJPwOHzOl3sgDHWk3 +LNs1TgmByuAtywUMeqn7oshfs94i3H0PuMQvTmVUpNkoYAvdnf1V0pAptt5mjK+m +O+t/BIVcuEMeLZsuJu61AMK4bK8nikRiJ37p3qXsjUlBcomZqHjz+2bF1+1BaTfO +dqAUo3Dz5G8E+5ew23T/koFKYX8nBA2NhAlkb9bbtR52tG/CTX0N+wcw3UHtPOh2 +kwM1Kmi+AfRpnipKF/pN+xuyx58D6ASF+oE+fKSnCMCth9OY3LHgZNu/HcpnV2l5 +Ay2wmibZ8fJDsGG7v9GoK6vK3kdNOPXGS59yVw9z6QgxQg+ZY12BPwLx3bPDqATB +qJdshYTLKd11poTPY2R2x8XNWymhdhbklrnmpdgqcz9OXGsRMKCHYejjK8EhIjpY +TlahswKWrWP1hq7NGFkgWAXzgdgweiSO21GzsNLMQCgHKH9XMIhIzHOM4CpPe9n8 +JYfJDr2MHy/+nGIDrcsKb1Xo9YzAqUjN4iO8VDzjXQx0nE9Z4o79KMUAtljvFrWJ ++/cMCntqnxJbdFw8hvkoc6obJALnP7lhS15FU6krLIBwJdPMFlLVpd3heSVGLh1X +A0KTsN9m4UTS+6dfuz0TZnHb+/binaDxZmmn9Xzp8xOlV4e+JgoEwGvQRJ0FYf3d +4N2IlnmHO3GTETm3HZ4vTcADc883vBOs6qBPk1bkI6C0NDRdkNldw1WfnxvJu1SG +HWaaryJ3FgVFmPFEi/aNYkLDPUiJPSnEhDXPTDuKYNFbfye0hV3MZ+zTIrr0lvDv +3Qu2xJMNntRyuOm5yVYfkIemnfx8kD6fLhYYc2T0AXtHcmC5bdVftHujuJNS58WI +PE4OUXvvVeTB7+7XLTrUNwN0ewKxRIuMooo/3ML9FoBM60Nilr2ZC15DmdciCo7t +fqQRDghg0ZMEaFQ+XJENsvm5XIvs1gugq7BmnjGbg6FAGoc+bn9vkmgZy7FWtdr6 +d4Zq/a/T7fECb2epuB1LCjXv19nmu/ErcT9m8zAOg10sOpapYK7ZuDdL5zxLpzxj +YcJWCEJxXx5Gml57X7et+UkPzY0iQecTd2Irk3hj2PLn4EabmXsCX/fU/Mn1lSPB +eKXgdiFriirKQ97E9GjTw/0zmUX7vF4p5Joa8yzexzJsgDY5yGblq0W6wt3Vbo5F +5DgJc3kzGvpqMuUJkkukwzMQQQ0qYaluR5LFadyqqX0wfVrFrJiCnt+jMxprVuPB +Qu9zqr/pztL+azUdsG03GBddY3GyISlYODdyvwKgbxvqeGJmFSNvhG0kVNpHFqBr +22FUGFsIUqjBjMoysI69XcEZHVm2ykqUB/Z8BzzTsIyNwF2euky7aSqeziqsofbe +4Azjw4/ByrQT2am7BuE2vSU0j5VTA+tmLoMhLT35BZscx3oebLjuEYc6wURnx+Nh +hwFuPCu2dF6E5gnbCZsRYsDpTr+IrN+eANQssD85oRcr+6Ccn6SgLXHJzim/R6n4 +r7VexSOoswTVuXDrkjg3SYYAdbllj1VbRiaNz8pD2Oa5+1YD4oWwXpCRlkKxRqob +j9UZZTaxiJeOCESMuDVzAyF+/LVnvd9Rhw2Y2EV9QxPNWDaFvgrbZmfgUKl9zH8r +sGbqXVogw5L2+XAHHwDmJunPlwy2hvpxc/wQEWPbr31ArFVQp8IMfHP0E/uZ3bdr +yDUsomFhH31TKkNNIeIrURyZCf3wtajwReUmhdpd61+EiZXsGNqYnhQcY3/u4NOr +ErE2JJ07wuA9atSETRIh7utc2j0grxfRNoSzu4M8qJYBnuaNJfMGRXAy0oFF49ct +hz1AMWbiO3R7LvLMkjjLJjo3gMphDeB8D5QaDFgo+UIPe1Se3X+wKs6Oj71JiES/ +CA3BKLPDPULRNtAaIeedZRlfeT8RmxV547P0W/YT0W9bdNQFNwp+awITwfhe2XFZ +yBZ3la0d7AtnXOqlgyQpZu7r0VSOy7Sbxucvum0G4QwwA+8+WTZeAllIzWlpl4Q8 +aKpqZbEttFO5q6lPZ141RD2F3YRz8VXI1o9Jx5Wo93VtXMoNpTNWD2mkJ1zw8k2j +k2bGClveKU26m/ePN4jbuGU3iFksIkwPAMhCLm+gweZsvUvCFraN6d17DHZJA2zn +zGPnhQ6b02e3l4uaw+IWjA07rUe+qHLgqJh5WmgwUBspGzrl+aBGFy/aH6mYBpia +rBbQxYfDhT9ucInCxa6SECgg32QjoGLAy/xnukVS5iB+mk3bVeA+rCfBBD0PF7di +0ldE4YiEQpTAUEk2/EgBrr44t6j10iDKMvmtSVGmx5KZ5S8QcqfNm89xRSwm8RZb +FZff+sQjy3wnl8SH2mxNvsWUYAMON1T0AHpq+w0z4t3l/e61VFv5sV/u4GqaD/FN +x9UeIwLNNQ9yMrURi5bRNc0wKLHyzfZrW2lCH/taDptmAlUQxUTEmfq4ZfpNN/7n +268/7kOn8yLIbLVWWTaa8ABq9ZxH55Hrpc80EBgx/pmQgRn8VpD+XEzQctW0NBGd +JJ9IizXdeOCMAbmqfoyYBf6tlAzdOswf3PqFUaqL7ylG06BHvFFfHUO9Tzh5Pv4f +QsVaDH+7ioyeCxXUb65hNmDbBGOvhxQcH2dnyykFKOKiMAeF9LMr7zjQNgILV/zw +7AY04OYOpFdy9Z1cZOTZ4gQgwG7YKZAjCMNnU+2YfmfEFVtIZpu6LeiwoJGM+C6d +DI28Sin9XTLGh7JzBOWm+MpXXsFFodupns3ih1X72tgnAMKu2GwrEmyZH8J9UD0S +T9AGhNgFSsqJUSno2HF6VKlAq6XYmDGkCpRSdGmbRrh8wWqSfSarP24C8VZbyoub +/DLJlGGcT4n342PbDR03lXFPZoBB5uE2pEIK3sajt2LkBHr9fh+LhyB3RhLFI1hd +RuOptHA2+gwhGRtNsy7SoYVQkblf6DjAbl1WhRsaWNUxPnHQ24zbipmhv7mJxd3/ +ep72y3mpBHnxpYMyXwA4IF8oN1fHOo4/Mtpcpk2b77BXNRp0q6j0XWaU+/1JtZvv +kL4/df0CPwABmtgha0oi2MtRcgkDaQ86ExuyhcKnOdqtv8Ss7RsxvB5O441ecZWe +xWoMl1fDxG2BuS422Nwvxbv/5Y8Rnh//y+Ok8Z6bkJeyB7qf98ETgacKxRoQQ8N8 +TN8WMm0iTrq8uNHIkFPIx0fBWcxoKfZ389BjxRVLQu6M/ZfRWgsL2ZUdz3KJ2jGF +CLznHEtSGEB/mSrjwr92FQEugjEJmI1HsCbcjM+4FFDgA8H/kORo0tZvuAZdZv6D +B8egb/H55sLE5+x4NNB+BPwiuIeH1BKQpAhiaMdaiTCnVOaj48SlhTRJG4Ti9StV +ZWF8RwKlwKc2yhB1Ldkyl+NOPRoHzRPRJfLess7hEWZ+djPgZpFCDh1bW3bS+2fv +PB6/2cArUo35ER1Zl+1iGeu8TliLCscP7v4m2T3HTwwv6tUD1hTnRvL0dx6l73Ll +qyryxkY0FSu3PF64/Hk3GpH+mBqlxChjiwyEn7f+Qjy1nFNnVwRpzF3NhXE5bJ6m +DZM8vKmAPEkr8YlMGu9dLU96MjCAgHti0LVePEXYIr72S2xX2QPQZAE3Rd/8dpsG +Xn5XdkkxwbfBwz4xCS5uGwsVckF0QsN2Oq5IKPZZMTlTAvsn27cz91rVN6EbNP8j +Ff0G6Vnoq4sQSFefvjAQeVhiVGhTZrxuXMhivv8mYsTRa1HOVro28YIYBlUv/K+F +xdokYtJ53NhyEQmVORMYV+JFap/j47aURjBGQERYIyKF9/yKWDWGgb9OzZYLntVx +QDec10ZHG3CwALy8y9GfLHV1gMgDI41ClN8Kzv91/69b9EYWUcOLKsTfdKoZvdhM +jjByejjew20a3KY0k2y4N/+ZmKJ46wKN4biiOAUErv7l3C1ERwc5NdlfBYd+ouo5 +Rfk6BuWpAZDqbXM0kzNW47p389qKzynJSDzs1Fpm12GxkaNkgkSxQ4l4Y/o/jnjt +YPTY7LF+5oahrPbCvY/OxJOrnvK3FOH4uI7nl9LJhvp98GwoFVJKFMimpDfdIIJS +yAyUwdOFjH64M7tvIZnoxqEy9qWOtGiiLwncdn9mDfsbxKlGc6SzfFIpvk0QiPEq +W4pn1I0V99UbxTUTnqmArJE/6xqzfDO8rewFBVOo5FkCgVLVLEa8e/e9d6/6joaW +Zv6HPMFzs4X7iVq3bmflB/hKidsW3N8jX0vm0H/z7gGNbGuTKIkFPUbjS4L63itm +pY/t3soWd/sUVL/yHQWkSOh69sV7jFhUquHHtUSPG2kOrWkBTryWADfJZvEPXY8o +lP3X1Rx5m/688RyZxcGJEcNh7J4xqWFAMHlpSPhXph5F3vqc1L0JjO70Om9FNuqe +6sm8njGjy8sUSngkc7palMDV7RkZGYZYBvE2cWi6z7YcGmtk/Mn/66KDoZi/mrRf +TEIKWxaMw1tQwlyyUVaXFCvdJLBtcgI7mSqmTJXCiXaUdCyLpWsyR+0MRCKVkE5u +8k84ML39gBqUdt6vy5mXgggkuyMmcMJpZ0EPT0mZUttcUe4k3JQ7o3RqgZex+BCS +FtNXfYH0ZzfxFymz6JMNrrKTzLyeslvZ7n6gGv/Ve6mpd8rvaJivFotG9A3bEeg5 +Vcy3e7TK9qq7EUnlx7BJPpzbgVgtav9MOept95a7kSAFrvjm2JUncNrWfXTyAb9G +S9iU4wKBWWxq52cD+f/lisfFKREM0rR0gE4elRXCzRgs8IWcQGlPyutQdMNVlwvm +LSFdOShUr6DtT9KwCRdnT7pbOuY2Lk0L5OKHzegv4KIbYX2K+x4jec+9fJtKREWC +R7JM67HkXuaRlHivHprzeImhPfjkgmRTDKPOHThHp/Nh0PNHFr4+X6i7Sv56cIf6 +21tv5IJpfF/LCQ+v/QUAaaiy/BJi9w5C12T2XbETTUMt1dGycztE7tjpRDyNLHKE +C+pMH1ygW2PRmOEHt5z8BxtJBhIHt9KQucVsBO49CU7SiECQi8CmjHA8VfvYPlZZ +n2ADknCaGqBO/SVyn/CecEJ37Jypf6Lv3W2dKFJ7YhZdENviWoG4/QlZyfTzSJxX +DeziK6gaG0sweNif/Fqvh3+2qVT7jnVzQxW0Pr5HBDnuzF/cogT8Ww2LLd0ejZBt +6ydpMQjAhCkdcfS5LVWX2m8Y1IIOQ/x6WNVrbjXE/xk/0SZZTKBPwGMG0wHq8n7C +Tq7jBNovLrIzMVU22AiADMf8o/nxfts/7pA4SHrAzcHBcpPbKjXLF4p/toou5l60 +0dlnXg59nRFYsAoEviUbkoHpLAEj6i90DhGSyEIqxl2g54Ure97sQkY0L68ba5tf +VMrJthbV6lP6i6onWQ2VLY4x8DbHxw0DSsQHYCN1hlHW+zDn7tafdQCxMq4IAHFP ++gCDikbyoozuVOWgekIqH0HGMdIMLZnXwRDXH402mEeFATBUcNJaIrD+2zv3jPdK +uyIc0mU0GkBh+GcGNG9mWlYFMHvA2mBiyavp9+XNXffm33uQik3YT2ynFDDKKwdd +M8kKnGUOwSLTeldKGZAuVZEyYif2o8JoMzt2J+mKJEPLoEnuUrCla0S9nBFRwDbp +IZekz11VR8PpdHgvDjSZHHYZK8CMZCjQxUQxnQK7KrNgw5BwbhakIaPvXWx4Ly0v +xCoO4abbVz3fBRyiU5BBsFUBG8DKkKhZZbwphiZaVGkh05y+LRw+Pbhk9OuvR/NZ +PEv1e39TR/jj92gQyqgZfXI0YFpNkQQgOejfIEKIkIBx8Tip29Q+Wlzrp987o0A7 +XOHcMWcJxOUUyoRAXHjNUBt/fYcr55vMeUZdHATPH4pTysswDRNS1G7Xe4DykWo1 +rqFQ8IVtUI34ZUBDmBT8b3oiKGSJbaHNn1Rac56JAlHn9DWXOPwP0GrqEOTCpq/h +e0O2iyZCgFRR/hZhd9b5+DwkIZA7miSIznyhs9MoM4gZKM+csFO2Rfku3UkL076q +Sg5eR6DVBnRAcaka8+z42qIs59l27fuwnXhdE/U5tMHGAZh1mjKnjTdNbsIUdlDM +NwqLgRmHgcOb7U9ASoW7K/62UekvdeDBeeJWeICWlWgZ58MEJyGsGgafFZppNi2l +jmL0Ep4st1QOdHi6H4Lpa8l7pndH2ihJuIJAjtIAT5b1jh2dO6eOLkjsBiwYPx8o +43pGiMTVFuHiJjlbDSWxawIAzfVOfQHf+WHUipC5EpG7x0Mw+2XWjxC0hYtunqFw +kuVFmu1QjsbG1Jg49UdXNSuHO+Rstq5NndayLJEikvw9xTqoDSBMUVerH33RJkk7 +CglzdwFoyQGwJQ9VdcTexnohfA0WHPfGve1MYeQcw2Ehl8XlT7oT08WK/25Vfqm6 +9pgRUVKiK7/6JRpKW4WVwaSs9xqM5r/OHFaLrcNKco0sedMUGikpIJjRBRXSVnm7 +QBn7zSflIVQtjBESGtbPNm4/9bYK/zYmNfN/0C5kOKi68RZDSdSdDHWtK8hesW4H +BKWKp/XqUMnJvYFqnD5crmUGGODgo1wkTLFO0IJ1NPB44//SCTPpjbbMEOvUobij +J/WOfCENIWCZUdiNczIMXze3bOgkpBVD+Q/2zFO1fQIgwP2li8DRjpYnCbVoRIYb +ot4iIU0/82T2fo+znU1qO2CogHMMUe19Ef8pR7YNrbvUFqVfN/vTsYAl5D07XWQa +S6mxAjkn9tRoHUd+ySH4pLs4G2h9obdwun2khdthWl8qzEfE07OFTFYrVy/816Rs +o0brsC3Zrqp+Q+93CWaYCgnnRSyPQIuZ3oNowmHC5/up0YRMDDy/L6r+yR0PQNoL +MezZfDFL1wuZnelI1cOJN/a7Lgv/cPsbJR9R9ZFVKYjNxWSRiFLGRTGm2ZO/Cc0P +vfGkPyeTVk5tN7XZ9B7iqIlyhFQfeOA0Z79u5Fkv7ZwPzf7TzjN9F6aP0t5nF1Ij +KneaYBW8IoU4yjV8OviRch1yF8Im9yRrQCV3uPIf3j+6Y+ldNd0AmWZllCZlvs5l +AbMissYmVQ3vy7QOIQ3cDVptAqnwE2KTOITLd1UEhAhy3grIQift9zEVZr+q9NtC +ArB+gvx4Tishf+8T62mJExsbPbRmUWnBBNsoTSxQMepePNKFCXZYxZx6nHT5jBUo +lPl1KyJe51LdNNtTCJ0lO6EvmxJnqGVyB0hoOlSwbcgI9KWeHRKGZ7WQ1wANdJLj +iij8S3Za23nvQ8XFOF+TjTrLG6a/teBpF2xU4sQAtGZ5uR2NcfyUU39K1V07z5s9 +4e1dyJMmctMoj2nKvU/6DmoU3b9u3PLwFa2FO+kpJAjhL9r5SV+stQvi1Rx0VsCb +uut8DRbzMJzRKBJjXhtUQ0nqiBxFYIso/rScDX/gbD70cSyDgolvPJFG5gl4Jx0x +iVIsTBZniWcxmgAPyXab9xzjCBcuF66UwpEgufo2D/WzccVepjyuT22km87euhnP +SRhrnpr7hZj2ux66EL01QHJTrW3MCJ+Sc2r5dPu+sGxTlqYmU15T3bFl7egdXM0Q +lQxZszBH3qs+usqeKzxilRBKSIG//jd/djZPc6WYnLcVcy0pK/YcpvFv0g+TLEg6 +u0oKi3v5mwbwwLILWTwa8syazUCJ7Ll2ZniQNzBXcPl86fLHkpCdA1HuQ/FIxZgQ +4v4cZDL18wM/ufpm2pbvKMFt5jQTn6YMvNpofMYz4WLCcI56D4IvQGvRLRqLQz6C +KjNW5H4f9sQFVMLoeUAHy2mJS4Ma0ECz745w5cQ/zcxwvEaY7HHv5AuH4JHV4CGC +SaOyy1nEjttzQgUCCltCHFAzGzGeM3FbEUfsc1/h3hl7lTytPxOyft24TYEADYbK +XbGSlAU1MWS/GBLmuECDkOUSqAzHqFfn6OiBbbt9C7eTTq2iGhZuUutvSKrdOw5k +kyE04VGclTLAwQTq+wnkOS3yCgJLsjM676yQve4Yl2I9SckPFiVYwovTiYiwWM7O +gPDO+wvAO4I62k4PSQasdcsZKTyylu/qSKqfYAp2v5rLZYmonQuZdCxkOOyBB2z9 +8KpLXvwB3wHWvcyRTRDvOmjra4HWpAgQcooKi9CyhdKwEVnI8VX7JZK52FNHNw0c +6MYUHXjOlJ1cG/Xcsk/RkWawawzwykdNCo8i7cSV9xHAAWIDRINlcgWML5SPqx6j +r9QMCek4TqzMs7RAZD72a2QqyqP3B/H/ugxQcWmWOKGdv8q+MwhakhDX6Ve3Wya4 +D3/Ws8spCjji9qcR9mWJ96wd6esoGsdtZHncnXbyfNbgueYQIZ6SPC23A1ogGs+Z +iaBFaeeSoVGN+ZxJARMjzaClaMfw956xyXdongQisS+ITB0creur/FEk/vhUju5F +DQ/+oseNoLNwMQm1tbwkYFxchFZJR220DI1v67ngObn4Vtp+qtthBC15I2eE69G7 +pIiR8GospCjeyL7GEB07Qy81daypb4NrJ2gYuRV7DDIgTcQldWa3o1dGFBznmPe9 +8mmzLoMgiffchOAv8JWg0ZqMDPs9641eG/M6FoiZjDyc2h241I7trgYDx8cChzs/ +IUo9Tsa5w+eIyXOrj+99c9rGNaHZW/3zAz0i3NwsTYGV1DLstIC7BYMHI1UGjF/c +Ewr/0OeT/0TjWnKMNn1FncLPu6TUO1iMIdSl+fotWNDZhpPNEDfmB0FrnLUQXF7U +FX/AIWlY5CmbMqu90a4v5BTduB7iDEQuKawhqbiqV9vRqv480cyPqgO12Cs6hroI +ODyAE+5yepxNm/zsngOJw6pzkUDKZdZGuZjTBOGjlMihWZjs9gpTN0+FXFOYQMoT +hQi+Xs4V17FUWfTA2YE5JTi21/o3+q4v9NsRgodf/sdPWALugA2SJSHUWJB98ZQy +E8HJ19K8PMXTEwLn+AI6T4YCcjQIvo+7pJi+izmiiENa183aFc2eWZGiso3qsnyY +o27JTOC8JM1CS6P8ZPBTNmSxq9DGXg+lSlLvyPeRss/iqWa/F7m93KDbLbJNMVzQ +FoN3R5FBm0+heR/R/cOOMM/2r16zyjE3zX5p6/rBm8poe7llxK6tcfNM2etzPgQ/ +J4nOYpeg1Z3o0gDMsa9QeaQK/Q5mGfQBH378IVtVE1PQ2JfpKW1vxpigycZbHmlu +LA/4Wyn0FEIESmWNczzRryUcFpTbR1OOtmLcq76//MVszGiuAKGm1HgagOqc1dDo +hvYoJNWTfiZ4NiHojW2dx5Vv6KrI/XyFSSNx2dhwUn6JfyrMwsK4T0BpxvfJv+uL +kx2mSMvW8dqtug30xRW9rPbP/D/X989WDW5Sm+PbOAlW5h41MfZZzDyrmogIlKAa +QiO3lHmb06/1QvN1nI50cfcSQWv0+Ff+1yw1PnCXMeA/CSwKP1Bq3j8Edv9C2YN6 +8M5PlXaqR7vntX0/A6nWifqNJ5OcyKcpAf33pGuEvtGhc+ZJek3b62Rbl/qcZYY5 +c5lm3f8Zth8z7FryX9wR8RKPt6AGrKEUvtGm86W+H+76EdAnve36sB0xg5EXx654 +CV/OiqTto9fym7vA+gEXUBZOsbuYDiaRdkwlbBVqjRigBVInGcrROZREs490Hycu +FF2VAHsCXBaj+C+T5s8ExzPUPzFIipqYPR9qFm4pUK7zbPJdMoDBMUaYkrKOKBa+ +X8Dd9dB0LyGvxH69YlJcQOvh22g5tgxuDudXii25GdiLhRA47zUHzJQuyqSbBic9 +bA6Q4uYIJLgauHza3LF9+KnveHtpz5zmwd2JP65gGCbPDM041bTVM9ZMehNI5ceY +SMt/kNIh7ckxQg2huy2l+ChyU0nUMMMInVKdK/XF0NbgG8Jv75GhGGXApKgwOfYI +OFVSWyPj3ahjLVfDCcpXr84ixbcC/d+Y+neBRS9EpMTdZbBzddEK1LjheLCOWgAm +M2Bvco9qN5LH6Ss6Rkwt2FC8Hzzn58yqNgXMzBeO+yaKQiv8+lfHKOpFKsgY5Dnp +qVJvguucYtcFSGupuzWLtiTNFmloEXDOZCoMVpz/q1jHvcLCfqfD5Sk8GZHx8HqX +sUCbruB4q8tPVuXIOrztXZo7e0ftfnGOTXQ4a13JZYe/cl+Fc0qD+fKwzSNqYl04 +6uw3mDoNNsqAjNt2ArNrw6x85uUTu4aThaSQB/qJJQmDjReeov6tKRC8QVhq6LCF +D8LKZQK3Nzy2JOdbqEO5/6CP78Vv8gCqqZ2H4g3G+oEFC65RXxdfssQ6lwQtfaxW +uut75uq9XFd20XDOGZQD7xtqBa8nEG1yv3lluEMnIPnxb2Hc/cnuRM45xvwiIldU +IFxkaQvQHcD+20lHlE7yXkuqsowsVFKDyc8KulKxraa2mpRwdXw7/j5WTScaTrpk +iAc/JqWW3wOBiVw+jzgfmSMBthyyN1UfLjrnQc95JEzs53aAlotBFA6leGS0/eWu +5htO6ZLtRUnpwf3oa63bMSqqTAECbdz9EMX9voL2GvuaDvjvZppbOciiUsmk5/eS +cbUcEuCtPS9wfClj3rBVSFTwS/DQo0ksziGIHZcHwnERH+lIOPmkhrRGZHbDIS2u +7NSn1ZM75N/2831NXPryZAmzaYgJ1PDsFLUkN/bEhC7xhvXY+1SYLuY4E4fdOdi8 +xbMRCmvZMV9u3Qru87JHJ11LXH4842uoQustcZgKH5QWVksxe2c9+y7o0U/K1Hwk +rmRtJLG1RDZ1uqyUhyxJfF1eshi7oRWsroqMFne0KJ3S8ucDjVQivyZD5wtJZLhF +eJie9TGATqyoi7DFKyrQMPCOuj+2t+ZIVKKJZyR4Rh+OJx12VHla7dW13jnMPTGY ++s9/zQ51AeCuZY88CvKRcTKrvpqKZFf7/pe2DweEFFzML7YeyEtYg4vBig5haGq9 +vBTSIs6uKhq5Cq7+Y6Etp6m47ui4zTi/aOkB+e/jRYRbl7gdOQILu+UZVB5a7A/q +NtAbhPaL1itFZO2iDF4bVbBlt46AylOQot0kyYeN8MP1GrdbSx8KvbUhGCKhatkN +8+ZGPt/QJ835GTuzqAggh54o4XWIuCJidvzejAwBPD5k7tN4M7Fgc935I5S9tfCI +5vKTmAfTZXoZ3L/7yJFgX2YqhCy85r0WdCy5uHEKCodKHE+pxawCosGvrlMktFt+ +xF3fuAeiqXcgZg1rzKyZIclOKSWGnjZ41iqVx9DyMdUeXGtXJsSwt/nuApLQeZZq +BdWrmsphZalTamXx5xnvTIP9kZfcsLbLFjeHXU3vYZZNEa8lEwKpsys1ZgzbAPRV +VNIur2xse3qVGs5Jsu+jlkoiwWsiGIp5NaHH4wIOXYyjuNL0AOKSoRPTQMBX4/f8 +APwEry5ZUDHcL2xZkVkQeZxFccOOybRLREfdvrEUc9gx+/umXXubzEFWf1HvPiAc +USO8WwsiYt6OHpUYJZ4Vu43gdf8iEI/w9ge5VILoFb5NJrtagL4j8qCFBmWghgNX +Pu/vBy7IpT49kelctPhz/r4PiTQ+XlfvC7Ua3LNQ6ZnL8OogwJ4TPpPbnvJoejk5 +WGjt3upb7+jUFwzUcjpVJM2dNQ/9pNGNnpIZMmynecDjAcFxT6TT2E9tQucEKwRA +Lv3GTAIQBW33368vXYwQHVeMM18wTFWBNTkXKPT0Edajs8D7Kb5YtFP3ExqDIGgJ +2LLZOqzt1jV8GYsVe6fsozLre/QQ3NTqHsQv/QZyjMPoh/2CCp2fc0URdOA+N0BG ++mO7o29srnAXr0+hcID2hwHfVB0Kvu295jO6MweG+ifQ0/kEUbToIcsBjUSJGwiU +GvZ4DVZlFEgJ57Q6AQc7xB78h9ksue/yJ9VeVaDLXBfJIa5Woj6Z3gFmllQtTt/e +OytBM7KMKwzbKeHY2uE/LsDT6StFZeahlIce7MEig8xgMX6VG9dfXHCmhSQK9mrx +58aznmEoxVl/pP6jSGXc1VrTmxi/qFAPpTbnVS5luRZMAyrRkdIMHF8S7SEiIpl0 +C8MN8oSiEDBbXl/Ybcc+QbrKGNajpEFyPDVX8tQ/3PGri97aBLm8nChS1sdimVGT +hEtOdECj0xXUJSk/ju4ZvYcZys/TT3687YbxijbdWXdQAyBQzwwfudGbyqJsS0hB +eqEtJRl1sElRigQ8OqGiqWI6lH5KHLUlqhLm26haKoG9zMEKewLirPt8B4TXGWVv +xyc0D9qGRCLy3iPuuHS5ncyOOHp+XfUDm2zc8dKUtcymyybOJ77loIlDStHF90U7 +J9xXFlA3wOfaW8ryvzgGGmgeIKF9WI+xrTiLfZpCnz0J8r1v3F9/zMrgOGEhK357 +2TYWBnkMMqREf7tf5GaCx42IwLZRoQ+Z08PrTEATzdeafYmZiJ1z6vG3vJN0+w46 +zd3g9wLY3MkifLxu8oGRHTxiGPqVYeE4nxgdF7FNZSuuVDx3nBC9Xt+hQPVqJ3mA +WQjWvRLzZTAu6iiPhQz+HSFlhYLdCHrdBxJGgT0fNlo1qNq8vDweeLPVc5imTf33 +Kg6rBOttY19LSKTkfzqfc+pYc2EsEB3yNH/rIWU6Fcp79Ho7wT6OACqAcTFuPXqo +kOUgVuL5VPQAhemuAvvOOIZmmOESDVh1anm3N9T3RfPBbyr6jXc5gkZjegA5PMKp +7GiJ5ftOALhSFVw3f1v/uxCHeeB8Rz4dnTlR5mqs6pCnRijCscjcngYyIDQP7P/3 +wnZWbuWGjGVRdw6V3Wrii7mTNz5kxwgmYUH5KXBhHcgXjgEAa/ff41Ftb5VTqWZg +qPaqXRauRQKeBaZMKfx1mfjzI2UE0CRqLWE+ZFUyPbQaEMQSclBg5GK+IckqkwHc +fvT/gxHLSQ1yP5G0OwXg/X+J9qLi60unaWJi3qO/z3Xl/LHYsg++PJahCm49VFED +kQitQ0BeDwFZWfLICxdbzg1FS5G8o35Z2vB3yVONB0RjMYFNxNtixjTQA5fUupO7 +HI+KAkgwNt0S09f4pQntTWyaa7UJrLeoYXThRUP0nc/eeV0J0vO3wME44qxnit5t +nELN5Z/bR8aBcGQtRbvNzAYGIc9//Nbvku2RgVzpnl0Jvyeh0LxXTRGkujjXGtQC +d4xajU6ZgQj06LME1movz2HVa4eHyCm6d+sBEf40Mygr19OxnVIcCaAn2t/VpD9N +LBzG5+n4oXjfOfEsUsiRlG8qu66jPQybk4KAhpqT2bvWh96XDrZK46itBBpck/bQ +BZKATv/1nWVXqdMdr8Pke6s7on0GDRODFCEIrycmSXBxRcA3md5NAGREUubBwy13 +FQonbwRM2A0LeL40IvxddBuuqwQageaDeeuloPGRNTEIud4oShBVaka9adK0MOpi +Ed2cHR2rogriLNCw3A3sMoy7s78KcPAp3cl3s/3oi/q7C+jP0yevvhfk/IvnxxiQ +tiMhwqsyFBM7mfCX/cNws9jZk0B6blAo8zHf6xCdph1NSyV6Qql50sDooKiydD+u +DoCjsuNetUSz/zpH2qAWRSvRUV12GF8F9KeBNcegpYodwbrGZvl/dAXfPD4BIwLq +qLXbgJdfkMgObd3FFPQCpD3NPxTmeAM47l6439uWnNp/XDsmS7ylcB1h0bK9dpcS +8fAULhWanIl156jPNycHnYRCk9kbmb7M4CpPsfiUZPg0z+SGOLVhpiIzq/Z54/wv +rqKI8QbCWIyX4XpVWplRp77jLIopO6nFtRk0COquX3C+b+3stCzEbfvoO23vriuS +m5wFGwDfWX+rwKlEJbaIfih6tRAqoXnsfmqelyTyxZ4SahZiOZRdeUoxAX/JvDKp +PMFy2Nf1aojccr9QXplRZIaWVWbamS702NK6ron+WrvWrTAhDHNKoFFeywrkgUi2 +VtTF5jUWRJkCQVs4awV3xo8QCZqK7K9bMenaYPwiBIpO+bfy3pTzTUuGO1lJSG6O +dIWQzJTVuTxTYNZQldNTlhfc1aBdfz5ZRejL1H2ebNqoLenxbU0BPKVnzSB951/6 +GdNJEKclyGdEVsI0Fg82CNFy0P3h2ADFBkH3Y/r4v45IYUPwwveM5YC5RXEAcUy5 +tQ5FSz0R2BnKcpOP/EgRfthwI/u+UoV5tApV39ZTYGsDoZgAjgbejxKrUBsz9fRA +FrhmQHe4VB2P5FatbCmUxe22ASYPtk5odbonvnlffvA1EgfqKhFeAndAhagQo6GS +XmlgRLNO3qStrO9V/5TIjDxIGruz8YQ7+0WTguZfpcTQLMmI/LVgdeQbYuC2IY1I +8d4ZsxkyRssh9vNZ2CxBRtcaN73tSrdHQptA8kjZHpohDpbkfY2hGzTTAjT8tsuq +1E9h/Ot0rI1QEUm/mWP3oXanoQIvVFaCPiB5rG1mjyGv6cc4WTDqFqNxBjpg9+nB +GRrWRZb1AQo2dEnQm5zIMAsrm+x/397GoG7jSL2D8qAkxyqDY/yGAscDQIfznyJ0 +uQpgYetolgHp8nrjHwMqHfq2sJOyd9gD48UONMASSrKCX5J1iPTVGaqt+2akwtOP +rDS14l5eGpkF3n2Dw2LDGHvMRbfjkzwOBbQBTSRkMXktq14a5RdQz8nL3ujvwZlQ +y2ExNrbpanuv80We9Dl208k9raPsy13973zyzcCG8++gh6zjWohFeZ9XJ7dvbWsc +hP2SQ159B+s0wR9P7wSbye6uDZW/vUar87iNUmAVTwdcQKstllzw0Mo9PN6yLnFQ +x+ZcJXkQXQDsvSEWfZpCNHylo4wadI/z6qTxTbB9rXVuPGFoSNeswI81TkJNP2gW +QRqSINJZSXQAC9SOPrUbC1N27p4tbqyqLZ3Cw6tzvuhRePsuiUCNweBw9v1Tt4UK +hqZhkcqY9C828UiOdihqNpi377tVcluGrviOBGj5cLEcIWlrUDNhHGZp27uDZqPH +4BeNPN3rRXMwKyFbbyXesmhPmB61UFq/NCkx6lAiK7XxsFqoMrDW1T/HcNsjxch8 +3t0AT/zcUTnbr/VO51jE9aF+bi19m9IJamTT5NREentOUoY5VkPGL7RE4z8DZfV8 +O5HqsWycbQC5YH4gPXPZfFpfqsozVX1lE5s4ejqJGEboaIKsZAPjNYMhBEzkxwK9 +UhS6BseAYA/nEci7/SarVsJRRNIe8OS+senKLzxbWtS9GYg2L0dc8ZumPL5LDeu9 +s4lwc+UB4WAcgfuUzJnLTJ00MnchdaQ71kJDZ7jtHnW9qrlzxUZuNnQqiexAruZa +/zfJuHTeHkVfHVRcYFE5dYxSIGYW5BdN27s3yGUr2BcKqz1tF7y1kNCm1q3EWqw+ +3aNtXn0ebAEQTwnVfsTH2E1aBK+pE5BnBt80mPjvB+iTuY/jYuJmf89nZjU/PhJq +pUwQdddzVHEs3guFW5obQauGgxrFsYaelGinfG5fUAMqqpxTkbUeAiezTpKpur3W ++9LLJeZGJiViHdbTphmOCW2DYOwQhNhNozltgr82Z3zplWcZdTKjUP+8HNO1XoG+ +bhw1t7rY0AOzetrJeZOLvfAnWgG1qKwVMHQccQAGT0GD6WKiq5NUTZxyumYNjwsH +DQdXRdq5WtqANHwr50yqyolRx9um9QucEsLy9mVjj89hLS20TmkQ0+uj0qSyZCLC +JuOzAUjMjy5doDeed0/il28oIILIgSwfXUGZ1gSQ5YMRDuHbQSguqemPXMcIu21Q +EM3xfY4o1y9yL5/g+dla0CWoz14jjvpC3Q4HDPYC1eB3vhpyumSynGVJZrHKuIRS +u+8ljRCdcIIUOG3odiKtv4x/af7GmD9yPp93lWMuzQicMHLGJhuFg2DelQKvUOU/ +gK897Va96BV4tzXl45V982O1Qa05z//ytumZfDNpsjAbLYiFGgL2Qc75kIIOVYLF +OwxUHam0KIbnsEd7HbzmNPLuqX0Mjws6CEznN+0ryeieB0w7GoKtf4V0FUdWTo5q +h/BiMn/LegOR+xyzPavvPN0LazRf3VEsLPPgAsCk/oWMlCRTuF3PsE+t1HIzisR5 +xuoMfBE8JRFusgEnXlmiKnXu4/DNmTOnLDm3gpUtLVdyQEMAh4fOgm830X5vYNbF +Cy30TCUXGL52rh2v2RdRagzEdMW1EjUb3SlhzIb17XtPitCViQhkAf+QCT3SK1/9 +yIWfkZK5mkZksIQ3KbKRZiYVnoEzE3y7COZf/l3hT57I/W0gyJUCVpPO85XGrXHv +QG0Awcrji8bhtEjH5tex5/LqDOY3MPLU6ZESYr5/p/1ZRm6ipDXnqB5NqtWkU4HA +/noeD1yd+BX/9XC1AhxV6ExbAxyVb5P5BLQoVlhpmQ/UczxFZJkS5Wma4QxMHMPv +WY6ozseDI/2FJC2E4zpP/umYjXqD5cWEcPjNAnhPZl1mx1t09Xqzx4M7irE40U41 +KMpBv5aOcN6UdSzGokFVMLIzPHONKQUERzaQY2a1kYToJM0asayG1rNmW7XRxBf4 +J+APpI9EBVTD1FRXX4bGsfdKFrQFLEHjR/CWFGrM78pAaS1GL8Q81XtaPDGkuuD+ +uTNHGktuGEMSRqk3MwY+Xd3+iCQu2Oa2I2/Y9HcfuRUpdG23kBVUf5Rvv8mTioNF +k93fVDsuObKccqa8YqnUxFsHS1k+aN2zYbB969tTpfSwlQT0Rnjg0WsxXy9Glcqp +AZOSMvgoVXelk7L8kUKYehQTzaTUIKkN3sN/EgkWuXSzKRnDiXV/dW6KyrI3hmdu +vQUGoxJGtFjFEArkVEbahk3qffWCk7KESaLIunPkXDfCfcU2OGvleO+yIDuoEU70 +31avndgZQj8v7whrD3yqW5JQ2pioBZvWIrqn1Y88VNoMvnjPreVkffmoviE3D5iC +psbePzMvHIAQlYS2TbCgEzlAd7xUcZVBPP6pJomJO8St2u/54A5AsptzQO3N8so6 +XW5tyDDnZNdB1FIBjJRNGacrMu6AA3hoWtcUP0jCBtBMr0kFwnliVQOyhGozeZYo +s0LNn1tnYAbY2mRm4bk8GZRgo1ET6cOa+m7fDv/+i68TD0Ren886DH/mKkrPbf3Z +/f3zweJpq7di9yfqywV7G+7kCCThRAKPw6rqcOZCqG0LH2iS1c1SSx6ErI2CXGkO +x/5nc7UqFCTNx3s9Bg7YQHP2WsTxaHJP6eIvchN3tYj1tMCDzUOhyPvZ+arZuYzc +mvPYW+5a/C+Qg1Yz/TfuJaLvO4btDLx+5qZqG/XE8skfXcZI+xwFBVSQeTJbB6Xy +hru89FhYLRyb150oVpXFcWzpVbfFyL952CUpvoF+2xAoUkvNbOAkJUYsrbQ7wsfu +JucLi566qGPXBfr5Ne8uQH8Mh4FVUiCSF9MkNRUN5+2JAh62SMjIT+3JG8r9/XuF +O/Bbh0J2kVgCGiJedYEfRL4u2W/nWFkH/cO53sxgd50byuWQsex75zdOlp7knzYN +m26FAy9/ut5s9+dAsZfpr6Rk1N5M0f4YiW+54cvFeZOCZzR2StECNx6VfgA61h+r +H/NMRyCEzX2d4ZcLi9Ml1DHv6NzTJ17Vjfhw7/nqSZLjVGPZ2jRZfQkNSYMzMkmx +H/XslLY7kqTzlM77XtrV7DUnTo+7FqCFq2V+1syvgW7sIZPKkTDBkxMjrqiXbqL9 +xOoBN3DfeO6OGD0zkIzMs+SFce3XfPVTjoYUohmJvF9HBomnSCUOJ9AuAXSNetKa +OFwrv/x1aZtbWLlrz95FP7QBx0eCfQhLI1Kj2EGvuhQ9CvrUWAjOArH+wHrF/hXC +mMgug7xkbJQ3t+SBLqLsDyfaZ6D8XnUI1mIaqLmK0bCNgvhyEadNZvKm85EpIeI9 +g7fEVU1/FpdxcT7WuMMCoxWGRZSTDOAN28TU1XU59L5oZjcg4Nrsu/AA2qTHy+1N +Iu7MSGj60UZMeMyAAuUcLnkHl9W5+TsqJYDhKvTw8I6/S1MnOXoKTchmPl6AwX2C +WBtgmJtPqcGg0zHCHJjllDhiLRlf2/YFDdwxGJzy167sXzLfxvAV9iD3jVdgiEl3 +k62SF9rKgD1Iqi6Wtn5pPoly0l+oFlGMm0x2wrqk5roHrKAELiuXLsoYfdWNXPO4 +3U+6sQfYbzV6PTF9+oQlgD+oTrCvH9yunn1IziHpa4ZAy6nvGwDe1NKkkzCDw/x0 +zmN0lkabtnvx5B8MejIawCNaUhEahF/Hk2jKwNrg5yuMgxZjbfhAiMHtXDs1IqVh +OrzPebKQfzTDHzbXcHx1ixmV28q1Pvt/xG7ltHsvtbUrKK/ds4++TVBeEb82CJz/ +zYPe/XX0Qb49zkk3k9wLVAjSF03MDipWwj8JI3mWg93Rtfhw4Ns0NWpewJTTXQV2 +E0dWeiLQMh27qQPLReCZJczMNgxNIId3GXIDR3FVLYKJ6IWXJAPtHvcexWkaNGtB +y3e6jy1Epl1fltn7/QcRxKck0UCLMNJQoUHUOrxhrPFqhBpDbg/wdRzKuRtAR+r8 +zfqyukC1ETpNH45937z2zqlZQUqORcW/Hy7TWMPCKZ/Hy7ZfYIjgonr6/ygj+Rj/ +H4ecd28FWdw+O+mXRaRTppir3hg67EBY4Kpfv6AaNq0uHviyJpRx/m79GeJ1BE7k +bUdgJX/uS6aTxsXLmdZc0tH2MP/te69uWbvGO6r0XY++5WF9nnv6Rrm1emGzg6fn +gYax3+8413drHSryhGcghbwfNsV/MZor/ltsRn17L3rXBuBygvoxZTn8qRaVIGJT +aYrMxiqMqHVtULKFk6uO5ccQ3GoGGpyeoay7HDbw1JrIovmU7fuS/SSll23i2yzs +ZDdU47t1JftBE3AJE05j2w8sEkAiWhJ22YgoTPSBfTF1Yo4o12wS1HQxPaHfIQIV +q0DGscU7/DYjc2mgRvZkExpMv0vEDLrVdNsNrsgyFwJGdoIlwfJEzhv8qaz1/Fxu +idu+ahi7+KgGBOCfGphUb1PLg1XB+7DYd5uwv1RlXgF4x60Zd9ovu0Up5DPOBRxU +eFmWBOrQAO8Xh3r0HjpSfk0gGb3Ii6YDx6v1eiWgnLs/T7qvqaQ0RCX3LOR/B2qf +VWo3o+r5JZZ+sr0UWL/laHF5iO7q6VvxcRSiJGSiJk0y5Z6DBbN7dAfW6Rb2QVav +CidlJ8iQmdcBsPhTSC/2NgqjpznbnwF1CWwr00GOZMY+Au0M8YPch5DgIAYq8JgC +BApaEGL2lN4HA2hVqmTmIIyjps1tOkD3zw7dnNPycktfdnE+clCn4bGu1FF8aznp +GdKFwQOTlLjSdHvAwHz2D/MwChn9sS7k9hyCmtb3beCUQ+bNpxwCO5v2iByYF6sq +j/BxvOA0DqnmlIjRVvjVAlwfNR8SfKxqDkUwtCrNvkb4yilXYuaaQkiXbphuua6f +/b91fSVYrDYFrQFmNMFjq2vWUjcH+RlIzaIX0uUA0/PeHIqBbEmkRy+/HBAPyrWt +z+JDaRO1Wq3u/73Ee6vGCKDEPXS9f5jLkbiIlnY8hATSFBnCM1YHgrilcz9O66rx +pr21tSimEIavHLo90+uHYsVl6vJt3I+W7Y0JfpNrf9RnbVi8lEAiFaSHKF7BnoXM +AyC3jEbaNGiUfRLm5yvsPQdRo9/qMqOrGoperkFo+ne5D6CJ1oOsoBKtX9kC740i +fo93axlfVg7FbYMTdJxZ3AUiW+yKVTHH3n7J+7PF4kS6esflARuXPh3PXXRQGSR/ +o3aiIWM425fBhqzVElHMIGog1kUT5VC3OjQf+YdP4smhcRovW8uox94vokAhBLi9 +VFR9My0hVz20EUeVwzfuwC85VAgFcsLDX/x2tDRE1KPxPofzvRFYlI4I063blKcv +CFyIGeXQLPnJiLvOWgZ9U75MHlZ4ZmmnQvapCcl37sFgxw8sL9G8uycDV3Erdz+Z +cKjCgeQTdPYDDp7Kw6Z/iF+vZhA+LUY7W4hyMFdE0PmutZfPPOqCbdu0BJUgGr8B +zeqt6vBoeTkrXDwfMv2Ziuv2s18aD4s9KNGeFQGTJ1JJ66Q8nS31kupLqzMuLZDC +CmIxbK47xa3Dm3e8UMWRsZu/F9voqeYp+EZYUmrULIRx56tB8qNk2I592TCMkcT3 +JkZ/UUEZswsreg/sIumGhbHSBkn/fAd6thv6F86Yw2JsUh1SBhnDNe5lb47vydDs +b7ISXFZEmPXUoX5699CwlG0Jl5rSscDthhyg7H9n2rmJ7zZtyvFo7xdDeT8oV0Gt +0H/EapNav6OAvSHBr4PaD5SMsNH1eV+ZYkQUjwAwaikJCY24Exyv2UeZjOtHYYfY +vaJBd2QIplXCNlQAfq5eaicCm9nH6iEhd7FZmmKIOznL97Gg1BZwhrvxSKq7dzD0 +EnkFGarbDy/FsO9Aoi+RPK/06lCGitMZl4Nz2K2HvTfsn7v6xhr0+ReHfubH7+hn +9HgCDyQNr/CRQtzpZQGU75RLsMfmgz1XbFZyR9uzbJkOkDoL23FAKRrTzYHJeqYw +U1qQa3sKOhNGrYzaVXDM55PJBr/R75OLr5C2DlpfhR+B4qeGzRBM2D4+Y7+1Yr71 +1wEAyxqETDuI0gtv6suXW2pxdT4RoRPAEbUZPLP4iUdEgfo0HVXFohqKk21oTIRX +RPdxsS6AUPcb0C/BR86R1+9tgpLPbM/uu/4T1/hniNN8JqX0d9O4qaD/HGEm9CuV +5fxs9FIqDp/lCvxwvyI/shu4ePF+yuyVCRqtuvmqnwd8Z4cahCoexOiLnCZjLchd +VPte6EruZfcIuXAtPqHQb3zeQO0W1Ig4EeupalNBq8IQ99cPaDz5tPkTnls03QyG +t2iOVfMvoGgFM7TKnzPjku80T5k4r+1zVBlank/NAuJBJSdTjFMioY9P0ecUZe0c +m7lAikwkbqybziEdQjFFxzgBgMgd3kIaSHntPDVlgDFQcAXXGJK9eD+6ThZ1cD01 +Aqz/zG4RYKrLcLVSkY26P20me3oPdDnXfzNJM+mm6D7mvRnIgprdqwUI5PDkm4vc +CNx0DIO64qW6RX3izYsvjF+lwWcUzrGkfg9pRQwaCrWVO+AgJ3Vtbb1qGwaFChTi +9jf28rI68JZ+g3AVvaQV5LyRNuL7/nGixmKHycC2kue19nZJBhAiZTKI0EWesZde +W6Fo/DoZiAuJvMqBdwIMUYcz+YcjzEHdrFkZIrcNJetQx+mPo43mo2L2bjgzYtMe +JAqSyidypxjf6MKMcD3z/ZRYTIh7293N699tktZllczsfPkil8llM5VE/WJQIfiv +PDMSNVOzIe1gifga+1pFDgQDerq+h/mz2cPKf+uqeEyeXM2lwwMyrlC9DkHDzoKZ +dO3sp5JTCN1xPqrp0gauhavnGwdjkIpg3514atF7bxDeDGcJiRI9XWQIIlAMhY4T +wk/4sCvAubvuussw/79+eMHtUzgUgYConcXzJy8unQJ2bkk238WtItifzq9JQbs6 +tvq6cwBYvxQXPFp37NQH0idoGSUY2Q2095NXHNU6IR3bqwY9LWtrS/5irdI9HPVG +6pFdd7dBpr9OfJ3vCN81HZgmoMyJmJP7OFsBpCbWsw8o5yvgboN5yYKGENYGlWSs +8iiCOMXotUesieRN3K7JZhz7JS8KM11K5KaBKhwbTPVMPFgNUeMcaqbDTh2X46s0 +gPwCe7BzHiGugrtKgB+p6YSzaw6u68ZTSb9fNCESBDqPFh8R+zPe55qO6/filhbS +EgdI4b8UGhW1HdpkH4cOuSLihLi5VFgWJodheHYEk4qFtnuAq56JGbxNvg9FMubJ +KgE0+I5alj78AWJku6hF3R9mxoyv5Anu4uYN21AphCSwQbdQ1dLOfksRWbjxPY/h +rTkNvZJt/6eYr8Q4qFSD/Uw7ji5kVPvjb5BlsVwRwTgC6pcH5FNEdol1qls3Y/xp +HtCwlmK4MlpeXLlSizSW3VHTAV7uQXr2XQeJVOImSxKppVMGPC8QXDaOM1OhCJ2x +UTtDMvNgxJY57eigUpkBWJlwEXWiii1p7bqiDRtkprWnl36wldw48UnQL7jkmFYn +v2rqdA7JVb4NK0NKM/WIoQJqt20L4wdhn05QrtBEpLziwdK/NGfip+nAVyq+we+z +mM1JxFvS0Ku9VPyL0/kcFd0ZxPG3T64VjaGMxE57ElPP//ZRKrYpTyDXrW9dU+iX +bzhJvmrb1Mj9iYyKYtUWkWs1SVD02S0FeDELV0eDhPcjbL8cwoHiGEElOVLdBwLX +EB1b+kPJgWEpk5KKSfq6G1j32VV/dkqMtZMdmSpVfQmccUfAfGVBnN7P1nxvszSu +T0UZWJgmAr5xJphGVwLfro3DtohmEQDsBQwRWDzzkGQNlXxljIrbroM6HDAHWwnA +BpFqBe/jE+GKiCoXE79vIuH/6XRUYXF602Aasyb1cdoiyLDH4bRibE2RkUx5eRME +NMA5Rp//Vp8j5mUfrM8xY3nw+cTNh4IjH/LfLBX3mVYLVTQVNmiytqQf21VmSrwL +LZr+at3/UOUUUR0QyZN4leesCge3YWBjtsyeCu+1MBGNuEPPOc5oXD+pW/+J+/J0 +sK19cLKEEak5/8tn4XwqjwCFAqhMVjK2rIdGTTq+hZLws1OYAfaaGCzU62ayfWV6 +AXKQGf6LS7lN2RGpUlH3Pr4UovuzDMv/L83OW104OxQG3L+bS01elVeP8AwxvQvx +ARlOPmpgv4z4loy1lIfQTC1XHfAq9d+mPuJN4Ib2oy4y7UXnRku4BzXto2SSUxaQ +Bk1CN1qay8/KRo0P487hIAyiFneQIC+/gxyP4ysdvsyJxmNijyuQhuMh5x5rsKe+ +qfXGLpPSw1B8C9K9+9HOVfqKsoaZk3BBuLkAH2nq+6QluD7ByMlAMtBU2TqaROB7 +o9wRxCzPPeHDRW7NsrCS3k8jM5Nd+Z3+Fy2miOaIQjSm5w4WsLVVEdmp4dWndhTp +0RxsrsisOa+h/5fBj8Hx0O8uU6aE4vC4F963Uz+8OxkQubXi2TWB4F4r4McJ8OA0 +oCYk7zvg6wy3c4ELTn8xkx4/bxUZpouoVxOoznGNfPdJOIEbMTeKBDmQ9pUwsxhB +jOKIckUeAQKZMnPBbNS3kAXGlvdYM6xdPsWnim5UF9LXL68JO3OHMRBJEu8SOUSQ +haor8GgYhnoMfTYLlucl6YqdVMNLPx81jV3WB5kihl8zyADm4IksOXdyLkg9+7Ip +3rlhJNC9I18j8uQW/POAHxRkdhXJ6M9arNTx3W6OhH5V85S/pZkjWOzB8Y7WCkkp +k7nqBxWgVcVlBj4wakcB/nwv6LaubjVzXqVe6w76O4zct/ZEL72mbGxbW4G5zpI7 +9pTMzPMqeVYC035h5qz31Dg54+TeCoTw05doxSN7konDm94JHfZEjWGHLBfMRnH/ +RJeL+uo2fHebYuquWlxss3CgfuxDO5Pcw0yuc/Vbu5nSpzeWnPvvWlAYjdOUltlo +eMX1ZBmPPLnfrLUSfT6m/9UXkbRXYhJaQPdcG3yJOHBJvrCHwr3sVnGU2TVqg0Px +RoFag5lqOLdgHM4PW51hVUmJtZx8JBJjXq9lJIPdtWxFvg5Y8KiYm7jW8k2Ij/qF +OpufBk2u0eZ/9+UyEDausD7nbJzFXFda1WbozmBsyG/BDbiqVNT6LnNt4Mvak+/1 +/A22ADHiaoWhkQAbO8dvGBtLApozobzICxYW70tNpZs6PiiChBWPeJ6tLemBMCpK +y9YvLlRNYJ7EB1g7X8SS1tgWTuOdODA3NlFL3ijjOQK9INGTnzqmoT69SgtGmBMu +wJPz0/3RmUCncF4COg49QAOvz51jaU5Y5qIfgH4D9SgD03YTRePiHdcG6HIstj1D +D3jQRglOIBWwAw5avvoIZvC8zXfzA2/OCyZoSrbZM9FZLyO9QgcOMkUw1womsaif +LvglGhZKCeb5+Eohlb0zBMZqR1UZLp2ytR3iH5KNzFmDy5G6c6jL0yNtlLfgCwji +kTzbuIBzY8GXtpvWDDKZOBt+TAprFe6DSTFZLaNJ4ktbNMljp42GhdrlsTYpA/re +aVSfElniyWkWeXLGFa4+fabrM1BhU83G+mE9BHlw0jppFcNjIK98pgf+p0RL4brf +pWaO50xyj/1xR/5xY97clFbU8AzZ83xgy+Q+qybWKMZr2xKhXpPJwkqmN9aXw5kQ +OJV3TUskJuF4seP4Y7xUyLlZGbWnlBii+SmDLqqwFSkINhpYFReDmaii9UmoI5Y4 +QnaBw55oZY+8WBAtdvhf3EbiJvME+Pvd6Un/9TuexEAyrIp+mrKGJpAXytvkPPmj +bGOjBsgbDX/LB7LW18U5XaK6YCqUXvme9LIC21u4hqmtlZjnVOSO5vfBS5/pm9C4 +N0WDBzidHB9jBfr8r5/xKU+umT2CdOpK1ckWwOp4/DtMzk+gShpgiyd96yNEYp4C +7UsCMPQi2aku2yverrftS9Hd4lBAGoYaVXXIH9pu3CyAXq5CUcB4ePyMp3XHb78E +BKy+9n73Znuauu1i3xAwczVjjmTeWol/Vl/JHXBkrigN3Q2u5XY4OylLp97Cnvcp +mQECIZTRBUOedWWve+Y6fjQoNxzkQ43fJH1H7MrThEKh407MHSbbIStPpDT8HX5x +nMmjORHBx7JRjdZMqYJYOXHTuOhef8Hx2pOhC27IP321UuAC/5SLfatcUB0fd6YC +KT7B0rF3gzKJB3IP1F29HA7MQ0bUyef7OfiM4eI8MbdeBKB4LZdJ3RY5hc0wCasJ +nRMSmeJpffQ7nvhTkHUjiESXSshvVkMCCZ9rIx7FxqcpEjD788wP3Mba9+u4NiUE +8i8QAgkxG9qfOJ9WyvHsmLkxSlqv+/6Hf1d6kKGfhgNiIWyOYZ8ss/k/ETDG2uoF +JnTMzBudg3vOhD/lK9KmwGzPhXiS7n7lhe3gUz07kWcj/genUNMX8PGKaZsZonCG +yzWV5e1Sz0F7rjAnrzbwOxOt2scVNaqDdUrgF2Y1rkOL7NswdpgJMkwLurub/riW +ljUL51tnoJVPe0pxy7t2BNAch8Vc1oY5fvrQnBz2yHbLo1Pm5HTPLxSNDODnKBTa +gR5foEFxCzcJCLGlA1qjB7uMoqBuXimX6L8izOr7YVa/x0X0vT/mGicDTmXQN4q0 +4qdJOP3bYaO0wU3rRdVYlLrWc7WCLd4v2qpk+wWM+0G4WpQICaoM/A/VeP+p2sXY +KQ3Kah/ufzEK75IcQjPQjYdwCxJrBbrEkzpfE7LXgFQULqSdpILO9ldict90n750 +FRlCsWYRXPA/nq3ApnJ/mANNm5Q6tvgf3253JqzZVoPAKD1f206knPAVNU/lMBQo +NJhr/BBh3QR4l9oneUAjUP0RUKco0E57gUqeaGGJqtg4ZkVrZO6f5Y5ZvkyPzPtg +5O3P+/9OqOSmGOL47S9XvZJLzeZONvxrWSoGu4WXcCvns+gfV2DCLlvg1smOLhVw +rACKmNZwXUAyETUZdoE83hDp95HBx+JbI1dTv1j37vA7iMnZv+MAqBI9de+fXe+h +HN4HQfaSO7s11lz+ThccX4aSOUvG/YZ1+dROm/KyJ1gtEmdelEIZ3xwNvRJpN03m +1rA/C+mQUYmvHfML42ysypglN4Of4pfLl7vpSWraF6Q1wAON2tep61Zct/Z8xzlF +25C9g5oAzBkntyRWgVzQ/6gnb1uQUQyXUR86qGCHDC/epUgOtbtrHClcl/313i/z +KasGmBy99TJ8kLO1K8qvfbcnUtROh2geyg3uTN+fFqGkQ2GmoKogEL+1F5WS/hx7 +yC6UMYO/CWu/3e3a92nGLQe0kC5sgf/pmUQucfFcPCUCYejkhXrzQC/Y015WVOHQ +bTprUHb+cpCmibK9JPsZ2Nlj9L7qKgKs7/rwChFT5PCP4OcXjXTh5FmGEkgDlzHp +Irx6mdyjRgpK4TQJ0JUuHuKaY/jKUDoOIQluPnY7Zn2EuPpiY0P1aFVj7fiL57tJ +BKRpJ4/4zRRvnJFyMWMOSL2mQUMqLVWSo8I09ZHfFRCMN62TYjo4/3RRIziuLLSz +JARHTw4r30d9XBiytAWQrCVUtyyYTjEEMwWXJNkxYpGHAUQwLoGr3ddvT8mCb4t9 +TtChHenMFuKCXpshZt8IOwDd40JB/oIThkLZqkHvN6aQO+Gw+jwuCqx6gkp5H/zA +Imke+/rmjMe7RbRbcLXBDZ19diV4wnLhngWG8CuEJI7knKMN2aHeTGZ0l4mSEPhe +RwTkqYj1df26f/v0F9m7mYN3iKHKhjOe6/HTJ47jV0FybrKXeq8o6E8AsPEXsywF +EIOPj9kGUtffpUIl2UDVo7zs0XRAvmCDL6Ur6eDIm5j1ZsOenhIORR0X3I0FCOFt +nGOZtBDfGPpioktMEwClr50KRBlEXFsnXJX3BTJze21DyMIckrrxHJBy0pjus5rk +/BtIdId0IV/LINlOoixcNduRObdh2eHVK0IwIhlLPaQZm3ZqQiQfE6EIJkNsw0xb +8cUmonBPoNHrtHW3kKyQxLAmsDvwg2LfxDAXlXy+A9B9+UM6Y9Me+VFiUPSCi7S8 +ukvBVZAzLhCeXhhUJE4ofcFtzzZ8okTmJct7uEtGZ5o5Pa+G2tCefV5x9Xh9NHeC ++ZyLoWxcbkG1TmsfPFNcyhfSRK9MjMzQ+90NVFglhoy2xMCCFQr5YcAKdlILH8aV +mwx2CShTUP1V+c248MyOCqZcDuXkgVxJw+NcRF97OOf5Agyq9gjIQRLBZlxyNLpZ +QRC5wWDox6PKM54cRQmLZBIYS6g1AABujucgkHYqqYpNn0tHys0AqNTqr4leW79y +J0mD6GJrzZtmmrkLKT+05cZzPTT6iPd+sOWTLn7dR9UEGPTmqj9Xg4ljCqEq557V +CMg1Y8cGCP7sRy1auGUCg7MsZTvxZBq/WpAkVA7bvN00JGBGY6ss6zlbBEQNNGSC +9uPyDNnRG9gclOttZZ0+B7g3mAMDJmSXrz52knG0Ub98v39xo8+AVJ0fqisW+Xn0 +zJ7T1o0ZKLf9VG6UD0diRLLM86CURQsfdJHQXy+XaN/Bp1MmbSjKjXsn7VhGXBkC +9Zq7KRna+1NMHvVyiO0Lrf23UM6vvKgM3pCW8LWR4JDZAe834IMGIwcJ9Bvn37fc +tobvVR07IOg4zQI5ha08PH2zoIPsJYhTPaQGm5b8hIm8rPb5Q+FaidKYxLN2sw67 +IqP2So45vVttIuNRnvduwijxOqi+85si0UaD1i878P//5/UePsRHiN5ov1I1tCNj +cFTzOXQTo6QPxWqUQcavbxlHXeoGf8WZD1nlGOOJzWTVwkdEXS5nbdG08o0I4PF9 +sXFPWMdlNqTS2tRz5gX7Dd3ReE5hWdIIQ7Lf3ga5R8x4khh360dsiZyu53mBbAep +B18Em40f5SUXOM6p+K8hwxadx8+B01ne5xFzd489U2FWgFQDg2tmBdWGD3PIO/KF +LHyQxtmwSDlvaiqAjhd8pQkAbFB5l6FpMwAqOPx7hgofpHXJtjARMTUZFbtFpSaQ +Nor6MIgSOd6QS5ZyL+ekVa6IUhCziMd4Ym7e0It5qdrRfhROwxitESOE3d5O9Npi +7svGEG+uter0z58rjyN/J90umH7mf5aYXY35s1GmnPr403A19M+5suuxN11ivL1J +Hihx1xINmEeg5QthZQlHc3AgBDOSOq5DPzCqTOK6DQd/jBWnwYShd24Jxy4YrgRh +y2/jfBatZVLgcyxwxLch7LKpglW6iMbfbCaAl/eh2TcRE5Cfa4veYoKWWPEEIUoy +m6MQ3AIzmC+rJ1d8BUsZNwGqWpiQiSeqhkLDaO4TNqWSSeSQN+MTgJpEDdZ7w1O7 +KkZkOmH73TMs8MDRgbxwG4bq4+lJq23X/KuhPbmwLl2r0DQznpEpgPJRVEMTJCXr +2XdVMo2EwgomtOlfxhi2938L4+FCUqHkERE6zNcDrqc5vuPXO6Ao6lUStk5j0UhK +MmAu6kUPreG++r8shf6CEPzGaaOk1mHHksdJQspHJNBGOKyJSYkv4aLG/4C7RpgN +AP5ZSWhmw3HBAp+qu2t840avSxadOQcixzaMomHZIp2Sx2VpU4AzcSpVcK7N2hBC +gdopy+Qv5YEXlcp0vAm4Q+lnBaAS5HqDF4CAEE4pGF6Sh/yRx+FG/9Yrm7qmDBYa +SZxCm38P2KvM027t7wmD8jv/JmIMTJdljAdmwZIiBGtbzFoaoQ5un/1mJyH1bxui +4F9BJD7hlCzEi3hEe7ZG1NJlwr6sDvxl4pOY6ZcfzELf0phyWbOZ3Of6FoS9NfMo +0kICFiVjBdj9qopno8U1ObUcR4Ekrjjtzzfb/rSyMdEh5FOfEAdh8tndM0yksFkZ +ULHBR8lDp5gIDXQFGsfMM75CPMXclvvukyFt2c2uABlRbUVfZBN3IZTGBtQLDl0w +hlIpZBgAVNSBJdjJVEI0h9CJv2gm8JqojXkFebaJ0dQsG33H2xUg2mmz3pY3w54x +u1DSXuLvJnXCBrWVjqNYjUp39TyDv/JckXj2reD85X5l5yRIGT/CaMdqT2lWXawf +0WtEvSEIIxyPvygwMKww0cfaRtTjwEQSjIo/CADHctLjpwxKq+ZOy8mEQh7fUgbt +4mlsQXOGEoZHcXHPTUTeJwvXTh4K9joOuKtxPlCSVIuUnjuvx6oYW0O0HlPbxe2+ +Z0QlA4h0rB5a9VeG18uonzQrAUJrCTI8GGigHK8e5/e+toaFia30BUFBM+mwBsfb +S8hK7XajSECEY451fgrpEmm5CY4iPAJCEKocl3tIlWcebmheHcVGHY6dOuQ39Ocr +gA10ie8x+7JPUSI43QkMYT/A5Z0I1Kp59wacT3lMUHBslaCsfeBbutSuAeDMyZ1D +ZdjFZp9X87hNm1QG73zOlajopvgHXVbxognBQ9F1aHIprV5STYLjEU0gkBr+nE3b +CUf7pezw1Y8R3UEzFi3xG8tUqPdUObxaBelRxroN8UUNMOcy1yA6fZER2+atRfZL +r1R0AIvZshRVR9+gQnYjD4IHqJE/XesVNUA+zmh/VANQR3a+cz5kQxkOz8RNLkNl +aUfVSu04lzFJrMeDkhBPdnzDZO8C61EY5pGmtOZ4zgBtOxL0wIrQDqPup2zBiXjx +9RDDb3XH7qMaZ+cFZ8fZ4wuTAjM7s+OKN+UIVb3XqT46aGqVa75QV/BtFjaoiGn+ +jBRJ3X0lrTe6Y4d2mC9zSnoNR/+y7BvkPb4kopqcPC5YCNO31vZ2O9g9eLYYW+Zs +VymtBIKJ6C+2VP8en1abwuPQKNFq//XZ+7gYU268P2rpsFKZsV44Bcu1sMTOhfVJ +2lfsKzx5fHJkOiZjfpKTR/iZ+AyUzIcs0xzDRCqOCBgJtZX1yEedo7Djls5HaDGy +8FgT0UGdAqvJYlo6iKekQChderko1ZvMUB64RddV+GgMGPArsoYqAVJbmT2F22b8 ++PxGxa4FznwJn8BMXIYgHSqAL26iva76Bp3hZ1+Fb9YCaop9Ytw6CGoIpQ3hm/oZ +6xNBfas/jfHNjEbZoHQmp8Vwsxv86Yyx4NwVUOKnCMDy3wLZbpVdGcerFRGGkKQm +uLlOfEZUrph6y4boy3z3g4oHfJnP9XpqAAHjE/FFe5dU++ai7CLrWvD7AbAmaDOo +rapBKsjpRuPfNtAgYHKQXYH8NKBT2Mnn2CTL1yrfnx9HsXhAL69T6xbVb7gKVIzZ ++Cqk8C2yPyJfjGglp7NhJGubkofm4Iv92NNljQ/C3nRaPQovK0BR8OsjhiWEp3Ej +djvtSVIdencAEJM4iXr4vOc8WaZkR/E3hSTe6d7izPqOQHeG2V3vARqHEvchZT2P +Ma0Ps+7BaKGFWj+9YIsL8TyTeZSc7B2vNnpMhNxwt7+curjs9KdOBLSEOCviJgqm +VfllHXxLxQbvRVV5XDsHEMaVavLM9hkSuGXEv8YmxyaY+PeVaE53Xf3kVdCpDQMs +djp6rzUij6IK4xAVTvkY4SooxUNu/pu2XtsMICTFdSFFaiUMzgU3XX9dofU7GMO7 +IcnTNPTedVLXky9KNGvkHMQG15i9pP/Gxn9VMXDHV8eAhlt2VSuW6Cc58vLsns34 +iimC9ct5239VAg8W0QzWKAuRgRRusP4U7DnW8DYOoyDjQlHi+YoYpi/M33LZpw/x +5ZwfUw/aql/JeOWjDwwX3gveVIUKQxvV33vGlQaF3ckcEgsVGj1QdpD9EyLKcOXA +m1tlrbSwF0ktY0I4jnEE4Krq1kZ0Ip7CvRaKnHlGAGcxzNQTgqUZy3cWi4pLMW1g +J6d2XAinpve8KsOzbNbJzXYeLRMAILgkohep6zPGsKjEMnY8l3LXmLFipQzQtGq0 +ui9aGmiZdiZdxSADWmid2ZLKhHJc7II+g30NqooxFIyPUfzAxGJ9o1ssANL1b3RU +fLkyR3JBoDafHah6V1BFkqsm/DwceuTjZ5OLv2k8qjozgHdf9cLaFgRmfdGl9RR7 +J7F+V61pB931Bz9g+zT0E7hf5f+1HWSzxi7zSaNtQd15JbufiRwNhHwFOZyZk4tu +lQAlTuE2sqLHlJLGaX44gspzoIsKuVPT2DnJbUCmkMd3uQ8wJW+esLXipOUz7Ky1 +7k6j9CgHrj02OfE6O62a0e+f1OUgZZcMQIsaKbnSNSxViLIX9IS621Y5wj2ZRhV3 +gkfJwXRfj277hfML91GxQ23gQt1lrijP3Mq+6kGpS1trdQ5d8dYSyq99b9H33rFK +hH541r7XNtBmN2pVR8IyAiFsA5byIjULFvi50R1BhjKreY9rvfwJGFjZJZai9sCd +0QWuhUP8i3A+VzTtcN6cQd3kmTtx/MtoFsjIgwnHfgWW1uo7JaHq9/k9GK7Pz/9u +etZiv9wjKU/ASFxkVBtC36eEJgcfRtUdWGeJokUuVYKInR9frCz1qSA0iZ3CxuUT +t3egTYDSpNI2YspQJMQRqjFnAV/nxmErCufI1ellt//dTJjUJiBHa86xHxmXpTwB +J+6P7xfcpaCD/XB8faVMUP0j8VIObWGvutk22IAE+ik3IFxJw7T5EWAJJo0yMEOM +7IX/ZjeERUPGd2TSIWst7yB70H2xjUgnqzBthHQAORDdi9fBoN6ITRn3/y0uSM6o +arw7RdaZlZioQB13JWdtn2Zg+kI7wa7BaUH/F7iYw6gx7jrtt48R7WNrLaWk2pXt +W0d6YoV4BVZTF+8zoxGE8jzDcgKd2YvQ7vsXewUgv7UuP21OPWbZwZDidIYrixms +VHVrMq21mT4mOAlXkOPrtyPUZ27rD9XZDoGDIULetdtnpudDV/WogDvfGQ5Tlw0f +83Z03AXRjIYmtPzjQINUgtdawMJA8XPdNASW5W5XzGwUy0P4Sojh1dQwYL4Aa4Ot ++kh2Dj4veiDopSgHwegquyU/K5e0eXzcJPOGo12ZOB3IymSASyD8SuwzC0E2cIhu +OJi59Z1tzAAUT0O1QOZMmrJl+hjh7L9gtqL3QAeit2HRJAB/TfekuM+QhFM5Z2Y+ +ZzDQuAqj9TKtHVsBLgthf9XKjTvjlHebDTnRk/7VD8CMgrU6kEm7p8dktPfD4e3h +vpkU10qUcGoH9qIlUauNaOUZekO2wBdoFxavtI0YQ7/3V/b0ujIkqqvO1Hn5/lQh +S/nX+fO2pNB4FCrJAvd8mnA0vibbFJqkEWU4Ilke0iqk7W/RtHAKrRpKu1vN7JDC +Zt4pOT8qcpuvnL7ZLZeokfyVIcDBGsUk0AI5WpqanPgNzEHjkEQGH5tGxnEBsyDz +RcLd3NTid7HJ3DmKTeR15ySJzo2bJvh9DhebXRsRkogNIEztSbijBXccxG+F6N0O +NO9ce9AJjXoP2KjwFHLkoi95YarD1tO3FNpe0BFTAKgmVjhstXSwZbfUvAWh+92U +SL9v7NF3iC8cVimLJhKVxBreFW1z9cxTuhq5JMX8KMxaa32UsXrGuPqXOZiELe15 +RdC4FkiKrrZ9r0X5c3H5VBh7+VgAv9NV1Zp2Ked7Yu6UjQePqz1EMTgzEzDevwSD +ujOOFZfDWV/P/eA7VQNn4zJ6jLO5u3SA9Rw79JOhEIyvRAWfRVvynwfw0Aw4AXMl +jZmnEvwlP2ktl5oDNToCII0f2RcdrtAyED58E9AHhcE4H/lkanQnaCvjoTE/jlY1 +RiNwPk7+QES2AbzLn1MfaP5/LZp9V8C2g6ncXjbi0hXGrJkvaChS2Ra2Wq7W8vFo +NLY/Qpor+4wqP3Gmo7OT7xF1SfNC4+6v1Uqo2s4Svd6Sh/JRKK993ONgSLtSRYHi +zx8W6PwX/3c4Z/sN+2FWAQnTBWaPwl0bBaKVIUNuRAvVhyDJXBGiiZ5GLI5xqgBU +C8v5pNezDC19NyEyp83ToBywlNx7sEWOut/hS/Fo833ados5AaDyB4cZNspSmG8Z +xx4vc6f66tKIku+rpkEvLK+vePpYfwJT2r1YaMQgblQXxcYpT48vh+7/hR2HPh2u +0QW+WSRO6XIBfu0Z+B83/lBTQEfjDya/sip11Uo831+Zd5v9hAt8OJX3os0Rlt4u +7GzW/xN5HePK7KtWxDNosXkPLvyKVjJ5MOmsMTGQ+4BRCEydZ4ktS4RlnGdPQ0dU +NDV2OIEL9/cILlpwYyiXI0U+Zjh+PxvSdbK4T4umDnlb5QO48BKux7QD/msmSHdB +o3RfxhNcZ3HZofA9oAwHnbr95fEYtmUq88KU2Kqfmjfhew5+BudV0A6nYLi82bxJ +wphtddg2hDSOJkuOHoCAXX3U/WrfXribl+C/u38gM2oAXmC2Dc3Wmg8d5fSs4R1I ++7MEgjAmADLbDPtqGjDyNrZ7SqKdZvMyZf+TiCVfKwXOCwuJT/wTCiT6inJQF4eX +hIbyEUAGkCvbqrE+4Uir8BDtfs5hSYSgXGkcsdTglunz7dOJ0Pg5jGpbbDuhRwJA +beREP7UFaHuNVF4FFLlEctMwnRkOD1UgmnuOmNpgeqUUC4CiCx5+vWvjL2soh9Qw +rN0uLWMZ3dS3MBphudWbPhCKN2WxlHTnxHbM39Q6jnZzqjgqwLqjWp2ptFQJyL6d +8IOT9h5bKbHV5NT9A3OPIpDdj05BmEh44V0leO2L2AfoshKOHLBMUjE3Fr9RBw0p +qNCvd0+tYSV98MaWih/OcLwYstus7EQLHkpvDzGsJYs+XT1CKRngiEcKSSJDuCGk +Jo53abHB7lI+zAbDaZHTqlqHwM12qgH5/eitRv8r1rljqxV7khcNvlCvd0jtiJ5r +Q5hTw/sVinAuPVN192PD8o3VXNIyrtZR+OtNjXoHdCYKd4UZZIZ/XuZsOfCsNX0+ +jFLClybsJ23xV9g7HlIx94wI09L2+6KY4eTsbmvj6uCl50c12bos27s927iVz16C +xOsmUOLdsLItElGxDUtRVfe45hV+Pyg6D+suabdjWKminMk+lRqlVG3cliUdbeXb +jFErrUS4ZNyrx0Z8PHEF39kKM/4nZPYk2lfJRYjlCXD2o49/isrNKo66v9ezrRgH +AgwlIjfVIv2xhj6UdKQZ3mNkJWo+tJchXqot09Q2f4m/++GC5kZKY3QK6cxuPR5V +utYZSCOOt9ybN8y+S6iJWRTqw0eGAGAte6gjbpKUpmmxbe72ebwlo/VaNfACdA6M +kMBmDQHvO6DxAe0RVzVUSEw3xYMYK4fpPk6e7YkCBweqCvU8Cma7VdKEkWttwnCh +Kyhd1vM2KNuRleJcUjkIR4Z7mwWydF8E4AItrg5BZJdO+Pcf+o7KhDoIVX09sB6v +wZFKeCa24XZu9x9+DHEvv+H2GNoAptx9HtYy2XbHKv+3iRkLozZLXFlkcurfK1s6 +UAGaI6MmZC2CMlBdFRGRkhGXGOahUjletCBMeV+ssuoNOQD9kMwJpiA1oCmWQDW1 +YXdTTZT2BV8GjsFRCD3PIhzERbtX1pJEnH4Yx+o/MDIt6GVKMzrl1ilxkIs/cqfo +GFFjufpKxFfkIE6O5NeXd3emt/ZsqmBo64gOKEPliosXG9roNTIv8Q4PKICJfIZd +Nn2VTfboaWr+UEFo7XQBvp2Rd61nUz4Zp678orF9XkAqxxk9z+/l4fgWvEyniuSh +aoXpNoqa1IfApx2GBurFcCLnwaPWdTfDqDwvlCW4ieUtvTAhgp+MUSRhU6iwP9af +6oKEv8G3Fog7gV7hsogHUyZ3x5r7M6jyx70gdpzqRL16JbzCJsq6PvvEgKeEpxGn +ED8Yxz85nNkOlUrCPow3HojLDeUgdvdVccNzRqBqG+DCYaSfViEQsyhElINSpD5e +n+NvnYaWQPaK8pbwBrw+lIx1zAiKJExpEepR+OfgPtXxoTNPZGd/4f/UwD/v3TD6 +5SHGC5+TDpgTaN5IsPbgdJPu/1su5NWd+FOxalylvUnlF3mkanEyD4BcLcKP6mCk +QHLNKr8VS+cGmWLvv+xK+4UiKbMmKBt0rsaBy5208F/rmyYaKAaccNszNP4hGc2G +fWKLb9PVBEA6WMgtJgHFo4yrp+0S0/3BBvW+vi1lBkwruwusz+wRUW7Hqm9fn02j +KJuztmdVWAOs+xgMDiCt8an95m2zjM4skI3kw4m7V7j4FlY1jDSNe5CxZAtOi7lQ +5pYlK7b/r9yrEGKhwptV0QfzRuAAD1iCbZi9UUrEQiujuowGB5MMTjuyaWv1WrOv +HjpqYjaWKAn8nPjhFNmGx+e822Y5BYkwrn4AUh/nex0JpzEOtiq3qWIh4duZyeGn +22TmtTCSF5dE4sqXcB+cNF3H5lRZIKEW34dY2kHD77wCAShG1W9QAWC3ZOKoIRhN +AfHBl4MQNpQzhuWWc+yZZBuYdohSgKYNHj+efNwjbdecEZCXBmmgCH1T5N3H9oti +MYlBBxWBmvL5ROwO+Cuel21359fANDaIS39UfBZCb4b1TusWnSskxwrozzGk9OZn +Dchf7bSjNfJELINt1zjYOvtcf569oD5JzeeDnWDOy+bRiM+T7fZT/rcm/hboYR14 +DmB6oKzl1uOHPJ5VK1wxGUF/jnA6exzax94AFu8iVMoeNM/54URFkJJP5tPCwx95 +Krv86ASQvRzsH2oxR8BDywFOQvoLhaF86c1+f0NKhFieIlAYgWtNBLdPBf7UAtCs +62Q6jPBe7n28itTBjmYQtYMU4tg2n20bm3RR7XivSN+0xJI8UQbAyW2BMhCXJcMq +1vmf3qk7jzrb7cCQXuhv/g9Q6YhuapZFH66Lrf7o60nXK8k0zLImglXVm9pC++U3 +wxGDQhfOVsyh8Gmo3/asvn+eSVkq94NvKy+d3Ju84NrsTwrn3AFaatyV9LcOysMt +huGFeRbdiuBQRkxTdUAPDCvY5MWrdqm6c6D4AOsDJc646r7sHnp/QxXvvol5eq6b +jehMHyLArlpulSQ2lQf6x88nv2cRuNT4W8iegEFVcgDxkQ7zyKQh61pzUtXBGgnK +oP03rfcaxz0ucNbE9sNNNbXytjHGOk8Xocm3g2g22o42StjkcKvZYCYL80jRrJLH +xYUiSDpbp89oNcnl3m4kptw//XekHaBOW62VWOmJ85vAkNp3iC/of0FFGItx/jGA +/8OaaGmKhYMKmVZzNd7xN8sOfi8ubZIYsp8Gpxjc5BNT6MINas1HNxgDG+O6a9Ln +f7IJGG88zjPa3h04dHsMJWC0fRyervUst9uI8QROzK2NegS7580dDFAvW/ZWuYse +VsIrccbnVrm1YVc7UM9a7PTbI5jT6rmQJTHTJZtyxnV/OhsuZOAC+FEWzhxxre1z +13gXNZy6/VwauyDoJUOeOUA+ofzmotEflRneNQ04dCbbIU/+o9PyY7YTi5/4rge4 +Iks7oQDvU67MozK6fD+FCNx4jGkITVqZMJCMlrFOcx7lAxQooTlDH/DALUypi8kR +uL2ZN1kSt+WwEEuzEL/TQaD7+w9/iXvNbjTvzyt4yY8dhzohpk3/WJpPX5MW7TVd +nOu5TbTebthy6kSNW+sr4bNE3UPUaLmyzoqulx2HfNyE2SEwL1Hvkja+dASSNMWT +BnJCg7eajprEtcOtvpTbU0LWgloE7bMt5+pHNd0Cu0ILIQt37e5gOTY6GCf3hz7B +i2ObevaViZgkMmZvzcO6OscAKuxAVgEb3NJvWrciAJ6lGuZs6E+a4niqX6d4+Oaw +bHpJ5jJyGmTDfAFs2Ix4BgAzRpg5QyjeUZdjwXQNssyNcA3+CdyJr5Oh2ipfcmPC +w2MXRir4Jn0hWLHgFAnixn2LUKe/rZ37DQcAxxvCyXlnxIPM6cQsvvOCSDn34Mnu +1jeSZfh5u2SmU22s4v6jVQSVXOGXnDcrQjvZl1dasVRFjlQeXG6LOVv7Ng5OEeRm +twauh5/8qq5iiCTsG1Q/FIFTilTMH8Dhq8jN7fOFzsVYE/DhuZjn7dih9Yc1Dtfl +dciIxUcd9Z1PJKiIjY9ntYedbUvqvwqerCpw477tlB7iXfI88Fyj4QsTwE2glFew +oCENKJdpHTjAw6RuW1Ja/XZE/vhhG+4AV6wCP07RztEZAAl67reDjUH3tKCjmptI +TFKSVLDlxkj+5fTmxfUnvHLSGWn1j1vKYe7jStUe6wB3hqYz8N1mIIC1/KbIzNIa +LA+k0ZCJJEnE3uXp7MN+iSYL+iArCVU8IybIfcguHPEqgwa7h5NWx066GWIABaW2 +eiOGlKij7DOekGe2nfdMbfEL0e6Wk0T804M74ZvMym1NKVjOLS1kAxEl+dXcQT39 +avsM3ZVemwotb911D3KfhIZeO7Y3DlE0ddMPmUMo0LxRY7IqMXbj650lcrQUlrXe +8T5+4jEkeYHqCdrjHxlJf0h/LticBtD6wCCe/gZMNxW+Tj0ZFw43GS9U15CYk+G1 +bxhUfjrhop++5dw/TpG55rzZENvay5Rkhrn9k2G0pyP+ChyfP3qEAAMfuwcxR+EJ +i3aipDSgV/xQwKoC12kgA2G+LPP0iL0gT5omvQkbUobTe/7w6cBAXgbvuWPfcFFP +fnJgbZ43Awk/O+cX6i/wWTkSJzeteiZpPTnaFSElVsGOATshd2JYwHNFdU0m4uKH +uskVOwFXzcxV9/qeLkgfpC5icRIie/i3LtBVG9cGwFWwHrStorAkmBptPf5gfQiy +AgsYZu11XjhvfqZRTrw8maN1YRoVdIBddlnhKsbB5Us4qRcoZnYO8ILowA/0dNxE +9kV7i6M06NAEEOyoC+9gWwPTkTdxG08pxx9nEsDvqrmUSFVBPlING6zP33c5UVOP +vs41J43RjlSshbBPJAtzyraWOnGC/5h+xPWCfO+/4mR87xemS4ZniwakaJG4huE4 +p/ZiPOejwuIP78akf4CUup0kZ0UWDmLS7hsq9nLg9AExff1ecejz3IDajOrR6zaG +pfYDZVNR8eZyAg851OFTD0FxzAnF+9+VGAS+xcKNuGFRXJHSaeqEIoAiog52LIYS +K+Fuhe5uT+P1TJOnXYfAvhSQgkPse99AjIVeVs4IACJoRGdhL16V9k4QtZtV3YYe +8WmnIr5pf0HSXz6AjEVySEAnqUIjZr+QLgox+SIPj0JHzWDjUEJQXHrFlGOKhoXC +cW8uXM0urncwjsDybI39Zf00zQ+P3GZ4e+nC+g0ItS+8FJsAP5JOh/GrZVodATs7 +8zNdjR32Agr17ZSAipHOqcWwrGaMOr8NV2neS94RHR0SbtZolFpzlrRTCm19BVjg +G+aUnnlmtcHz+EmJSa7u3+mExECWVUR/7ze3E422A8BXVBSU9xxuF45oA0NpEK1s +NtnP2Y2fhBtNZBfJlUCJMQzuUasQe8NuFUxGdsD7ANiytUVWMQzTSzNuDOO+2jjz +6+aJtlbdJtirmMFm3TDIp3W8h+6JG1D5ThJGq+bv9qG0QdVl2XQMx0d1pGVencGi +yiHkII35Y8xDD2kwfnngwCiA1JBCGxSmprczhwXV44B40+nVm/Tglidfrn8MNdBM +k5o8xj+F2av+D7SwsXJ7a1nmX5oNS15alXkDg4vpcb1wTrS0XXuovhw0EB2fdo1q +48CZeGjua1qFvnfF6R6bXPj1HAWV68R9ks2gkGqZgdA9V8HZ7HlQwsD+kwQ/ZzEg +gBytbuF2d61WJJ4phSog8FCpj4j/T+B0D5idJudC8JdYjylGWBIIqvVo3J673j7S +GQTwAgy/D6RY+wd7duBozFqocGr8S9fXHJZVlWTNcdDwBr1GiLkTsk8SnIgeptdr +QK19vKuVduW8TsJfrzr4suzOseZbt46YIcrpg26ojz6mj/Qkvy3D2MdNn0i4S82l +Qr1AJn9wBNgg0kNOlWzJ5Yfq+1oiNysVIR6B+JwUmlu5tLqY22lLOqW4YaCf15Yw +G3Vy9asOUs/J+K/Y8pHq6n9zn/p/6nJ722dRs7be57q/pXGhK/el1P7zKNP7r8QC +FlQ6ktJ89fggeKmVdOjbNlRXqOzXMFQWGEIqWK/OvCpRc7G8pefMNc/RtVQCmwXG +HWrfSThlTmSsfD8jooAdAVCdPYqyXutxbcX7vfsfipXxr4By1ZHoAgOvluWI6Eoj +vo5zsEtROCoFuU/RVeZRsZ9J6XclVsmZvkY3pRav4TUWl0l7lt1P7eCFgNorRjcH +GGkYtkN/ZoUKOfnYBgEep5A2grsLukvdddnp3Tt+EGO3WSSSprFxey7IPicZUHi/ +oWmzU2dtWCn6md+qsPWQTC7R7IdNJSeTHQK1c8ntDsQUCbhDfUQcuQ/en9o+nmP/ ++yN8TB3zMsLaJNxuvsM4e5N7kJgCDenS8SWVgdc0bkCpTh2Cgm8LPFOL1XrMYPnY +FjIFZoOCMa2CMeGP/dVC+bZDGgoXEiHycc8Ab3cn6V4PVOj9Owyva5QBydWtCL/A +5jb6DLnluuZAsabsa+W39JoGOwHNZM83DxHOgQOqWsq1mn4yMI/CojsfhPd7SECs +omH/VYEXHnS+v/iAvzzZKoDcOZeQCIEVf5qIWV3RVFglxZloZNcCxL1eGiwA3a3c +wOOXHm3DLwibqNdSRtYEVxKoB0oBgH2SQkPtv9IaTy9AsLA9yd5fih6s+THJF0rU +zWXUPBRYXFZBm5Qdfdv22zxCZbxXdhF6DOYYhT6P1IOx7hFN8xG9MNQjb/xXo/1s +u0IyPITxkqSAdEXTv8BLyV7vdKREp1ZYg4f1yYjIaRxsYYUmjkXCVa6L1eSfTqgb +CDnmjPsrVJy3DrzNMfsn5D8FkqD8Ik+b8LGvgLofxbfbNhpJzEHiuY8ZZaiBnin3 +xHeCsEgEl6YOTc4fbsw+ZXNUTzFtZL7oZ9dmVQdSifZZbJ0HbU3gM5cS7ERXXx4y +gRPaw3owf6DIlUaxGlMxTzb8wEIPvAPe3aCUEPZ0RyxOcZjRu2PjLt3Zg06plv8R +pkJpLkSb+kx+zRT1T2nc7EOz0gAfIkgm8dOGrcx9rZGKZbzg2zkUbjwDWUtYGH71 +rvImVV2c5Oq9rpEgtOw64ZO+RTevuB30JAbcePQzP2HqLez8t7gC0IS83vRd7rMT +HIJokIjI0wPxVIk2IghwEN4h/U82nNlXVq7vdj0+cREQWbCOanSPrMBYGi4qsCU/ +IslrvU+U/8J4sSgZQWAD8iDRl7vdVsZ8shR4dAKE2F/BidJDVxUpfGxBN6f7aMCV +4E3yaUWaogmRQRalEdNicBGG+f9X3qX6yyheuIZ1geajvDI1pRizGlIq0cuH69e2 +m7+zIzo1gkJoin/NQ0/hC8xwj+81DYK4DCVb+20HVZngPT+3zOweueyG/rZJDSkK +j/hi7eyfDjIm0wzwefyPg9vEMzb9BHG0tynaOVrS7ZsAABtIbD97T2hb0IziivUi +0wqLNJ44NX4a/BbrTrrwc6aN/iBpPnivdRFPUJeixIHNo6vs+EOd6WGLQRSFNpk9 +x3CceFi7N13xOYFhQhswOj+foRl6WERVWUrWBqPGYk6mzfH18MCCYXU1EGcNWORQ +JZ7DwJrN8zAE3z/SoRSqrG2j7YXv8OygjhtXgtj2/b1jlVy6JLF4aYzR0i6UDF22 +wo6F94JDaB4/mKaV+tvAvQ5ltx9kfkxMBz0rW9WMqT1pb5EZ9sLbagD6zDDy7oAo +2G46hxQU5OSrJpEjzVXsHQgQ0zbK27BnYXin7IL0zNqcEarra1ZZO4nrTJUuXPIN +nObaIDBt+/M5ZcODo/JEODtz4iRbo6Xve28+zivhxYXB+ocxEaccZzQ/q4LQqawr +kSExhKF40WluJcIKBlqI2WxJszbg9XZDExU7Lk5OOUca0Oo0n8m37ojTcXwqinnb +qOU1E2x/5m9skZgFtXchUsd3FV/JXQRUuz5NwLFqCEX3LykAEvicYHCeTrHM+DLB +Ms31Az4cffYH+FMNU+hxCRBjoJTfw4KJKAO4Pb5crmirR6zrEcMz07RqnW7t92XN +vP0g/wbeAdBixCHMhUvixeHlX+bsf8fjCrH6syHd5ZnVB8ASkAWsEs5JJKWjRdrV +T2oVPnRdz6BdgvuvL4NDvxegjJlkcfQGo3/c1V8cJbaxi3lDLLJPrq1Tbk0q0ODx +IMqROXicxJCUfoPFAAdyrjA2m7nz8XYrAXgVP0HpVMDgyOfrwN9UH01u/jk5YbVq +wREonGM6+xmqWFqmRKqnZvzzwbtjmk0QS6aaOkjPS86/iJfZGMjZQCzeIW5p9Ew6 +ZR/WrEJG7TiPkL9TQ5xQkCAMr+YjCyFdA71ATmFyUls/6+fqU4fHt+raMOtKom4D +BlTht0GZJ6OGRx6Q+2td6THw63eIFq3IZhOFan3fhVhiD2usvTe4AZFnyVYmveDC +6n/qx6O0WtLqUx0Nit6hnx85iYLuKq5X29aXTJzYc1RbnpYB2KhOeB5XLuuff3qA +jRSAkYrj4hFn2nNUDZkPolm4O0oFje84EXAj49qW5BBP/eEg/FBV1dpV3KChE3ga +RR39EYhROpNA4Xw+dgcHR/JQfh9S1SiHQPxl0NDvmT2uRc/sp+udykTOY1B3OlY8 +NPtB9OiUHzRI3pFNEm29K7sc1BmdIPtO4iZ8JOdBciGwMsyYW43KV14EOmqFWsmr +KzZvvx8lvqsFRd+qV8jaTQQswm+pcXVMGIFjdYa6g2xtI98BLYNwVbjsQeEv4PZi +C+Ue/QEmtzhFqy7ICVvop1RoESBEJ2B/5o9WyOi/HzVsVPydmxiB26ocFzRn066c +5CGh5itSc7vbMqJWBRMJ+SyX0Vjh9Z7vvb4ki8fhmgKrKr5pL6PqyPLO9FQq205K +kNqcBYPrXt3KgNLvA0d1ktlOnVEqVQgHUCsPjUqNCsuWfqBbxr57N139vW/YAESp +C3PHEz1FBsMh030AZaH1RuokqqHqU7xvHxRJ71y8hnPIYxOrNMZHdEqxN5GZ2aSc +wWLSR/Ld/ul2TdVDMdCaaTwd0HWT34g71+wOFscpGCJ+9G5I5RVjhjEcc8TWq5bE +roDre/urcaPlXK5r+rg66Zh6ldz6JI6KbHaNTBcgPCmzeGdFQ2gpwfSM4OWfmz+I +SBb7Sb5MLGl/xuvhIqGqa47Uqzrv/YVm7lUYqkysXO3TSsFcvKKIviupurvYEUrA +dxExCP8P7WN47cH2KtMjwK07azJNrTAe1gqcJm/G87WttQnSYwxHPGCCn1buPQWC +buZWTbZnFHXLdez0WhBYblAIYAmSUJjKvc7mkR03bSkVpsrwrlebMnsRXmQqgqCU +Wlug0sPyp2HlT/IoIt5Gve+fmbQE0//BuWwzGHsjXnH/l9arYC2tStcJ8HSLxGPi +sScQEFZFDJFBdt55oascNxKU/zK8bJ69Tts3AEqmeA35OodO17j+KXYqslLkBRYj +yl5edfx5cUcirdCbF490w6Q1Bm4GW+ySYyqMJJEoDHSCPsEmeFQuzEnLcGRSINlU +luzggIkjFWBXUs0ECRMaJpPqbFJqxTAhYzMYBzSceSzvaKsntDAHTEC+FNQwYUh7 +avvTouOl3JU753E/owJfnkE+RDqCKbU3nMf+xpZlcGhY6XvifOEd+AAdBQlYSRrm +lNjv9D+O77MvqTs1/tKTCFjhgHgWdCAwBSGFjmFVyuwGzuOCMDxeBoqDs6vWlq8P +kD8rcy55NAtASnwhWWElg7fX6+pmMYSOaJT0FeIigwTrOWneOYvK7KloNA8NKmif +kiTa7az8cubeSQ+sZS7k3664lAwuwURzfYXOTjyU3ciNESl0JaoOCCs/kR9c2iDp +QGzh6u1C5WyOe0T4E6of+/5w4UyTcl2xEbuh1dkjNseiCcqh89CLkHIAIfK6CeMV +enoUyZEeYwNeLUXwzy5w6a78vZpdE5JqDs2GJRUD/VUYQ/L92jdJAnZrzL0cTVMU +nTmfiFduh7iwZPpAv5FI7Qv4cKp2t4H5zCWMwoTVDCTtZb9cY0ToxbQCgVtInTd1 +4BfU0W+rLI3vWuLhH7GnjTZlZGBdKFf3ZHbjgK4tWkCLPDLRE1Uv+kH/Q5nTwFho +eyo2+sY5b/skPrS2wJx+R+rOqUAT/8nrxwLQmYauDiDERwdNax80/Ey6ilv8w7BM +2CSig19+CkgQe6yETupF5/Ay5b0TtYwO4LJgO7SFpJNb594Soy/2U2yDxnNWT4GF +0z8a91Ba01eLFrUNfgFQf1chwawnXW5KhI18kSRRBPRH3un7CA3pa60C7uApof+N +IFyg1CAajKFpel6NaxgPOjS5sfpkDwZ4w4bSWB2Nio9MyFdcT6VicsEDUbdXWjrq +rmwBLUi9/6HtMzjiCyoaoKWALR2W65xl/p6FnzcmlSrcVrmPXIReG/KgOAdX4tn6 +KWT9QU1dMHjH05nqm634zqvRo8tgZM4lOve55aK4eNjn+TZOvVzNL6TT5VXGhQB6 +L62LvrRrVRRElxHVxfDXXMIumj440PGZwaYxpe3nZGWkt0T8YQTLeTWaCmbgJKve +9udxz0aRejyMlnGa6GuyfXKTZm72/gdEP0czr2m2oOWqQrYNZUZR65AtqgT7zqA4 +27NHTsObSoMDV4yxDbs87p4LruxuQvPDNsh2Jgqgp5iPqestzKPRqvJOu1LZ1q1G +pbdN/R3DhHr8oet+RRA3d50fZoJcIQNLhJxzmt0G21sWfMb9m+O6eybQDFoJiuui +FZfPNXTjJc2OPCNDynQVODsjzdoU3ngJ6bzSjEHyVnjrHJKC8xM1hERJ5QR0jKYq +g/DrOzapf4vKmB3Q08qpmfZUvYZq5N3kp9ohJzc+aX/Faxgu7pV5Gk9vTPKQhf1/ +YRQLiuHHc2mKHzyrxIwErUI0l6nhxoMW2RSZcsNjA1mnaEAVUU2y/0nqauT5ha++ +X8+6Lu41rjtEeUOrVibqv+sOK6Jc/fz7vcLxDj+PiQUoVcwOmahG2kbCRc7uiHCX ++evu54erc1JlCz47fF7qfaUA9SnZK7wmRh6ZdFQr65s83PsAhrE10ZyggbxvYAzA +6XEI1lSiE3UNDDmM6o/BymRMeuGPoqk35/q/2y+6yik7lvfqq/nJ8PSNqA+rtlAC +pGQCkM7mtixmw5AR3PQQTUHc9gDkCO1lAl/otwdonfSwSrq3gl21Jm4+i0wvnsp8 +JVBL8/qDse6Py+dsyVJJm9YkonTDZcFZte4suHrpcdZCFMFl6HFurWfEubBI9dHZ +dTQAC+vdtRPG0uQVHC4l7Unw6oJgD3vD/bS6Fnz9salGGooH4F8TO9r/J5KARpFy +tCyiiJHjIu6/p1Hq3cF2eJTcdsZYM8eOOeNcb9FRAfCdGlkCaxfo+BO7fnUrC3mQ +1atx81af5z26vE1GqqCenyzn+7ZgWY6BFX/N5oRWKQMYHsMBSP/bbW0HURKP3rJp +gb3HH2Q0EFGPdO9mCPJw8fnMYH33sOu9ElV7XhD+mecDuVHvwukOzFGtgNXp/t18 +7UW+6vkTUp8lY+EjR99YidUsFvwPX55axdIJ7ArE2f/Z4+hL9eFv3L2ESmgmpQ7x +xsztKcxTTOKWenEHROnQhlNMy33dj3SR3vkDzFF2z+teX7mPu3e9e4MPi/OyK83b +rvVXu3Cwfded+qEbNgjQO5sU6TOsG4JIgczuH1W9/o1nnLLvzVME2YUG1HrsmGmS +pPCBCcyXsRIOpsbVPM3O/rVi2Nsy33XurJwRfalLMr4gMdRk+Bt9e/K5I+88c6Z4 +M+Z36kcFz/7X//5+x65/BNNCyr5tw0SIe5h/xTvwvfhUEOaf2l2c6cfASgYZKSVr +UGHMmZY9MQxObb4DCL2M1DBil05JrQPgk4dELdEBTa+jsLk1vlLk4oTPWIVoqoQh +/lqBBYn8+tMP8kOZGs2cplYaGWkkW6WeQxJYjAn8QtOe1uL437DkIfbHIoY4gUAg +I+OTCdmgJ7U47RITFG8F8yfSfiEQJjJ6v/mxRTeZfbGJjSTSgIPXAtsaJcZlFuzJ +eM2hgxzmFOP6ox85Kp8R57DNoQsHiUZTf+YL9/NOoUSRQV9qwqivPe4Ei8cq2Hct +A5k/DBCDJJFjvaNHV1LpRxhDeicqSKsOSUHzBNHzt9fGMNOAidSH5AfqPGnIqvep +exRoD+wcxMmFf10khG/CHfCSORfS2beDtZ7F1R2A5vN8klFCfQDjHqkMzZoR3jh1 +F0rSpuiu9CmSP9XDP/YBu3f20A5b9gqJW6NZh6otZQLbn05cE0SmW0VoYqmvzzP6 +doQNW2+7asumaH0Ft0whoOW6YGHulyMtKPdFAkEB9/bs3YjUpSEnT9ivNGIrJxOQ +0ab7+/1ZqZgqrWvf7vAf0aOiWJPES11kYzqeRBIAKNxdLbbqHACYTtOX67QSiR6o +rmbU/J8nLSjOjHkT8kgv4FCWzs/rHcajXKCrHCDbCvOjlZU1eh0zB9RaKxbb84FH +HFrK2Yah5Jqjzv0iE9P8oMm6lQNCFhZ74VubTdxoi+BHi8BrBgaP51DOi+0Clebk +oNLp8+VIWmjoy0EGY6I5VyWaXxR/jc2/GQVuf1qIUMa+znVMHH9eFne0EE20Ypg4 +EnzhZgmHAHdchM5KwiwYVgrB0hx32FclQ9QJUbxDm/yxh5OHEP49Xtd2BIBfew2b +k9dzipE6y1kbWIfc0ci3jv6tqhWWGFu6pw1Ifu6OlHtPr4FBSFA6eCipFhiLqxks +0gZYP0BJXWjw8yVbMlgJsqr7GN+lpjcXicKQ0VeXYx8kxGPtbyJ/ODZEX1LltI7/ +fzUTETpZDJ2IfxkZpVKR2NLVJ3ksXeiBj/vK6NIrYiw0TROjicdtWpzMxmAvPn8a +7aew33xBH+eu3nN/OcHs8bm6UK1nqCeEjnpdk28fXxhXmCsJG2OwCdtecdCU4adm +wch+GNh1QrFp6vCTTCoK9VLJ0EeWshqLqGy3DsTGnp7VW8Jc3nbtjpHLPAZejIkM +8MHPyFoinmAHQjeot/tLXOpwC/O1rXmfWCKdI03fTXrUNXcHBR4m8eMSr1ZR/lGk +THXk8D8vN9vDwi4lTYAHtfD/rUQ84F2SAnSzTt8fncvls18g2WC/WIOmZFo28uIC +zOcl0Rc3GLGOZgW7YSsSj0R5bP8d/8d1kMNLjHZpLHnsWvg587tBHogaoQ3y8Y0F +8n21+5jk9bHQodH68+v9o3Wh/lOoMmGOPI1TSW1ZmZpeqLMxXSDGH90ZDimvu/4J +qWVC/uX13Pl2+sx25oAd9hCvT4YJLpiOVHp9PMUYbI99gCY9Q8gMgJ/xoH8tSJWW +jfJaysWaviGDB0AxslhAVmjfvgn5YdCFRUfPCqNqVGEWDQEGgmy1RXln+6QGwoo+ +dcWy/wbRjKW+W74pQ3XwcWvOfdNidG9CKg+T1HWJu7QDeFC4nMFpPEHjyMd00VT7 +4mkVdguUSCmFcIz15m4U9M458+Vl0dUcqGxg7iJ7FX0e5I186p+Pf87FWRFtxtLc +YFSvms0ufA5QTlI2y2Xt5HUvhfB7h0TQ93k6dTiEyoKCef9zs/30K2JU4J+8KZEm +70Kqf0bV4QinpYxlNMlzJr/CWJwFzvltbgNmBJW/0MqxidQyrS9GjEjomiTQPKln +taNoG4886izUe63B9fbObqA0qnA2LbpbwTaCTh1b8zfeGJ+IbwSRop/hj0Q8BN3p +SzzrVjTxSvHx1XZc0iqLW2eqMxCz0esmvp3I3EtrWd94f/P9e97/b5PA/14bw4BW +N9LebnEL+tT/zq75v6+to9lJKJYXwLSSMtq5dezlrOsvmUHhJcboWrHdxA8QkRwP +VdG3HvzzFHvY0GRE/xl8mkkj0zrhtKcxej1GA/3uZPcRwkXqQ/x/rV33XwFOo4Qn +UhITeSpCsb/IZqBp8GrBeuG7UUN8goWJc3qLB2RAoviPrR7L1DPcgnHKqIrzLchZ +JlvuO+12lJFPYLva0Mqby8ZdXiLtAsJWvuBcJoNldbWedltY4TgxKbKOfb63eLRu +G9SA9j3P/Xp1ricmDArLM7M43aKSC3G9Cj3NQJcGzxUMiMx6Mf1bStw+6v/1urR9 +RBO8pe/+oYeEWYKCX+mT4kIKldqVhxUDlfUu4k8sdrM71vRTqSzWS711WhxYy6un +Kw3qD95059osw779X/jQUWIM8gIo1dXmF4EYLtuXLm40Jc1kFcRftqKQZRbhgG7P +R4SeU8/hXGV1FrbEs8VNZr4ko/xxcWkVXeYqm4LD/yZfHT7HVyRK1f2kAeYAcyi4 +wrZkDrhzUYVUP4PWHa1tVewaEPOt5u42NG4O+lvzD3wXsVsjJhQHnzpr5Yox8kMh +71xn0FnBha1dWtxFuvssg8ep9HpIq3PPMYx4A6o/Mr1gVxorAaY1JvlZMVOU7Ht5 +jw2QB9AdkmwGNN5RVMKhdd6S7xiUNpK77G5bhN1MiJlV1DI4TQyNvo2LfYrzCab0 +AZPYlt7mn9YIG3iM7fG3lQcJpcCiz1xpmLNMXXgTD4SKBLMg+LjACIqFewwf/UDK +N+lUDNY0n/Rw29bj4mSrAEl7lyQzMR17Ju/b8IR6QpJhx8R6rGHQxsud+8X6oGIN +XwHrDADUMJ6kDLAyVJyHruETb3qj1o9BdEtT5et2j+NtgNKgo6tK53Jt2Nn/Y6Bb +B8aTQnBgZXaOKtNWk+eatSItdJGSPEIpWITmCNCraH6umV9tvAifXuCCLiT66ExB +5B1r4LO52y5+gXevrdezzUwr3n9KstafOYD8qVq89t1VpUb5RfiaP/7A4tsHH4mE +reFGpBQHT6WiQxOCYHPCETUZQeNFUieNmAhwRowLLxBXnkUzORX1I/biwJUhpbeE +rINWUum9W5z29ipUcxYZGAHLJZXVp+caO0DQ925y10cEtebMICx210sEM89ki6Sn +hpsbPNtr8aLf4Tlt5dIG9uDVsYBXBW8sTTRBfDWojG7+9kIj2wLnnHBdgii8x/dZ +QLcMnPR2hbbRhlLzAR9dwBBCWVL7q84EDjNGe2gIuF86DAyu6/D1TQbutVURKrHW +Vxbqdd7pOFXudVdzzooz9JQEVFl9z7qXUqE3YWsAo6CjYGo/6LMIZVJ5Z0it9vlt +QjqK14/idcOU3PQCRV67gOTFjl8zAepsKpINh4wZvSEId8ZzjoXXAogaJHyqzinJ +sYnjIsq9JNcx3q0tbO8ObAXaDfuLk7VyqoP2mmsG+qUa656gGaImAk/KiH9pvWRx +WY6wPLLxCQO0x4YXbvCs17b6XA7y91PNxSBxoN92fn3igN3XSKHWwNrUwDeVmb7g +O6xNZFwi/K+SOeW4jdjPddveMOLBe2ikpkz25pQMWG78DmpKrja1Sn6eGKba0pwP +q7/l57IdFfT1ZHsV6GO3OmyGzb3z5FQOGEC2z+fW5boud5dGftiOPe+GElSbumtd +W7vEyDAMjv6piguB6F1cjijkNoW6XV9/OeCnnOzmckyLOX9Pq8s29qY9iTJ2rczW +bOodYndPsN7h3wydaFELOADgOfLspX2fkSEMOnFP8ytjsjkoLQRs+ob7cTzDJ8b7 +Ev3sTw3Biq/6h3AGIsBDa3Vl+kQ9KvzmpWOFgWbmYhC52JfPgx69vI8aXyT57uPI +HRwqbiEJgQq8AuizlNaLmcCFjoUJZfr2DM2h9ZBqTBR1mNvxL4jm3/jcvNf5tnIK +LR1I8WCWLNDguNYATowuvExbBgRYl+4EY38BvrYTnbd8T6Vhtt+3TyHvtLNL9/XB +EwtrLh4RMWMnPyHHv8XjX74K8Tg73/2sMwSHQouJkZCN+hYalWXEN9uS/vvZma4O +5yWdSPQFpraW8dm/pNYFSNMvl/ON4PsOrUFSPRonpWUAsdNg03oW48Xnb/0yTy5X +TbfeUiucqP8yq79uG0dBZqtMk3BL/q7OxAxHApte/XQvtKfRZUYzBgZeIyRoDr+7 +bR9cJuiWsqj8aL8eEiRUySII+xRn5w6RHqKmkWMCFhZNf5w9ePduaERmpcaBUcp1 +GTYeljl6gqXUWH+W5CPRNsOE0Esu5DwBiLklmWArHRlrIqMGj3OWdhRytbEaB1lc +owdMcdUK7+zprQYgGsvRc+38OxLvPOhjEw2Vlni+stjsFpAen32lESr6EoTuYP5A +/K1L86rH50yCVWuD1oHetkvVWIqkCxpqa44ts2odydSgysFHkrn7UOAfgo/eG4fh +b6QFF2t2aR72NyQXSLqfHafawK8ZTiYX6u7UEy1dyjh+gAPkzetYcicZfo7UBCWK +IrZajGjxwi4rZEWrFgoRfbY9hqogrcUceF8jgrGlKr9mBkuPTlQRDXGJ1pVwTQdp +J4JUs+LtIOt+jkCmMK1NqLt053tkmS04if+AVCIwckNQ3QVntiAcicHtmkX/gBl8 +aNi4UNml6icjs6Kf0Y2ZZ0DOi4aBm8YBjezbfSXm30O173/FgFbmSB+4KAaF/Fip +CsYbVSmI4CAY17N5NA3RMU6k/1HV5xaiTm3l7KL6HKGHgwupZQfHLLBa+ecFt85r +9JF5qQ7xVw7bs3/gR/1PwNvNjmtFbPniTknEOk7KcHB4fwk0U/U8BTYoSyseaiDX +5nZNN406k3IwprcXYwqgxYn9oEl27tnJP5SNMAb5IqwgrrTx8yX4Gi9SUmxjQSbj +kkrJDAcSlMDaSyl/pd4lPzRdIXZlNirVq2Scx08NOEzcFxtA1l8Ee1M2eVmN1Wdv +AEIiEEx30yd93W4cyfcyNR8knSaorZcQv71SebwAr32Aff+l/0SVuiM2LGcTAh8b +rP7APV+WXXyxbJ4vLvX+6r6Xrxh/gp2B1UxbLo28SgG3nIrhsJZw6MgC3+oxgMN/ +a3i6njhpfMiCIJOXuOTSqlbE0v2Kqb5LqyZsf5tFvLAxXVAU5IHH3V4WVbMmfWaO +0k0/Ru95YRZrE9D4mMbZdo9r6J2Ukuej4njZR11szmG93/rCXG+Ez3JeGOr+px35 +cIYhDpv3fxIUIPLIuaM1pHJcekJgT3EIDQOQN1YVCZ3dTPfz1RUZh7MpaCUIfRIf +cAiZTco7O3THd6yCDIS3pgRFav4DoJJ026Q865It25EZDWEZHfwv8/mvEzsVCwvk +gh/A5Hpm75OFPDkG0hIXmerlIhfnWXQWaKpI05v0tp6eZ1r5jjmLMALeSpYn9HMr +kydvbuS+Y14LnRdtnCJBmPgbyoYCNEUE8Jsvl4FO8nyTwOeqZ4ya/o9cz4BUSRwr +8fcDdvqqVy03UNYJW8d3MZmWe3cDvdR8b6GYA6ZKY4x0NkoPpWBTQheS+r9hhRV2 +VMSvN0KLsbdBJSRyHKnOFMKssifJnJIZwAVa4VAapwbBG8YojeuSn7bXEP8vW2/F +geGxr5ufEZ6ltTig/alpClloKUM9xWSICmGJEdgY2U62+1Mu1A15HR3ls2MVNYWL +EIfQS2W7d5OT+JM43yym/+djVI4Yz+B1ZfTdJfBzjpnEvR8WLBUh9e1G8AGE3SNk +eL5A28xnzyWsSRPE0IA5YNvHe8GkZT5bKVjocI4h1TwrCWDtwvw+YD+/ZLOCzX4d +JUfTGFTlNZK9JgDU3Fp1zUbuS03Yy3lfAVWcc+w2VHO0xG1Xi6iZ+9vviU1zSCbT +wMSYtvbimuYaaKOglmqPVcnm7WygPbu099ICJXOaagopNcazPd7WMq5QMNxFP3d5 +AmCE8jCVTRSAWjV7bFZ/Lb0H+UJswMRTRxlNWVaOoXZXXbtEcaRCopuv5djxt0Bx +rSuy636y7T6ZpvNBaEoIpg4jj74TX6XZpR14EFXleZlCfKXuKK+r6pnEsgeSO9ys +Eph3CF9hwYrdA3dg3BFrbByqSMOkzIee1m4vyK0ig6cmf8BWgz/3xLQzEokMqWw3 +xigW3wKAoKMv914jGrGMBgFx2VyO6d3+o+G8/saT8B5O3AKDs9Gea9xVRFsIkA8P +tz6OolgIYsqBbu9zcux2jqS5BA+Ucdyye4kqU9xYj2Bh9wnv5UW8uxS0HzvWxuK2 +h5qQXSwa4ms+WBMpw9tIx9pkPUQZ3yxH5MhcKkzy+ocD+TxmsWcedvoffJFXaDJx +ZLUoXNGsC+QL7Dim/3CwYXe7/Qx6fkqK1nk4GjqLQVuieHE8J/H57xw3yzcTPUNG +iGohIrK7nC7NO6jbdr/6ctmq0XE9EVOk3SQ92U2NYTnLF7BLyWhBkcjFYtnnPNQU +4Eo/dHAQDpe5CTz85JNyaemqKMYDAj2i4AZ+ZmiXV1smOavFNT0tgzNcbCSY7eLC +VmzXyNxClisVumAZ5y0KJSb34/yd/9q1qX0Hwi2t/AJ3a2eXKctdeHQDBY4nhI2k +riAKsaBPOBpihGw+Xan0bfo+V6QlgaNaY3iLqB5TjajSiRJ+IMPDeZWL3Axvdf+u +ZZW7/13znl8u1DiusTVQwPpTamwcOMlhLsqYDTA2aIKgBp64EVSvFM4Tl2vFBsJ3 +OnzCIpwsyPaE/djCJgwTb4RnpQ9tIYTZ1EeL0B9EjNvqHElb3xOf8zU6XhGwU6Na +HXR/ZILk8ZGo3tTg4aH0ubwXBFNebtvSw1PQDG9OQ6vj0ZiPocRL6xXR7aKJOdPM +vbBS9RuvuL2UHo4Y/CT3t8iMgfws4Zzog5lXtY62Vm+vk6z2beg5Oq8r1Nwk8xdT +BrTVPyN5Sfb8Y8eg1al8Hp4E0PgCZ4cuNBsiDZSdrEWdpL8qMXvQwB/ExhXOr6l5 +n9nD5WB6+POBtZro6k43wYdbIV40fZ3th9BZ5ZvpRLuVerRKk4dGVPq2W2pmtOAg +dOope/eiE7uSdJ8dVrHLS+sWZxYFcnxCpHDaV0CYL+Cfa5pvW0dnU5jtHi0dzpO2 +z6N+KzWu4b+0MmXKgklpsuP0q6z1bGVHj7aQPrvZO5570trGfdVEMkyG7lM4J/rq +qw36W4QmEtknycRYPCkQILP0jsyT/NuK1qhQkUp7rn9oIwfNP5Jv3eR7NTjbnrJe +Y6ogOhEaEecvr+Dkyhmn0l+0oFZCFDNTBp4vYJpCYgA+u30wXzGoQutOYf3juum0 +Juq4vGzxFpGHT/DsK5Bv/u0dT5Bw1tV/3LCPQHLxQUvEha0NVIkI1fZDrwZeDgud +lf+5xBxNrL0yylz5iuzw0G3LXAwZ7hON0xwycZXImksD5YJJvsZXLZAuhRkOEjXq +oZg00nXb6iEYYRHFb3pWX3Qh/BfmmEjnAO14p2nl60Mi18COW2ZmqviytOf1B4Tt +N+RjntNm6vCR3ZbEJL3mYUUWaLhsunbSzjEzca31ujoyvno4wJOcb0I89Tj5bVp7 +TeTjcDajdPBb46kfEbj9hThv2npZFkIpK0Shfymrcl1vZfnjJxdo1gMadLHw1E1k +QTAZ/OEstVDJ+G0mgwMwSy/d9ODOCElyKEYemXqZAj012laHx4YOddVnsolrnHlH +ixH5RbZ+EzcK22yz0wvL/Z3fMhqpuGctVNV6W1IfrRnFWLr7bcSM2FhVA5BkoF0e +GKKN7G6YqZUShOL8irEGmnnGaJSpMheCXGKY9A5+v8mh3cPBb+RS1IxPz5eSudtK +lWNFotXAStrEZ1DLnsmd+CeXlPiScVXER0m6X0h7bBSLBILkevX537adEJb5eEvo +mDydFoGFMAl/Pg7gLYa0G0Syqp9/1ta2bDszsDK9kC/9ScF2jkwO70dBXZA5eyg+ +pA3n2U/EyRBcfI1hJX1OOMqqVyF+2+9FejVxxxxBDaZRPbia+srIPUCGBq1u4ab4 +eSDcew2i1nvG+TzexN/JwcPfjlThia6u7wpTGtJkJ1sVHWBjtGWspQYqoBbFv5vN +5GYHL1V7QB+OfyRW7waQ2WLkCYvECX72WS/cy9kJ9amhR6U+RXgTFsgYEPHEO93+ +4ao6M80tI0oiTPUGZYGDWydJUPq4G4OKVSAqHv1zQQ5SvodgnQIcUIAg0jcVqip6 +fai7LSFzeKA07qy4ia6Debg8JtQPTbwl7haDr1qE7NqiIb6S600VowT6bvEIG1En +R39x/i5oKjSKWCDHE29MRlTtxJudISU3clOjV2o1F0fxszkxbykJlR/Qg0rSrQOR +2jpehHEWB8mNjsI0pCHFAtmKYAk4D7IWZJpduiPk2rE5FZFlZ/T7nZFbl1dp09/Z +bH0mIQujBpuL3HN/dYEJmsmU3nSAcsw0797aRi0D618U5Hi9AqfwC076sVWQlWHQ +FXakedQkMRbUimxxpwxX294dTq17R5Fcs8iDaCTVjxoDJsr3YMJL3VA7OG/vd6ec +riePK3u8zLw3BNQQUv+DsFo066Cf7gGInZW6hujHs+ko1BPt9P+t6+crmfSzYfl5 +yLqzny4KwSPROLWu21r0kTnIwElNsr0Bc7rQCjRlhmy9qq2XfAdnt93uzfTJCNSx +SR3tQiVuqKW0QVNPwUpZUJctg5wQ24QB2NMkHIZw031oIGESOysgH84T4BWvI3Aw +/bJjbfVeVMwiPAThU9eEyjvxGJRKBgzKxjWYwwSBJ6mHrXF41AUw0Bq1RH6upab6 +WcQh9YIvW33FQTP87LHhmizVo/iWbIVMENtIlvoxgL+Illgpziub7+tgTywBTB+4 +de7ajMEVUpxH9btgXmVdOdVoibuD+4nU4Ckd5f1pmpnv97aNio/uqZggjBhptWrB +d58eUsJx+LMZuXciWjmwzBmByaWTqfIAOvB7GXNPlfZtfHTFZ9BeUOIVPuUsBQ5s +7HBGwDSLylKEyETEGsRDh0ccs4DBwvJtCJpSq2uv1DiKQPOcr88ybD2e4icxJvSo +zL/Y/IZpa4gXrFmVXtbo7Zj7drS3Asozl4d8tjJM86M+6h3xJgDlZnyuH3Uzv8+r +rJQL0JesFV7u/hpxGVfuDWrjW9auXcwx2yQtShKZMIg+3loSp9Ai1ABXq8ceExWI +UbuNotzWt/Zxyl2dXguhd5N/EOchJPH6BO7IQjaGFy5fb6SJqvzJ2Lvp8L08Yr8p +BPS0jWjAnioVlH3wKMX82Ih0CsnNJfU34Pu/7kahdzwo58nvKGe/1ipVnz76N2tG +leyu2j7Axkgsl8JYZYNdAo1t2WQEYwAjUlGSO2g4D6WJLWLzBCuDHxESEkItrurg +G22U2qr2lA0zGmUAvCfyNZmMNhDC7nQWXmSEXfKIpt8m97ZgR888CyhjJid3PdbR +VYVkIgGuh5yrCDtIe/2nKifoaKnTG9xey12dP3BWPdMx2feVIJqJ8D83PzcS5UQV +icsRoK8S7uzNUh/RNQGn0/u4wdagO+F/+gqy91i69wtY/GPLwS7wEruEkL95zHV0 ++1u0lhHYHmKUCmbIsKzsyTnA8THt519tHQaCFVdX5gtDamfO5UZ+zpIMNszPdV3C +AI0cmvjgsFXU7jOEgfMfYwqGg7HGkf1Gd+UY+alssY2lRMw0ATPS2bJzbFGlIynA +9vN4LIGAx+9IWzUbOXfe4rFCPx+jLZUuksPNJSuLVqs1Cua87HctUugoDS7+C3aB +PSFxmyJr0VAcTujF3cAk3qLgtMTYIpM0X04hMEs+fkrUCbT6wOE7Ae6zTdShV+nE +I0zvQghltfIQqQwKA7PSt9/6+9XTvuZsWb+s/coz87/73iahniD5K2YTjqOvXtht +SucBY8O8ovwufN46GHSJTCsJlhmB77X3sCYbF899GdpaB1Xd3IuAaIcIQF5YYyIz +DNTacpllxUK1UOBb7DpDqQqkAzuu8P3K/LG1HasNquwv3S/pabkjtCXQa679x6Kr +5NLFBWCBzC1d35o50JMIKCzUpVgmR+zIW8j1V9/v4w2NkzhXz8e01oZHCkTLqiaJ +6T1xBlloY79Yo94rxB4lrgt5/gDXu9YMs8hAwU8GB8yelCVsmVPobmoNi63soNKq +kUoff/tPZ8tV59t/aKkC4+fGXvNOdv9ng+1lkIfCp9UgQmuRviL4RLh3u4ICxdDb +8biWO2xC9Q/Dg/I6EP8yQWEiBUpF3cWBr5X3XFq/Tda5G65tsXoLXa5isn2SIiXP +xYw5pGN2tEeT9Fs3m5bY9gQAjzsoUotYufOxWOdnN9qtNJidOr+FwOnRUPRriQf/ +9fyiVJWJthTuKneGg6J78rI+SeHguI6ufzwnX10QMS/KLsfy36gUJrQBhXki0DUk +sy+npCFvF4TEg3sP59MZ2SPXhZxqWGfrdinOvOPIgq/3riD9GagTz0gtm8uJq66k +6h3AIPnDmzvNXaTS+Bu3xF/U03PuJI797Q2aS+VSg0dEi4t0BFjmyFJ4eXyNnwLY +1IQTZWE7kHT0uhU1IkMdbVkpnvIQuhFm8hcJb6F8c2hhKOelrCk5JccrLvS8iyTD +V4JPhxVFJBTpG7iznKpK+k0AVl+eWEgjnTzzzttY6kMVex077lc2Cit0a8nXrfxh +jC/wIMsRIxvSL9AXJO2tgXXj7B8xe96rRlv9W+ZxfDUWS23gqqQ5EBT7BNlM6ZVf +5ijAI0CvA2Oj+D2jpgDIbDVZ6Q4Fi9xxyZPxLylK569b2Rz+E0pFLzMXH/fAo8I2 +4rme6Jm1eIIe7bVtGJZwT8fASFKivX6V3jLuarJjQvpR8tv+cylK0G43hNBpndmo +B1Xmzvlhi39nbT6QFMwZ41FsJ9+SVrzjYVhhyO1fHoDyHPiWb+agQ3EmsutdTFJG +ne11XMxSAT+6i2kGbNDYZNrTA9ae6eUxrrh1YESGlbalB6VCYsWJHAOQq6ZnTCPZ +vlVK9O87n6pbxh3S0Rr4khnXczijflOQ4juRgLXOqBuwrOHLwrQwwx55Xjq6L+ju +7UWqj/ZUlQDMCzR7h/8U410hZ0oxIs5lqlGkK8jKcq1S+trivrCz3txe1QpTDLKH +2cIQncYFk0Dymux0/tDK+grmRZkRMFA/Vt/EPgSjztdKnmSssOWqwpX4ykXWwQBb +tuQggIiql3vncjDMhOpYABIMxS9oVcw66aI/YnAJgmDVMssU8COsa4XRkSJVa2z/ +DdTix6ulYFYGEeuIKE19WnDqfKa9VXryvva8GyjztPdA1bwS/EtjxQFhSzlUaxjA +sfxjcaOSzPezwC1XYZ24gQVxzZUReZ009v+Wiuvqr1ltCPxGRIQyIPb+E/5qXT00 +kWr2KkPmfBUiu/btuVCVgBRApuFmPzNWkcA4GktiMEHRSDF2eddcIOcTrexkogdH +qNiKkbP/JCbOseOf23f2NZ++u7v6S0XdyIeuI7cnjQBvc+EgHUmcWBfnzvZgXZwW ++WLhebSKCPBl4vjeZHH4V+R1R2SPk03GRJ0junHb3Nl2ByNTbQS1YaIRitnuM2st +/9izOJNrtXbW9qDNQdr9iqMcV+YH9MUVECd5dRFfG5uGziuoOyMpr1/UibWRBxsO +ZJ3ZEg9Z9ABh9vQ2iekQMelRJh7ueu6zsol8N8G5BOehvS98gHUa5W0KCFfCchOW +ND07TCYPrgucScHifkPDKPUzxbajALZ54bcVoRrUa0DFbfuD8+nSeSPBpbCwtK4t +Ug/3mqX3bU/XW6VjqQGa7sYb7pK1lWmYlh17ikbmEBcHWdRP9eub6i2WSBFVgohu +/sWN+z1yJ5j/Hr6biY9otzmXKXuegDkyJADUoLMsbR1Q+O5cC8OmCr1q7JSLQPXL +pKDn4x15rNQdhXbbhLL2w5u8KPAjr5SKharUnVfUFhd2fauq8s9HCmB1SyGUHQ4r +SPmV01NtlPH7tZkJmTqRiA18G/6+IVzJq4QuwwpPGEFy2W2G7vWDcPA8LWDd1Jvp +qtQSVn3OasBbIgEeZz4WGrSa2X1yt5E7jkZqvfhWjlC3HrvxxBWm93rfi1tYOrBY +EVDQkH7AhBVnJj5WC+Pa+jixbZGho4gnt5rIIUTp2xjnDlmbxsuwGb2BJ3yKH2CH +abBEJapgJiRB8dokvxLugaItoeDxXloo7EhDNioXFO88l/C+ie+9MPxF7YSVLjD8 +0DJ96c13SjbFybB0+rGa9yF2M/mGLgMCHrh+rszvJhkeSsj8JI0tqgEm4ZpD3Tbe +R9e6Y+C5cHavI3/GKr3dwcciXQ03tTZtgYwyR9hPE24IPES1m5TTcxisjhbF4TCz +CrKLrqbOSSZPqzGGPzrNk5UbYBp+0f/MNkUd/kckOhNmeQoG8BpEcVAbrCKWfQ+F +uQZ3dTYyb1jk2F3uYI0JXPAgdJnqAytc8y3LwUE8p0NJTc6Kee3c16i2BnZ5whVc +r1FrhtidWDI3Ao6n/L3+mHm806bmdqkaiLsDVFl2/lZaW5nkN+wWiFxprDqB4yYI +a+iDKCfn+ZIN2+hvWJYRJgYV58ZXrpiC+EVs1b85DrwY5p2NOMCkbSD7z9WD+XKR +/JQf96JK21Faf9TjoUjcajODDUzBNzIRgPytCuTowJocEq8LgnyMfAvI602+1mmv +U6HcmBXkLFGxRR7+FOIiIi3Tc7PftxtRahmRFntPDxNFc1FRdMNUoCFXTveRqNH/ +9BWKifJ66W3PTJMJyz86lNBaWDAhKxGIeDtpFJ2ILfQu4gVTFLnLAuCR8L4QSWHB +Z3TQ6sAAk4WvbrvTIvbwgbmgxkvqqgBpvAizoOk06S/9YpHsQZfxeLsv3EkWErW6 +wOeOjckVtUMrRRQjxdEqIFMjBXKKDeUQk4gfevBNlGVO3Rh+d4iLy/Z9d/fE+Sxg +Mz1N406NzdqgFZj5Cp/jzzMJCFCTLpAFlVmNc6nrPy6lHLBtI0xybr5BN/R/2nr9 +Knlllv1OM3b9hCQoJ7nq0LUogaD70TxCzFlHYTczTWP4/28mKGw7xp3gLoQ0TBrT +BXZ+UhGk10AEml96xaDa/AyGEtf+YKKsfnM6Y/bQmepWiekbF03fWGm4e+bsW3K+ +7kTWZT177X+XtHSIsIjU+FFw8Ndcbk8iD+C5XmzM7I/FqN/SIKcxuypzR8qSVbsq +7gpp54HjJoYVsuhGrZKvG/Qyb0+ArguCeYvwXGB1p1F3K0KUsd6BTj/xP4s/4loJ +HmP5oA57HpAUD896Ak4Pyw8NztdwC25XuWi7+IbT+VaQYu2HXT/bcs2IslklfeA9 +3KzFksECFalUHaY2ervkUPxro4nvU5pYZ4a2H2L/J8WWPuku/Tjd0mKZX5a5tg+T +7U41kzfOout5pzdROtxyCRN4TJNwVreeo4iMncYCeYBrX6ssOeihCqHiQwuA0qvs +dWOQR2RCEktopbdgU5iEKe+w/6lQfs03eCcSTbgt/F7B/H40Zy6rUqVcciMeDxcr +M4H+42W9qgxvseYwvwp57i7hKqnX7QVqHKQbhne7k9HUDMGF6CpYFug5eSpkEwqk +AXmtYjLyMGDZgFcttk09voSi9Z4R+9PB4NvR8yHrXJ0dlXYBklt0zVsK3tCxOMEy +3WywMbYDIR+EAZ6gWgegWLWrIh6eHlAOyRWiaXegvzfYEg9nsn1Rzk1Xbni61kLj +Ca/Wjp1AuY15xG90X5Zv6Rn9edy+MMZ/Y/o7yPD6Fk8o1ZB2Ug+rIeoQvUb/tRHe +KCeVW29vXntuBrEaDceg7vPZtXTrBW6L8/Gc0ZGsV3a1vpRJGZv/Y0Pw7WbuYhVa +JGhNGFJbkGIPb+2W1tqpW7JdCSpQMVpuy9VCTwkNFPS3KV85mMMngrAn3Uw55o+Z +M27MGXiXDKOmcPcF4WqHmt1c8Po9xVWkolStUGYQax3IJDoyDTViaM/ZtlswZe1g +6mRGRs5BXRUvJp9ytRQd88mpkWgwGBe2J/Kqc4vSUAz2azPO+oOQBOojcJI4vsq7 +hB6ACDI9KIJdDznwS8BrD2FPRp3mKM97T8TLVEtSWeydprQydkutzHikZktKqmcU +YnYQldmHrWHq0ohZvKniDjcjll7SDWrsVQoylfuv2fmzxJi8B6EMxcxmhLtONvyZ +l6zkBczhL1QZzA5rW6N2MmdQ9Tw38RtVK+MlMLrzL2ybcLfdi+ZBWI6bF1eaYn/C +t1HitcMXJ9bH3XuPNnebM4HMH6jFgANIRNRFkIz1oke3Hbr2JJ+l632zM6fx3iUk +YfYiu+OTQJUxcZ9cprX1ui5Trd47FeNRIlkS0dQbZKSgQ5bN8QMM4hYHgoFY7QJG +p2HVBeMX0a5bfdCS85CnNu8yLZxUVnU0o7ohIJcVZ/hHI7geGs77/7Y5IiasCrlo +nZVfZ8RpUvfJN1oawAbiac2PICYuuMcTfGD29IPoTy/JUunf0mva1fhv3dCOrs35 +jiSLQ9aaHl9Xa3E0rFSZz6rdbh7N5PiAD1ySIDVdXlJNSJ/EY2/cGrVvGmnxo5rf +kBUsBNFzqcnuJTZ/H8OefecaaJx0DntwyUmaxi1R5+vB2LD9uog8s2EA+ZEa9W5U +rMN6aO+EPUGz1OgIfo//psrLy+kF5Q/VvktBecL3htRhWgdpUJSDyalGLSpBq1qY +DLl2FOehF4/fK3KPZ55sz9uU6bjfftDRBANvqdk6vHG2HnArstvoICfAZE4WvYb/ +3kEoFdxhYg3cMe4aq6EQjhln1QV19I4mhSF34oIj6E7BOSbOAeEDHccWzKRFjw24 +qMB+8Rf2ZPkhVrhQIcfBtKAUWcnQKLxDbJ1NUD/BKxSv7Wp2SiNy3/+RksT0DVgZ +eBSKbMMtI74zjpkGUAZgX2J1Il8EoH/sZyDH0GbEsr8Jnwe9cnK8jshOz1gCGj7c +61DIDgZBhFrfoIORojchl+PU/YM3+5inTh8PBOysQ71LSNfkRIxMXWpeuToq4fWr +iizkAiWV5LaycJY6iiGZMMShGl53eXZeSfAKtu6XEARHuT8Lu5ryGxsZvf1RSBlT +c0u90RL49CjXkF72w76LDVKmo0UAYw/5mh5kZ+7JvlqOheo7G4yuUR08i2Ey4Vv/ +2MKUwxOOtSto2nr9ZZ01h57AChqe5TuBNGl/NgvgooU/0GfwzKF1VghMAx9NDroR +cLhTnBIOGHI4k3kmJizvGF4+DmN78mwEStRQ/8dFRpwCW/B6vd1yMGMthglHDwu9 +dCETYjWLxjE2inlA6mXv4GLL9thEiloNujbsVfypGdgG9+BfcCjJ+o0pCEFwL1Vo +G4BQWHuM5pgcmUaRiHv7y4E9FrpCrNMn21O4LSrugj9iJCcrWD7Vbwrhn3plCl4y +4XrLdsFWAoxDnYdtzQDjIFoqupRuBeMLsLzlKrMyUK9kuiYBOAn2C37qp26eYu4f +t5qGHB8kmj92i2d9VsadKHc2+Gzvslm4KEL2Uh6lqvVh23NOMX93afUmW8aZC/e4 +pGp0mHG/E3gsqR+ItF7nz6Lg2iu+KNB8xaQe/XaOrd029lvelYWNKW1VwDmAvznW +awIU40+PvH77SnEhQRjF2cC+5LJ0deLJwn+ULprHHteHcHG+Hka+3/tAls/zobtn +GvQIxt9cy5ajpHltpuizsiBRELb9qwdlTqmv/cw1XQ0x42zbCzbwz5MGl285/P5R +OJLqY9vEre08fI8HbOkbFrs3O+SVplQbXNRx6GATBIod+atdomFxbYy5Rg2uV1Ip +Nsx3H5Ah3Ns0XjIJclIoHex5ru5+0C7bBcACEr+9vv7Tk6o0s9MBF+92ILORjSk1 +2Pwr6CgDHZv5ZqMzFCL/mWPn9ctyhfBm5pryZc9epA8KXMlxjD/muwMHdypeENaf +c0RVI0HivvT/K+36QU+nQiWj/PFMZ8UYO3T7ww9uEkXHv/gFSYhNtKB299dWyC0h +MnN2OWG6LOqh9YySbXLSuYEoD8xVElBAuHgUT/YI4LM5+fkN35AwhW9rz9djR5Mw +ZWmGSlTpHimkmz7xsAlYc7aCA0/EJSAGA6MTeUG6wpJH8PibJR9ptw+Niej2mE63 +a5rn2sSlXxvjd42Y2cwfAIyvVrCWLuoFib/MrMSiWhGGSb+cuvM2vGP88NGzv2en +camzplq2kPdNL2BNuRZzLXyDGvW+ZkpK/UQyC6NDR7mc9Qv7lKmgTbNZ24PkpFe6 +hnNNZkAjwGEVQp36VgXcJ1Gy0fN54XQwAOzh9mREugYhFGf7+zjPxcvR4nsyZRUz +c9qJJcYB2QYC+h65Kq3rPdOrPe9KswObWBmGXOKmkPLOMn/Fej9az4f7cVG5D5QV +xFHIL8uRO4Gj27fqiIELiLsTeka7vyl9D79wYtO4mqxM8eFvik8wJwxmKvoLCDLk +ruLE7EXSgmVxxZSMT+4YpYnHNPAJkdRlNGObhpCMoB6ghVzI7w4y4tJznQMzobOr +uLky1T/dTbPfMw2FM0Whq9FzK+62ZaxE4K/slju8rY854CmKX07wrfhT1X/APWXm +NEiCZbb2a2YtLP7PwiDrMmp5OuSDHkn+L3/ER5pEm/I7fuj2QAQqoeREsmCqt12s +/WQIht0QdRP2O2BUVwlnd0ud1OoFI2/lqWZVDfLMfth7/9DPa401gBWKN57QaleL +nELRiUYEmRU63k00VRAAUuLY55XI7SlagY2Pc8AUMTzIhJwSSEw2VOhr3GqloBqj +2spYKt8Vw5IS6QaRN4H6z/7RULwuxY/+++mG6ry87JDOGOM8d/lgY4o/kleoVJK1 +/ccRkypK2uut5ig7K2mwLLd8YOrSZb8XONuiRnhGiImDVYI+LYYblhlsduJgx9LO +USuB8QzN25vsN88nuqYe0cWecQEthTont181oPFVM964BpWvrGNN+XhpA6AjI1a+ +7J2uWo6uBJsDCoLncgWhaV1ytNrD1Q/TI1In87NncVPiKTvdu3CAhPY2IOlBl+Dv +juLy/jKg/iNd4VKzrsFhuFPKFghMZ67iPwpOqIU01HGOeNSByHI1DQcJQYfFmT+r +rJQTWfas/y1t1WTNEuwqXEuWNfcmhc/XdAMbyK2mGXvLvvFkU99AyG3mrqSRQXS/ +UvKX6Hl9jsjqDFDNgtN8M/v+FZXJZchrV8zLauzH8Je7SOSmpBkt2y8RMyV47DwN +mIf/2WlOGYvGaMFPyv0uolilUB1eGvR/vSXzmyZw9px9tJWNYiQubuCTL4peNqhG +S0xV9+u6cpnusd5l35HfZMArC/iUqk/L029FtcpNjPY8/LEuAj8UnfziiF9DqZQd +rAvHJ29SjgJoUS5ATEN+iqs6vt1TP7NBAzKRbFO0xkvEc20H28AmGhton16YZhvk +eTYvXn5CrZouJ+KYu2h4nK6YDARivB35A5alTE0k9YmZ0VQE7IqJJ3RDB0fnxYUB +5rvsVZcGAnublv3oDBxYl9WmWo4GSq/+Q5QXZxGxVPogzcjgRXfuqy6+bWVS/2A2 +dEIpmq7To0KmTdbPK8BWqPnaJDUT1bUq7H/hvo2Nwu3SlcJbsxA9Tv7FHyhaovJi +ZWjGUla2QFopfo62qIxEB75ejxmmx+Rc7dlpFRF3qHsmvSqTY9LCwNM8AklyfGue +QyEY/cbx4R/OoHhyj+lJ72GWoC/6v+DJJLLyRrtkSgsO7kex9LllfwMLXbD82ItJ +fhTy3XXeSqJGBGtS7Ip/fgPNwrhLYM/riQvCbwxy2ImnRZl5HpSqF/LTC/7oF0HM +bQywIV3IAOPquXCB9FJ04+OcroNqZh90O3FQJ7svawbTgP1My7uSPuLi3dC5s5IL +U4TMhdmCOzBrI0wGG4g944OqXP6JFPmWHIV/5eOEjqWDHvxodhBdfrhPoKAo6ode +4y/JRozK763C9GveXnI/PFFzXe7sWpoVQWGtawgCAE9f6Er1Y5dYlz6FyEPcYLiJ +a1n0Fc2VlnthpWmwGin+At9qI+tF3rdjh5IR1jsUHQo0BXIiEkvYwku5GrRc79aP +qJ4fiCenPCzOfzBDCw90Ku3K7kV0SM1bxSnxXK8o59t9bp5eOb4/8OYi7eP24dAc +SLbYDe6+A13M7JT060IGIwrLqCOou3LSdTlq/h7mWR4WHHgOQYSkJgHa4pIp17ZX +pOPJeBE+IOUNyeKCfmbpEAFqCc80VWnwrv9+e2UU1SzsQu8KGKTRrdOSxt2x5WpT +I/ut60lvqURa49B8BE8Du99jmxui+fHaRbe1dDLaaPm1sEZUZRGvnJsDpwWNC6GS +p1189+k4YIMsJANMZAXDZKq1z6KCT4cBjVtwuHUsyBvWaYSGIhw9ijV9Or0b0tN3 +YzW0HKcwcnLYrj4XM9XoQQrtCSVnSFGK9Qv/1lBCpMdfblBcEH/nMIucKeZdJDrE +VxJjHcjjZeivhhCYJlahOA+UUHhYy3Ssda6kyRLcwA28ryC0LO8Pxx38OpPXx37I +HDuDGp+O0hS+0hVPGEN5xViO8nCQCNobIugIA8HwVY2fOk7PdJA8ZlFfqeQJt1zn +MvaJkDyNmIpDuUIcj8dQbA/pjVDCRBE4ZXWZATsjnst0SgQ3qtui4/fWFR7SCkra +6vAqIg6NDOCBza2zIbvLXNtZV7Xnh5vg39Y/h9w6BUoBF9FJGmL6qiw4fQdbGeEj +oOAqDY6GBoaXuecgsKVgOR2E9x/irSc8rFmmdjp5Uv0T5CuMBhNB2BgOyuIYWwYh +XaIEOKvDJ4Hk6vzByBsUZ230h6ReWDt9mp032W5KgjyqmvriIGj4j8jQRn0zjpA0 +7Mu6bEbCxDj0ExOk5GjDS51Re7Bk13GjufwYVkZjV24jnb5xvz73UBvTG/10gU0s +t8BT5CtnP7CVlrF8fsaBG+OOLVPKFHu9HJXXJL/PUFbUuRGyhBNKXXcwlSTb+BuK +v+KGTasc3QHOUqzd3hdvIHZikzaIbEzTiCuMxfmP0RLpgkXFvECd5ZuIERZ5U7jg +BXH4xQd6RR5Kih6oYglvH5gTIZ0Ae0NNb3tAjj/NVnGXv8Pot97yZxm0MO4kyKbl +BpfXE2J65SDOqe3gbu5fdAZNcmf1ytPrEqGfqpYMHhfalJdABBgQw6AO5bFsq/FB +sS7hJgVHQbh2L4lyKlLYJTwYw3jYAl5IAhgDy7boFtZQ/KmFfe4Eew6Nlam42Hro +8msjU+bMfWUjFHV8OKnTMsmx3CrFCgew13FIZ9fniUY9/W+wznE0rGLxQSxCE00c +mkN03VhqSSwRgyNf4EkqX2i8XT1uQsR2eut+mMkqqoH5vcWJ3VBruVRdJdjLR90C +qsC6FKyLpwwMBj3qziWq1Og2bwU5fw2yWoBiaxf+njKalBaQAUOGXtjhxB7Oyi7Q +IZMybtlg2fUG4WRXQsVZ7XGyBKLlJt8DMC70iHImwFhGWbDppgRk9Vn10P1wIkvl +MLxGb7oxi5RgBZBnbCNz2lwSWRQc3b9bbToybkeRy+xGgXBcY5FPa4JUwip4pqeb +k/wng3Ho/rD9/ZxhrY8jJw0Vf3LyL6EjdjWd3rh1GIzCL3KsiYZcOo2zElVorDEi +tBAUUU6TpS3HYwFQH5TTX+G6tM1HzDkzJ9z6gexUvP8iY5Sz06pYxJSCRjhjIEHl +09qS1o7YKEumvz2H863hUWmXUBTNOkl6R975jKl95cSoR1BKV40+Nm4D7XIquVcA +sWtGseKK13b/AyVym6Ip6AQYwlA6HbiMPCpSXMeiuIsW+o4Az3Malf9AaxEa6cew +F/3B3jTVBj9Kh+nH+jhXEQ/k8sMBTdQrJSNeN5bUP/HDq+ihvMymp5wHdxNd1xv6 +qXQudKSY2VvZnfa7xFmbEyv9DcQRylhNZbEMauJ8xm9hLqwy58F7t234nKmXx5jg +XOgKLaJd/pC/+pwcmdkruO7Lwm/7Qv4vBeJTpovlqEiIkrJ27Jt3tQTHnv5Omx5H +uZgTFjGizuSaZjhuhJaCX2ft7yz0/qPp0WU4DlBEBWtfdRYNAMF1XViA7MpODOPq +Mk9vXoi7tolGKFaulzCbwvVMJ0kIrwFwJUvXsNXmsI7ZTIdE2DgojfnN0R3avUJO +RcJ0jN1L1+quczgMtHsLGX0kgnbAE406Kqti4dKCpxRyhpFIXkF0x20fqITzsIWd +uPiZmDfTqC0qh+N16TGuZVP1UvSLRHmJa7bKu9AcVi9+5YO19QwIXsQj+yicNyp2 +dZZjzC4loOfofgfhnGzGv8lzPZoNzog7+qJ4LW1DCTsaBvxE+P4gYBeYFjM/IkPX +hnu0sMnQ3neUkkzrZccuN3lsgsHHO5PYF0QGjJjqCmI3JQp3aHgqfsMepO4V5G4j +u/FJHiCFlfDG0Aj5e4+Yq4u3rUC1itPLmt0xVLu5/2Drm9yvSBGusx+WBfA5hg45 +i3mfD+vmyUWZLwOs+0DtUu3JzNMGxNJFzEYYNsb1LcNEeih5xh1vvA9ToPD1ojV3 +WItHHmc/v8TUO1Troo5fGXYiAtNeM6c/CT5eBbvuvHyfZb/9bixWZo+MgDAFeVi1 +6fkaqHyaaxOfWirEduRc05jYPcLzqOUJB6hcwOmYE2ada19siR8Z2nQyLgXpvTzM +2UT0x9hqxVz0s0WrN2y2LBSSFL2dRuyPiYS+5ljKnbu1LsNqnlR7ivnRUPAhU4Xb +25OxWbW3RUaNV8vCums9Utr9picobmS3/KLjxzGLKOCn+pHSnRsQ9Jgd2hKH4y/T +39St7ztGaNMsHYDskJT7uDMt6+vAokQfXesYhbLNft8PN68hpR6grqLGGyEbKdf/ +1G3g0cQhkBB+NjAedUkYCxbsSOgRsZ+Z+EXurXHr1ADJrRzloNBs/svWk9v2aHM+ +u1lzobfeG0pLgpfOnvKcyMhSIIu/UFSRLgw8KG2SNsUMVyUYGctt3abWUQl9RPJf +Z0it7yAJVLMA0+GyGKZTWJy8HrKxc0KR3WbvCwxrmjpWPd9QI5vbcesZ01H0JVnn +aJuU7rCqQohgOtEPVRnrVXKO0sa5QhoCBxBpNU4V7DOLf/dt40PKxs1tHlYt7Qv3 +v6T2ky8BoqLVM/bIDt+3hcsK1G0pigQrgEooJeiqTgR2WNEiExpGR63GJCRyRTc9 +5wDS4ktVoSQYgVXfq75q1DUMvUb1tGl04ZU+U42yg3SCjKCsIxbWuyDubPtdZZkK +HdEKk0AaEchg2GBZiBo7bH33a+G9ux3lXJLK9Vy0ZOnN6f/GXATtxeBcueMk55B7 +iURe6z2AUkLeAsmGhcfvtgmI2rKAOuOWDvm0YnSCkbNOTmsRUbtr60K/LhqMMypJ +jNwD28HnA/2O32KI69zsIlfPW4NntVo2oWnn1L4Kw8uRUKLkZ9QLJvEthViVOgg0 +4LkesEzBYHXjqW1rxotLJMW1IWwTQ5EVdBQopRvjn/NXIsXmttm0brMRoL9OUE7P +3GfamC5lUuOKJfFnBo9bnfZ4khneoz59oy1SxCTFETjLGItBsIMDib3pGV/gOFpN +dyLY3vU+ljbfIhHgW9zhSPVNbf/5Ei0cDG50oKanTGnl6fkGMkQ+e65NkA/hsZQy +lpEypkxVgtG0gojyQlhKsoynbWC/cLswStV9CQAZIZekAKOi5OlDGnmmBqgVBi4c +zjr+DaI7Hjt8Aqcg1AKwc4pfs/WUSkZKhIMvL1QvFE2vK30CBTeFtv3aI8iW/ozj +JVbNgBL9FVPOBGwuil3PbWE4JmlwJpQCyuGNKkVoQ33LfYVN1Z9WhFtlSOabDy8l +yLdot5M5NxX25z0rR61X4Z0B7MZLQJnbynKWyQdGIOmoVCJ3fgSCJX3SUJq6bLiH +AGnDYY+L7CYTuLVorYf5prKCkzgF49x7q3JKkh/js6DT8DCuo3sLqRIE/NqrQ4j5 +IkxnfoTurZ1W9WvZj7SuM6bzRy6us1H8BT01rwh2RSTL5z5HAAJ63xrLoNfZ2lB6 +kqEYsJ7d9+GDWu7XTXlmwjCXjCxtKDHgtJc7OOX0CL7woBf/g8/nAdYn9sMtz+xq +6j7FQDMdsAeVGVKnhQpBWRtEaW+0l+N8ywIfWVQaDrby2/sMvMNnKazmBohpNdEb +69X2Q4TddZSb51vbyIe0MMa5m8nXKHFpa2QnYFhOrIb5ZYHh6qRt6f+3Vm0meA4l +a9aXbXiM0x0EV9tqrXk08YZHuuvyXhJn36bWPc40P84L7RhgqGO1MSrSTZhJHoqk +DbARe7zWRKww+nvGsmEu/6TbuxdA/ekW+kw/HaJ2Xqm3aRBE8ZQLLjOOaYYyFrL5 +L/cwuVeRSp/6YfZ4P9TqJRgdRTXktwOJktVWaFhc6aceAjk9n/TyFF/vAUk6cVc7 +S72mllQWfWvm37WzdRUU617t+/eEbgi1MnLL+RjARxl5wev+hmkeeWXO58bf0CxF +AiJaZfGWyp9jueMjX9hIMDjD3bdjqvJMv8p2bnNoYaFZv4URAAatEwqJJZKXXGFl +I4PLi6bOf7/Rvjp8q2FgZhVYMJy5ycCNAmPZWbzzLm4vVvHez5lFe0JpLaOvLPSu +O3HjMNP+Mn4oxU8ulz6i0HvOzjrr2T4FeDDlznZ1CevvbCdPyDHcu4HWy6yBwK2r +MVP5lk5fGL/ig1f/JzbPvCJRXjRrWS2t/T9O1J0Svn4VWtU/4wuhOj2FZrIvhu68 +4oMr7bkK9csn0MLOF2pNLYPBby/G8InAt5l2YVK616mklAaCDRSOIQUZr/V0B9wT +a+i2OpxrbTKs/MZPgvTJJg5DMoxYaVoOi9XIxqKOOgYoTHJnYrxXMVFH36+y05X0 +A8xNj0dXOGR0PfxLII8Xap1LgGeva71Kx5DSbIPKoxo43P1IGWV6q0DVaNUfQ9xG +zcHBlnbXJMsspXV1jGDr3Nzpw46h76R5Wp5nVkDVqRIL3nhnwrN00+OmC4Kn8EMQ +ggC3faFZcUR8AGj9ryT1y35PrMJGN8m/eGjiGtByrYi3WY8PyMxPx4dFip+ATgq7 +ptCod4IdAfBlJ6nedmQJIj1mYpTizPggtyLVXEa7anRiZmiaMeNKAuarfZLObY2P +VjXWHmrwN1/RdTYbiFVJq9dA72Q9xBRd2TDQyOgmajpumiQYXHN+GdSxgIXQetSu +nwkw3n5CMKQuv4l4HH7XkRHRkvwgX46EEeiPZt9YzzBldgrSFF3xTC1JvGE9nDel +/ct8nFuQdhXPXRXBjpiDrf1ZABW0mKmDP18moOgt4gZSRqyOo5RYIWFIrueLDe2O +ity+RiCL8HjgZWjmP2IdYzD82kkeEWwTIJsf8YQVxybgGx+BEWJrWsEJdHtjrBY/ +PMzcYU22vjq6eSTeBpTIjz+o3eZPUkCmDk3zzdr7bpLYFPg7kqENr6d9759YaNLS +d4KtXZjkWvDKgahYG29QYAyKRm+DkKBlkoULbrXEmRXbpkmIUC9t8aMD897fXev7 +Ru9DuzhNIpMGbLU0c3YoftZqhC2msO0HNp3MrF0VMbFinkB0Q3ghYNetpQZzOKBS +19zQtfLbRK699gkb5SAqqy3fgwyzhe2LeNxBCBr9yTl52RLbDsWxEuRkT7Zv4m4i +wpTg7II9KIgkxDOdkthYC5c1XMbRocxCFbZQ5oydH+dKVb4SbSvm8ksRZFQ5UNtj ++LKqR3cFjwWPP+clwTZ88tfazzToQHTo0PZnoQwDN2rjnHypciAzpaSefubDeTOq +jrK7BisRwDB39KXbRH7nNUiTlz3BKX7OtVrnIcU7Ed07++5IGaJMo+cMAJnM8hB3 +fwJvUpQ7NN2OxN1VyWZZpxewOBjxOxs1HJSEh2uaVT3v1K99c2SC7R9Th0Bd3dvg +hmpcPbAaSJGVckwsPZUAWQy2TwdSMNQp+wjsNdDgLU+GRYhHTP/oVKEdXudQSOcb +gzx5Ay4L6uTXpyJLmid/pALcjfyvNN1AEkyv5jraje6afDS6ai007+ZWw4Bplxk8 +xm1rsnDDRaSoGH4CZ7j3sxb5vgGSMWHRv3lQ8ejpmcHMHvlG6I8KXACRoaKlPrVt +TcQsaFt43CnI/dO5scPGM6Tdh9Wm/oeQyCtcQfDm0eFOP7kUhPymyHPK7ezEthON +oXSGa8FRw7Mn8n2yjbJcvWKTPPmqq/qyJ+dW/sHbP/qpqZHQfSMC0lEYYlFTpBl4 +Xa+xpg1IBo1qbExdXGymEl71thRuNarByWcScjBCjAuJh6MG2SDnxXcYH5AsJ8ZF +aoeQ+cZmSq8Omq9FIT+fzRO+9ZoXhOih7PdFLsfatj/cz2wK+iDFAbLmQjpWnPXs +lnLk5L3X8X67qZE38odHf9udYLo4rnczcKhi/fhjHDJAX2KmjnUxRAo7bqGMGtK7 +heD5L8guLkemaENintdStmcCFCH93Cc8NAuEkhBoGgHVxsi+lEkOHgJlZrxwfclk +jv/wCHAiYXcFzHbI4GpIGmbVGMt29yfbUJAiUQ8rS/nZdRqpZCq23BXxEcIvNU2z +jRA8vmd9Hl9x+r3R8HPM2KjMehCl/XAn5H9LqpK/i8DymGHViaVnkq+zYoSLhT3V +rY+6GnFvSA68GQX2W5LrSJm+8n7jwY1V8rGMDDzBaQ/MRy+HAns2yknyyu+yFTqk +EjjFUOvnCbbzyBMQHc3APXIm4xSUv6Lzl3Hzo3aRwEtGLDlUNCesHW83+pYftnCl +Lr3eXjNYuAUq3iQJlMvtXSxa6/BF+jJKY+8yQh+pwjYTm3aP03hvnIjqcd2q+9PX +LA9X6L32Y936L3x+m9id/tGw0EWXBbAVKDobY34oyoA2XKHeuuBnIEpQn6iRrmF0 +dL3XGiKCq1ezi/T6Pmi/CaABu+A9z7X9Ep35lMSN3uB96y/UdKYEldjE9z8Zgerh +jcqJEyC9P8Dc29UQVwq3xnKuit7c4YzqnNHcWszJuKqz59F4/iQ6tg8eeW7bi8Jt +B/XkegwUk+8SLQ9k0AbNINc+JhV6EW8ZD/x8yyZsj6YT87F+8R/Wnzx/8NOThomE +DkwKbNN42X7SBvi30IcZW6wX646IrA3oDVqq1voieoEZ6nsX4GmAzlX86c3zZhgM +SP4TGiwbntvU04kqQWRDiq37SvSybr42HwP/57rmgP9TRHYYhZZGsIAvjueDzvSz +PX1NTZHLX82sjR2KHs/xdjksc34w/KHmJI6h2esmDj/nMV/39d5eNIO0KjzYiiOm +RJcmbbzVygrCWMblyfL1tJoTjoIQMnK4YNcFalN2pRf6sXBl/qj7PufpwSWmF39x +MQ9uum9VY4RksFMOu41B9jiF3e4SF1HCMb4gu1UKIztWlRcnZdEOZ+PiJBJbSb+x +NJH2mD283Mlbb7wLLcyvTjwq8t9GdknbhOYX0pg61ChIB9qvLomUfNSOc63b7Zpd +kP/xKFKC5qS4vqCc1mE/b+fJ6g/oEH0zrchOq3FIikf27+xLSlgA88V+clbbQ404 +N47T6ph/yip68BNeNcuO9tfLxNlbxoSZcw1LGpj9fjQ51VCv+J6R+XTplssFHj06 +MZNLISjzrWfG+LL4rJX+7OCT2gVz/LocJKz+f2XPOzm2G/qrrzvuXI69Zr0vaw78 +EtDkRp7GmJXkUWvEbEOFwIRhAn7b8YrTszhpmQ4pR1cmiLQKyCWcClLqvA+B562D +zm1UuULITos8TReMZYL3DE33aVVkBqJNm1quREG9h1z04Tbw9Y0/R7Bb3PZGqop5 +CjZAnI0hHrA8FXkDP2O3OGGKwNkkRwnECJeDSsW+jdVWa1KWe6n/4McuBZiQAcSy +1/upVmGAY+6fkCUetNMgl5W9JMcc/tTy0Cu3BjEpEosvaxRwu09Xn/gV0yuIkHwc +PAva0eLqGaHBXOIVsBXNaTSlq+3we7Q85cptSw0x6fliPLHHpNmz+01IEAtGYU3Q +yWQ8/K1PI2iWBwa+vS6uC45t7fCYnF3l30CpiPqL6QJeR9OMplC1PGZC2aWcNK+h +DIj7l6sb/AtDjCopqNgl4EsSIN9PNkBJngS7BfMPuOitiTaeOPr0mbqbl9Rt59iY +gWIGv9yApbGBgJoUn8rRjICL+b6YNFDh7qHUpnHobF529KJpocGkuCeY+ffsMFCl +yKJXa7X9gjTct3vNA4vZ3PNKQ/+tlL/LJ7G3KwiopFAnxY60CXQOcHQfM0mPMRAl +ktGzZHmqFjr8n1sKqxc1710fv87N/5sd8n5Xl0EUpxWZk42gO8bkhxf9rpG7NFeD +9Jj2mYdUlBgpf4WqRpaO+RJlNMSJnK3/buQLrbKatQwQLUnXUckefAexEcxXrJSQ +2AeKxdMVrGQF9WfHeGmfHzqmRVd/AygF+P8I/e3/QmHh4lfsuCrKfPhq8yCtj/QB +rBXt5e/5KDVrQULe2VPGQIYUv17FioQ2HhCwHX9GJHtzPXylOHZI7DSgPfiOKvZV +R8glzLsbwc+MXFtGcpr6zXGB9RzHcxBaQfVQaIyDWZymW3mpY44rgCCTKklzegKY +uSLCij1FcK5r9o/1Qhn8o2qk2Ea/EZY8kTOj+GSGGja0MVElf6BxGmUlFuUrlyIx +rGtacLO3og5csnF2ldCtf0q7HAys3Pjv2OAKxRTo9sZhUoWpBUpIKwQZDptwnu+7 +6whD4mZQIKrE1ExOzhIFWM+yU+vMv46IH/0WrsXp0PtN5xPGqvuZ/5CHqZYektCg +Evd3ILPTO8h5BKWUbAYzrim+hkJOds6LFxMVsvLYE5B32JferJs4siLmqGhUV6Ow +CAOKmk1Zjr4wvd5sQIqnT7hdDSnMtSoEMtAyXjkCi0lN8tufkcSFM4bQiuidB/iP +CrzyKG/N5IDMCSX/5LMBU7Z8p4/l31dpAk/qop0EKRiN64ELhanBFsrf02Rzfxlk +SrAkTs4laYwXkdoZAy2AptDgBj2y/aylpPWlxN+KO4yKr1hiT8cfioLBGp2BDHtW +XV8IKAz7CSI+Ow0K3aCiYmReexiyQOHPqLtpGcMPFomfzSOZohIJhN/qV7TPuXuJ +vDeHGKne6eydkzKClteZyV0Xm4l5R2tSn7VE+FKIL6vsmCf07F1/w8Vs/+dR/44k +Z59yBVLVgv2plL52JOdPS+Cb09bojrkQ48TUx8r2XfKWV8zNi34x+Ah2Ps1p8v9S +JHyXhF7GD6Jun6xNWNTMKCUcxy70NeLhApxs1Sy/RLQon3NKnEKb4OyMROUCm2gG +nYzS3ngowLdKtHKhCYtiNQ5te96VRpU5VYEj4Qr5yvw/ox5qRfSdtxqMPmgYVo5J +fbwAjfPybBcBfeL1KukhjzT0MfCHYThd9zJ/FFRMw6LTaYRTLNeZOyTJWtEVfh+y +iVksPyBfYkiIv9DGHlOaDAyshS0HRYROy4d3SYdY7b3zZj0ODT0YJlyh50dF2RRV +aHlgo1ePwXfIV6puQLy3aqQJtWwU68BxkaUSovJsNjSu71ZpHfIrJVrur7bwvYgn +7pH3FUzEL9PiTPpVmH/v4D5T5A1A9+muZzpoTYVJZFnl6EhvUes/6mo36+R7GnQg +nVmRnfhg5fOQ3HyxCxmNzlgsXqrQAvEvHjCj/FBi7yoHNiFT2EGVLco1UJWHEmmQ +9o+tiq9mDWcNU3XEhR99yfnBzq1iiidC5RSpHOhrJANe1zVhdsJinxdt2zcgvOd0 +LbBpCIt5JDA6PVro9GvaNkW85L6HoppViEVLlSdvlwx0gxg6JfPjjWlwISR537Ow +6zmidcz976kYctJ36ytFFGZ1s5zsJpGHuq22RCqZ+nEdPS3XQyKXkR3KN+yHVd3M +5CE9ulh9UXKEMsDQYmuiWCZCqw5q43r5ZiRvx7GZ61i5RtaIVm0wDyGlz7RgxLzE +BkAiMnwNzunPy1Rp1oR31hrd+oXlY4ZuZKwcPK06HwhcPLKZJOE3Vvu5k+xx7FZc +y8E3cEYpXeLGlLl9jpRVG3DZ4M7/uQaQ/tsU/WzNsd5i6iTahQZ8vyb8WP3K5r3m +yCFUQT7xnl8wz3NLGr/sG+I+qWuYRp9zGJb0z6tViegfbXu2Mwub8aKAWGVFBDJH +u2emNcVt2qu4CcXqFQtI9OnXYOGpDW/OZaC4BL4SHfQ9lwxTrZa4xz7xso58GMdR +NMEhkVudDz39+WR1SQZl3tBPtN0fiA0XtNtrPB3IyNKn4NptmF1p2pvyR0NxppSi +x/rbr1G0HI68mOlYsMEwmqbsyC42GRg9jlfd4QWHazlzpifgfVeXt8UQDQYdwAJ+ +ERG5LVWnmm7FuP4LFI6vh1XK7V5ZIxuW05JFJvosPppkXswTCdQCFZNttvUENLTl +piBDQ25oqyQznTn1nAeQn51NnJhtejXl/SGHV0EQQwoAelJg29KTjw8EniwWwdRo +gt6KvU8S4snZkO++V+m1IElopEwcOhE98azN3pNzjvrE7Vdn9TbjSXpfWBIsnfnt +oJRxIaq7NvS+8fS0Uj4EThzLQdIH/2Ba/nEc8iz9h0b+D0jJ4TLVONsjLO2oUwl5 +OOoyzYsk2oJR4Fvh/Brgu/rraYxPRvDpnJ5GgB8ZZ7C4e44vM88jFBzJ85C/YWuC +KUEl0xbPCZPaFGrsk5OJqKhE0OoVJzTSFcgl2x1XvNfBT+IsYnwdaLSy6aCzaSmC +quvyIeTQnoFXYv57KZBsN8oTzFsApRMc36drHRc2tonliqNfxzQZogZ9QFyYd58R +ZKseKwyuXhHELBaen5xK4ol/2MdGfwMOBufaLXUA5hK7WX2osLrHL7EfGAsxYTWW +qwBz+VHLk/7QElYfDRYzZE3KAehbInCp8noEwUZzcFrNFJwSvNnZgQb4kRbxCy6C +Szq5rGp9u6C+lmlAayLGyT2eq6GY8lmDlHr2LOZMRgX8U5qTSQHnmtu4GzM718ck +lUPLL3ETgeEkefXSYzS68Q5Y6TP+yPhkR33NX8AhSfOWsprgR95Lyd1cND2vtrqQ +NuvZ92aBwSMiOiHJAx6L/aCGQ7kmZJy9xpRxNEBgIDNdnP3bO0XVJ+fJJ5Nu5Vrx +VurMS4FN4GRWguus3g0Eh/tWkRIGPSNTaLtvG8300B71AaY7VUzNjCEJ/fV+7C1S +MeBTWQlyM1ByP0yHrjMlK2aNO6AKzcuBx7pASGjW5/cNwRQes54DvTO5Bmn5aM5t +nHyrci7g+EERfHnPe4UnppmvrlwxibWb6V/eEgHITc2/sHcxinE+RyLQDbaVKJXr +K0jic+m37mRZ6G9vudRgUU2pPrFQgN95wBPnClJapcqkWf3IbQcfbskSjlHjmtPA +wgkgu8rwz1lmWUHPyAHoWszGh97OZL4JLgoafK1XaT+J5MYgBdWDl4P8bwSL+Mye +mcKvsF25w/ZGTgRdz9MbX3LeEVic6iqNbw68fG3NspQu5li7WgJgZjacGFjrWixi +yqtHhPP0JU2uhjlhGrdzV4OcCRDvaofCzGXnAghdcnSfUNMM6IjzLGbSWtv+mrpc +hnxxXdOKT962ki9bpfolzyudX35mGr/ryAXFgdstXYUkqeCvcAq7zhIbEJLO7T1A +5i4PLCOAhwZkVyC8FSL18YnOLr3vCLi7iQ8MmXuffJvekLTe+CCxxukz7349fXuk +cr/MojdFFLg+lG00H4LIyv3jSptgKcc6m1G+j19rsy4t52NNnLCurIQPgDOo9kNQ +5yhe6NyaDVvix/1KFp66P3PnMUvQCUKWWAsOoU39M5mItywxVhr5cKHcNqs2mQqu +wHvB3FTNSu3zACaZ2XSBvLFyWvNLqiyoC4BjWD7SXvyMN8kceEUwyCIJhBfVgUeJ ++uf0ZDaj/VWVIcr1X8ouzVGkPCnBtJmjPf5ePrK7xuBNwKdk/skgvlWfSxbo7ear +rCEwmIf9/b564pfn7KsQzaRbjKkuWr11zPcIUQZxtuR9p5SQHQqiirYq+TOjHxPJ +zKshEFEExJz/MHEAaHat5x9rUC44p+5062gdNOOw+6SwhJu8lGlV3F6KqhWARB7G +BmDL5E/VESO9GeWn37MT53lIoILVdRQxdle07VKODtKUDeTL3pEcQOZq47lB36o9 +DIa5slAOQRzQbjvPkvf5RiAMsjg70/vSwedcohDCV5vjXCuUqhfNMoBHfGqxQEAk +T+6fYKpXpI5sWL6vEufkYXu2xEKvUhIz9uE9bl16Fb0c5r+8bO+anSEK4KG3UZnP +ktnA7YPp9oqoTAE9kbztjNuQnIthmhU2jMrSvBcgj03eGOShwoIu3lyrFwv6MeOd +zcexlJf0NniBzBKGqh8+XWGwiM55dGH1fMjzfM5n8QMcbCT5M3Ju3nWKnNMsaJ3O +j8iYtbbhAsS0eeNLjljONfLPS/9HeW3AXFR9Jg7J5QcbTRoODWZtYhjciPMYplr+ +9CAoEmLi/WPNYtcko4ISpC3JQ8TOuBQOyiiJf99XB4RpI7OQtdogQyrNRNozrKSH +LTYbCKYqP1YVyu+PvmZKNQrbphQDuzoFjeMIPSIst+tLB0hFZ3EyBd3wQxbXzQFQ +r4WM0jd1dXweYB+QzfqYtCZ12MF2P5a0lOBY55FNZFCxGyvFc3bysffo6dfZvmMH +JOY4MM6oUFqUP9YDNjOjEKGpnIMg8EY8FXT7n0Xhv0oDt7gIpgB//At+8UTncGaF +zGMHTga45a0MeYx4SHfzOCvOJ0qjHc0IwpqcX4Dne6oyesFfW6/GnVKqglNuSAEF +FY0IeIABfMhjoTe7dgRkWM7zYj34ad+9vZSw5+Lx1ovpv3Y7seQgaf7xJ/74ZLIj +YwqeRbWVYQunguziI+7TGrdgYzAjFGhY/XRCkyoauqAuRkfQPkDzaBTzXsyEdo1a +b1CLxw5qlmu2Q1kri76DQdvFrx0gTdoGHO7hyOBhXtZznzrlm5q+9uWf29BysZ7F +of08YOeIxzSFr5hyiDK6LOYWeOQoaAf+jKOCUkHvWfoQjL0/1HbmxcZ4M2m27wg6 +9EVX0onaozfdiF5GlGERb6GsQjq61oy3qq+V5CQywbpr7APK5LH88AbJL5WhFHM/ +aNyi7NiznH3R6Mw7geA5sZ1oLVBcdPH0sDXlVB66LHRsXZv+x/r1N2tW2PXERAhS +eWbsY/DSegpyr5ToNzGMFlYMtsxycvVBZ36bgUQSpHEc+/iJS2NrrjD+TOOk4lyM +SX6uVnm8JWD9+7hKNJl7uvshw2gCT3em9TP+WeteD/irMnIeEhZBL7b+w3xG5IUJ +tjyIAGpwDSl8AIgHewuqdlZgw2S0MFdCJryBbpYDrDjav/ma1mhoT0aj7Tgw0sDT +41JlNTUBzAjCG3FaT6IqC54QkfGWgHWK5UgBAHodfs6QdoiqUoDKPDHhFqk7xm5Q +H1wr4hXA7jdfqDo03KqicoTkvGE7uQuhI1vL+vyw+Vepsz1rVyOMkyqznpyrH1F+ +B3SbPd3PVqzGjs/X63A52et0lswLnTM+0HMdxNP6uiG6qnZAtUKGz+jf799BRTMu +fEPOhu0Pa4rUDMI62GUMeExFsYo1FghygtegEZsTue/HDlAZtMyCTaR/lp1EbEbw +PwFZDsnmmJNd1DVRYlNUyI4ncjr4obcGmOKQGhLlQunWNRvKbRDdV1UE72giuvRj +dIX+MHV7tC0yAKSrbmExj0Vb/IvOMH6ttKozeWevnbGT3a+CN6hrpR/66iEHYcJq +xzLx28CBpY5X/K7ItwJ2AgsRaikU+PU3BUA+niu9ynidYXTXul1UnhxL5e1ERgPv +ovTSIc+UAXdxMpp6EVUBuWYcsIpOh0fWyMtLCbro7Y3mtBLB9xx2xEaaDQY0FFKi +5XjnsjQkQNuIo9vkkS/e/6jnKSygK37oCncNh2GwghMiegyxnISXUxzkg7x4Ijtr +HTgteCSjO1mKE0vA0v5pBwwp2JcpL8cYjX3NdDD0GPrQwelZhSH3YyH0rKc/Gt83 +38XQO1C7z0k75YYVzxAZLGGiJ+STQCMKNqqvnvEdWocA5TGYwxbWiL4HcyLupTQz +GqXgV4vzAIqXM1+XTmf63Y/5QyMVIdn1qVsbxgpLGjPIWjTwaq2j1gYUoptwJmbP +uGJNvqrW+I6ZCplVFvYP61cIdc7TOUOyF/TsfO6iynXejwVPMB7U5LEaGTD3DTq7 +5RFGz7Nrl+lqrKSxxaNbQhAZsx4dX44Si/9J1qkSHvPfPFP0ea6NFAXfrRtUjXNQ +RjPyBVwwbZ2ik3ySYQCxLnUr+5Jkj2Fk3ZfLjbuVLyKEyieP3PoZc2pj5M3CWO44 +YG8CpKF6YM4l2sS1RR6+/zCvaAg2taHzsTuZkMkWOh1HJ5syL8Qs6Y7aRVOj444L +d6FyMUhywCjayOZPaMuDhhB6tSZXkvkZUtyZfvoEZ0bUGwpaHxw5uKNL9NNyKClU +aa9r6xyhBFAsUfiVxhV2B7ilnQCwoNymbsIusbkQ0az2lGupWndE4kyS2EDqVfVw +y2PWSlSHtR6vbf6OJniAM3d72lcQFACR28szNUgidw0bT3mvIrsK6ZgppcySALqy +dmcKL5eTPCrJUGlY561d00/+eIjlmjC8SbtE3lfF+pw7iIxvcPPY2QtSW8cb0Q6l +L6IlPd/+9u64Kv8+HUujWR4NkFrU2gMkZETw9ZCt3fcij3gjaw5xHqa8RyIOffeI +C53b4q3flVAO5QaWyyfuOtJr5HDDygwv8nOZEyucJ9MAITzGvzHOlNE8OSzTyunh +XshVG0ZFrvIuDmHnWn+yp9NBCizH+nqZitoBjhuANERb+LlLJ9WOkJGN3ATe2ho9 +hzZpjeqVOU3Yudy6WB4aSjPw7Feyq3CYk3hToJvpH/MSeMQPW6bEYvoD8DCE625r +AQ+kWNV55U1FQpMyymkk0QFL+H36gLgfasTDJM1BGWlRqi1bEVmW2iSkUV7wj1ZE ++d76K3VSW67PMj26oZmk05XyHW2C+klfV8Vtc/gs5eDYdOJq7PwJp1Y8Z8oKcrwb +iEOZGXWa1VMxiJPikW2HKE7m5d+Ur99tGQouhOruT15ggl5bkEq8GDoDyQGziw0b +78tUju6RTewPPtvSCmAcd0fTw2KTwjs2SvrBE1sfYsEMtkf+jF/IPDOnSdxZgZHg +0FF4aN4futEpsaHn8chMK1R9poZjQrXVL9Lrt0YhfQDuGCOJRR8KRjFnTSifv4zT +2IJUFkbAqsJr4m+0JXRXOvUseT2Q8EMDx6ldgtCfCPWUapgSINJ32Xb27vq3t8RX +iS+wsg1Wc0qS/9DlTMU4ZKrncsKQ6yUr79vUaabzvKoXttE3zq2w6GaQADAYMEo4 +1UZaCh3UcxLGYW44n9CrwupJDMTzJCcr2Cmt9aMfRs36JyxInpzlfS3aLJIeJb6L +cNi45J310AP5wInP8aMg44eGkIoY5d+H6oVlNlbe9HilF0k6dJyHkhZFwciMLYkI +/9jddVwetNjJOl9RcaR5exucTAzVrSBu1JH2L8pDEB8TV2K7IrLWqgEetVtUe/UR +4GEGJWiMSYgtB5nbDpDaYmQ2CUmkGhhUM8uo+8T+JE86ZSt6tF9SUEGAneH3tlMs +dLBLNCY0eVIMtI0G0PrLhp8YXcXElLePO3nG7ZMdF+dxdXRKp4iczKAjeocy6DmT +400gyXS50FjddyOHOiX2s1RysL6Zl6a+c8VFdibR4rooMPHSQAsXa3ANJWiKiij4 +p8R6uaGiQltElbXanOS/TL5sGnEvihY5LUxn2sbj4oSi4//ThOzgi7LshmbRGC2b +WMeINESnHZ2m8n+Pwedv4zJTSVkrJGGT4mKYhdgFRxjbnWpYX48Tui0SckC2NoCA +9urAwamoMszvNVMPjWp/23lt9oueE5By7rM8XYhKD4j676CUezLhOhivC68hq0pY +iur9tMbvjeE/FpSRQaJwIJJPVzKugPIyRmZn8EGUDoVqMbF2S421PvaK1ysdmKkx +Z2fz2jeGrtMutyWzLVt5q/3nm6R1VlDHJ1rcawDiPXJcweYArd+XzeEL9nfbHN85 +TzmSCuL2uxrB9C8RNNniRi73a/3+I+9ParbYwG/c2zsZ2QyqLajS+gKUQPa3/y0l +dg1yNcaGImZcmNdhwqswfdPdE3eAAif2/7mFHzZ65SFmV1khISn3L2t+R+l4Xu2o +WAFxDALlle/ida3lDl+Ej7MU6KaGA5QrrEdNpji9baGUML4W9o7dQhpbHycknrcZ +R581DS5bCntlyDgMAEkXNBZqYShbVKBzllB5jcH2ZcoM+mwkgpOWJ196aQSu4cL2 +6mJawjcczkL5/9H4atadEAuBoI8yE99MEK4mgim/QfT7r3pCPJmfb/OYtornpBtK +DTYeX1L+IMc3E/g0162ksTR0nh7/R5PbCCItenjw58fyXhtnZ5DW4J98e7ZHABt/ +ITU5FsHIzEa/w64Db5rB5lmCadg3c7IVfPOHJ5OPTYGwF2E1F/s3Npqaz5Ve8cDp +NW4B+S4hPTMWwHbro/Fk/fmAawjr390cuT7gRGd32xtX4zZHFuJ9gBzozvrxVZC3 +1lgzkwOpVHG0Fx80qQ/WcmxgEHJfqa19+Lx9MBykOPg1lni3Mtg8BEhm02dfzLNh +wQObkKEMiMvTlZ4K6/NLcr5prCjjXnQ+IQL6vXd+3y/FI2SQxwQSZK9dtMNirfX3 +dnXoc8sKjHew27GzVlU013F0Qs4E30Ah5882PaPPTSWtGo7tDJ1fp8js7Q0HJLJQ +iZyyz+QTszkHBrmR+3MHBF/VjWbHYarVNlNS9CcylJN5vbBTkgIisyMtec2S5Em9 +7oXAaDc1db8CVHDjoZEIvQ28xYNXHRqQZBxN5xMoWM68oIMuz8INA6BzMlDJtGEX +WO4FunvodcqKKgykMKetaYOsSQek8pZEGTyBBSj4EP99SwLfOjlPqnYJDAH122NT +BSf0m111UzBXSBcgstJqobM58eb2I0+VhK3a89k5XUSPfAN6iob5mIp6AtfviwtC +PB00t1tW+2ioyxfbcWIqejgKKFYhLTCscLL5bdx21a3PBHIpfp0Q0wbYlFyK5L+Q +CXE09tUzVgljF8rfrySHNIQ71HnEGX8AzaUW+jypgAKLXapOeCEXdps6g+73u0xK +c1qIaMbWtQUeRvoLaaph//8jQNqCphG8T1EEJ9HtoAjFtrU+GtwNw5WQPdR51s1O +fJFc0pPoU/H1oskGk8fNeiQzPuBIgMidK91wnrbNm16sSuA6zYbAaBhrqVNgUD/a +MFBlQl/QgnEcpzpEfnwoorAAWrJhUL6Dqt8TiQYNNsrVRWjy9g7+TLKjeaEk9Vcr +2Ha/3IjCDKjOgaLLi14LZHRFvw/K0LFayeUwAHE/0OQiQXu/CQqOObkCVfLzTta+ +UcqDHCavIvfsi+DFDbOwAOQpxcYV3/uIBaI+Bhqdi0biiwAgUUEGtWb/zvd1jj4w +AQgUjHYUA4jPdNQZyu/VBJ4m0IlnwMaUXYu6QVeP7PZPMNahKlDPkHDmYCgCoCle +qLcg0B6AO3wUQiGkI2y5lIvaub2u10k2gpl4gup9RFAnoJI0/A5D8aUOp5XFddAd +fV+2gDdBw9Q5rT1ligovstrACpwVuEDPE+mKWY+DWq0GY8oJGl19scEt04rW7yPU +75elSaR0FGkVuhhRiRXjiJ16wcYI3MBSsboe//Klc4IFW3mnDNfo1V8YjbUXI1qU +32qIPZv0G4xPlC3meXVIuyRRdVOXRjuhUHXxh+N279cbJuwvlwBX4Fc+I7w91M+V +D3NVKunbb99Uho4WuT07smW8+JMfDrbI0Ei5MKRZw6jJ8903X0quFDMc95wyv3sy +onaPl94gSdmkGt4JIN+47XlFGivzfj7w8yiggjpmOxR+d7yEiBp59K9VvXttuMvh +24Y0OXC4HjxER0v3hmsyenGxSsTiSvJShpnHFLPbMIKcVL/CAJiuPTk0JLIU2Lp7 +KdtwYcRxVnag1gzpzk/qVmR6RriIxsnUB/u2SiZkoZBH/C0znYBjboqGmbC9ogA5 +ZLL05oOUq7on26aafpuLuCBFFKoSRdDLo/kYK3cH6hezHHiLvRgFXwkIXgQFDpop +bcb44YsUKDQEI6SJojUfDluWiXvsGQWqtEiu6Vz/XpE4M1NcttmD6Y26p+UiX+7x +0B3EssKcCSv8LTwaHpUgkSgBjOgEH2IJHVwS/WLx4QByZuXOMBog41bcpDjJZzEQ +qQdhgD18mFVyImHU4saQoZYdwvB4+NKMWF1SBjzHhfDm7jq2ZZrBy8Gz/pgoo8aF +RKhneKeYL7pfW/2jPX3qd7TRCvGN64yPrgxIpyH5qgbCaOkqPuBXrU6lNg9bdozc +t3qezLRIJll4W4ybfnqO/cw0+shz7sEJKLvvFPkLmg3VMgrLl8ZIoeZB3pQ12NRO +rKYzuvhPXlz2ZF9LMUom3pmfH1/D3afV9CNEQ/wkh+ohQXbz1T7Byq1tCZeOmZqF +hCl0Jw8wSJbymqkM5qS/m/zIUDnjs+uDrmpDpR4FscBll+eTL+GiLUajA9tnUPnP +X/lgItwZArqQUK74yDfZETJZsoLw9H3O0pC9e5yaShc9CMkZhKnmf75zv1a+/99X +YoPo589fLx7PEXjpcV/JOcVILYZm5/K6GaXpew0Y7cEMsTSvIw319MvVBnXiPaju +pdAGk0A1oyICXKW8ODVfDfx9ntruMJXLSF6l5rY27Y+RDyk9B3vgYMMEkXcT1OHo +FLGRpKuAhEuqDoJr9s3LpLMOtBMp5RqDp2GelDZbK4ijJH+gdmlFv7EcjPfD/j9s +vFdruljO+e/6KVku3Z4z50HDiatpmYwgEjTxIz7IYNJPG7AfqdN/BE7y3zDmjAlO +oSK9pf0mG3BNaOW3xmSHp9gCL2h3rzwZYFhaS5kdffbgg8Y9tRS+1zPbS1mZs2CZ +TWFrR6CrTmiX9JfRG/9285FCcFXH8cfV/zd59NbjX7b2xDIhWhPPPpOgQOoiZQ70 +I1EyTi7xdjsgFyHUWgdmOn90OhA4KxxbK45AeLRyb9j8h7H8WwpGVX66CAas1leZ +dTfhIwMQXs4QWB4QiEBsX6TixSkWz2t5wP1ORCwX27GUHkqWkF5JhsiuuJQJ66Vn +anGlHw+4tZRptsvUZlbAe8uTIrr8rW/hCzHFlaGdPYwfflPpCuUPwdOqedUYUVcb +EISLwXQwYLvbY34oqD4ZRVA8pcDb7bm9Z6pIec0eBhDRE9SdQbFpOxwMsx66ASD2 +AKMmPZj+MuyYlkUm8TfhpmlqVWNUoCjyydFxOF/s7g71pTFDp+WzSrQf4zUgX9qq +IIG5UokiAt8Bw0W5iolqX57YNG4Bu7rEyTQ6jp+6yGN84I0fnh/4McmisfG07wk0 +21K1Kq7higa5pMkxvekXjEuk1gg2uTcLBZuJEDFTF94iKGKoNp4S14vM3hZFfvg0 +U79RZ+jrRr0d68rVWq9Jv1Y7tbYqLxAGN5i4hljSFFnbjiFcrjAkMIsnWwcU60Ms +u6xf/jDKk3z6uY/GLZyYcXMJz0E38OjSDrrEAxRdQwGN5c/E6cecUUNqBa1B2JZO +NU27C2gFzmmtyuaavEvM5ifxAJS3M1ojCQixwskZNbT5eSqPkCIUGjx+paW2iHXe +dDuhcYAoS+WXR45xFP6oqaMYwO3Hphs14hjxaE+LLqCU0HDnpELSxxl+NZtIn+1j +9/4tAg8L4YpcbDMLzgxkNqmMsCXNX+wO93QDxPMLvXufZ2c28TjXgPMHcErfULQs +9T5lE7IbS+M1R5ZsXCnr/Hdt1i1qLgl/BmhMfPw3Tg7leRrcinEc5jFysXv62asA +I1+DJJK0lJHNO9zAFX9sUqLrAlvl7IRJTBAOVr9ThGMZm30GwmopDyUn+3jFPndG +FKneBGzoqyxr06s9/3I4P599zy9+NLZql3DvB8ZxPnNI8oa5s1pr5FhsL3QAuX3h +vXb25Ld0QyTRkhUuYhXuZPfC9efAxIDwK5DI6V19jq+NdHo/3AGVnizaqo0Ht4vG +DxohqFV3thS16s1TGCZ3bALO2pLh9hSQObkQ0fKpEYXEEyajkUGKbQY1qQkOZdCb ++Noz/ceCa4bb5Q1zqy92ALdW9qLbyvRdHlQFm/9J/zj3JSU1/GqtV/AeF3RX0SJ6 +u/TLC+IhYjRbeYZXRVnOquACP5VOoMrVIwbiSYZevs9C9oxvNllsYnGRjChauIB5 +/KIj5t/0rgWhIlNOvgHNTJNFXqCI33A4JEmbKstFOEWzj32vGGwCZrB8tkiMuJAa ++03yY23l2eqqzbITR1/4yJ7SuiXGrHTA6BoeKAgkspRINIj5LQyV3/VndIgCK+QM +lq6Zn6bYbjpPxnSx9JSw7S0fvCMs/1OyhJXKbFXRpsdoU6/CB7LC4/SEzeDV6V1o +HEeSGpc7LTBNq9VuaESc5FkvXsYGqsueGBq8CEnftBWWkGf5+x2ElsMvx22Br/g1 +jFy9yMWrvOLBijXrpKCMey4niO499Tncr+AGFLHIA0TRegxfQTmrMvyXvYgpYbG7 +lERUZkUvADGfTH1pdWuQ2U5fk96hyHTNhFUVni0iAAFngqo1dOKPMipq6/6StBc3 +45UVa90/66ac9B+dy1C6+brzKI/jKbt8LPzjGb9EyAH//DJa1+PkDwcsYCuqvlKP +d5Adaxut6ndo28vU7/lrGuqmMVPKNAdR3YGsHZqbeSGW4G3e2N6DthBn+K7O9A4u +pKp05Bv7vhszNF4Unz9kmDk8+oyl8lbmUttngQWxyJBUxxK/i4ia2jGadksdYQm+ +kRfXkTbt13FlYy03Ld4o78zf4xPmZj4e4hgk3qgcmFlz4gKSjREwvxzx9n4M/+TF +rSTUqW9RKbq9XKq2GlPZ7tDUFDStZomYZn2UJBTe+0Q6K4EqJgAZTepL+I8rLuP7 +c6zLMc1iBzFXbB3UO/4EmmlcH6iFujmG/91Bku/o2LNyEJ1gHgN7bt1bkjW4nJ3M +IM7X4TKO0EjC8IELIeS6dX89Oesc1olec9tAGU5cfZF63+GYBnCid97uDAm6AMqr +Vc4wyj6023DE+D9r9YLU8sHBm29NjrEjr7gOcXDKBQlOuynn5AGwUN8NmnimWGhh +OA20SOLZLg3mhj0WqXJ7FY+IJzZe1uvTRu1gRvUxmHoHaeADETVa+j3m3DI3D6hT +sGhKQXJCfTx26ewHDo1BY0Ef7gnVT5TSLi1AATdVYGbLKNIxtwUKbJY8FpkaRQAd +1tTgqzVMIziTlu9YCWWn2VY1bgzYAUL8TQXaTts5KbA4PPPcqRvWoL6CBV21wbyY +S6sGS2T44q9TcuM0QlrBgE/xQQbe1JjHzb76PtP1ZiEjpbblrKu1t2X8X5jf/HLZ +IZpUbtcyappQ9ipXIfUhYPxY5iuOWcrP6LSqG3N7LpwS/lrrUFVTaNp8ZCe3+MCa +a04KFY8s2zq9iCpxYd3u1ggri/IIIbFK1t7eTuxQoJMRY9Vhy/GYTx/Hsa3E/asn +Y+892I5xICZRQI02I945id1YPceNPcQdqA8rNztrnDp7dzz+zqEWAYvwYQBmjcxW +9YEuTDKoVtnSVc3W2p+SvBnqIyVXXrlTpxfLU2SIThZfoY+hTjGOutpNogQp8mIy +VN0sNXqWxCEGEVtDe8TGnx6fSwVTUeTerhCPDqHUMot4dbpIvs2dYQHvB3prQEJM +0ekpBHhvXblK7Yo8kCzalP03fSi8f50TO796faog7nniDcxE48JZiLOmJBfx0JlZ +T9/bWWkSzKkmVSQQKwn/Qmsd43uHvD9+gjCkjL56LYZwpja61O5kGWAL/xeQ6ZWd +ytFQgLGvE+oWPeK4j/ms+HilHdzumZdiOq/JGWBAIWyMldwz20N57Vuy7omlO+zd +wLrBPCjiThsQ12llfwniKcqOfTTzjevgoOijeiireHq9CvJDMiXIy8Af0nLRY7NL +2Bu+EwCVZJzktOTOO+cRXDNrNxpGlqa/uP6LUz6piOGeXpbHkdzyYWu6+1ZN/NRD +xZ0OOoOmuy8Gr0b/gX/mGoaP+XT+QTx71Vq+Ll817+DxOLKvzWoRKC/r8+uL3vYh +pJnRZtWn2uAX/b23xbg7gvYpW6fRuGZuuzTT4K0N3nNJDuommNFKyCvj50Skc9GX +3vrSx5NrLVNoaDI6zfbPABQKx6RxcfgFa68zyVr+6TJGnHDxrg0JDFv1hPHp6vbT ++PJMD/i9ZJLFbxlrmrRMtN25ZZUysGb/0OzLTCUldEwi3c8E/6EUrWjLDW1ei34x +iaiFhtdse/KQ/5Mwxzr+O7L9a+T677ucfCZ0CYFGz1HMC9w7kQvnAX7CG4y0ok9U +hlb6Vjkrz4dufQWcSzOjWazMDTT9IjHGX4dd5LOUIkmHiU7If8zZdKD8X6NHIy+r +kolrDdjM+4ESZVeB/KiGczX26bHSv8IcHSrvVCZ34htr9n3pJlhL7tr7PNTqz5qP +ykwQJISGrT3O90aLACIavjePTjJ+LGlQXWpB9kNe3Vs9cSZxuchtjxjmrGqhUBGc +yv8uGy/qs6fCbPPP95n2wh3RnCWlHDoMFOeogIc1rsBdZnA0VlfIal33uoBlCwov +jRWNqYP5y+oA+N2SEBBHSqE9JCisFK5kBJodMOZV09Ms02eYAu914t2BD1ELGAfH +Lxc0/e8svHMtxtgRLqTQO4q3EwzuB/kF38GOqvYOTh9K8t3FrpOO4ypqhCRCLrqx +GMu60SM+rt1C076v6gCJXAE8DZs31JhC9MNKPvPt2458/SzBkDk8j75d+6+Z2/Dj +nr9owIC1I6KLAjJcjN5LNOM6BChKgAZEVWiKhVycAW3vV3awFJzK2Zb9gn4EUVmI +E4mYSOYN9kzTPpY5exhJQJWyP+xth1pJvbZEMR+FvE0JwI3fJuzHdo3Ip47/Ke4k +dv5/5Xcf6H52FBF0Re5pagPdHEJvDJcIFgufhu2wuGmR8bhN+/QwLxd9tyS2t0QN +3RhbKhwX8DTKybSMf52Y2RotcXNV4Mv2tbQpA381dmrzx1577KUD4nA3s3U+VMTE +b089hady8/y57b5KNULCjyVvBSGM/iEQCjny8upxM9OgNyY4DPEPi6iLeU4pHTA7 +3U7s1xiYru2OwhbOgD12/D3MOBmvuHjR6dug5kpa58b885vq0ZPtMA1Q0eREPTFU +5kokAZyqDuyG7KcKdOpcX8DPVFkwFBbkhqoLsWuE++Qqxii2gAME4zQ6IPMfTfIl +chJp5n09eSSJc+b890/upVn2Fn8qdKFwI+JVeLUA5980cCnQssK0gqf/ZL65XbQk +DdAiX5lM169ygxY7X2zQBHai63GSC2xr2J+YT+hq7+mZdODV57UnvBpXhdVYHgxh +DdrYY4K4Dih7aRNfN6itPTI+ddEpBjp15Y/2iKZloVPAl89vB4ySmuL+pcWQ2SHa +bKKGI4+8VAxNWoDtOMI3apcQwqKXJ4L+Z7O5pJArLtp0b+N59CoYZ6y7oaGR+e6Q +7wV8AOi6zREdzNefxnBDsDEWZBcwJCr75V/YkMvtxUsXfNkZUqVadqRHJA3s9oAS +rY9A2p5QQ2LHcp1PPXKVW3Gm4y0+qmWw9cx/2zzkvO2v3vKRfg2yEOQd7BGQKxk9 +or7+eqt86YoEB8aRJhGOU4WMFKn+4925vdSle0phsJ/HBfOVPfdbJ2dw/2YAsOdS +6ZXtuyDREMrpHeQid8Yzb3DoV9vCrZcBcsq9tC8IupS3vL3dBHaNVK7l6nLa+lmz +cpXsf/wWI+tYM6JzrzslJ8e75Dov3rG6pPnDQBTKQ4utrYupVKWbETgyx+8VENT3 +757C8pdEG5t8BLzwLJiJ3J84QFJwAC+w5fPsQP2iMsAcZzP0XoUJ9g7k3wZ4893t +5CV3/AJABuTXKqKBAwAYYYzbWGDRe8dKteF4LfGZtFjwd4HJwUL6GP6X00Lmyhzp +iGB8b11r5OInJt5tEb0h+dQga8RQGymIJni81LbbW0Vpd2FIYA7w39R0N3RNZLIL +zFLYKt3ITBRsRzZsEd0g1jUdSg+TOSCcYEVNp0nyfAFYSD5BHbFxeQ/nV6A5YVqo +eRi4TB0gP/XSEdXJfdss75FZ8UA/iBaCld4Mg1qe+CIHZn4tc/jve4kwZAlBHrkC +LpHsTle8+Hn6zncmmjSB3S3PFot6LresTdF1gU4I7hdgsElduBq8Yz8T594qK3s+ +ZFWgTk6B9jrYSnfPSXoKliSY0a+c7xagLwl9m4lmfeFuZwFy4rG8MUzeDJK9/aVI +2n3P6voQVN3XcnH4T8PE2aynFZc9SeAE0whAgwli4xykb0U3WNd2p4Y7yZX+PDCo +raCqrXXVinTMJLiYMphb02p6v1/QsownErLUK/MuFSKA0oz2Q9iL1ED/Hb2S0LEt +qbJMQwvRMptKhoaWBuVSfJO6hQiuY1kq9M1KDun8Jb3BnlrmluKPAXEtx1YPIxeN +65uhy1BBfSJq1kupRLa1XP2fZhQjiKBSyudkvxsqm9CLLa4Qpka2U5tt7itVdavb +xy+i/nJaLL5FAMgLFA1K1rqqtZp6tH+YvzmGHWzhvRTel5sbdjHQJ4DzaTklv+y6 +Uwk6PVuUSCsCRWZ3YPFxTxYwxkWE+HDYNUG8WlRrloETBnv0i/hShhzrc6w8mVPz +GYIvUCf1mYKMD93SBoRHrOaY844o6mjyJ9jA3S6dbZlNhtriBQijHiQs1Ur5PLyY +qHoiMpywCVcqRXpimSMLknB2lFdDqFObxgsKjsHL2qTT8SG5SIPLKGo/1X+3hF+6 +Hy3FIeaZju14X+ZQ3clD1ShsQdtCDY6IKuD3FU7DOKvb0GmBPXs27THFj+2DqmmQ +tDLre4mG7M2NKUNtxolaeJeDpKvFwnUKW3Ot8MewcVtYDiFVfCqa+bljGpXwgLAM +/x+7cfI42LLqi517aDq5itnOb2xpzqHNAmZuAzeT675/hOc4j++ITjww9XNDglG0 +beRfpKgLv+4qQbU2bdD35Ldl6CttWZ6f7pjfZMl6Vu2jzSSB+eUte75uj37bLLmB +e/J4Wk7Ri5trmUAr94dUt4jdF7LL3/OE/e+wa+I8Q2/xS+gALTSncQYnalL+AAj4 +TwcfU2CVuZzzgUrwT7ybWnHUZ/+JizlhFrTm4esNoqE6j/cwYEvZ0WhWhCMkSOdw +6tgcqCb0cFlu74a7KlbzbTCFd7xIr7qdiAqOC9M94QGpk83b8QAuRd3WGCu9Xgau +LrG2ZsURpAH/0WzSRhdWM4CpBjG1qMLg1Vma6fVCTW4xsautP1nAOy3UK+/heoY/ +hFDWH8rGThsdHDRU4j7w4WodSVVV1tUgJa6mn/hxgncIdWwM8hnW8yHPrJ2T+b7K +1FMmRQ2BR9pIVfZ1Ww7+fNkporSWAD04S6FiTK4DdyhvbHHp2KzOzKNotOkuhLI7 +1Rd3vp2HjNWMH63bjh4LGq9hdq3GKqUM6fvxuBjYRV9wzriLr98LYri7j3KuxhOe +JGVDyNeBHFU9RwfOw/gyyJZpgIW526hNUpS09g7DT5msxnfzM61Y6+wIOYxds/TI +Dss6McFeZQNtJld+Ibm7i6Blxi8gkJv4p8gCzB7K9bXue4d1yfjncy7ti8l1S0n3 +s8AGLel8nzIP9YMYMS1zbv6HPYwD+pY55ZaLR2QiUAxlD+VeuO1Hu/gngFCYPJHs +k72mE6CcxXD8wSXGCcT52G74GzqfLQ5d1J9lyRQlQoFfEWVf1+TMh2UztgRsp0Yk +DOaWk04dd7waGTX4cnaYQBx5QuBY3qapLAjXmG0+tau5yf7/wa16uI9Dhc94DQv4 +vSECs0jxInRqK8sH+RNxBo3UBb7AfbbTanrCX1J2spZP9YPFfeReyo+iKG9dlsDv ++bLQg13X99qCMeQ2K+xrFyea3OqDejgVrUXtUPTSXDKaLSX3XfaxDOmvMvhb+yMN +VT17wfQxyDWQjUqeiY5dJ83UNjCvlQEt9EW5Zc4/MiypxG6R6HznuFEM/yliAW8e +IgmobEwYmQR9AO3vg63ZdKWZV2qzrzwyzJoF1XrhC5+srXUi1EfxOIGoFnos87Nj +PqX2vTNASV0MM0v+iEmwUYlAagBXrNHWVIHTTVpxIbRBZjJRKT4ZHeCT1hqOaeoV +LSp6XRqD9r/SDhhNUgNmKLNQ6vmNHdZjiQhA21MkGVjmRNjJ+Eo+MgJAc5tQOJiI +A+2CVB9QQTm3ZFrUOuv5KWu7EKYHASXvQ3ldJEWe5Ti8FQ8nGFGZJvZtlA4obUsn +pUQeFbVGeqcyPoTafulzdNzpcPZdJkqE+19myrGD4UfE/MjrWk4ymrOMUe5OYG9X +4mIn+/RLFwftFic+PUhrlRtKvG2Iy9yk/EWj0LWTvb4slT0oPBgg39/PCc954W9L +J/WoZ71yIEzNgxykc8DuRq3bBkrzTt+tMo/0j/CTup2KXOJDsF+Y1jYHKDlDi1w+ +sU9V9OJ0U67BUKuWdTByIeGczdyypvH76W7YB/Zcug77nbAuFJq4N61HvmmZqMqv +S9gWYDI7nE1xjujKU2jI2wzAIeCrqip/mgVLaJYPhbP2yH3nBw3Imb6SyEqqXCU0 +F10+9nD/jiBEVuVOoqCWzzw/u9QsYgpBpFTRzqtiZd+1DBnzyCl8BOS/+AfWT7Mk +i3lF9wNd641njmzFgdXzH6AAbU5bkTIavrDqAiALp2n+KTCuA3KcBmkhdNSx551h +oN5M03i1kDw9a4kYEXeyui5aoY0I2vDXPnjFzEKnvWz6YT0W9KKvlfOSiVq2NrE2 +xiyDpYfzPenk2eGdmItNhVk8/pUXxrCNuutRryCYfwHs7maa61f9wIFSTQkSAMPv +biRud45YnBsWmY5bNWWloLdnA8ETF46gowGIZUtE4J/qIjuFZg+P7sqmG6P5TqRF +vBL3Nx+FicfTdhnB5jTKGyBCPlvBvKR13MYwuQ+dWkRVjt3aejyW7yrNbqB8I4Kp +RInQ/g/LYjMPLyolaW5b0N1w7m9rTC3RcRNnqXtXrblOUzUXhHM/cTLcomV+BoKc +UpD4XgBVMP3wIhI/BDNrogoFXlS+1/F6GccyjmtXyEddy7AVSv9dtak/KM4B/LyX +7f6X0plHJg067SkeSWt3mlp7Q3WUaPfFW7wfgnhX30x02Xso+9QgVjAZlpaKtnpE +DsT0RmD8WzIPdrQDPmahq7jBa6as6zFjTkaOiCh+99MyYDia9Ay/DirTIejSIArb +GcZwFBtEozsEFLwI/NIqNIDuWFvyM1ljDw/VGk7hb8J6865exTalsVkp/GHGlRHx +qWhbxieKZkSeq4PEapXmVxVpfdLCJWwjjWWYF+1zGkWsTDrAPRGxFW8wNxdIGJtW +pXjt59VS5zvSc6az0j3yN9GQUrpGRZm6P6lo1Krry1Cpk/TkRm5qZWRkF6fG8MYt +LKM0J4rPB9OBRoAJ+75VwzOJ+v1LfxaRqMvGsrtMjlnOj07Bq/3qECQTqvp07cyn +GIt51dFSjC6x4gSNI9HvWmUx+nlWbZMTquRwvjsGZHsWAELk+/78981cknn+PBgS +IDYsi9iv3uvxcHH1oAUexuwhOkZSeu5sQa0oaywRao0CwOERdiAlGhxfMsdAtXXG +k/vAtmKGhBXQIIOWLFDvSx8PIN1gsDTdtyJATRJBK7IG2QxNvl/TOG5x2o6GWUK5 +DHvy0VUjt+2ggMZGG/LWwUfpSl4eFqvwcczOlRlgmTCQwRMqVck3q2wmZDXjExgi +yzAnBHcLIHStdRGVX5hO8yPPx1sWDaV/Ykkygt0f0s/WE08eqlRuZLC2+wmRLJux +r6x89AnXhT8AA5ehaa2Furw0nRpTEWHumGSfLgvLM8LDL6QTHMm2G3nGV64EWmeh +bZvBObqi6USEdGHKNQnRkgr/PQoUrOlOEPuOkW9Zqkh/IVmekP4Dpgdf6UHVoslg +dKAiCSt3lm0q8HuksTKXU0Fgsn9vDp35sk3nP7uHzIjabiZlH98xp4bHXjQ4A9up +ZR9pWxwtr2ibxqZJgONB8NYqcOFxW2ZVKBQSBwe8zasrYM50GoSxbS9tMM6n2iOS +3jqyvAbH9W99F0ATVotW3f7Snb9gZgHAQmOxwHf4aB5zV0vdbocP1m0FcxT+TXo2 +FZ7zOtCeQWFWWbTrS0dNo9LoGuXyQpeE+3Bk2LSVLu8kErk2MDgh4VP51UzViFnr +qUbJwtMVeTCZ4oUeeolk/Jb4vOI9KeN0rfReKNFVHxG8lrgkmHgKo41cNm0SkSPz +DoTj+gE6lZLVVmJAvFHmxchIYiVBrx/16WH55lPHfmSflgxScfdKxuQtZJhOmtoE +jV0iZTFJtpzVgQheYRtMGdlgyHNBEIwAoyD3QFRZxDfA9Wt/vL9MAidRhkqQrfvM +zpnlyy/a9meHTnxcCzHkp5o5qtro91RPYxwwNthJcSbHGebPJ9nxfDC0Ep1hLVjN +318yMC9cgvq87gA75D0FJNxpGTP4mOxxk9AHeJVqQc3wfGPV7/NA6Ce69nxyxKrt +oyNcgaxAp0l5/DVko8Go7CtfPG1p+mAXXn3Cv85GCh63zlfWjzLmLrOdrI+/fmAf +kLC38sGRt8GRxwRZgTkDt+k/dZCzST93sgVZWxqW/xz4qAy9RlstksvgDEZfN7wS +XKEdscPtxXT/k4H6JnTh7cVvfjQ0686emMV2eE2ft731+rKJR2e2rH4p7z6ougEH +8NQIx1nrzWxJ3z181KN7bsOnZ/Jw1r/cDm/z8ek20v4Tg0Fye/cto8X4tcZ78gOG +Y3SXyNUO4ze74mjV3A6Bn967h+8pm/UK8EqemYPxDwr++wfZZCo7qBBMFiqUW80p +gFijiAQCzBOf63TPcmIX4Tp9vpr5/q1kpYto4VkG8JGS7oco2/MwaBYoaEKPXaCn +71ilJB/BunutbTqeOUH9ERnp5M+X5FLNAS0MePiQdr/C+heItC+3ieUeRbWma5aI +n0dZD6DPYwAtrCU8NY3epy0RaXNXI1nQ4p7V26MRtZMdCsyj9ncUHo6oN/MDv3pB +lnYS2FUGAze0DgyTjH2dEkiJoNXUOK4j5V0Vpzj3de6CKkvTTtWiNk7tti8MgBWJ +hY883YlFHKme8VKVJ2/uvEzW4M43syfFeLvsK30vSmgFAGnkNXnbkDZjLTtPj2dz +ofFb2eKWBfLPV2S6tmsRkWvdkzsmai4iLLfeYvC6VjcTk3W/N4n7lBew9yf94Gms +EeH87oRHR+7vMdypPkHciv3Agv8eHWB4OR8/MI/4KoNRd1gno2UgS4Xtqpb9uYbS +1OP6cfn69OhIEvGy057kkt+mfJr5n3ylzgeRQkipY0l8o+zDfnV0IP97a5vThmSx +bUN1HorVOrbzwy6tUj1E3le+6160r8HEcjOmlcugOi4OssYNYMLThVOYB4n/VMd+ +c4QaJAesWn8Fh2icTMq03u43hyi1th2GX8ZEp1hZYwckTr/scPmZx/Vp1xhXBg6z +IvNOfICf1A5fKckSpPmBTyo6l2ynu6QXynP++zZvQXd5ifrO7EUqIl0QmrqZ8bNo +bBmoaTtdlembaPZqjQ9ZT2Cc0EgZZmvTUz7ZO4LeR+6SS2gl4XRTdkVQfuiyVoXi +4RCSfH3J2zm/aPeQe2RjDbGQKNIs1wKqVvyXy9fC+R4CKWb7OyLzI8mRiqU3xHxX +Q+zUP+7kNvuO4EWfQrl933wdsZIlQlZCSmJK1uBPTqP+YQHkdcKHgfD9a//fvwhr +9hKStwzNLegslvS2yOswvWz++IE5CotcUooIlpzA9O6xQpdysQpBBjxNIwgAiW3G +i0YwfrfYKOJ6pN9I3paURJV+RSErKzldAp4EC0kVEyaYvE6YIwhElNGh5HZmLy0A +clhRR981cGS5M93TBaDEMnDOlk/1FY5iYO4Dcd8qAskNvjLqFVYq7YzjlV6mJyA/ +s4WVnDhQFBm0TDV+tuOePaSkxodxDCGDVkZkL5Vi4VePmHZF9Le7XztEED7DERIe +YK6Yi4UzfIa8aVgMieE/tpuHcnVBhW9sQa4sgD9wg9dJHKMuDBVy9kDW8voBLLt8 +waXa0GRiwI4ps4emp96BdGBogxCcqKH3XgowEjCzi1DdFVqUK4zBnIst/LMUmtGW +SxlEwa7wgDhqeXNRBpJdOGXHwrDfCRHoBCjYCq27ktkj3ThdmACsHcr8F+O38KZm +mZZ7S+TYEYnAdyzEVLkDTCN50VAtYjzewN82/VTFhcCqLIAZvusKmoqEvidkyeBK +3neEwborlGPoCdV1bHMRkmRi4Dv1pvKeV4/vWE5YPeYhsdSzbU7FQjjIICAfUYyH +CXKTgCNxebZH4yX+IG7MrvfKA3OvQDZmLS63/u/4KeiJ6vNOzsXCFlXrrZcrbyQ3 +s22A8PRk6QeAu8JhVUxS13GBt+XLPvHU6IjtUQaRpDql7g5eV5UKmbvH1KAmRqCG +837OEfFuZ3FH1BfFOnuYUnPQQNJia2eOLT8gY0whoUmy/p4d/1bA9ioWtEsdq7qP +Sqy83EZK76na2lrJBDA/q+FzGm2LqxZn7tp9pX6NeSHHm3R+3MTE6MicQxewGyYM +AbPP9BMSwntpos84KR7zCZYiGxY2dY5724/FtwRquPPwT4if5rA1im2fBfxaDk4W +BSzofWrSDc84/l0gudiFVGszpFannvXz8pbcJwGINQquh8FvpWjN15AB1qw2yVrP +cCsjuPpID1CojZNgO+KMkL9y1Uh0JEtXZJXXsQBhkZhlYIbWnMZRIgXPfDhvvDaL +ceQpOY+ccd20kqckAJs9RsGWJIFoYHVzOidcGC+cGLxaLb0p0v1fQAJA+MM6gs1O +T9k5s7fKD+70YZriLaQujl52BeM1fhqImmH5a/XZcEKhX4qcrcLBicbOKvluVxZH +rARWURsrMwuMiy1x+g9ohOar/wZW/rO0cX/pZWE9L7JYqmEzSa60r7ZzNC4BC3TW +za8wG67CDCB1NvHEVsfeJi/jR0gDx5Tr87DSiFYo2MMWcqsLKA3EcIWf+Lm/Bh++ +2R9gqogi09XeAO7kop/5wJ+ROisMTVFQrOOHHAyex1/+jg8ForeJeu6jkEmDCq0K +n0TDc7tgefjFx/AGG57zHGl5BkoyoQ/6A7UaTR4PlO7ueCqRmJUWMlUiGfpqzdO2 +a8h6hykvDkZWYdoj9vzQxKG2bJ3TP+eHrdFkjMvq+uo9CVTiw6ooId1VpUq24Dj6 +7KoLP2ue3IYMW5XP+hIp7Xwl3yJ3uLi4bYuEc9ZHeY5NsJsdFDtKYP1fyCAzFmgI +FAIAWVctW5UiTMCmm7Wn/fvdMlFpN4FXDA+z/Cx3vp4kBBsIl7waZpUekhqNFoho +xuJHPhkQahzVvNb94G/lqPOGI4b3+8d9ovsGzQLQIzDpPc7hVmeOpn5uK/Xwgg81 +JeXLDmO6b2jTZU/3sP1MzhD6+NoWFCgh4FAtZmwPW413R6SFGkBoMC2lwo+9LQVO +nNJ1lSAJGht5jMpciPs7c/VIi18NJUQp6oQFsykVqWyqd/Z0b+2rBW2K9WRj/Af8 +bFBU/jaq5wqcZGh1I/zg2FhAZ+N1QCt2yzQMiOqTQocB5Vm8PjknYQZqUgFIy9yS +fxiGHqkeIg/rPj/k0jdA9hkcXhBNYmfWpO4j4cvJmNYZnMhxaZRogfS3ZAb41hs3 +WTfi23a/sYVrmC7XXJcJi0a5mZ4iiqaFrtiZbp2ASeG2MzeanP7DwgNUPEwvkA+5 +Fb+1GoV8kKOWOb89jLZNFMH0dxZKqFgMLTk1VR3qRnkWD96WHgkI/CO03HLiMAtW +YqYmi0bW0eQhPr1urs8nGaLtBzrmI5dTthFiZRfcYaFeDHkggmqCKXTVJt0voY+M +z6LmkEKJI0Wm/OHblSUtimRV6upMkR8HWmYvBcruos4MzWfmNf1OXeqxc/HahsnC +dOq5ErzFQglK+rBNtZ8/YC//C4npY1QmKOyRdoSnU3cRVTS4hAiyj0fPwKTA5Si5 +n68Jq8DFxEy/bkTD5Ku89CfUHiBH2I3g8/e+RDatIUJj1VWv+bHqLznbxSI88Xrk +oqfwj3car40jZqtNbLh9HDcBvfdtEYZkKL4TzmKKmDBIDOWsgP48ZSUcY8CDWRSF +tuLABVy+kcd4NghyldiuvtoTfMxIPlNyuw+bTYATRAiXP5q4iYkLfbYrlqYvpAVk +s7kcAb2O+/z8Ont5jErV4WoqAhtMoLLZYE+x90F5LupKQexyhojGb05824Q54us6 +gC5+hdzEVi6VB/ZSvj/pLBWqVzvK/P/DClVXxEuycijk8sAuagkdxR1NY0fS3ZsR +9XKIdOpgbBmfzUV7dwftj0xRR967SrrxcoMnJ2K9dJEydTEBq/1XADyL8Kjvl5Va +IUTPj24OFhE7u9kQSmMWHSK3OrD9PsiPQcyCfhr3UpsIkycpMSYli4mSjIyLowOM +QDTyLPXWJ38nTCbd51RYhyZl0b9T5QYWMIEWGtudnfOeufx0eqS8488mpHmSIHn9 +Mv+M7sm5rPXHL2yK1mb2pYN6J0Pf7RfY7w81vbDcvWMsaaY7RvGjihRQlgBgGsXT +wPRTbC1XDiX5kkGVsB2PdwDkk4bACgXHQBLZYvffa7rrhMj3dh7KZhAc2XDMEVqm +ofD779orw3iAK78NpkCdV+hx9KqAb5ObEWrJ/P7Lml3S/jT4d3LiUHzGq+lHGYZk +ad92P6JczWYA7RFPeUzLEyQfwza0wpZ85J3eesU4lSNtlA35CkjNzn2HNozDqgCI +fMyIUAySeMfkonSV4qsdGAmZyWkUdA7xEO5hWLEAx7r6dPfYpCz/YwBjiU2stybu +LnP4HX68+ma8dvBYC+fx9y2lS79o8UkX/ZAZzVQWMbk52rUBBw93vXPYsgsr0Z0d +X0jaa7jVk0lvDTxRLp26dOOXrP9VYHCGl2bpCbK+LLJrw7+prDXSmuNV3Osv/jeb +CTuNbp4kudBLFT7rlbfwRGtp5LCpTI+KNFvFa5FXgRtf6d3jH7VvvZ/fQ48F3ChH +FATMJtYkbB2x7UAWC0R62lZp8bm4i0GaXZjjWh03ny05/q+AoBEBAa3la6UcFE/U +kQDD4dFFxKbuWV4C2Z1Bw0oDM+yAo/0j1XU/fNATBeXevoToOvoxRw9CaKpyj1xk +U4wQTNNdV1k6fDDkWkVXLpJHcvc2eyofsUOI0Zs91pJDwWdpBBpOdQH5XHnvIe7U +7tEnJRg0/76bFgi5gJHLW2I7tZlMRlzCrJVH9YNERxU/kY7tKkr5DlBpARNnE32K +/COjOkn+79t6yne9vlQhdk7HnHxZU4OVyBJaA1kwj9BTNYE7w1qwrw8Zb+vL84TC +FA0qguEOTw8TOk4I1Ce3FQlCc3nzomkc0ltWY4EVnjtBzhlV2/0ZZ0b4/cOeRCDW +PVnh20mTTPiTdQsNfs3JCmx2GYBTehsCLYKsUE8gQHBUGJCPDSoTR5x4swz0Iu8l +uDmZCY7E7IyrnQBx9s91CFJpBTvKYkScJy5kgvZo8FyG+X3RjFLn33fYPgs5x7kk +I2fPzhGgothah4Rud0NgFC+efwf8qaFZPoMIC4tsz2aMVh9OEtpG4Hzo607pzKx9 +2XD0mkQwaBG3Vth0+88Dd75R5yypYfoH24YvdpWsFYSt4uhrzqSqgKyrg9kvMQQM +xLwfcdL39zoSYqMMclJlpVzcgiUB1hABrWGnEi5ea754slG2wssFDr1qyGXwvaUm +9if2qPu2ZiyYMooh0Q+LqSDI84KcDjOxGEFNXnd3CGeAISegFC7Oy47aY3kgg+Gl +jfSiXU3aUCo/bkOW4eG2OESrzvFILD5KcdzflSHBE1zXd9WiA7lyP71lmEYLMAyt +gazfmHFHQU6aC7Z2qcQ3U9rb/fnHZB3MwJfqOb+OVMNOerHqyvIprwQcR5GKIxci +os4N7Qrd8bJ0lNHR8rJ19qyleMa7nBPp1vvvPZADybTneXIju/wx3ZqSJa+//v6Q +7imnxyxyygX+OvL+ZAnlN3EyjncQ2RIg0WJJUaUVOxr0kAlgKHXJJNiOsarYIuml +yDXgskS64M04yDiFFEhf6tArCiowE9YxVNqEkQYjYPjZAFA3kfJG0R4gCyw7Eu4e +MBAb9LQzADibWlo6sTA/pB34wUYCiubAVzeurDlo9Bymuush65tCCHLObOiptHig +ocYuv5VulsMf3xRkgmAt2Cwh5wItBG1eSsv9/YhrkiwxdqIqx/aWlkfk69cA5eRK +DWZ06I2FpINd1TpzgEIDlyk0tHFlY7MqbTTW+HdU3yPjTHeV6yklEbTyvw/ZrgJr +XGIa2TKin0ulY2mexLFVfYlMgGm4dI9dDV8YasLYkj7fN1RS+dIqi8iANnqNaeDa +akkKC1HhwoU4l+wVWHrPErv+WaJgbjfZwJTHO6gxVcHCEN7k1sUsuaBQBDN6MeCV +CQrUxTzEX7wQOB2bcBWVcXa5V2fajms89iMgJ3vQ9nJZK4gvHuKSqHOHPRMv94QC +KbZJYnNCfOmyTD9S0CF4Z10MPN/U08RvISxS7SM4HIIgzYXRdN3/QXKaN0LiwQ2Z +ctNOw71+qiVklrlo8OpnzV+M2ndjRaFBDGSnrP1KS8AwGChxa6zMDSE7d//5jafx +3cJGpsBONsi6wl79ab/K64egliJa+ytz1dysEgDUDIVLFkLIZtJGz5oNE57fT2vb +CkbSSGKmCI0ndQjyspVvZ4ekGoSvUfFmYbSYmCSrcggHSM7XQk+8bwNnfonztzFK +NKeakG1IgLlNbgiL5YIUuDHA44qRDTu/w7hANXS2AQviRsc3pp8F2y+zRwE5ZSCG +ldGMA8wKGWjHJU71wtXJZQeDYu/68Zt4slUVUsqghKO817FuZ5+oKnQoASifb2+h +x9JIxp3rccB/XMMevXJwKdxbONUDcl+fHmffuJXaw7L/XEH1QNPGkLOTNyNLccTO +inss/5DzK9uxxYq/QCsKDvRPOUszC32Fsa3zRuIJ4HrA4cf3fSv1olRMfAAjhNY2 +SdeZgRaSHUyCVpovp+d7yBkO8w4APa3vxBL8GMaQGk7LSIRg1s9Sy3yONppC+Gpg +CYIOxSXahqzdQ03X46UYi9oCJoCi9S7mpJ2SQnHgTZVEj1YKuIL6j2SVwZ5lbUoE +FIbtFmK2WMMyZaFpfEptZCcx06ExyLuvaxwHRfRqUO3sFXskMF6zQ4HrHP1ely2m +RWFtLrFrpC7cXWqyuA6C3fA/w3QeF2ekbqRk4fupQdoY8LunHp5kRpwb0UyjPJCe ++/SPmJq4VN/DfOqiSV9lq4Gb4p+pke0XNHMPOzvwPARfBP99jNZrxBu/GQ5LhY4u +bfoDRzfxBDSeMy9qFHry1rr6oE4I5Vr8biTSMAAfriD+Pp57ISNJhPaASn9HV9Kl +eE0dDpzwYoyvdJw5WX/epMTOZKrovLZrFqJHl+9WLylYwmT/epTtLiKztVk1f2Aa +T9hTOW9J37s57cVhVpjUTS46EsJ6JV/vjcJyeqFZOfxy01T/6nYtU5qwE4NgU0s6 +2ddKhomO3Yu3xt2bWO2ZXesCXbKyqQzKD5IyCFiIQDJqKG65yDaqbh84DErFo9/P +zshpFL3xqwEsO4q/uvORIY3sJSqURURrZ2DJJ+dRVJQsgijfeibLRvP3PZfGIWX2 +D30rkC1gENUpF6V53Ks1E/1iUWITSpNZRQQHQ3XZ8h5krxtcTSqAhQQ/SACxIhwp +mp1uHDKM9keNAsM8sV14c5+xdwZK2uAD55jEHm78nIuFUwxbTR4G9dND9+863QA4 +ytfdUTobioyfjaulmo4FN0PW3QT0bxbcYbPKalJ4WMqWdma/bl+I/anlKeOChjbJ +BwkrPp6Dmbuw7Yl7hBsF92JQzl7RzoU0xco/o+c0CFYhlh7JMjEPJNnkzRe/H6+S +NL++wl4BbJ5UPbPfnRjOmG6n4NFSgyKlcSDD2V0DcbgBsUieTTuW3eKyi4FXv93z +i/BalloJmTQuZ87b90INWkD3X+bjFKYFO392H/nC7Cj45bMrwwxEdfT1SMIr+C/x +6HIY+c2V2WMbTJBB9IQ3V4YgNudlE8FhB4NUaw9YjheirPRbBoYw78GqSQAjNKGn +wYRoicSigM2mu/CrQMcFjdL+papU3zGTdys+d0+wisHyR1FfOh4EUjrcjVcUzfWO +HUdpqJQBrCwXODe04rnGZMMnbbiBycai18AkCjcPmRJDycwJSbx70GgVGf51V5gP +HIYTMd3mocs1GMAaHNZc609j2kZMDIRnCIEof5OQTw3yFgCW1BNBOZS4WLv9UhDv +/+pMJ84wlhu+lXSZ8qe8ICtfHqqgJ4Shzqc8+QBVPXo53D+gJXteIPels3mYSppe +Jo0Mu36Ts7tJq6SEv+lg5y8GscI3fo9/m3wNCNleR4VNnPGCj8LWLDS4S9ArSyUB +3IhQ9+ttTg38Jo6rOWxkNg2yg7e6l/JJwax++jua+qm1POCKdrBHw+DxF/QIzjFe +nt+B7ND3Itqras8fbko3kiZ3epZsEc/sCxlStzCgsZ+y+e+48uFajDxBq1Vuw2M9 +5BvnpvCXnPXeJxAjaNWAfG6+Nhky/yf75qfkEO87VP88f5Mdpu5Jr3n2TTHypSjS +ahmRsBgPhJDpqnEb8mhWBIUJifx8H0i/y6sy9V92QCnPUutpTJ5tEBy3j5YNtgQC +gwWwB7mszy1bS+3rYM3QvK7JbbtPoDdlpHLJuOgBiOY8DDnJXME4cFOBtGwiZRdl +NEkIiXAifAPNrHkmbikRoADV3o+Zf8vKBsjh1JMFHUn+cAsfrQlXGMGxMh+waq/E +LSCpKeQy2N6j4/ZA/UFc53yVk4WPoc4rXCUc6NE5evJSbHrUeD64jhDpcvCDNHuV +DchepkXxndxOLTwQmZmGvDtF3qgv40QQZ4a0Zk0MP+LUPc+dk/wUGt5LJ0q7zheP +/9Wd/xHocWXuCnVNoWAKdRoB+jPJLGIPaXoY/H4yPzWChM+uoYokUokEGVGmL8j0 +eIgwa2cIOAvZiqbHgFSkFT4JV6D6KxF5HfUyu9ptcEy0zvJT/ZKWOCMpXudHFMJ3 +6V8JQi6o9jUPm5WLcX1c3lUtPCWvqyZoabYALPq3syiznQtXCYK3NJdRABf5p0o8 +CpREaXd/6n3q2+yMzyGe3Jn7G7uVhc59VvFXH+nkzZ20Gzr68ekQ0YKkKsgUmxhJ +inpoEFG/hLkDdhbFfjHvqWsXWwUS5d89vlLwziyzyoSUy4xaMkpR3Q2mpjQupAQ6 +nAONWzo9+XB+IZENOPVaCEY+KXbrEghf7BOlltvY7DMw1R66i9Af9l+87SanBB4k +tDcfRIkLORisyiQ6gpOVFh0v84/Ga+l89QSo6bx/Sne26Rb4AMaZ0evqO98iya6K +42r1+oY8oqTVuaUxRYZM6SMrJusKGIhmID/FWyIJFnp8kT/9hapi/rQmdqUD3ANV +0cC5AzsJVKr6aSiYw0I2aRJTzIgTzgivQ0Y4pADYhWhKbbN+5WDkoGyPJacpDdMi +naxfQyuTWShRt74W9PKpUt599rbP/7+vjTtefi5EfkEfeIUWfbqAsYp0gWqBKg/P +rxLdxvqaRWn0ymMPNZ2wqmaojHeylmS+oHq1KWIc/gCrdbpMnWz9r103WYXk2s9s +1yyAfJSsbhS8rQRS+Zz6+vb+J5yCGlrDA0DhB3BzjUoe4+BxqRKpThw8CMyrVTFS +o0qpgoUA4QHD8fJDA8tq70EOb3rXnkqQC2KbdJJePfn8BnkyKf4GX4ST0N5Mr9Tn +hJKJtVMiBCuRzrZFrHXHKPdu71yq7ekZxDxybqi4shoa3zF+I0o8Uz6EHfWzY+XF +MeUcAIrl4zy7c+2GNQITauA/PgKVezXSs1MN08e8EW7X5ZXbFfwzXTP5LmWDllpj +2+0UNpBYAJgR6whitcrH2PF5W46OJuDTxmkt+kXx1ztaclBngL6cJBQ13c/26q00 +JUaNv1v0f7Komph13Ywf3IDVMHNMj5mXlZq41dtzWoncIpaaswrXAxDab6ygG9Q6 +FpBJQiM5ghxhjQ7q+Uf3g089ryIcRSlLH122yHYRpheGe6ccMea3tN3ZZdCsepKc +iveknMhuP3YHO6eTFcO7QWUV1PpA0GXK2bNX1MbGUaGfDaUNtetg2z7YVQVCYwx/ +jXp9Nrk6fjPXAJsASuE6q4X/ecjzodFampUXP9/m5RIjidPizUpYVa8spapohUZS +jK843OLZ6Uo+GI6+FXjMUwqJLQyh7VE+pA46TO5/DTHQZ0GpNfvE7qXwBj7ROrFJ +VAprmXF5pXKeOdd20l3q/8Ds0b+O8vy4AV84EBljs4oyl5Xsnf0K3GIClHJj5kh3 +o1BUU0G6otzLoORR8kuFBA4Ir9WZGVxtu0DpzSY7ePJUApdZ8NhmUNvon0aJiNNk +kcKO3vvlSDPLnkxMWOGm9MUtHZDXE8tCG240aYH6O7QSqCeZTM5+Tkz7E7hEy6Hs +vTvd3I7kXyJ7BoWtzWDciUsQ8H4HjrEFt7hO8SBs5MDg1XhD3/Rke1ZMS1dVXPRq +3AUxH7/oi+KpPSoTmG19SkVETXQYbyNNh+K5klS5ZwcYCHmGX0ZG/D7r0jfYdGLC +OXYU2PRTVa3PG4/6uwvCG/Rh8MbFtF1LfFm0wHBnm+AakPdubTrEuihMEU0ttuCi +0sIPKIHNvq1w64EIvdwn0ZPsIJ59pHsDAQY5dZT9TXzQ3KEFv7zV/YQFPR9vc0jV +wewqUxTNvL5v5SBZmFgEWvLqgBeajSMdaXYlkA9xGJfDW/PPdK8Pp9+lDp91MIsP +yLnaxSOmQbZgIrsOxR3rkYN+xQcZRng01rCVnM1DXsq7mOsk8MrpliHoM/fUIMDB +XTkT9YhI5m8jFqLS8Af4/1dXK2kaW6rVcg82HxR+6h3NxXY4xVWBtf3rDkUi8pc7 +gzUzvB7ZGpqzbYgHTRS771HxWGtEaNxX3b5eUJVhSWGZ5o19ZScTDUAlXHywDVgh +Fyx7c2MPscfb1X4jafcuFQlHSIs1UB0GalvqCGxWZm0I+chScHUVdMER/GY6KGJf +NGZR5y+hN8kstTOHIv0Pz4kWsp5baSB/PbWm3exVtyBOq3vg6HBeT+46hNUFyvww +AyHhQLeGT9IbICrFH1r78LebdsFU5XXSEMr2hEpP+3kzYaocTnNKxCZutMcwQluK +5rQ82ny0JqXk5MmdRGbGy4L2tG02xj6IWDEgLmGsx91tLUFbyFwtDrgsZ96sIjRQ +dieeUVQLEie5ePDOqqLAQwRT/mtAkmH8W5wpQ5GP3Q8u4B1+9kbxFS/klhJXiXiL +YDbFPpC+iyeQRwnHDTMhQujnOOB4wAN9WDOCqc2+jiWiCwsByJavsmDZi4lLM3x/ +0UmzHpl94p3kI1MC+mxSW85POZO8YfSNs54Rw+xwDKMS/y4EuJhx1sXA9fe4ugg8 +8Nh7ss29tJtogpZTkw7V3Q== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui_csr.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui_csr.sv new file mode 100644 index 0000000000000000000000000000000000000000..691fc06c19c7976aec73e754c81780de616faafe --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui_csr.sv @@ -0,0 +1,353 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +BEoanZH/TfnD97QSMY2/A9VAmXxKgnRQfGOZjK/uhJF3A/G04zIXIPBpErpt+b3P +RpdZlvZQmzYxs4rtAADmU3JxuPKI/MC7zLhC2JJBfiI4Qp/r2uyMVQav/B4otV3E +8nymk6WmKIb3qNlUoGaqdtbjMaHliFW+uo8WahUFRE8= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 15200) +hesWwhJly8dKXNL852ywc9+S576nkfjyxvdWzhpXUSCYKHefJGueVndUmR9ejPtk +Jr2zkGdsfQxzq+EcArkC56uQjv9bJ1bRy0GJLeJoQ7LNk4ZS6JSRnfzA1AZ2vizr +WybVepr2F7u9CIsdsYXSgzc/6AiySOnlYmz0oMTa8+CSQ86iCTLtpoto3K9d4THy +qZ3Z5lfQD9XmqjJKD60B1en9aEj+DY5GI8LyBsKbHHV7WtUOjvgyPd2gNbc0+4eH +kGt/YzyHZk7ZvGBnJyMxN9rbRjx2y6/0cJoe3VjBHpOnV0STjXpsuI1bw5vcfEW+ +0/+z0s62dW411uvtQcSRxNGJuawQpCyQtmnMCjZi6HfrkJRPrp0TgCOuIgmnf7ca +T4Q6RWjZ8X/NMFDNAo8T80nyHqZ7VdG3RKy7zjCXrQUYsJwGtrzNAQvUiTh/6W8j +BSZ9WQiFLEXoEgwGZSNFlLKGADNZ3upT7pupliE6JEaD6+Ph9/EeJX49bJ+3Yqmb +mfbwjz01n/rVReB9x7W6UFAu6JgJ1jadC4JUXcj7fA01iIqFq6z3HCApVRI1Utl7 +QE3ELUO/E9ROfI5DuuiCDjxlRo+bteeWcM5UOctlzeM7ju2OQGidsfZeYTqDzlO/ +CJa1/3MUzlENWVYwF7sHZIKOMUedSbghPL1e53QblHgoyoxGW4STrYJ1z6kzgObm +tNt45Yw1AGPavO3sJ9eYuoj2vnRDOSOYDl2W1dD7+ObF2/9Aa+QzJSiksV1y5P8w +RO1HPX0P8S1QtSI8wbKNxRFoKuhQuiSUVBd/20CVp9MNHJ8NMRotG9N00G6cYgcZ +EPINz/lLbyE7F0ibU3bZaKmejaj2sDyBHwyMd1JYLYhVhlAsklk3ph0Jz8O13cpK +slwHVWzcq9HrKcQmAQvu4Qm2Tjt8k8Rhkg4VSyl/R1kMe+NriMMFtwgpelNS9ecN +Mfo/e3JYhaHnKGhJBRpfJVpp1lgOhPsZGs/zRmmAAo3ZaNYvrAfH5rtsqoGQU7n8 +mJsvJqt6FaqQ2n+PWLOu/NY2tS4GpwaZ3eZLe1+g3TiBs62qFvMGWGwsuj4S9xeZ +PLWaWKmxcutZ9BCPEl3HgCy7R5Z4pZfUd1ZF+1Rdyfg69AuVMH0dtJwvq4Mwyu6B +7yDCTtvsPDbbph0M4hygNc8uBxy/yovRn8wlAtWURVo+hsgQKiPdB3vfndJYgf/N +goGoJMG/TW6HFK5xUpqn52wtyNqrkRuwGcqCc4E+qp3JRPIx3av8noBEVx1Q1HVS ++tdgD0XQO/J1ueVZx4AWu5W5OIY7pI6vsufd51ipkG+Jv2Y6i3a0pVwj72TmcP07 +1BN9jMxmVWt9KmNTsybPRHQtl9FZBN4Aa7SNyLIYM4hqTwHZD6wQiVfYxbYb/plg +kbes1x4VUePbCn2+W05ecTdtVd8zfPS/AR0qfe2fxTqmHqW5F9vhaKGoxfqEjLAK +2cEKF41bF4AVjr+/lruAp2H9wU1QJImRCo2nxmHkVi5QSc74n6hUrxA226aDjH7y +hxldS/0xN0d/HPEaylBxcFSUmEP7Cj05e+q9s95c+QoEVGfj6/zo+k3avnTrF4/9 +VQnKBRjwFMlo5irB4pjQCfrt4Fj3eHVZ80ulJcR68UorHiNMIZInk1yqOQuvDJBk +Rr0GV7t4cQghYIXAYchwRlClbv0OuvV1euUDaIZJ1Uc8Dr222eUy9kAUFUj1sVBj +IResbt666kshSu4DyaChVDnpjhTOxSacOcIU9JxuUtJGvsXCXfItLPK8SeYbVRzT +M/cFW3U3g/VaQLjrfCro8pQGmRW95TcFW0tAgm5Mck3VbyQ+Xd8Y5YDiCI3wiBNH +Hr02pSUES68bSO71TgUN1JVf2M26tepamVUO0kVJQzfC9+TvCFyQ/bWKeGK5Kfr0 +AA6rmO9AlahMKoOfBSs7S/aNotH/wPwXTUBg7Dx2uWqkRLiqLzHXQa6eE8H2mGIC +CkHLhnutFP3BXYzUnhFFVb8RayI1kBzZKHgjicvL0+XWOyxWw3/HMX179zU4oifc +AZNxZq5fADtI3nah74CHm7922/o+rQT+p1Qi+lh48/W95kkJ453TjOXiEwDoOmYh +vnjATvjemWblNbC9OOQ/zIHYnSzULQPC850l5WyyZmnR9ck5trJu1h4Q8b3Gstuu +lW27T9Vrny67DIn+ZquIZ9bp8yOqFvb8hrCF0Imyk5ObZHe4850CkUgctx93fDhF +AKT2UlHLtYJBn2siTOCD1+YpP5ujBeZ7YfLpKp8T8rTqY5KpR/9c+hPiCeG0fEIQ +5a+VAa/P7XptvWE58ZoZ9OY+TrHPueSjxPo6vEGSXcZ5szfPwcDdLd2e32TYyVJY +VqflDkH0UuRQjIPqjWl6M9D1apUdxqe8BI6UxIfK4GyoDy3beezHDHLgWfPEC8v1 +fLcjRmR6BH3ppmPNlA6KSquBFGDnE45yQ92cqN+ajRNw69Q1gnRNmrrpDtIBidG3 +zr5ONmwwOK2jJYJARXzeZJFyWiDAIgbMC71b0rEIeu2c9QsBqGmo/wm9EaqqHcHZ +UyKofOk/l4gqIerJpLsD8CQLO+ho7Y4VlZGZz/Zqu8G8CyRY6nkDaAnvfyIUgxVo +bYuFa9TIxgkhG2U20+0bRZ8WlAeqXjPeZCXhbxkL51n8twQfx99FBuJq62FAU11D +4rtTQZ3MW5168mvpD6X7dktGu0gBmYOvmo+s/aksz04OYlMKfUrFvXSzL8do8vs4 +0rVhxEoCaJGD8c1IvpmGktQ1ED8uiuocRe5KT1njw/UsvYYq8z6SlVzrIEUK3myq +dj8P9wnsx4I7dar2tWyG27TfNE5yw2EqASfEbU6zVZQSqV8j70AE9y3a7FPIrjaH +SCRJNlKwS2IpQYBdXAlsmiVgMIg4vD4JQHkB8eei1/kGGEGCR1O1wSUTouJG83Tq ++Nc+b9o/IDOBeRPU8fLE2kIHD4zebSfxC15hdg/cRNoR3epPqKMQqzlgAUsoxFPO +BQmS9Xt2XiL0T2xrRhWO2WngVrVUiWRnzaEWs0dOB68A6q6kyNlsvC2dCBopeBPZ +pTi7mIvdNsGC5/iZpnPb2/BtGq25FfuiNrFolEOofqPPf1JxUeG2nMChJ5Gt5koE +K/TiiP/R3UJ5IFHkD5XBhlwZHHpHEXyxHsHID8D8l+/osGIAcCIOyu9rdmk4buoS +joCJqB8KpdwrMN7WX8tx6/0KOOMZ2/+mjj9ibxfDS0B2ohU7ZZQaglX3NNL0nf0g +AS0ORHCz6oDEPQPD2vkrM6lSDfbAtwGe2wjzbBm5jR5uyeJc1vKXn9dRpuJGQFb9 +L80RP/MfgBaeHYMRVIEXMQ7WIYaBYeoF3iupLyzjriDsuk1e/9iH59x0LqPLtF9q +pwmLg/1GRl6WEgAtNx5vA67EJW8uuxAhI5rRJULcrXcXBLoMtou20CzYC3QmAZDs +xUDaFzrT1fZNxSsQw5FVrDEDbMhoJvfNRVrAvuky35/bttZK3xVyjR6XLJuwP7Pl +XLZTScdGFd+AWHucWa0iiuLWDJ2QX9w6SibKLZzpiCSDyOsZ0y/WiA4fZL9Mhr+J +MmlfSyETjouZJTO3iNagwQ8D0geF1WoxFLSGkZovfYzRugLY74qa72qCCW+6tyEs +ELaSRgWcGJp0EKd3hzS042Oy4a/9ZtQj6gxZ1zuMv8w/uuBSUBKIUK7QtfN9qOx1 +HaqYFGmYeTKstaRlV9rdNSf2b9r6BoLU5CkF3rxOQW8AZyDDIl22GKDFL5O1F41m +M4b7lDCW0Go5b2OWRqc3wPMULRyTYyrgEZnfz2NKy6F1BtqE4lb3pw3YEw6MFQxb +3aFEXSp36+R50CfbTDmMNY6kQPY0wJz4kEJxzQcMBXEqV7Os2OPSXz5yv4EKQc9v +Mi0wriRgcdKhmd2HkE4UY6FXoDR1g/RE/5ERkomxE2EZwFjd22sEwsIN4liDpuvb +x7mSob7mhqpJxWDDwEaOZ5T0cx5kSon0sMccncRig4jomcGGOuSH8ouK199lSAp3 +W7QZAGOm/rJ91cx/LnFV6Bgw6h4gg9k+F3ebiL2eaFLMqwNOx2WgAi4NCmdUSFYp +SCpNy8pLdJ3AcxhL6W6tWl4MGQJzQSzvQYLzAl4KqHLzikMieiAMxZHLguV9JNJ4 +IFwp7OWxBOrJgoqqJuyzXlPiUuA+NA0gFyFv/Nv4xwNKB623MF1s6iITIXel97Rb +azz4zMVdAmOxHRQ6Q6+WwvxWD0d0onMd60t5m5FkjUvIgXw27Gr+c/SW+Xw27tXa +bf44uYEO18G1c1nHISmRr+EPtkpXRU7F6W62k8XbypeBl39VBqytqAXJgdqE9ppx +QQZVu3PAUkMXBALBzQTwUAHB3thMvEnalzQ1qRZWw6X0QbKBI1DO27Ksmutp33ZB +mDChxd0TWhWOJu70+T8MrdrtgSqjSa38XpSF5O+2k4cfzzzP3ZXpyfj+pxu1LXbp +ePMBGmdHL03kdG3Jp88Zsa4pyRvzFrr5ioIe5hhi7s+9tSj1m+YZOkBYWjPsq5UI +3efSSGZaTUPqPBkw7mxmuTeUb6B5j5wnQSzxgXtHbeFzMCxza8nry+TEk+Ogb8qP +LweA42Yg/8MyrFndwoPfxpCWdOsbT6jMdlMH0Gr9Nz+ihQGz1oJbWAlqLpRTh+qw +28PzBy9BbGUu5N+15oigeK9FLRoe8/HE2sTPGvREksMi4NmKeZeKLXm6EU4h3hNf +TADlagf5Dn4ywuNUJuIn8yakEbMC6qq07OEUgR39WRYy3pE4Tx8uKzwxo++Nu4xG +6vdzTCaP85N94OqjEr2gxgpkvEf5p7jImqGnCLjFDNsE3tcesPaD3ZZeZv5mPgzE +bkbu7d4hD26bgSJvoyMG4HDvJKvNpviwE64AGnPXIyN7IndRSp7YmZwsqPkB4m5c +h+mJlHsnk/OgaRnpkd44MaAzi6sF8FNBHOvxnk7gMIqyvxh13vfurcG1MPuPceL5 +aBbtln0KdcyThvw/Poa1w9y8FXZceOwPjzAubrDlsTxRgP+phi7PHBug9kKdmTY9 +zRnftz+AeL9EmDDCIMw9+DwjxwslYT/yq8UBe6ePswrfKiD7km4RkoEaUCCB+XoG +9RcOUgbpRXCQEPlvarKsLN2SqQJ7+iRTOO1kDRG2xkO4bITVtpPP1tVAsVz76Q1U +GkDbX4VltZ6WWQq+lNo6ye66k9sgb0c00ySJniZJJaJpBs/NrebpcsvUxwAIMHq7 +QhdQLphoWEwl/W6jBTYe5SngUk+MVURXtbMxov9jObUUmHhFU5S+NHjzReHB0mJs +k+Hw+lWi7tvW1MPfx74g31zBEywRu9w1JrNBrK65e+uobFS2Z38zkCM0T/hfq1QG +yi8CWnBL8ITMD3vFRPR7PPc5LbVWMNNqcuacVZhalIPPyF5fZW7rgMb88mUiBsmq +jMyoFPTCX8hD05mkmSSs8LHvrUbgFs/OAHo95E+C/3EsWecrc6CD+Cyjk9QUCka5 +vlurBZ5k8/+r9bqDnthkpB4lM0Ozb6wTpU5e5r8IDRJBtS6gzP1xWgQiXudFJ+ct +X6vpRjqy+TFdIgaXgwfItqnYUlndk/wklQ6OkgZ79qi8fi6roeHbGaes3sqnlr64 +RoF00V95R18OcPkT0G5F1b2/oUOzLCaMVupA2HjZGhkpkfK0FeOtj1H4Yfr+Ro9f +7TimasT1W+8XSEM14ceu4KMgp7/blmtngc0FlF1fJv0Ilp4S4NQnr3nZOfIdSk1e +JzFRCuYqCyBoIT7HaCoF/h9lR7kJQoo5S+cFwS3kvDDsE4EgyooauPXUIrGcL9iA +Zk0gK74lWg+17qle/kPZSBwROlBfcI2LSOFfHxpI+rQ6VvReIKBgQkm6B7zU0Rkv +v9O2TkPUhPVNt69Ahtemm5JkXzzm6OoI+VNf2/Sdfyv+ZXzHOraOA0YWjjCy7oU2 +ehxdAUgVv6H+KfIfB1nCgnvcY/RJ+BLy5m9Rgbnn8W3CoCnDCuVXGOCdkTbtZGpK +Gqz6LaJNpQyWV+vCD+O0pBIf4IxJFZaXopm4+8b3S2CdXk8ZkLXMFPr49DPCozeu +sJWpxB/jYoTI/lxK0GwifEFRc9WaB0/g95tojtlAyRgWaxisCyV275lDIzqZgGLQ +jSqr9/FygpUXKH9JxEYTp5MqvB6ZjPcpCTDIEOM7nCGSaZqTpuR4ABxS6B55aB3F +cmkOAHEiA0vO9w1Rh1SsSO8Td948vrJ1KVP6XKQo3EPub6sizVnXSGshiRA+uuzT +YN73N/kdAu3B2H35uDsvACMTwXAqsJoPZoNH+gmjNBf2oCvTUfFab85l6Sc0Oxn6 +AcRCvUi61Qa2fy4q714YXzdWqEwcsAL9PucGmVVAlDdp4uGx8fK+o3ENbLFkc0Qq +oPaNE8v5P+m3kpOQ7Uewuw6BW5HGr4TbKGzoofPGQUwpNekCPzFySU7on7UXSdie +JLXAKUAj16CpXFVFZFxcBXu5NAsmlxA9sb/sz8jyTl7EsZqL5KXI7hK1EVEQDH7m +VS7tRRW0W6ZaUfa0SOha3q9zdb/DgkjFBPB/PlGCFgjw4k5jzhX9PYoRRAYp3JJk +XmV9EihKL8auoqfWuXRLMgZZE/+54pV6lbFQxTUga7vzB5/5OeXUJBbmn2FcYdDi +UYlM0VVVCrwDYkpQ6iw0Z/gtZguvwnweVQAwi2SH68LeIkjyiCpmABT58N/qqVnI +VR3x1Iaf/2SUegPJeix+RKcNxR3Yvx4djgDghO9Kg1g5Qm9jKU+N6NEjrsHW1jni +f+0sK2ejPbWBfVS+nQx/OfPfnWM8KuboEf0l3cfKYZrl0R/TSI+nDHwjrNHrDRP/ +OdXJ2C8Cz+Py92TymfDFj/Ep0fFsThf03utjyEduethi2bgKMS2Q+uo/ZWyIDdta +jW7gN47bVSVctje9sS1bZZwKeYPk1qD0A25zJc3HLhqKw4MQedqpLlQtHPZErQxC +Ev6kfGZ/aAiXw3i9CjycCD8h8rmiKiY5+ChUHmRJgV6y+eCNbAUhKru/aOI3X5nD +zRhpgBypSwTrC63oRUHTd1aa7LVBY/MK5iBl8/MeOKkVF86n7bMPduE3oFBsYO7r +iUIEHt6YbudSf2fJQ0gBcdU/phDMpdZleaE3cL1WiJa2qLx7Rw9s9qY9zZBFegxH +gT8l545xvuBSAEOALJ1rXmP5VKTKSSo3SwCUzguGOp7Qx0nnrRD5uQ6RvqchoQhU +7FxZxdoEc33dlcopdsN2chJZSDge/TuGsalhHjSR6hq+84pJ+w7TYtjD1ItR1G/U +ubu0xCpt3xTQXQSSGY7l+p9XaQa99HkXaBOXp+pRB8raxFbOH1u72sqNpOZbN4B2 +dOu7x0kbprzQCzIdOrdZFGnCxU66O7uHQKxUylw8nP3+2KvrpWojId2t+MYUR+pV +SesNYTZheHA27rNDVLEcMGupZsY1bLKNEq3iYafUVgAaoCq0TO17Dh+5c/iTk93A +GGh1LYe0ru0+e9WgUSTz4bYEKBK0iUg9lyXNezwh3viNNOQu08qM4V05i8xf2TmS +r2Tus0VylT8lXOLp50dSP8CnDrwx6xfmS6SuK5uPKDY9VMDgwJ9+ckEeqGNd3SvP +ilr6Gm28SBFNRBKlobmeD8Z82HAxvwIUJPXDBFd62gp13Qce0nvz/CIjCufWxQ/X +YcSIA4r9QWTsjtCCcV2VwF0MEJab5tdyy8rYeGpmP2dKDEgxgAyrEMna2S2WTT59 +VsP8D/KCGBVSuWhjVT21zuzYn61tEtidpetJJEvJXXAHanY6hn1ZWQvtI6XfDGCe +Kfn9Flrq/Ts+pZTtEhzOjynTWDohNN8Uh4L4sfZSQm53b35sl07pFxBiSjK9ofm7 +6AWd2sYppaxAfRmWdnWPCnj3Ng6kBYjZEJ5bWexAJtUen+5xGh3opmI7tDo8wzEM +VVlr4D5M5ZsB1BAOOuf6jqUMdu429L5gTQOneaenX4eo/x5rN64bKMl+GVnthOW+ +A0C0d+sdAP3U3Mjcerm8ICiIsZ0UNyvXgya766eFeQotcdpImtD/nm/dbilZ4USb +qQ3azTMnv/AFZbA4QsG2iJsefuTUVCiAl6d8zmjJtgonRueOZAfhz5y1QsQ84Wic +9xhP2s3aCwPfSMPk1nvu0U7ROqXjiEdJxfGjnbH7ZEMHO0+bJYutWPzFimI2eO/P +04DGyac8Q0PhW+38OngNTGuwD6mNX7ZoWXn3CPrf78bFa//YW+OFyMwpECgh+W4B +y9mDSFzJi8ADmfN0+vxVIQRzSRH+1JkE9uOGGgm6MoMDf2g/o1QMzDSjEIyGk7o0 +Xd1U3ErdlvEw2nWtvYMwxuqmpH0BgIZgME9tUiStjqRM96jTu7snP+XfNGvxBLTr +YgCr9wsIZXAxktMmyyRS++uH9rI4KdE9IZg9w4jGYuW2A0ezrJVwqGeKRBQqlep+ +/ZvSit4BP+5M7A06MMYngBoaU2JxqOs9Tldm6SS2NL5DfWRUxNSUTuIzDBmKkpKO +tNfD6H351lzs/D7SjxNI5gRyF4VPs5mYEg7KKS9JVzjeKKHXDCgGb8kBcLq5vCxQ +BbHXHqsVsN6JB8t3r/JmcmSzMYL9k5wT3jAVjgJ5DXsBBnHAklyeUspIN7JTRKrf +s/sceF/hUcnu6zbiHe9A5ArcZrcoVLAoevrXLUjHUcvvohCo3VvOumiySTBUVvCf +LnP613CPHpMOFTmGr/tAxbzPBOZE3LxzJLS5dRabU6gMeUAbJXwhwgz6YaN9SRBN +ZWfNn7umY8NLWGZVf0pgjsvjGBGlHKlMy8odr2ro6XeNG03f6wWKgo/uVNBiK+zN +P1zPWIGo8rZkbssbSMFoc+Z22HC/O/UXAg9vwQgky2Oglg0u2/Ti7XqpR9AwjESY +j7tBICQq8azAAxToY3dlsmfWzAemfTaW6HRkqJ8jI1RUSgN6jJbqNv/bQHVvyp++ +f1QxF/A2+TomNoKZbWq4bDIhaDLzm9CnLnCOGZooePP/JPVj9BlwbXz3uMq0u76i +uWIhsb8wVWa9pBJBfa2bYURMk1dQP6UrVSIWwKAUnL6Ttpg12nGDCzeHWWEhy6Y4 +JU76rr9p04RnYWDjI3PkRSCVl2TK7SdUzQJrieGjrYkwnwr/iXf6ZCRvuEdCXw1q +cmoNHhMCl1JKqjXuFWCZuGYsWx+nI3syyP3RQHmOgbxsZr5sAQTvQeduKXIn7p5w +Xn/XJ8+SfCxyN7cFrA9b7CD3Z26lRWRPfeuUYZJZV/x45qPN0kiTBpKYfJrITx0a +mSAbFq6wBRqFmmOeW9zoVb4mLsw58cE9pGP3gUjlmaym0wY0SinJaSGOZPXP9eY2 +Fms0VJM0paIwWd0Cd1t8uaXvtzjQrj6MEUuQFkU36FmZ3kse6YicahSoWIOX23H0 +6DDt58LF5xghHzOrHN6Vm+waxNboUleKTsSw1XQO34yk90AmP9flbXAFSgf5eu1M +yXmIAwJPIy6WbFKNvAZ8XO3y16hOa733mtpIuSIiXOiUxYtMGgXErvVoOP1Lc97k +oKXeIOcEZCUX1h8bvUFo5oEHBdmzstNy6NGHQh9zHpEvksnvO4mFxUCTuWzcq4Jh +paZNjAbvQ2vzvvnXlhanO/J1pWm2KXxhKP5I2UFFZb+t3y2WA7TF/KBjIeT+G8oZ +DtojK/Dc+TS1GNDDTwKtl4Hwzm9v4UBgrKmb1QDPTOpH9xBzKGFeXIxA1PkuqmqF +xrp2NJAT3CODG8fT25zFNqtPJ4ujvCJCBh4+IKBdqhUWQT8bRTSDHVvoVSZaIVPe +QhRm2awqVDTwqz5AGvanYuovIh3DUfSftt7uICWBw5P1Ui/yzMIzaWRZFE3nSEV4 +PdFvgN8X+HlbBeh6u/j0Z5carTJ31eqdHxEidVv0xbsWmz/sxaszurIxIN4kw32X +QxI0JHLgXGOwMAZ4StYgou5RWEZLF0rVfNB9gnhgpTa5cTeKLcnQVOkNs4h7f/U5 +p0FcqUiJbXxOZkWMnqX4OwwaD6VEuK1xuRhzhSB5fE4dGco2jsatLnmRMFicixHJ +1uh/yWf9qVU3jAHAKG4sTrPcAPS1GrUp1wrVX8z+QiWZQPdyuBx4HPQUcDEAE5p0 +8QwJZ7YCUpu04RDNTCMNQa9V8eMuCG43G37he/ef21djNktZL6UWseYpCKj5IlZm +TNhYson3yvwt+aeY2yGCmQOfFYZ2wj73ozp5UKWITyee1tkJXlzBrx9yE/VN8o9B +AVw6ar68yTTmCbAI9Dt7EwLQmuJo4wVIu3W5AfrXCZ7b6762hSoRjWpoM+CleRrz +pH61lQ3TyX27zrO+lwSTLIBSs4OTRtpRCw5++21xJ17LdiYup25Hq+RaGNVykoMS +n7JvDfJhxz/hsk3UGwMiZQmCPUhGfguPDfv+M3NksiTRBUFSymQ0ncrOlh1ki5+D +dVdsrPpBCvyEtIl5YBoWnVZKB0xhBhjJexEQT0ealLouj/eZr2HfCR86RLmnNIh3 +BZa+eajqH4rInRN2Zy6EodhDBRJris78/JlTIqOUXKMWzeFD111wpRmg1SNWuaUa +4B5tHYenoBXpJ5Nmz2uTpjNkpmKKsAH9VlVnU17ZDIQS3g8sEQJ4CLIMmqy5dDHS +h6poQMenaNoHYK7vl72qZXqNsyUIR59L2ciWkyB07TACEaSR89+UQRUDG48O84Cd +1nBKvSPxAM+uWH0KrOCsSauy8GdKpm4R2lm1h32dzWMRvHchcfXn8QktgrMMzxQi +4T8wCaRAnJrVnS+ddDKltBeQlrMGJ8xXVsIS+t2IZ9hsQeHZa5qJlJCPZy7dP6yL +tD2CPOSzz+4jccRz2zPg3cKiT5v9iHXp1+zhwoXWtgjHr0TffxKP1AYR+uUj/8ME +83lLx6NXtGGQUxQT2zYnSDFnkRPJEI4fns8rNUh6HdF9pK5/3ykbhb0XIdH04Kof +CTVbCYLqvqCpRBFTqgTE7MIVpeokPMz2j/Vc6OL9GfmspqsRAGTRqVW71nzQgQsm +JN1DQujA4ZgM0+uDdjkt5+1/aa/0Omohj7MTGlUNngatsTyeUp+F1GmBMfAzqec8 +jr+3R0iZK4L97AiZElSizdULhouWz3x8d61nSh5EmOVAdm5xKkMOCn15udFcR6gb +xeRHcvRNpRBagFrBQ7j6iD3EDsOFSZY6UebMgUUEO/GK0+R9QaR8kdCamSSMnJYT +C78ERJ96uq2iqVFOY8XXh5D4zIj7POo5stZQD13sc+Ni8Ayr55PfQMg945IZLeUx +F4xQVDUCOH5Il0TKFo3Hjhr2FUq0SW9B0RXDtLNqpTflPP9O6y5ml42bTBbq1ppZ +IhcnWD50QqYXv8znQM6LwTVg/zJ2dS8zcte9IMy/ujrrb+l/YaAaAKraWe/wdBz/ +ZqYhSGLo3fUa7c7HHXmF9B6UnQdZRi9GcG7AOm7JTxL00XC26L52IcNVsypanFhr +UJkCgZqKpeL3xmvyQewjlioXVZV2xCO53wL1zFeU/6hV/DC8n/oWoPv+Pg2sMLlQ +LIVdXudqmq0e6dzWdE3RhLR/BVUmNbn7NLQFoGqpofVBh2NRliIi/cW8f08miphZ +bIE+3WYSAaaUeDZc0j6FEYhgihdlwAH9STqLmo6q+21nwaF4gUBilBwQL0ES9yJZ +CATsGy3oEA6plCaEkC5iUY8cXvMNsVhlOqWH4yjpdgSFNZOCRC4woUlEi0DFZFsT +vH04Mh7LKFkdOZtSYbJQxnHc2fORUDexn2CiqktmJanEQrDT0kYp82yxX2Fdn9U3 +eQozswF4f55BIkPrLiKg3tu00iCLHJLiON3MHv3w53h4RsXtLpJ6Nn3hPYxjao5j +891eFkTu3cYesok9nNT9Ln8mA3xsj7ldjYHRB2/XEU5hM/lPvpr/JOkbhA/JfoJn +cfFYYj9rv+QasTYFs+r7efVDaG7WssK/gxRZQ8NklOmfuc+OiKaMECChmUhiNidk +R4sb0JKf0pKuAbYAtUHLuHY1JBYt1QEqyJTwHXDLV5SEo9D3165piT6OMitW7d2c +kUIZcnUqOduNg2RGSIhaww6nIL1ZioXXs69HexdQIboYhqZwbhIio+i2NVwWULMi +KeycyYpegvmylWezjIHZhcoHxdpdL1LIL8OZN8XU2Y7l8R24zwvuvP5Rt3jvOZGT +9LzPV9Xh87JVJMu7PKDDyrm1HXYUSEHG6uMwD4xYjfeihEv9UR03H40uo2JoqTBL +xCdnoEnDL5zvUyCZc/D3xqmsmtRImHBktYvmZI6eZHdHkZh03fdTFLyh4kOgWHS5 +nywtOLLnOhqCZ+9wpNxQKR1tHX+pqbT4bhzYB6MtNCm21y7pUV/KvfF35JNJBiQR +sDWUevfArELT6+bvJYN07MhgnXgOqMoH0fOpG9PlOEpmR/uPZb486j7o+QC/yt7Q +4MCheTsCoTIVG0CQCbNIIaOhjQojm4to/+WR6nnTlDlbzGCVN+eWnOQ3xJheLmff +0uGziM0PiUEPtUAAv09Y8cCMiqS8rGX/MRP6ggv/Bu045tmf3B+3Is7N8tj9JG9R +LbIa/gOn/PPJCWqkwv+BcfA5HVNtmRgxSw9btE32HkB8JsWwWYDdRVDRrKVsHQ3T +W2hdY0APoxq12qbicyDdwDTxBHuugRsPZJmpawquhR3cZLi+52SGQn2MZjiQf8lL +ClYiJI4xSNLv0ZaErqWaJLZQWW0oEw/XHlsWzPj186Lb3cVOgqDkw5Zx9aYSuSBd +ux85dwEPhppgvJJkvBeOhDoKyE1PPDuMNGwkB9toRzNiN87nAc946yd+DOhOo5XE +tZh186Q3D661YxZO5UNceWRZ7e0EpJmwhFBHD5y5tuJDCBCsXlnRCiSc/H6mX0nb +7XDWIFsxQtUloMPYJLMTVFv1ixhVTZlj1FVXP9aLOefXH0+hpJmiyw/gySmuquFS +jCea2211o0jnQ5Fw22966jSCOxxgcyEybh0G++dFjqUUiMlf7DRFKsIm8Fh7LChx +Y6Rm1GxcKyqI9yWEKUHSn3djjiaQiI8p98wWdfhQT4bOoVsnvPc99W1mhoQcthW7 +RTSYVzII4exmDiuq2qKmHmomB4jXmZFxpe78HCZYJBB1RRhkWrk2gNCA0fsDmI8A +RsjnpghFwh3wOHtJFEouXUyil55k1ISx7C9S+2vpgESWwPtxiWWfo8bLeCM7+JEk +spa2+KK/i+2hQL/5ShdzNjo3IHYeZhdlQavjkQVK+Q8PtGxqQjhdOYfL6QctWtOr +NBRoW36G3OhCxQoZoJBeY5WZnWdwjq5U+tHI6XXkIz8Ydmmwim/7SaH0nTQ3cXou +1OHH8I26b5bQLcXyR5ia8vyS2y3HsAAYyPrZVPMTBYGoC5iUGOQn2+J2QBLvmyJm +4DknjWVbJYdzo7oYjicL11hDcbRB5Z22OymJ+rp3Rbs1cx8XRj3fXwox+3iHSh4Y +HX3c3TYRfQgoTPdJgqsh2Tirc4XD2N0Ati45nEyium4EOolnzjpCXyAC3KdC811t +EFn7GuZXi/1Pdin32ndAlzC4/UJvD7HHd5D+xcDJk3VBdUpG2uE7J/h4jQxMnL6e +E3fKI/N0u74s1FyH2EomsYNqNa1Sf5qJx1jHjVglxaaoHsjA5CSrX077cJC9YSgX +a7BsbKspyd1cI9SrWuHNLG/VM5BbuQTXsMiLcOxWj8eFzDMrqyu8os3PmvXCKp6l +eDSP/+8c2OSN113obS0Q3/0M+s7XJjnkdHrTX4L4pC7oyJVVPPm/Oma51pJkUmEg +s6tQ4mCS1x9ES67k5viCrf+nYfF9a21429UBzh6C2dKDrDqzyWX9h+wAOusGUhoG +rt8QM5phk8uWWOBEHZ4kBdGM6sxspzsNaINzeOzioc06e2AhZiCNzMvxwRG/avcK +A9Bv5cf1o2QIBeL9qW4OdYHBgsqt9Vse+pYeRf50vzgQ3g0zthbMEjVuGc7XPhQu +23fN9rCqeBm7uFTnApGYsa6vmhxOgERxw68OWSzXaagmkU3ua8stR+gXfjOw/P20 +Q+2vNtWOw1H+Qv0GVu4vLWDOGhbVOaxFOqkv3RfYKh28HJoe+qIh3LugR9kjsofi +ltNPnYtKImNoRTKslGSImNXDHlRI4w934qiHQUn174ge2769wO0Ap5Sbn9yDsYZH +Wmac9uCDJZhG3kPbg7ql8+QVrKEbNxqNW2YnhZbvQvhqxqO423M1OaZ+bzA8YFiw +UIhn9tRxZqQinPuLk3mmAZu20Qlem4pQ0yg0DbwMOLD0rD5bBVNbl96XL2SDcvxp +TtPNsSTSw+laWekzWw0gM7WG8qSsWq0wroydU89xZHFIsiutCEVGZCnZ7e5+Frp+ +C+m7sJQ+34GNlV8Yb6UW+FvfbtCuK90aWEIr5NTBzLowBdUr4MYpKCsNIzcFNXwJ +qXbUuNd9MbA3kpjNQWlJYUcFWDP/sO/hnRsnHorP/NHlZsyCRGoKZP1h5RHO9Afb +fItwFFwaKILfWbqZHboykDyK11/hjnlNxfYY7o+jSGSsCtxjJWElifoDec5uRhQc +StlxjDpo3hH83eTEoYf89kWOXjsxegPQ6VrK1b2iaLE1jY8hhCVp+B+v+ph91jj3 +0rSpHZDUwWAsTF6P+97jok3+gsT3gvBD0KmJKA+byhcXQ9cukf5EWlhgbbNyCqkz +m9qUWPW2SA2qqsatfIc0ehBe3N4kE3mHu7/vbp73KW5rLu/5rnkKu3GHMu9BYRvr +ha0uLh0JVsaDdNvsIUtYgZEoaV+tc7fOkeJmOzKJYGd1nwf5lzLJX9FsINYXoyQA +1ViG5rEE7Is0Rby2FPTXBEnp0QKopbLF2RP2sqUiWTE7Vzh47SdJoe65J+90OBzl +UD/YjWx0DJiInI2oDAmb5a//Ym4EqqfxRyzpgFYUffmNfaXqJPEcY+Sr+T55B2t3 +OHhhLtXSWd3Nn1SgwkR83NxJ6ulaDHxFH58Df8WWTVhlHWmaqyALJHzvznZ54X04 +JrO7cf+soyN+6/cRz3nPrZQt2OJOztCPeEEdk2deuKsi9WRhGnjezP/6RoEn/Akr +erperfKPxKjaNXQ896r5d0ijCGinIBDZf3vvEbGGoNYwIASjgEJ1ZgWn2fMHRdVz +BIKsg4OKR/lq77QXHtdLK5K6C6ZrUXythx4Y30Nao7JTuvmV6M3pYjpKvy9x+xom +Tr10w6B6jezpp7R/UnZXBQCZjT2BE2ffTtXnWlZCL87K+IBDh4sWQ/f0ytffbUw2 +2v0rofzOXvHnT0mOyGjZbCdRIWWUf/EAwZd7+RnEB9DspkWGadJlosLjOerRSoPu +YehiNphl+KGR2mOgq9fz1m3Soz8Jyqi+yv4j8UdsJR0cLo7eb5fNOybL+mtfzBYu +CSLHqn7T+T7PE4O7olBvUvs58vNKjLcyUWoBIgSnev6xm+LKLfhPlT4NR8T+lDlf +B5E/1n0W6ER2+UFxv4eKAbhKpEbGyA0OkLSavdhTxbqnJzGmU/qbpUMAUVmj/xlp +VpwBFqMFNw20WXl5ZgFjHkLCUh8FI/gUz9R6qrvpOvoaY7AHi50aUS/atwIy4DTk +5QB4KqvavVOxZIUZs8WVmFOzT4Et4N+ijEObe0ZvJ3qHh5J80EMf+dAbIWRB1MtQ +cfVWGDr2SXgI3imvCxnmJqlFuXB92aEn/s2QD06iLnbgvPCSynxuZUMdFHJCEpaY +mA9LUTtXFe6YoTLz+Pd1EWzNmVbh4PfxK8oEsGOa3NJMcap0PHhCSq8Ne1UTtVKf +Nxe85RJfZrNd+7ksxVsaf158rZFfj+CtRhGGkHPVEgkfypJ8mm23JQfW0eLPQJ9F +UDwbR53Ug1sKeiZyAvHcPPkQD6nxP22Mpwx7qz0US3dK+Rf5Vt0lAM6SvRgnEIac +xh9BNZNKrEbzS2yGCJa0qC7eEUNineQoOYWgwWT7zoisrFmhoJx5c4BO0pKgB0An +2byfwfi23h/2u/gbTQjFRTida9XHaR0cChyxzDvCXezm1cIWf3OYdWOS4YYXZrtv +NOxNWo+Ur2nkKzwv3QhZ7Mue5kIbNsuPASvfZjSYS2M8NQWdVYs6VMIQvcq0vlQZ +KEvd4r/z/7stWIghACkoUbGwbQE7JcljARZegAGQXV+wACYQYlWWIcDu37SP2c0f +OyHsHvN+VM94jK3Oe7lRsxkH8It5ACxMoeDvts/OmhmAFQlSdFknMiRvcTp9XPgg +2K+x1qHNGR+Zv9P7zeCZtDSxdhCLx0JWuXVyP1IeVqb8lGIa4RloNW/ZL6qw6/wv +4/FGTxDzjOELaVHy7IDl6GxcsP68EtuoMw2YRGFAcy7oL7YhEm3KBDKolLc2WSj5 +tB2Zvq59gwielNBrZ4vv1kqQ8pZcD+17o/IAF1t+ibwWPISof1lsbzT+wctVQ7sm +OYhsa8bQhvYhBhEAdZ9QS0mSmA+OpTEcGZKgUAJyI5YQ4QFCj6liEand3w4FvwLl +KOFPizDpg8SmKL2bakJwNX+OPQiiw03+Ebz5cXkh9ew9v+FSoTAmBd9Wg/Ys7nNR +8I82b3AC7usEzPG8foCqSCTwtbSWahvmog8wdt6LbxF9ReU1hTlZeVSeMrBgmn71 +fxQzRLOrjN7ZN+5dxKcQKXJy7QFbGxEZeQG/lmtTEIlJw3riBvfecw+hYaUe2B+s +saVTbG8C6HG7HRNhas2okfTiVVu8lcgFo2DPIXAfP6o4libsT/IeEEv1jCyKHq0a +bZJoYwHlglBRaQPDlUm6/UAVyBXuUSfRnLRuq3vQ+rVoLHjRHEYOY5phPh2Xfg7R ++gk/4SOkH6IGQUx2/E3sTjuTa6BHsWnJgYaiKaXUI/GUfQ54yKVY7P8/m/Ifp0Xd +IORKzCPqJNuMH9neKBRPF6d9Sx3EtE+BVwnl6FggLe1zF7pd/pwMx4R8nANIl8l6 +NyWpqcm1cCCAY3WSwa5vgCe1xL2qNO/cw6I5CmWBk7VnspEx851LQc1W+zKbK+5F +24mbAhf3QMjYxYHM/i0klbpEyfdk5+RyASyumvlxCrkjpPkTvBBIMdzhGHUIWsAw +X1Lwx+FqUZx4o/8StG1tazpz9s187tkCaqrIvoelNJIYNqgdk3gi335oznCzWoBO +CPZ4vhB4buvrWzxjwev5qtgZ07GnCIDnjOVprUp9XmiM9lNRW4qeKUYUieSILLzD +WIe84/rwp0eJ/EkByPgv2PA+osuRJL7U9W6Gjq137SnGh4j882v+dFBZc5nAFlE1 +Y8EJlL42zIhhd4WtCsW4snDA1Uu+dnKREilXbnYn6eWIAb+vFILODzrTwtY3Y3J9 +RjlcAqS0yOfWnbZ84bJYk9yKULHR58xvAPA/Z6M0LhossdM2FCwomkiD675u9wve +3AHGVE0oYn7AHA47F1rymbODV9RVAnnWyJH2pEVa5cYlq3GjyF1SLN9CyKDc4rlP +rLc6YlIJ/cbmHhqocf8/k+9hDFCTIlPYJIfXz+yaMa0hp73SFVF9njCMFGZFqmFp +YVL6KeA3hbTPV4RMIU5rJSjZok3mRG3uOEO0YFaU6/qQPq6hfpciZ8GojYUh63dm +Uh+KkJUWzJ1m3dFKq13Vm7eCZP4ZVno9m75oA1L6+0idAJlBQqvh8RojcPIoRB4b +Knk5z2Pof25f8D6deaLm+bV3+kZBeYpw8GzCzVaqskWJMRrAaEOtVBelf0zC+siS ++xW5tlv78JDqyJkHtP7sKsvuzF0PtbEhlq9O3hl+98nzsqLq7bchBphscT6IOHHE +P8UxIIHMePekrG4LbcXRzx2dm+FRwXdNCIkfeb6WNDFT6nzDDihg6Yh4RjKSTIRp +TJsKWQ1n5ushOF2IHRb9hJWSSQB51c2M/RpNttu0TU0z3rB6pJ/f0OD264iRGHVZ +HUhwJSWqMNoXHWiIuskTNpI0NeUpdoXPcqfqdGpayYik7r0kEFDaPEB+FEghBQmj +SFwQdlrUAg1ixLW4Hw1jw4P2RcHnHWDvL9r+LMStLFAOGYD/FTO2AydRFfEFAFE+ +8Ak3W7Uq4B7DnmLBbI3mJNP78H8d59RlBk5Fxui5WKf7K9phVMSDFLErNzBj9+OD +uSMEWemKhj8Ur9ANyDSzGM3HQvrX8IpFxibrV/Aj7zUIJ3Y/uTWh0/JlIUhYX7xj +JrT8BDe2vo9lSKtcrmQEQ+UUV+EvhPGU5wNb2aFDbuPr57H7sMFOhnPWXDHo5CCS +Sx2jKOrdDVT+xD0iWCquwmC3baIEfholAbiQryqIy4AdXGopJ7+L0u6Bf6HANX+M +hoKsUvZf/za5eRGikMVnQrOc/gAZfViu9Om3AIaQ3tADmUPv3phP5TIAie8/fiEx +99kqwZTvNFD1HMoQ4UWeaAO+K4VckF7dMpOGiCITc8XhIj/MapLFqG0BQEM2Z/UQ +ndjbCnbK8qqSI20BHwYUt/BbupgRnhTyqVgqGoigwVereIREGP+N2YJrQycOnyUd +IaWwhOgyfz+4UvAK14wfyZ9zFgkGDgtQqFbrqFEbdrb9ddyOq3aRTjdf3MW0F2Yg +1LULqUAI+ZCJo8tQhqcTIvA+J8GdBAxKTj9zcpnTYvo7C4LI/OsdLL2G/JOqLtL7 +7inwT2pIN0dKi3j8TSqmQYPnwaetIK8vKBNsJ3ktjAJCE9SXL0znNkRB8usYSijf +pr6/CP64tqvnu0PY4F/fjIqnPC11vJk94MZwLHvTPiZ3AIsSQUu2Yw0uhfjJej/K +xx6fL3j6dVBIfOb1FquhmbQzlLMzkCb/EuHnZR3sk7ywyh3kPgZ5ZW0hFLTTHt0F +VT3MqWjLgM55pLokiilogYe7Z/4DwfhBdyu8CRdIcKKxp0Wc9dOZb7xKq36/fyp0 +n0AkJQ+oqOA9QV84LzfCrDJl3anEwYrdr4c53mwCLJhn3a31XDAu2A9hUrYeN1MH +2ILkVs1oLFKPbq9cS5JhAVrTtvRVD7uHX1JXd0ThYyPfkzJhzzYn1LZyYLilME01 +Llff07AmcDXX2/y9MKvppNFG7/DW2G+TaTDcMP/MX9tUyY6ud7C527/0QlW7p3XG +tSKWMAQ/njVzt9ez0s/gnntY1b0IB/jhPMS9LYUK28fg0lH3MJpK7NJHzFjlLKAo +yHs2st3sfNFyLR6yIjsscE6ak4d6i+uO1gB947Q8352/vT6btPx1ulYbvVsScJil +yZZvsqrZB9c3d9g7g5eeFjDAmXTEzbb8T6gPjYXwPcUAZoUrDxYxwYn1QTAVyjxA +9cJ7ibEXxd6wr/nlqe2R2iHu6or/zqpDeKvqW3kMj6U8b7huIPxNV1DGix3t2rDo +6bgBPhGgdGAJMl0ptfRqvSTqDQhasIw2AQkNuxe0nuhM8fCYAkCYXmZlEzkWWlzw +upddZ8/Mp/FABOlCOJH/7FibSgHfGYb91pQ07jw9WH2WnxcNM9qbyRayCk1nqK1a +YsfrmZZBd0S5795RWQyizaxJ9TqsVk12NN5p41R7reSHfmxPsjyB7KjK2bRrQ8/F +/eyrO9paeRve/JbeMCWyIGGeVNvV2gsGtDT23mB2KKCbTMqx9E9Gi/vMLopSa1OZ +B8yfHruQyC8j75dEWsEJmgcBnbz0gnBvXrSjlEYvLJ5mlmNt/Ak7z8sUKsqGez3j +j0D9oUKoVuIiLZoX0341vLveK3wNgOyO5cMGp2osbc+Gtss+kcZ5hBuC+qyJvwvL +oLj/NRdLYCYGp9XqvqnNS3casHPyzUm+8z5gZeNb96iX1a0g8G/ZA+di5YKk31Uo +2XIe23LUK/yFde2cvmsgfOP8HjIh8+6Cf2GD2iXZ+bPoLrGcI3VPTvDH2LWJKxSH +t7oqWV7Rf3FTyeqjth3wYOD3lud1tFrPYwj3A5gUa3zzyk+zzjSjxYTgL1MPEW67 +6A0Z5TEAMqHFeQfXI9Klqy033TkbLEdd1enuviclV/WPLzKECX+vhNYzM0EGu232 +IgYJl2kw7eGujE3UP2qAvJaMcG0kQ8BwDuuvbTugzxubG/fnTkqG2xMpdGmblUDz +TdZ8XYkM2XRUEc5OE7fafffna6suLbdK0kZvxwuMbvQKy5PVnJmYKs+4/DtMZ9gM +1QCRNk5nAamS5l1vnCX2gfYvUfQfXO+QAaMetueXTfCjK2FF2huzJ78tyVV63eam +JAD8TbvOA7k8IwObBx6agNQWjaFBbi3mlUgHgg/M5c1OcoZrPKaPvg2GNVJlmLJD +LT7v0tJVNJJ7/bdvAlVI5F/CjCFC0zWQQf4EyygyysCx4lq4ZWE1P4kyDwPa9rtk +A2u8eMgaHLgP2n1XETE9f4D916oMGE+ylT3IXM552XY= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui_csr_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui_csr_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..03d1dfb48ea29b6a691b63e7caa467a92364ef9e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/hxaui_csr_h.sv @@ -0,0 +1,238 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +Xglhz4jas5WFF6qnC+7Y7T+U3mYR0ZDclvrnuLEBgeTASeHTSsdR7zd6cpqfiNZG +tCz98gytv1ASFEuNOBQItzh9nkOm9qcT09aONJtF0gCpX19VMFJ7qGUkqb2uxR8J +h2LSz/En+HRA39DsjKt3KBzDqdoJr+MS4bf1BH/kAdc= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 9664) +V25TnfUJKbfSOsBjKO16CvdB3XdF4Med08YdzIaJZZFsWDjRBTKZNwcdigTFFemW +YrFVux1WdyMaRyvV4A31Qeh8FYInGQuB1t1Tdch9umSPrC4gK7OjY151jjZFWu1F +tyTFZ5r4s4k76AyLSyxMhpazBNESXwjy8BRoxDM8R2ih8v/EhjmbCE0pW4SDjb/A ++DMOqjzdQzUn7gjgmufb6hL0XCjlYEW+XIPXEDHfY4/7Uj3I5UDDowsnB6cm5xPV +ENXLN15gPIoESRHjqKzk+0MftXxmIurFavLIdGzYrEc2/mMH0wk+hNjMmaxAEpGH +V0b8Z6nlmdyY9Mb8Ta5NlmnyQd2orr2cPOGmRi2jl5r63WHivsnpcFUtbEvg/ohF +WFrJKYt4UNHYAvZU1i4bgzcOabAEsErku/ORw2CmMxynAa8UFSuwp7ZNHUP/JTim +RbdIjtn1QOUCL3hEoe+sMbyjKiKQcI/sqlpeDSQ45w3R03RUo+zZ8UJEdZMeOX3c +HrzmO9di9or50pWxhb2oGg50bBe3VovZklWIY9cTIhc++iSRAX18iisOwERe+0lV +S3G/cl73DgngqJfjiVcDgvDb67JkcFRV5AmLHnutOxKYbyBDcq8LvFdWTxXQCkRa +G2y3Sa7hsUhZoIiw+7+uRd9fc0xU1FRCN/xxm/qKqtSZB02Lp2tn8I+983IP45FP +zAZF+vAfO9m5YXg5efskVeNr4TQYKchVUv/r3fefb3oNKCosiv3UQRtSKHDrab0G +Zay3XaV2XiFg/dDxjJBKn5g7SW4479JFn1F1xNJJCjmvyPO1It2xhX7WvPpy5B8/ +EUsH3juDmT8pxu7v8vQDCB/cKtg9AyzCEwTSIW+wkaF4bJQVZFQpt9KMBfxFdpV9 +CAoWIKaog7FbUrmWm95RK+I4ntaD/+HX16pFnh1AUh6LfUD/QHIggl5Eb3eGzw7Y +6raxZBjlFYqG7y+czF8pZqwJ62ZIuUhkD/m45aWB2FOuHjs6kOEZE5nTuokbxqAc +/Nym2SCD+WB/MBKqizBaDxqwhFniqn0Q/kyLevShs8gmOa9H+NLGtOI1WdPTdjzy +sjJigFoeVBNW/pKU1uBRaoeoSWKpJq53JTCz4leyjalatwFLVCsqv9r7XHGabf/9 +OLmlYKZ7KWEypk9km+XApLeLoxaAnY5mfBxnWTk91usvoOLxIPxIuWcDrbOC3Dmk +SqLv/+3foHRUuqF/RRzRk/Uq8/lXt0y8BINWn/Spy7nRsIMnbo3mB2N7B8RazhBH +qij/pKj68tUTp1k6Fv7ti1YFtXghfxz/SfJ5gZfI13UvgdUnYFNG+LIY92P03g4t +2C0lIIXQHcKucS9lI+KlLniKqdJp25c9c8cCuTdZGm+ZUxz3zGEEFDs1rtOvplWK +KigjZKbsNnXoRSibSmEyRlVul7oEAF3TuzoLTjSyQhCL5fEUGiu86P/KWXWmvyt2 ++SFgG7yasB506aNHZzpzjLDEg5XFf21RA24bcMBxHHV/o7LlY1fd8+4SRIVnI3zV +vReij0nddXwlK99P5ZZLdEnEUIbFVYTFit6iiudqFR38ZhOYFaGH5nFMfpWu8Fjv +OnNsatVDf4WtmceANBfsumUjUxliRsBrc7ZIi8B+wRH4fXMtvhoMGGaudTDkbaMp +7yHQO18Sdr8ygjW4RKwEkDHlX7u4UFZb/2Wqclpgjh7lViDKE7yu8DyZj5WDA0Ga +5wcQ+4jsr8t3GYg3s+tA+tlrO1ggKvD80GOSvDyswQl2b4UYZyBWrZHoFHEi2PyG +u0P1uNv3WMUiJSb4/gjG8zzqmSUvlrDPawcICEZGnV4wQ0NN+GBCO4rCLpoj2mdW +lKXoWcqxjDBzfpzGAZpv3NGZh4SFXmEPl2s8HltZqV+9aOq9FK3lMyq1CFEJ+Wpw +kpaTahFctFaKQ/pmPjKwv6YtLh0V0fFFkKlMIovioP71LHcbhIHwElhn9EYzag70 +XePJ82rGTd0TqvvmFwXwUDUEjz48/JgK63Mjjn3vLUZxyIogs7auDFuMwCEZ2qO0 +GdWz83mNxTFi5O0nHDYedo3O1QTxRq4d2Pnh12O7+tG/SXMzoeEDereLa+Ob8Em6 +UJp93i+rZjN46aFjo4iCZz02Ta4uKPE1ixMET9HFZzgKHFRHOfTgyMKk0CxLNYQP +n0GYJDOOehh1jdoM45DD2kCsTWVY1O1YYbKjnoaxeOm4pFH8diocmv8eMWDUw1ES +/tJ8FI+0bMAZmdxLMuDlNL1Z2kexaQ4VNuB20E+CiwGMCmKEFDdQDOfjGC0kdtP/ +7YlJjo77qnxjGtrZ94qGSoEPwj6zNBAmDo2HQnRzQ0Ca51PAdaMtnpirAnxyPbUV +8nNgWElHMTV3V4S9PkMSSdctlqtUhTNqpEoXD9zw3/ZVnWYgKp6Gb/F6tjUYNHQk ++Mow0loBiZryIOxofoOJAJVrCqvIQeGHVwhGSan2g2FLPHPTh6BuGlV4x7HnxApa +9Vh5mlwCZ258U9SRGp2G2hIrDBLI6pSC7RqRvDie5IMVDQA5Gndp+0pxFuRx83oU +v2gesm0Y0OJT+GOgQGi3jHX5pPP3HqlQuHJDmgwQmSM3IWfYT5yk/ikox0vvar6R +FJ+p9cePioZDt7SjPwRA4RtCJkTSfla8WI0h4hBIkAKSTntLGXMgGS4BTgNNKtVr +27FOIsXTjhxwqLGjHtOm2c5S82OccCAY+J+Kub6UOqkuiiHwsHPNK85hyGMesgse +0mvm+zRWAQNdX+USscwIvjZDewdMq7NqGIcTAxDemHXp8ri3aaGnvh35JZ628xfl +IoiZrQLDNsnJNbcr0KNeU/OPzIZt4gjufZ7MYw75Nad0xnTAqHxsL5x7hTHAfJaO +rEbWCeUsjqVcFIK5d5b6CBKVHa0jcGhzpy3vUN2Ynt/ysJlPWQ3ITyQBcC3YIaze +N5Y/apKZIZw3XfrY6nfMmiHhe1pxslv6cNUQRQirxs6jGcrmDwJZZ079OgDrKjv/ +xAqyeT8GX1IwySk/M+wrT3pgJ82gQwhd8n4CDE4GY4n+Yzx6jGhpMf4Mv1Yp0c33 +F34gwnf8xeUgpGZLNQWwEFtEG5HU0xgTZDNxnq9bSK2ewQgsYISgPQY2qeoiggIb +g1a3JRoVyWF7SiQc47YTM4zGu9ICfcxQ44lN0gBRBVTckEkP89r/QiZC/22Ja1da +nb42ffwtGfB3mN4r7rSk4Q6wIdNd6MR+mksW41co9lOv0V1rPt/HP4yno19/BPO2 +/e0sLmV/jtkzFnaKqcFjrAgkDk/OemiUoqes9W9WHMKX136wbmKlb09Etz4jsDyr +z6GAN5/os8oVREaz/hLvNZ6xR1dzd7ILNbVnyZSrnEeRgfTVoauseL7L5tBLLr0o +MS5CRL36qV5CI5aV3qKFDyDXAiXeWqnVatgX0nN70RBK0QXRbKyt1Ykh7DBugqmr +QedqwB30iIWuEhe21hhHdauwFGh6ZKliuB6zVp378bxRItnPLFLubPSKzAsCgcNW +AXf08urVVhagRRZgKzybpXr1mwet5U1OX6Y93NxNNdQiYwPRB0umuYFjI8JW6ifi +uCULmq9PIBXUvi2fgrCCpj0NLqdTKqKKqvD8IXj2Em6SsG4eEdirUfWu397BwKOl +96QM1nWorbFUovVtuJ1gmiBcuFjlqrAXqWxCxHqCGknVmxIJ5qPcZ1BmTtpYjgdm +lK0pjS9fqYZWaXyJrTeqZVql77PhQZke9kj+ABaHA1p0Ea0OY2oesfDJeV6Tdo2o +g702Y+KtS1ejMh8yKDXmHoK4uR8ugGWWxDDnQtFecfIZb+ZRLdZjSC+oMoG7od9U +0XuLFzSJsgcOi4Nf8U6NgRPkeohaLMNSZgeo7s8NZ2WI7fkQ9r3BHMBJt8U/lfR0 +BwwkS1YoTRhD6QZha3raUs0k6uonjBsP1uIoFcX/AEuzcyjpbvhxXJz+EKeFQ/P/ +peAdj4m0a9bD/n/ryb+0So8MXVoKDKmDnwQpVitaDLl6i7KKQ0ZDdYBViLgQZELP +AiMb1gGDv3TdHSy8L0F3afMl7u7qKhLeZomObclDBIFEroXB0Ah/m5GHrvIgIMQV +ImOjkYX0Iba9rImUTl1XKbPxezfEjAauSOvBMBqVouGcjY/GSuXGwLSmXnK7FGYG +3q+0MGhHTXDy1pSEHW/MKiesssCNYJlDAq7mHK7fdwF3wQz6wLhEsMKfRLNYZLPa +xxremjGpDK9wdCcJ12ahaWt7uRcE3o0cqvhEerSMQRUsvqcwvR0WbiWeLMR8QxPn +jw0un3k6ipRLHQyqp7GDwe19SjaOkjEVuc4w5TN4GuAo5bj/Z9CJXFq1Qifpbo5o +fZFoPdpt6Tm0W641l5gsM3iqaBCQGme4uOFYfKnANvmzHKWu5tWBGjynTObpZwE2 +0lNGRfmWg6PozTmoXumeQvtI+OEmGf2anSvUu2GH1PPjv90azgTbQhbqCEiGBf8D +2+xcAsZQlO0BqTyjJpDOrLTd7AwTo4MrcoDcIDOViD2pGTV9lEWWs47D5c8/DrFO +ZviekJpGt6maapuQT41wm0CHbG+q/i3cbX+to5li0a+UTInzWMKsK6YSQaPenV5+ +GR/HxpMs9uboRO7UNxsodXvuDT37uKMFJWk9kqb58+hYvp5QFP396DsL7gqre+f2 +vQWGno/MxdAa+3Yk8UQ+ajQArnu0JW+IVKaH8U/3VyDE45Nf72j0JqY9kBqRF0pM +sb4KnFx5opnFnRKxW+865B0Wi4/m25eSM9IAb8DClJ0JgNyyvPDknpdzCssmqZHH +v7iQX60bdbZU0pMLYbi3BFyN6/R6s/HzZ11eMPlMuyfwmXTPsbyeYZrwZPmLURin +1jk9VBGE/5HiH7PMdKdjC7vBDuV39T8FquVJRZsIHQaLiCA1Tg93XkT1hHVvqtW/ +XQyel2l1Zi4VF/seksis1tOBDll3tC4tzvs3a5C2a1ZUxLvUGlxIzqsoCS9s9idv +/Bx3/v7jxFXHCzRB2VS8U5KW9bK6jeTWxtDlMOSM7eww1bm/KW8AseV25k9uI26x +U9AbEkFJUvmbc3SNOoGcAPDPFnd2+hxyg7V3qubxgXT1C5qfuVayCnRUVVZEdASb +EWGoLxwAMqsaNuSNvY0Zsb6yLX13YcDclBxajYSqX6ldZgU/pi+Iuau4y2NYrTYN +GtxRkRtOcuu9ohKjHiTUCd3vf6BuVRJfqi7UMTp3Cs4q2C99BdbkYOGyuwj5tOHY +2gDWeyecU/7ImIxuymobDKwnbwDh2ElMRQt4R0qhWveMAA7XuV36fc18KsGFfiji +PO4UngyD+0k3D0vu8Z6VsP84EBrM7u+hH1/fZCa6taD/taHFqkDTv2/wc6ZKF8Dy +Ksh5A6JoY4HRKLwqS6Kbg1Bey2Yh0e73X8PG4K3zRC0Z6wH5kkp3bOHimqqxdu7u +EOoTlpPijY5S7H5rx+9SYAoDts8ocR2khtB55Hkvcuk7lQ+ev2hmtAgSGS8Ep63a +PGwpQvw7lTHInQe5O9uzY4YieihMtj/Jac9bONaQMPPunLkRbBNICgKdojOaeH4Z +hC+IW4BKDv5BNmbyi36w85CVVATOmQtpZu+X145tMbtM6AQJiBCc2OkpPjtY2efy +6+g3ED3nqCrDDRu47nQiz1uBON9oiRyaIj8k2+fQSWZi3sYfhsC4fbZCZW5uVYxv +K5yn9dYIzghtjYsXsfHhllXiTRDZfNSVZernQtzVXut2KxMx+BRwItuOo0GItv/o +wNNkaC0xYmGqekbLa8LZIy73ZTiXGuvk2pgFcPu8jGYA/tRI4YOOzuQF83DNYi2F +o3XhiQaUqH82SzkMgzRUOvFaMq6lWzgzb50iAC3O99TRU2YI3qsgsxoTxvBTUYo7 +gI7m72Tsa2trtWiYSOQjT53sm+tyL/hPKsvgyJYVPibo6IvCNlJDU0+kDcAV7c7X +feAppH8dqyUvfVCLf+8AtYwOdrt2Ft3Xa+3jgEEo5bT0yVV2GRzwZw/Zd6C8NvS7 +kFmOcSiZ95xjaad7Ai9PmL9YLwY/HYgK1icjRBES/jgqiYN9EieAVUCM0sYCGZeU +bFPuE9gGKR5mVGD8U0acyFs2i39nAMlpmpL7sA33Ay9z3L+5M9LgCbus6tJc5BHO +dM9SOco5D1uSsy1B2oJgk6KnhkHaHk5faFmUklQ1ZEXYQj7+2DwUaVo74i38Jm0V +6WL3IlDCrEUKzSA+U2NapkG7bSU81mOUgIzzxsboZ5fUc+slTliLEjp711GuKg2y +OSXEW6qOY/btOcwiKL2saLcqAKjIDGQzTCYvXJvLKoesAUXvMToLxTS+LYdOnErV +F42JRJdCkL2phKbfIwryji8kvgDD74Zh3+uRYzmdRvXV130jZhFs5LHYUmashg4C +2yppodS2xtLKPBG3BOnfp2MY1MwZsRjDgy633vDpviR/6zncPVNrWFjwKHDrE3DA +3DFXlGiY7dMN/y5M9Ggg/8U83cILxc875axPaY1HpVe9naz3bKxo7zX91vof6AL3 +F092hDtzwRlADio/ff9SaHyAtEWusZchFH0w3KYMdU7c5BQtO4oUqYu5mrQBadWH +JgUIL5A+s+HJlqykun0nKv5PI8q4aRFuwYDUfjQsVHkL/XnJyENH7RnV4O/hjBK0 +Heg+yaqYDk/+qxbACsZRZw9am2cfBYOQ/mlCFgosJ6LKn4PT0X3AIjQGOuMIZiuK +NMd/cScc8dzxLqO0ahGCmNJE8jfmx6DTYzBaZIJAL90/UdUC7zZwUwR789geCd3z +jRXwnaXROQQoQUjT5LpDLIt2g9ZoLVeuEdsInSB4axGOn1bHT+rVKsQ5lVX2Ujc6 +oVNUb6vFvLmESxTySJvRH/V6xLJ7CjlUv1z8z989cce23j60fFyCRxDg4mEQwB+E +ibHvLcCD+Y5nvzpk6hcAclfJhX8QmAUeUqhZpc0wS82W6w4k0oUrsGIsFNHDEwee +BI4ju/JELirD8RsLhIFlpQ3Gce/ZBPLZnVMgoTXC18NOtDRQu+MDwxxLe5MaBzj6 +mpt5yPHWkqiN1GjcJ7gP1blRtbidKRNCd56JsUsHY/VpyNnewDAdhIzWwwW3bAxd +PYWG1geQJlW60XAKknp6NsLnscSuXwrpD3y77d68ptUeSMc7OIDoKqRLefL5pET4 +L1HDs6Wcr+cSGX2lKfSLTq0J1Ya3QbsOccSYdBWPGM4cMNtq5QEjmYmPCpDwBf4q +O3guudLpz0/GFrXcDCpo7SDtI1PTijihEqrDCZVJnMhFGwfMbiYnoVvR2NANuqlQ +OL4XPxnfNi0DyPvXgbkJy9NTLfzqoDGQ9qMreWEJWqeE6Zjj/2UZt/LZi1CZ+pOU +CDMCLhcS0ExB/LCogI7jslfustlwj7E4/AaAblY5vRZkbgN9E53DdT/sC4GaGh8n +f3QFStfyjUpSOvmfH9ZcxIG9BRjLe5YaCAkuPLRV/OG59XY4LKfLItRi57d/FfPk +B6nZThbOvtt9H5GQSiKcoK6UpIUX3IfO77PYK4962qEaUa5jp8DLIhsNoDdKzZ6i +xrm6V5ciFm8JxLvzu8ziZ5FPBvjXQfkhNe5P61TnTajXAfIpFejdFUbqnDtdKdqu +WRh+sRGPmaM4lXKyV05i1p8OYRtFdvvpOL4jKXgCecpgJME69hrWHxHViCcU1axq +u4669YwvBvvtxPsNYS6O5gE6NR8WPP993c1kjnsJznCbOilzDfu4hfogQv5+lpuy +Y4nFFUmitCYmk8zAWGPsHlJPUrps+LFZp9bvkhBlfS/4u14HYdMhL+aohR669X/G +Usgjs7T226EsKju8zm4FuxPnJPxhYObpY0e/kn7cjet/e5PlMyafCMZfReh1kSEe +KX1H45SFShL9WR4AfmTJPycy6MHa0A+0MBTFUcvI6D4WRP7SSM9Q/f/6dIVUZzZm +cGC3gTttaVQ6rEtLVVCkqsdfTD5gUFHTNSrA6GzT7Z4E3MggQamfz6B184jD6/9u +Jgkvljf7fcR3KI6GCu+Pjmkqh/jILA0IxU/DXxqgLk2O11HAAdExZXwRCdS8m3xu +BMGkAHNuEdM58CSBM/B/7J9uClKBTDM3n8uQR3QjGG8++RI2AtDJIaP9vyXM6JB+ +Nrp9nQ7Uu3ADWD3PwEgDeT0mEG9phTA0QUR2Ew2UDbyg7nC6XUqCx3VpXTJYBXjP +SVqc16jhMJtUcw6ixYPrhLQdMisTvcmdkZvwB5TDAhvJDMWbIBtQw3fjQH1VG8YW +oSyDr+S0hq/1t1EGuvNaz3WFfE7NRYOBOmdqaWEi0qLuNc5C7Es/SmDBfCaqa4kA +UUCmHn5RH7dk7pu3hKxpb9nS6eg0IUqXXGnXu6NDvMdVplUWqsoRWCSIJ50GCcQN +V2WErsP4xfXEyPwjftBUvu9DDz0SCbvUhZCBrmIOjXSRiNB41E9xH9PdD0maA4Uo +7C7XjNkmpg5gKF8ruBpkNXakGetkA3DW9Hley5xUMKhjPMO0ZId69xu9g9mUGGvv +Kj7DugihzQicl0lBUb1aLz+lZoH2mfa/OpgqlOnSAbnjHvrGNigdcFdLYcN7aqp+ +CXt0O7g2K1fFoJe30WZHPSG7dhF+H3YJjqFNU2LZVFCCiWcgSiNC4V++HJUz1zDf +42BbaTbDSMvyncMeCizr8r+5gPrlnUbF3LXG+gEXmfQ1ZnhRtnPn4ssfXeQosrsC +8mZYsXKGwLqEfgBU+hQz6QMbxDbmR0/XMp51QSIKLu+ZDtSg4d9A4FXc1aBAu6HG +gBqg4xRJaOHX2TGLc/qxZFgfdJIwJXNJV4EAejguoysvLoS/9qLOPyC99jWbygYY +a3uOH3tvPLQKbuabOCDGxN4q3EAm9lt06WFVKkjLfblwWa9d6rCGOwtXEqpme7al +rzRL7g7KU2TRyTJNr1bU1y+UIIZt6UV0vl0ohfEZ562i4+DjItePLYyszjMbEa55 +4bBuVS2l0hkr5/u1JCjYKILfZJ7p9T8gL4Xy0f3+GunSbOWFjOQRssTgOtlwkfC2 +KKWWJ1C0+YRnU/CIrEgPC8Kz2bVjUfamJLgNeuVz8oAZQKcg+gC+inVvLhvshGSD +G1ejQR/lxYK9GewSt3y7nopxn4o/cdPcjLIeUtd3n2r7ie7BtfWUaEkCq6pDQeeU +B5i66i3IdHEXuYBrcQXIPGOOcRyq3ZR291i2WOEkICg3R3oPvSpS2CVNpBloobsp +bb+ZzulCxq/uTk8JGam3OjREWP16HSeko8MGH0szw/o2KcM1+sQLFIaxCPoI7/uK +le1Mc5xT5gFMpLKsxvGPE1PO6H5mhgO4EtCJp5nP3xrX9L/GP/HHiMHLEbJ9KfoD +k0lgrofc//6Vj5Wljiys7RC+gItIUGb7ciTDfZ+Zjeb9Hl1oZCobFHNSZqECovRv +my8az/83yzl0P+nVwkXVi5z2z+B3EHtXNdRPynmbK5B+Qa+kSSF52+uOAik+Z5bM +N/ywERqcYkFB+W56w1oiIDU5vD5JZ2DYuo/GzR98Z5awPeyYw5FqoOf42e0gDndp +hhUldnqOzt1sz2oamfsgMwNArbbQI9gCgm81wv8nYKzxU/ATu84fqZE1ULFqJnqg +b5+xNoB6DBH3ZoiqNf7sc1h0kYMiaYGFZnE/aMufL8RNSCsovWet46qhQ8qZCDTK +1S6+bZBYlA+tAc8sTaOVoH+vQD1/szZTRI93WDwXA9Lok1H/wVd8LNZA/Geq+1hY +LhfNAKohB7okxUdQDmhmAJm8mbuUbM8F6oxwJsE560U5409LBG44nWWbQUJZT3I/ +JhMTafg6qwfFRia3z9W4sPs1M3xLQWyaDjFx8Ju4lOoWDJPMkBPayKX5DDMz60Rm +2fnCJNzQyqaAtrfwjnhW9hWc3+319jU4DDqEMUi4g939Rdi40aUOf5plXWV8WGm5 +UaHlfxkcQCT+JwP+2u/qqjkkQv4gSXLCjJBEluLePcfzTs8MsJqK1VLNmGzy1PSw +La2BEkaVIse5DRXA9Q7a7xljXeQBZr9WprpoebslitFfC/O7shfHI0Ncw5iYVSbV +k7n6q0clwnCU0BQvEhigfuPLyg1CGDBSRuThNdpgijJiycV55NBjy9yQY51ME3ZL +nLWxCWmz0LXDMSK8gZN+ZdOXjBIjAZypY22JQHfIim447qBkJCXhpm58tEva2QD9 +ttby1xU4E2bv1TktatcbL8pzHZOXrE1gJfheD6rW+/6pbHVFdUt6XyaZQFHYA8oC +elzHjVFY97WS5/YIkC1GY+dAr340F/KWtt9qnlMEkENsTW3dg1Xi6thqut90zVfm +3CTePvHxWPzco+cFO6L5/vOeUQslWbFysnPc/NaSlrnIoIh6vqSi4na1m5I5IepS +Yk6C0MWDhdSdww0QmShag+GbczeeclWxQ5+Fj0BKYFxDJplR5wOrSGU2FVxpYBEX +vcPPnZD+Bp/ks6JK7Lqqdkm4Zfx7Hdz3sXYFiwIV07kNeRncNGk6XqFaqJrSYNrn +WxQ5XF5BAWnxt/5tNMLXY8UUXnSuDjYp3fgprgYXz2mMFQKL1fraUrcWYERiAu+n +QrKXuczVnvoipB8qffc05JoVEvOdd2U97sXzcyVrdfQSxFlyo4k/PmIcPJU12ITa +9FtLo9SjHev8ixxayAy1ba6zmOctDLt1HTxfWNSmnY/R3xh5zv25j0ZWfttx6hEo +mqVYQx8924kPjzWy3YudXY6ieTKtdOzhtKycxaYDjKavUhdh9Urg9KstrfxnivHK +4sKJdrUtDFpC5vujSoDbhzSV/HL31djzx2DOSZtosWYE/Thc5S7a33MSwpj+1Ngn +ywRLYBle9u0MrRHiy1prttwaXk1aP8VbapqAfLLY2EMMQeKFxvyrcBfcgWfJW5al +3ZAk+2WLc6db12y3efnHwC1/hg5PQW0ixGQQBxOxTEnZiLs/pNvh29r691uj3pjF +untDkMQt5/OxghzwIkZYZjV0U+HK1Vv7ghm+ReVLxbhRN1MFxCCtz/Ll7vhMqR3b +zZLjDMvgZ5bmiP884sBjJBxh8HKoz4WrW4NoQbmgotqEV/GBJvm7pcEYtBBYoF0j +q3pX/xKlZpWypI2lzXqNp+bO8RxJamdR6uQnTDzfoaB1G3McjHDjJZ+7zZs+NkNr +vYcBVvt0W3A+gqld4bwTtG8mMI+WyVslQyzb1m5aP2/5K2i2AnT6oFUrHO/UoiiN +aQEP8iHDScb00v8OHS0xITJpZbHXy8KlaxD4Ekrzdp15exEzrvBB66kGbxw2HSh8 +JDnAfOnQzjIesGmkA6I6f+H1rYqWA+bhrImCU1dsCWHjsi+k91x7oospeB0YX4gb +wV21rLhL4ug9+ApXEKC297Biozg9Q1VBXM9l0ISwpKkhPrTwhFnk13zNR5aNA1yg +KXx1ffnzHelqGgZVWMcKO/383+8XMaMBWaBdUJF7n/oafumSKd6Z1KQbpuXmrucF +ugP+AX2KbnXkjBVrCKDkeWrl9uKGT6fqX0LFlerCJLX5Y/TppMb8IBeomTtQR2Ze +DtbXrDZilo4Ytv8IvZmJJg6N+spx8cwkKYDQE6rajFatZsw0P/u5LglTHM64X/9+ +Ewz2JcBHhG1UrpSaH8ZA+lj4+J8KBH2pMyORNACrj3eoeJsOsjrLYHy4dWaSP3WD +l6UuZSQt1tu6DLlzCKS2mTABKeQmHlp9jFSJKhtvwzNfwSAG1qyyosXEheTq5GS+ +CKlr2TF0p16nt+MF75fxl7jEKFOnOsY8SJV6NlQ+zEmmLLRAG2yE5GrBg38PiDFO +DNnyPUJHBOMuCEHJHLkNEmiVrtZAJMIRuPSxZCSPAMiB0tPyHv2ldRuVHURdpzsj +GaNmqxKJWfdcNgCJm7Xgfgn55Rn7/sEStgBIidqJzHilXuto0GDA7l8MR/st9F88 +MvUYV+m7SjKTontnTCE7BMDlILXnjYcFneU5HD1QhzrMw3pszS+PmCV6WK5PJdQA +sihOsYJ/psnO9qivE37YFO/JZ5OSlf5DqdT1/hBD6+/NMsIBDcco8EmEKfvnaVDJ +1NJJmLi6gVmSmgLeItG0IvJNuN1//SdnHxriiVCfqg0QjwkgOj7wIDjDm1IzJm6J +aWJ7Ru1BVAglCrA+hHWXBgryxK8FTKmykR0TaN2zSKLj+cu2RbN9esgDifa9tXSM +sMXksF1Qq1gVcEFkvpwejSGbnzE0V71ntj0CCXkcxll2DZuOBhMsBZIDC5CPoFAG +dU8s2GeVcxU/ZbpVyuhWf8lFueo7lipG9XBfqnvlCCr3clwZnAqxK5iVXRr/9h+b +S1NLiK75IHJq2EqvE7oHA1j8FSqEz2/HDhoIICIa1t7HaXGaDyQJ/gCkm26TmuHw +APvDERkk/1aypX3/nh7IvhS6f15uOkhBZIRP+MGDkqbVc7pI1vylyDxob1PgVAqh +HIHF5sFcZ3sIrIaHofSE1TongxIM3AqkWzTVGkmKk7P41x81+B//+bT9ZGyGSOOg +QjMZSzZkrTywG9cQe6ILkCXWBK4VturDww5lnF3bcLqpVmsXmh9LW5wU3+Dqre8B +0OgyOvzTI8eZuPe9Jv5NM8AM+2VRmRDSJpFh87KCC+I3A3QBUEC0TocgkJaM1Evz +5pTCAoTyLrFVQO+GFqypsWg8rFjnew1sCplGmSt+FzOFmL9kve7yUJK/B9RXf0S6 +QDKm09nFzyaTfVLlNSaGRlBOZx+6BdW6CjH9aac5AQ/H9TOvnn4KRehTEo1dcXcX +5qMoQj8fRdeD3VOAslyWn15fzXoJA+VGyoHDi2yAXTJ6hl4dLdfHs7UqyOmP7x+d +FL1wdbqO/vyvbGjnxydUBrGQ48HZpE0xRrBcJHzGkDljrfJCYZ7Hjq6ABuDNA789 +kjrFiQ9LMdSCB8ov1KaFWw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/siv_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/siv_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..283b5136ac768134fe20afe4e80f18be4a1785bc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor/siv_xcvr_xaui.sv @@ -0,0 +1,706 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +Q+cqHbbM36C+GlYQ5tL9n4FuMRQeeUmOC0KX18uPBrC0Cd8tnCI3J/5QTv/6ByP+ +gzydKyiSlXehO3/bo0Olko7I7616oX2U2SeolMxCbdr2VYgViOtd8d9GniKPZHVp +4QxrFzriT1SM6fQQOs357dBc77+0EBEYPSK5QcQYQRA= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 32144) +BKL0Z5jfVAshiYschCT+AiYqm6rZbGkA+9yx1NWWRgHY7D4HSHCa0MU1GhDG1lvh +qptaUCs+e+c/IGVC4GL1Vi7qkyj5uaoqgwXfnjyTcC+7HAchsj3noU5Wnu20o39I +Zm1QjyAFQE0TOBAUmx0H3Vy/8YCFPt+CPFomUDTjR/CVsArLSc4jmPyd5vnXyfa5 +wj80o4uIbH+Iud+7vOyt79FH78aZanxfur5T9IerTKjEIShose/tdsnB6qYx6GZ8 +NHaBtRWbTOieoBAwhMun97YOnNRJzo6ZCxdGc9WKRd7mIgUgB+nB4s2vPFKgCzFS +GF3BBF0eppEV+UD2Yuz66uyfBmOn7wVOABRbgMVVZepznaMSnaeZjsK8Mw9oLdsa +0sJTdKkZdk8dc87moREGK6z82evrtEcZMpMhUXTPF1mAPirqA5yDtcc2k90FWGad +uInLexn+Arjf3egK6NPjH0TkeGaIP8Ch6cWVOfl7dxJSht7vUZ4GXtEOUVHwLz5V +Qw4l9FUpCISXpAC9hDRmnP+pHv9wnDdQBXAtq+YHS3i83PK1xZy9sATvZu/sdAt+ +I/31nUnllVF11j06mfQoILmP8Ljy708ph0JzEMDiUxZK+qKD85P0PYw72pV2kIyt +IKMfRqtId8bSng/gEx5H0jQy62CqcGtMeJQ+PBch15YrHDGWzOpXuBH49rkcz5NZ +2pq0912ahuVhsKVRypllR6ASxJ6HQXYIvAWBGlOEhzY9PXMSA9rUIK/l2D7kNiNX +wqoicMqs4H+ha0hFJDA8nVMiP0TBkY7MjUDVnSdHkqCjWroa22xVUo+/U3OuPsyd +bZ3+60C/SK3+Tu0lYdNawUx238BjM17w4pHpJT3enTtAOpHAsdnCyS7B334UvVlT +OqeczTpnd9EC6VKuji+s/bao8aSK3DwWk7VBQxenR/fgHFxarB3hDOT5MrNUxg/1 +8pfVaWPG3hOxdpp/GAS5sX2emLk+oyfqc3T3tWUvsrck7keN3oB1W7Rzl4gAZe/J +aAxmlJvLzakC9FPMQpoT9xqQpwnDwYZy6HQnoPCBquPDtDGYwjIoJsfedqzwLhAO +Yfy60ZnhBDB9794RJJteSKOE8Qf3gfQ53RZUMyAYcm0H+NvvkoYtWH8NphsUeaaI +RaKZUQs46vnuDn2a5ZCQhHS3IJtnv7PK44I05KnDeNiBVMn5K3VAwWrx26sQ2uxl +GSl45udaScB9hZyUWp8iOCsM1K/6ohqY7oC8kW8iYjyvjCktryOIlkZ03BZuL+U5 +7iRUje+mFpEvhAcOwPOlThV+qIgo1lL7wG13W9jfrez95MZCKRUZnH6J9oVVf//0 +KmlaQzvDYnM7DDtnfuIRyLzQt+pbpqYnC6Jzc6rUKITOl0eCXoIYFGCw+ZCpoCxb +g0PNl1qMErxVUbpibj46sXOyGxNGicfuOfiihUZOdM0szIuPAatlKxJyn2tqohpJ +cDLRQAK55Xc9uwa8VoxVlo9JtR4wRkfiOPdYhBswZXRDMtAme1bjmvT6XDw6t057 +EckjTG++zzjNuSqd4D/peklSHiyBmToQcYouwO6NVmjAKNWWXoJi3GiCFORJOtkB +WGBVHlgREHCBdtbDpBMZh3mLbi4fU09W3kGoje4ao+kc3EVAVSvbwpaaU5Hfw8oC +o6/Yt/4E8FecFha6Iwsc+/wI9XE05jJdEKpXfjA8LByXN0yk1kFmzUuRu0jfIIqU +sVG/hLAoXW68S0tAbxTrGsW4aZHRT9fJ2aqH3S/wpthmYL6n5EIXBzmOYS15dte0 +WwLgrOsee3McbF2qRbEj4eBhzD8vtkUJL88iz4USTA2VpF5mvUOSFMk33+vATjKd +d8D4LqvgKOkl4BxzLlC3BGR/0fC5IA4tFUZ/F4F2ee8zRji8ItLEkh+/LVdocX9+ +4Usobc4T813RBDrXUfgu6NqBQGBJcdvttb8TaNe28EaXLZlmscvXaATFovVAuBPz +hZBne+7Ikyxyf7jb/U+fDERtVXB9wAf1YgCrqgxZP8S7OLUcFhMBuBt40HyFH7uh +CedPYx+cua9b+DFcd2c4kPY+erQG1htC9g+49pxID8bFIA/H19xUSl3qpuVQOK+0 +ae5ckgE06ClQbKjQauoZ8mH33770QKVzu17H3iyAdD9YzrP6aDpRQwxHog6mcpl+ +98YB1bWD8fvdpaHmVMCiRSN3BlF1GwHcVpdVsJ1dCL+lPJMYjqiZs1LeLyOp9PGJ +HmDXe+c5nOg3QZXRwQBitjisTmq2tXIGE5GX/uuyxvTumKXsBYU2/aKt/0/PMmdv +sPJEEsTjnbpKGG41SH9Stkwrx2OTqd6UKSyor3JwXDfYPGyjljXMw8+8ONO+Q4BN +Y5a6875Gbi8T4H+h4HWfdNJB0Q/9QcVbmrEScSIq3Vgdhh3FWKQ6HrKxIkGmzNrs +Px27oNf1Tei5lk6A+bbuCCln3Nu3FAY3gx3tocko1DTTfIGovTgluGwh+/Q/CC1f +lhnp/BjWSEb5rvlhaOknWDahFxCxrAZ19HutRz3vqHzwn/NoQ872FzN+BCeMmvFa +vMJp243J6wC5n/jl877vpthFDKeXbK+/3IpiEaaMB3FkHSfuX0Lc8UK9/JN0dyYW +p6vu0kU0ubuO4E7VpDb7XZLH3gig0n9LivxBViD61I0snaI4btRP0o+asD2iqaH6 +SNK/PamigCPGUaXWK0yiFl07kPvpuzvTMKdPvEoQOY6znSCl8fZvORPvxI0/22iN +mq051BYIOGC18Wzt/uqv3vbHqUfHWEQCkJXMvy2ojnKNDHiE+GPmzvZL3ns4lVgE +kXQZWH/gO8D1dVHRqop7T57bMkZeIvwYj9SgMIT9P9bcmZApA1v3aWNEBL8XEYLL +1whbV/5lBtqvheebgze6WQUWlrxCV/yZ/PPKFhxX1DGwAG6lDjy5cFmylBrqiNd1 +ujBWgGEa5WqfEyJZtsaoUA+ql/5A+g3nhXtU7ZRl3I4djbjl4YAh0F3Aj4H9rGQP +ZTHOw2wrO3R9BGeLUlkrJylWe2gFZ42lUovV8hNy4QG9FzNu+hVeqAayduqJyb5z +LRlUiysGylWNknlJVWEegWdlUq/xFOXg5LOynANf0/RsX3YfhCeZ+6GpfJGiRE34 +LLtorr+s2WWKCnmadyUmHM/U7+oboG+q7EMQ/K8J7EMWe16s41IS7HEMhNN07jj4 +0ltgG2K9Hsp/4bqLA2XyizJwAk2Twvy7wh5GvDwi8KH+FC7X4iuCTEiShqssYKvi +FW+SpXhMRUvfBuJ76i6Qyjyqy1Fq5jBIezYl+xOvwRpt7pSBVMPIZe8kJURuR28F +6ey4cHvAySXt4XzosjqHoyi26ToRO7ZVp/Dt0vDy5MKatqfb0pMA5vW3EKic3Fyk +uwR4fMY2djXlQIfXnDIsByDPjbgehEJ2TayBI9oWEG5w89yPIy0nMDcCVPks89mC +nUAeYim4EOUqlg8oXau9JYuy1LmXSh2tUVP/dDDmmnEgl+qqLLAhFAkBV58ierUF +YuvFQ2JC2iuoJ5W9onrcPrOAgugLlI9UCMaXTfFy81j7ElHj7d5mR7S9tcB4OamN +GrOaRuvIz5f3j38N/WmrFFtwGEloeNoDWQbIBXDIXPZEoVcuoW+LDW8Hfmu+Iov+ +Jj0XQ3oLst+QdqPyDTs2CSus3E4pXG0nk33LN10JmxbCuFvTBJqEHpRu1kcFd1+d +TrgXm+Sxkb7o1/bHiKb40L6KjQE59VsOmcVu0eQ2muYrAT33KDryFHDsWtQkjm5O +ZgqCW7MzBY7+mKKhHebu4xZTeoECs63AOu8yhl9IgXH2rugOVOYujb2CO+vqTdYQ +yqbPongvRQe388Gy0jKuAMbTkMsW2QpVtUtJV3FsckDnVubBUDqWQ4nU1TQJOasl +1Ay9NV7mj52BQGl1ZF6lPXLt6UlHjVfobg5fNpZRXMi1toB7HnBjHek0azSiReLR +sOowzK0FlMmIk55pmebqNgCagjn/g4NrQqQmavWFCO2HWHoYKr1Uy8phMNsQkOy2 +BDBACUK+TswLyryQjWiN+4NQKdPsFLJyV8MRkZ9DWi9zQFV0ndEfXFPF016FmYJt +w5A8/w5msKmUsW2QHtGE9zLE/3tjjMQWciC1F5oDfzAu3ysZCqRRmShgWcK2Ko5Y +ALISA19IG/Te2Dwjh7STl3OnqY3rCcMLwlhwGdoetIzjYAA4Ln4Zb2kweBlji0HK +VNI1hudWjnRGyzVfV2EL1VS2/oR2zZj0KpjX95r/i3xGMJNK2QQNvUiinzGZPwPM +4eXC/vLMkSiGGmUdQRotJEbTEvah88/dbuUEO6liTH0Jq6jQUtBROSTkFXlQipls +M8GFeXkRhzXyBEMfxReSyZ8sbas/bd7Sc8wz6cmZRfxjL/gcfb05TR8heBUCBvWt ++nfn4641WC1hww9x9Odqz1MMMYLlSh7x/JfGcRGt+2sy/qGzyERODtS5kpoSwg6Y +D5LrrKqBYwBblZ1MNN4wSV7ofiNmNXIF9ydJQYxrPRL3xS7QZBbrRDe0A+My0fW/ +nyHs7pdmG4jCOq8aD4wdDWEoOrVKY9Qctb9N8LYGDbvGKGi20SBHyOD4GFNsMiUj +W1P+yreqC99Uju5huwC/+cDXdctREsiCPGKUF3e2jo5fYNkQF/3AR/x/LaPo5LON +f/qZwX3dC5RXHDrp3a0JSnbJVOjcQpun+Qw3xsqYH6+UjiT1qGXibnElCkcnFew+ +TMr42xVTGGqsPERwz65UABDbe6nVAlxyMLG+Gpns+sR29lqtwVadxBh00ZPIQoms +MMCOR8kWP6v1TgKX97sRxWrZgndlvqrPERsPxnwzVSksMFeuFFzwwkLPdrFF3rCg +CfTv+ks15QdHapo4+JpEX6DCcc5ShoQPgDKBFyfZjqVOAQaJGBBJnTKNd1FR5CxH +nKkOpcM140KrUvqPWVyrATMqaJxkKKpF4j5Db83Mrx57zLO5IlmweXkOFCK/9bg1 +cdftWLWuFXU8gnof0NSoOcR8I8vKbaUJZlSso43EKZDaGjsqrRgfNgH56KuMfubJ +VJzFxm1lt18n6ykrIdUek4WF4sA8AF1a6BP49Zw1TYUbg3xa11CavDxHJVGljbKf +SHprG6LmqBLFMRrFHlT8gSxsl6JfwJJFwIwZ9mRV2ip/n69WrvJkRT78R0A3HJ33 +LU3Tb617hAWp47U0a1O0vmE+XZqO6Oj3VvRHBlfxgLO0um3j5DPUFYW3DR3DbVp/ +a/9ifwlipIBzi53Gadk+jLPnH6gnWgh9kznDelvwel38iC4coarjSjeowMBXfHtJ +y8WYsvc16H2FyqKXKBcemFjvkSitwC+j8ZLC5Ubm5/+sBDTEVlkMisg3DKDIqAew +FeynAIG++kE7ear90dHmVNqItV2/s2lDCpFzDznZvJVrXDHCwTRdmw4FTRjK22zH +1bqfAayzQFBO37hlof4A7apZg6qO/HCHipGB0bRALKGZVsI7Peyk0+RKARWljrUY +CjC9B08Ayy6S7FCvegMBhjMTFuFR+E3wqlDtt/LYO+oaDROmznR27s+VO/tIcmOZ +VJdjMCKAXYV/kkCKEgN0eGSrRBpG0hgqVJ7sceh87ACjx/g8p0SXWHIUsxlSp3gp +I+Q+mx5kCq9JYJHeRor3xGoMn0ZXM/ToTwnusgaevTuM2XYlbl18biXwPg3xc3bv +mySUa7fWuIoxfFRmVhpJij2QkalIo5BvyLyaCQldQGucUoUBG/M5pWmiMrOF2haF +b6/lYwFjGio2KIYLOyT74CLkqVvfD+bXJl3/WSq9OTxFMvCnhQu+coBz9skSpLXN +0D3ONorRyaO1cRj882yPE7VVoQa8MO/2qPWfyiMjiQepamw2y6DLxboip9e2hQ2/ +LLRvZYBqNULVjHAXW3P++kN2cpJ9jd3VM8+emELARITnUpbjQJ9O3AEAqTTVA1yC +J+oOabf1nRNYkSW5qPsAMPQKkPLGVQ1lLdOnN6aayTFcoTbswi6odIv2w6TeIYLM +ShfTUq/o2VgcqrvSxA4/rt9MiWJEcMB2yo9sGnjcExyyxP/OGxJhN+NFmxV/N/Ue +32Kf0l5XVD0cDPOi1o/N7GG2NR86BVG1AdASpNfb+CG2DLsavKZxboCosfufST9v +CXnzOxfetKitoTBvhMqLfZPhN4uPcqvHaakRSoszyLNqWdOY40EgVHbXT1/8jaAp +3GA9ztvm2vYELJUZiXtmZWzXWfaDei3bcjmDOE+pupUM1tyylYE0aZwbDD9ktCpG +qvlEOdP2nDhYTy+EdcLMJVjEZy+BOmvOq0LxBe9Mxd8tGdRH1BGsAuRrxJPv8QdP +cCTKkW0TT3Q3y1ThlnOAvCUmWXKXW6ME7jkQtlylNzp+z4xYhzhRDjNkJXu5VaEz +FrkHwtHUZVkth/lqXcpiUErW10aajtW/gsmkas8IwobdDRml4euC+/jnGuJQIb5s +oBRr7EX7xKgFA4YmXqObw90S+n4is/zUlDYaCRljTahiNrFfpMAejXfaM+S/tshh +hsIyglpbImvuASnPDlMW8t1h1hyE+sfhDc5f4xSL2FVm6hZA3Kdfjjb9MZjhP9EO +b3tnL+g0fj615wcXhGBX8CQhd6yjAtZi8WIacKvh7KaPKLW/7qm426O1z1x8UToP +YtLmEKIext43047D2dNMdjTB2vf/UUejKu9EGXE7elJpgmdy9Cm6stFsbEPoQTrz +CPIlwAePCF/zPeOmktJP3eKiE8SwHyYRkgpBaQHos+F/q+LuhdwW9w+WqiqNmn71 +X5Q6HTaJ6N6HKMyhQ9/KRg9U7svBjLrXlytnpMwtgJYXyANV+gyaYLa4rm6NHvyQ +7TLrhB33kbBw/FPwTWtcpb91XHdtyoITRXJ5UNgUlhESYK1ctCGBtw/GTobwfsAX +E0k8U0ArBGgyt/cwfnRHt6qIeYEM4Bl56HyQNY2X3sA6kerguCJbGSPD9SUyXcii +KrXyU2VFTj/MmX1otkoraslS/X3GFf9AqKSSXaHGNEhBwMIunJ85JGE9tVxepghW +iUNWkxGKG7tofEF9ORtneDljEukVkU0scFJef4MF0sgomG5XHCgvoN7cjZB5wl1V +IaFCnE/2Gou9CaPeGPoGWdzZO7xFDGb1xChcrfa+SBK20z59j2W+eSCasKwpoStx +GdgXD94OyXIgP9rabCpaSd/xzZcnb8BHQ6TQSgjJoOVBmJbzkoqUWwbzu+SF/j4v +e1SCSV3oTV29p5hPjkKgngYSw8LkhB3NegYs+fyixEKM4b2H+NIMyMpYwTAc5Ovw +OQ0dX0I8nOfFvmKFTil5sUqBbpkNwc8qOtFny6mmiBSp4dwIoD56955wI0bM4HKL +NWoU27bdLPTjimC1BL7I5y4xYc5wFH687WdW/JN8c9KwwSgdMGvwfvGLhYo/eDJq +VegEFUAOCuOtL9ylZ+URShkqOzRX7khsz5sqYR1KlCGomtKdG0JJdDI+3G2cscMo +l/qD76KI1CUR/Yq6evIf5iePccCVpuKRZtMmmB9fAUoEymqIxqICI1hlqVtdBRc3 +rwFXy1le1YjnPSJZXeXauSyHyxLSANbOItAK6UiZiUuTzK/smEd/BCM4H96KJPAL +6DUq8DcbqkyINQc25tm8OUl9yI+X+B4Z70bW/yI6MHSFbBm/GAJR715XLmEQ4/Pp +t0Zq0MEkFuy/HtkpI+9OpZtEr9ydFjmNRBYPfbpAlrggrp4fG+wcOajyWofiX6VT +oLWrU55ux48nQ0xpzZxX9pjof77fsSXerRTOt306yMLzMoIkhIBomihMZGJTzkZq +XaARnQ74feKBcrSbr3R+nFIKdKH4TorVMeBwNCFIW67fYeUvNBRlXpeYlrq1qTzz +quvAB/N1290mXpkfZpXRnbeFtITQ26Cxae//pQ5X08QH+8Bhti269hYucfZc56nL +KSqwscew8gBtLx4QEB7kRmpS+XyymVSL4sk914FcWNmIA6fhmR6nADSwQ1J2syBs +lsA6k72BYmZwJn27uw7bhErsrjqX9ssqm+1SZJARXIkU1pYdvsRwx4FWKoStrtIz +VMWPrJ9m8FVM6jikr8DXPvuJBKTLRFdwqszspop/dek5t9zzDPjKjZ7njwT+TX+/ +OF1RTf6gjG7Fldc1QKvLs363aQUg5hP8IHno1gMAjbcfVCWP6jt3sU/qoghJdJkG +uoaGkVEiDFn4qGx+1u5VaBv7SuoT0ntq8/CrCBcPBcDN83hEVnQOSBSrfer4P+uN +ilbY9T3zjNW0EJjnGHxAVUIJJc0j82r8+85mAKEb35PmtslYzZudpaczvmLLTh8S +DoesFEgsvCocp6rF6OzCtgp0nnh+4k0A2f4Nkd5im7GghSOa6GT534N0OnKUR6v5 +aZQeN2BzJ+h3jgNXFbRyw6SifgU3IHmLXL0WMnq52hoqJtQLExta7pSgV3Lt/CPb +z0mQKpgJj9CL6cT8+HolqsquMdQr5bNvCo/EqaijtjXhBXaPkzB6KNDQHapzEmYe +2ellXCQqix/S66XQyoVth9Z4XZR+pMEzzr+rQtdAk7oep+T++ChKI6/lkX9qUI3h +XREqperIHqg/H49J0JuaUFOO+eqwiS20yZaTu+uvCKr7Fr/SgDUU7pbOOdqjxXFx +ZO6KbTxs1XXo+SRKuOLRvNjZTRTIq2k8voqLlv7XUnW//+/0hXLetDqyLF5Onl1C +CQdqlomwn4NIx7pTzG/oGA8a9bxr5spUxSShFTalHVuXasPD0Gtim8X01qZL7F8r +xaW7mQlFMDMKVnERbEs+YNWymDwgIVaIujuG3Ozpe2hMu+spkvvZztpqxHKXr03z +Y4PVUH+X4WmfGjAJt4qWUP1xXAJmQwFjGSoVFmAcQQDEXSNm6lK/xFSjCKVQFTp7 +YPbk3u3XoqRDaHYP26ZrvwrB8ql6pHNqwUeGrwTDb3fcSfo13xF4QF8airEgfPzy +hg7Il4SIytgfdGUGr/3EiAMREhsS3GZx9Gjq+64J72hQRI6uuyFYMK6bZnbC416k +tEv+AoJZIy5IxY/sfqdfej56BZDaeCQX4P6ASJwzrzA4yHGuMvsdbhGF3jqL6rfb +JGhyYqnkg/ip1o9qwJ36zdJNHUnRRX9RDr0b25MEiIeN21ZDjZjk5AHtymnJCDMQ +eiMdzTo8e61Rup9nrL+cjYnsRROuc7LkuvjtsM5p7d2T4NnBp2vz+AZyQgxeHAG1 +zcmwBmmFnycjJPjORVj8O5+hnxx4ULE2FZ/vZ7vaeDQP/KPME4Z6NbFWUMhw5FJk +qRBs26hDTSHhWMOL52AZPjp2aHxCcY8ik0GmlqOtInTst3YLuPwxmbWglyWdSojP +pRjAhQvGtxfAK3ZmJZ6uh46zv/WSR89VQ7XkEczu1cNvYleEBFPKZ0RNCXtBFCnJ +Efnrgwdc6fuseWXRuS2Iy6RzvHfKmVynUus21EbmPRgYqT8yYrGeQ5HQFYzphYjR +5Myi7dk+6lwieD+fB44cQ6b5lhG3nAwyH0VKnoXlby+eJIX+4nWOK99yjxr7IXEQ +/Te2qtYKoFXKVXd5xzbkhDf35nqJKiqqKhbYtVHPvBLvZy8vd4q5YfDqPwOPzHhc +A7J/g55WfT0BmvBDEIigYYdsiFP9KHiITtghZDBIHr/eeGhLDGorWmv/VCmUTNq1 +6Kn0wvn1jJ27t9mIhTqcA/OgYznnN29h0HinWc0GZ5RFofeW4qP4GOUe7Y0aDpmL +bHOOPUAhtcEH/YhbeTJD1l876XuEquxtygQjanZikneLahSipGKMWRo9VRnLDf6D +uZLJh8ocRw80bvNezkyuEdMp3ioYsDULg0WPRNtsQ4IUPaOxEC/z21KSLUpduKYU +qjmG6pUutyqBmnIR+civr6igpNeF8xiJ7h+VHqZbpDwOtO5fxQphwAkH6I7x/0y1 +1NttCdMY5MCO+6FMtbFhCpNcp3uRit6lIGw3Jwvp++Me4gZ/9qVEfbYQNjhKwtce +7v1QTa0+by7BXCqOoKiuzlPb2HwvhgmKAjstHsNIJwZhG5JESu3MpDKpnd/ZW9m3 +dtjtQDBET5kBO27KM+FXdZC66OVEmMNZzwpbhfOoqzf89uCr0j53a0zBXVJ9ONfQ +4aVLUugAbGcd+12xbVWnV5lH+RIpBWXDP4cJ6oB4ha1K2pFVT/Gqb9+Qp3xHJAMF +X0pEYRJn8TA4NiFUPVLSsxyL3eQy3ve9PhYX44b6vr5BXy259BLLlX+R3yiYlASE +N2E/O2CDQxpWfYPSzMTmxGaQcOEhT2lzWIOGLK8d1ecq123C9XjePh2vPJwzEi9V +zZBkY+bdFV5CqCVrCjqS4+DHt2CVHehEkljHGcbgBVSNkJ1Zn3scXKIPELteFr9a +mH9tyHyq5ZsBIeg3Wawp7Z1Ec5uzCWyomOzG3dKvBNOmLaBmuP2EU5KCIdaIALjt +9ji4ucbC1phbDgcWhAQK6fbM/uac3Kdi9nSApHJr906m7d/FRV9Qaz4OzUA3Masg +aEl20vbb6Rpd1n+ADbcT0xsDy8CULsdOrNhcKTUnjyZWPR/5dsKdFBxpLnF8KB70 +n6RI0NLQ1+um+XsQsuLHIRz5r+64P/LAFdshhwo/+ZRRfFRfgpa89w2qJgtotF+8 +D0FOWcHQXRKD/jOAH6m8QRhXfZcaOu+qbviTIWrZNm6Pim6tAHuVlpN4cAs33CFE +5AT2N2d8g/JpZ+p8MaDtxusRfPhFqI93ZF2rmpP2qYOQt2OTyKS3lNnvz7AFHMzU +ELk2AiU39OJJ172DGN7XdM7yryDDcCHTXZioAOOxHRnTkY8Xfqqb0mU+HYubuAoR +4rj5f5DihRLxi8EGgJhoj090ozDxhy2nHiuKASCqC91MIt1LN3iMP4a3X56ZS4hD +OSx20HVBRoRGOmOyZHykGALcxzPo8Sz6GOj8gpAGkLyhqcoIIMaqFyvvdBLYAkwx +6n3Uk/gHA0yHvpUGV6EUnJLMLiDCztbPkcOB0dsNlRU0sP1CKeaIn7POYmEmN8Vi +pg7iC/IzSOWUzYkdqp3PLyoEl5d8xzCd0MLDmv0K+3NmhFImS8/IA89369tH6UdD +IR24xgL1Lk9YoBvDI8Ss6Te3VM+e3nN/xda0FTS+9JjSum1v39C7DNG8ETj9GdIT +O5mPcOxAdhy/hzZFj0yoKANRXnqYywed8i8DOjs9EMdojSiKpZh+ah0aP5XYdaHa +rXAhMyZp/icibCkdd4wZSG5M9QGLAYOjphknA86JsnM8OKRlM9o2/1Sxy8X3tvVe +jqB+8T2roM6/SiuCzXcm7KCZNlfIDv6DGtN71p8AgE+Uje2GNghVlCD2xETNM3c3 +R0FXC5GVbp+TgXVm0/VJLAM2cMeo2lJRq3o/WPE+ZkvapYCo79vutES7OaDo2tjK +qwEnaU2SWe/xlkvH49WddJpaoQohW6Fy1pdTWPIwMlyqk5UtkhM9ZcioBrpQ+nHm +cw5s9RiA5esx4rPAiyXx7IT6HDC9/b0eHNGWj0BrAMvysWT3Pe9cGSw7jyNT+rsr +L+XLDqy9TlTfTVaW9nmhnrf5KecH8W2y8ReN3yVIIwg4SQXvLumYKDx45zTAc8k6 +3wDPkpO4QCJT2oWGbz5nN/wmaj5GVJU9pkBrZ82BuMO23jE+JHrH0YSybMlDA/ct +D1I1aDesx44YaI0IESs1+z+hInkvguUBC0YERqXpBgn2y7gl8NRozN1uGRpWJcf1 +TgFGCh3xZPphF+onInqYxH8Wqlg1SIxpo3l36wbB6h+/6OkxVTtMjbPcPZVKXAEd +1Wp+Oubu8QNWq+j1+e7LzgCkosb6axgsDtNEnjorKOL6ZMRBsWT0xXaTEKAtthO1 +hpvzpB8HPYePhdOfiibs8TVeqLyX2THwm5WrLMJrx9yOMse+8lIxhIJC482lBdMZ +hTcfIxYYY08P6cIRDzpDmcMGpk/nVRGIfM1FD07AWXwqpvORJwRRcvy0/QGbTdpK +GS3hJiUyfl4zCAuqS6YgDFYVhNxejenvH5bdFFKQYbsc02ivezi/H8Nuzp+RFjgq +MpYa4NigRbEeHdWnUkAdo6qYjU2apSPz1EUw/83HaaPrWzhTW3JJMGsPmv1WoFkh +iTUuT4DBZuSChW6kHhHfxrWcy6la9rgQGj0UQMxtAnhf6TA5Tdk8lsXs3TwOd0Yq +QU2SFH0HoZiXfD3WRCLSbnkpSOnNi4ZCTlqOxhP7/WqVGIQ8oAIH5wfegWYceP7Z +Q7l3hz+Aih8ZArvkHZa6Ho0omoUZZM59xx7MJQ2rJ4D2g3JeZaoTCbHYCQOgywgU +cMSez+FOMuQ6/1h6cfwgU/LL2kcraycY4fj44QusWFuvtls7NDSKMrVx8qNbcSTJ +PidjmeKbPmsQ3il4TkiR8pEdIUklLl69g3UjNFdbxFAy9/XAwYD2/AOVU41b2Mtk +kQdHRiS46qp/uHGCuEaWlXKMfHwMOVClrl5qs4gAqich7MV10CG30u2N+3ltXcDP +FR9gWWC/ofxcJmDI0lSdjxui528mFw3vZqyG0ExggCxfeFAQcsSxP4NcWeYP5TCm +1/Q7sGd8KP8HwvNW0Aw8DUOssc66kB12Dh2qlNxUVUCPkn6yYvgas7YweNU8Hl94 +jR1r0KK3Y+8KjZNrLfAs9ex/zfMh+L+3FRmX8e34VeFyXbPNQWOPGFY54cKaMqXq +nrlxCmsFR53li8KV0M/1ysC3fVcxKbLNFALPU/qhee4t79RvhXeZuZECXfgilj+s +LNnTKuwzChM8dd+uVrU34ON6r+TfhqDKSQpHyg7mhZC9aN3m7KFqpmeqZ0BELH7j +PYfiQ0xtkF63SpGVsmlSNs3e4ZxTviSTDJRRZ+4QCXALW/Panx6UFBwnfegjM5hr +Kw6CqbOjUzAE46wm1quZcq02fqWx+xmOn28vAWBqxL5c2Ignjbf5RbVHXCHJWUrG +MQf5zxt/gwhuZY/qKrkGzlslv7793cx0wAsny1sB/hbmFUeYmkwWnxCPETC+c8Y4 +vYzpOHzhQ3Y3R04ITYL0knqGDPySeruheP2YBOYcQxGAYJ5MKu4B+zFIzVaU1G8r +M6FbZvPS0Pkl50T6vhNCASChkxgw4Kse8hOjSthnnPxz66E3NmxOCgjITeD66bVG +vzlVqHo/7XEbhxjO/v7Myw2jv2UHlkX72yJmBnRSvU/2W5Ld0VXJ341eh03hNTqb +OP0jdzkl+cYqhqihhvu2Z/gup9t1HQuHUl8XqQVteD85Azn0Com/JJwTNU9BIa2X +cK6ZXruqivIFQklfepuqk42+1cD4o/msQKOtXxZHz0jeA9lZvLEH9nHRjznjqZvx +D4P4dOE39l6Qk6Pldx/aTsgmz/L/6fNCmVvuG2mD8VChhEtVs//JDWPi90AJc9HF +n/F2qtkCizCz9YmEJmcAyq9W88h3K7lVhb7HXCHVDhRyk74c3ae/19csz0fw63Ik +YXrq274C+cTtNl6T4PQF9ixfTL+YlK8dxb1koRw6j61tEPx760ClkKIN0PyunWgR +6WW5bRKRauetevXoa0v91lX/zYB9EF75blzXIWfaIu/dARnE/4RR5UQaxAmI2AHo +hwCyYCD61ni2xGSYYIl9apLUNyBhJAgIeVVnTQ7OXeXWLWEH+IldQ2UkFgzV9MaC +GYv+KPRT3HAFys641N4SG85Ol+xvIFG1bkVQYWe349juoCYgIKysCNIpE206Mhka +il0Rs+JdhJx1It2/rfg8MXUK5p7WwrFyjBQJQXCJ7qL7Cbnf4ug3ApaWfNx1Gqeb +gbz3WVUg0+BG7B1yJWVNmnzUZvnI4OHq4OAGF+XZ85vwHohjrNj6JPFQp7BBNklh +aqVDs7MdryR4PuWdnMxmOmt02dL1vBPBpEsD8FRFza3mqzTUl/SHuP08wGhxCBn1 +U8DDXe4J9JbKSMg6P/s+f7ioew/HQHjhmCe1rKL7aBxdgzkqi1cPLZSNIsmuyR4x +rQsmxVEJ9/lILtRiSdHp5TaGU9VhfVASKV5YPBOsuXoN6RgO/YoKxYf/Kv7NlMMz +lk5ltdIDo/WKF7+3OEAO24qd4u0DpKTgapjci/qzbjWXbd44h7VwnxfNeFZ+5KRw +LvpE2L9jPkcCPHaPEq3lGsqMPi0FH7jfj86rGqXsmkHJ+on8+LhMheyy1rknbDbV +hQ7G7W4TKf4U5ulAz0nj2HXzlVHWzJ0K7O6GREs70i1FtglDE/CToQnb3kma603H +ZD7/wRontXQ7a+OVO+cMih6IepNKqO8w4ny+xEYdVhHEC3VHRLPFMGCLtQ/caU2B +DFh7sycg3WoyENF4paHSjPkhNlVXgHVAMtJ1Yucv3wlU1w0fpCEakWD4KgWQJ2te +ssjngtkBIFDcKRJ+wwD1cqrSMVNiQ8qnl0MWLki7VOXoPihigLpuZ6JWBZG/MqRC +M60Ea0uJaSrviLlazqfwPgVvJxeOBIqo9Coc91nNb33vwGt+FwtqXd1tr8UD6zss +6SFhkQSk8L9Gs0JlG7YKFZKKnzR9yN7hexfOEmvU9FYe7rKbAYSkrZO6IDmhPnmC +MZ6IL5l8f4pdwNydw2Z/XnVMbdKQTWJlk06ohmI62OkiixbqePdfPLkCU/XML8NN +6x1hRJMirn0otoF+tyMWh0Jt61CPv/5jUQIliCFTMg17dStaU1YId24rzD4oR0fw +p2Rgm+/SSNhnDlgoArDvJgU2YeEsLJbxmwI0n7PaDjrOIXGn3PbnIJJaAS8dEn7S +7xCTZnYVMNRy3zujcLMCRtt9i4f5/DxLrrqrAZmkMI9A7i2AalLAqS5nPzg9ZEGn +xc8m19GobI/ulVMlfux6Yaow6VB4XCf7DVtVy5yVK7aqrl/AVGtvYXaPdmyHVBRr +o6NBYEm5gcsqOJAmhHkurZYcQ2KtvzcgbuuXvfAw/XTBcLY2irK0Q4A150EHw4Br +HdYjGnt/GG+PxGKJQFxXvZwPagRLU7icogSh7IdzbacHKHDMYbDEplLjDHHFrfdZ +p6dzrQrMKi+K0MJOSYAgSAVAkjn8Pv2Tzo86FTZ2PdES0+fvYw+OnTXeW73RMtHx +bn5E6UayE0+ZidCnTfw/9VATa5kRR6iaEsBbBWRz0tvbgJRgmOWnZH407CYAxb9x +t1wglcTavgczhPSFbRjHeOpyAkdEZ+CTXVPQq1ai7AiFhouUizIqMklo4/vyzhWw +DrDPf6Wfzcy9EzslNIwLlA9U2Ku/7CeEnljJkExwX+GUzLUJnOOY4GN0n4TEPG37 +Ns3bbWD7Cns3vKoY3wdUicEFjlfuaY5U+EhL4lS+H1DH+0TDQl7aNpcecQb1spXv +fnv4IGNndx+LjP99pXnEZszk678MWXya7JSXFyA88HRPaURuZ0px0UK9r0cIz3kV +F62AzTrzzNy+JeYMSNmoryj63XEIo1O8GSGF/sJk/ODJ/nSOFxUu0/bj0S3RyP+q +WrljrNVoY/dBBdQyazvUKgCxi9chvsFv1n8XH+2Xtm/qVckzQh5t6E7rJjOYyLgj +vXH5vP7zDYTXOEB0MVGOExolB1KSp6cFCocxsEkEf8B74IfWFnW2ibHZj93UFmjr +N1wte0+TXGMiBB7SSLRdIR4P1dnm1J2xDhuAFMMTBDFbqPnLRVTX7fVGZt6fIRkm +6m4Xf4PCADxyqwoNGBgxEWuf/cpdaUEy7soyQNTIAmLN8wnZREDTV1XBu2bFmDUw +WcuTkY7i1qCKEVh1r6TpBvK54CFjIa64SLVgWVjttU5nAp72p9AytGKcFj77qtm5 +KppaKNaEunGb1iFrZg0U6Wrk4lra/pXPvYHz87Os3AdR2gALfko+gf3dSeRNBQgD ++1VYtg0tVy3Zh8EV7ustG2r1VSx0gObpF9fhyrvQQP+p9T31Y93fsI9wj3Vk1Xd8 +E6Qyg0U7EUOFr56WaEtUjhLOT5+YkcYTWIe3FcL5XhQEriOoAcpsc32M3vdmhRib +UZ0SJNSGydlP2jvx/W4aRg3vUHayJpaEhA7lIGe6NBTsgg9FOZSNMXe5biSee4tL +30CsVheHcenoz1EuznHCPy9Q4i8zsrQMbs7H3Nvu4Gztwfu8oE0TvDQDKIGG+pUW +pzDICqYPnK8AbLy/A+TKUjVxNTWQAq5VmKXcP9x+WC2lf9NinrQgWBUNPonQGhP9 +L2o2uX8jLmxPEStkF04Y8xsRblrzuHa1PRSZ3uRYjN16VRWAFWQ8zCPW/Fu5mRGR +Xs8FLcHKHs0WZI4h+IPD1nNcxPKsMDhqBdzXI19rTTDCcsts+KQJL8ck5M5bY2Y+ +oVkeXmU+jW1WYfpN4DpoVQchXdL7IqPI3Qr+g0HIE8qT5AOutTAlgMGJrFCAoE/R +dshSOY78KB8FDMbE7RdUgUgIXg9NtKsCx8+QycUwyzLkGc3QzlY+PJNM7PeR42tw +EkmoaMSX/SSWRtQggeS03OgEUvy6lZdzMkAbSB2T1gQHqb/Fn+egEpROOP98/M90 +D3+bkbAy6QJr+1elCCwFB4MCEGAM3m9RQOq1VRebgEWGafLes3O85IRrZ3E2VCUS +aXskOSsL4FAMu/XD0yur+Kzv8Qgq9IWCkWorubkzlhJustF2uwGsmzR6uYsuceB3 +cOoJhckFqm5UO1HYsvCRaxoNvindktj1YcGFrBL1OMDryyc7VST9zeU8430ee7Vz +X3Lxr8NYjbncbKWsqeGpEyEXsWxeSrwvhnVRKD7rSl+44/PsAFMVFiUgdd+84n2K +UvMMywpJUh/KcY/UnouEPzoSXTH4L7DYzUmP4TzEXshIcvr+LEWnFeFqLaj+OzrW +b2Ta3l/LXnZLCpFW3hkLqUtN3Ny8nzhCTGf2akbSfZFYcJlAeeKEMvTrswh7OOhh +EfruBnFPODqNBuGbCl/54saewr3BWuCR2rovCJuJaAYtO5L8z94WpcWHLE3NPctF +cTlyKDGOH8txnYjAmtWQVQDgXFsdbM6VZRa7zaUDHDeGeFwuVoDxIz2kP3lxIfrB +WJF4wNVd3BxvjIcU4iih0zmqXUWVc5KixS2KjBz0GAkosfv0kLZZB34+k3DBd8zg +LJGRoQ0swlNms73hpcjw6cW3Xa2FMw7uQQvUCB9FK9LjquZFXlfLb8hq1n9h7W4X +1juBXnLvCCKqpReawuPN4FtfCYBYGG1rG9pii1/knxkZZmJAbxpREolNC09lqGzo +59ffOJQ9Dv47RRRgJchuavGPYECvl9g0SXXg4fcVLEL33dyAGcEDROU5UbChN05l +E/s6w/MWi5kigjHWWBtlXeGP0Z1DgfVU3I14LYxkwb7vd88piBXxFdMPHQ8ogTbt +IGvdtJ1YfBr8Eys+I5IGMLF1jSkBaVxZG6IAt8smRXlOW0hhZ0wyMoS0+Zidakih +2tkS8r+CuQXvE/16UxpTBU27na3i9DHSkzvcIQFVuUGZva7mjLzeTaKAAtWBQX4Y +uYgk/9utvdEbrZDKhsp1QLS+004fkQZN3rleLKcOqJL8+ngsJEKMu+wZlpP33MBG +I8IePJ1dcr78+qJhK0akwqHOuDs3LL7a/KVXxPQb3DCk8nPufQ5H1tGqyVHH2734 +nB0Ho4tG1zGCSWg8++ZhdtIgVAZ6z0tXxc9buCeA9feEPSp0eMcLtjJs/9W4dqWp +64d1jh1kaW9rY2vsf79OVeF5AXoA3GwvQJAcV7DsHALhfnvjYCOtE9avl0bmnDW4 +p3Cws3tXoOLb+kr+t6r5SqPZOJA3PL6TOf6r92dd51VwIbYrsXn/E0l2uolyEhRO +430ENrhQ//07vFWme91zXR+/zLdLjiSlibE7sBWq0OzgpuaHS74vWhKjCxoVAlSV +Mxg2NObiPMvKE1PuQCLnzIB5AK6Jj9RNbMrjZTKjrlH59Aos9m5BVGnEcq3mxFWO +7cV4ubggxIQTizsAolGKDb/dwNFu2D7DwP0+78qttiV/lkULghTeV024/mzjj1kp +3KN/vBPAmmTCwHS3kFOgeSquVgLgO2OndIUR7A7ZtS2zhDT0EvE0JRkDI9a7K6qS +/PrrAfNzhJ9x4JdUn/7KKV8vycDxUExNbJwHoaGv3JxWj/EWuWNaavGb8AubUMcr +s3co4NSwFARV27go9+7JBCmoPXbIE25WrnpxWQbcBh+KrlJ4dg+6WwKTZrVVyjiW +C0q4WhXSMRzQAEZgm3+FT+E/SdmKzYHmP/aMeAzI2PQniKMhxhmYL/s/WwMTJYse +t7kROOVRS6CbuXZG0BQYjqRzZgn4CCuxO+DaOvBquP7RlnAsdBtk4+Wtlh4Mg4Xr ++7j0wrIWz+/aot+92Q2WIIr455Xcq/DUgux7ie5+aYnciYY4gyomRFI48wpbZEeA +IfZU8thPf2fFnNe0/Kog3jBrBjZDIhnM4ZByrOKUUYjwWVaDhLnj+wxokPM08fJc +bP9cTwWAh4YvyiZSq2iiP+Q6zgy5tPeHNITMu1z1Xpy2z5LveSz7QpqRoWSox5h1 +7eiw4lD6p0IDdk4eO1MeiVlHwdab39LSGItICDfvf3/DbtYr8SPBk+sIb4nqmevn +JMWUEnV6rdts1gmyM3aWVaeUDhptZp8LUKXPMfuroKGrwThpNOkYQbBVS2/Kioi5 +Yupvpy4lUJRdJ5JVECkgWXZ46mZY390zboUuzQvm8XGe5J/pkRrHAcqmy5MCYDa1 +Sy5Uqv/3//pWxQgwVBGifjZ4O5zkF12SZY+YH1kuif3ePJJ1fhe8ZaauWpmlQoRQ +wkMAPTF6wNncnLOnI2bg5Z1juKEkU2br93ZUb6iY3TcNy0bS3I1ESnBwHzlCHwXb +weFW2rKLT24j/ZlwstaBvyDMF8YQJvQF61xWbyY4V5F780SDRd6hky6fWZx0/sVB +0CCulpGIMejSS7Tto9ayt2UvtEP7Qz7dtl3KBspmRpCEvSbVm3vAFaNezhsw9Fi5 +9/a3J+V9SdR58T0tubkN9UFinXmRUMyJT51/nMJ7uWdKwHa386bhamUV2kibE8h2 +GGJg+RSLPllxc0IHXk8EeHnI295mRgR6mdMGg4cpov9bTJfQF0EC26XA/1PKeB8s +xlUQ3JzVEyflTHvFyJ9rgQXjeJ0TPSyK9uZuaZkRiRyXxTf45DsDdrWhsGwxonac +bybXUkRwL/uycMErXSzuI6AUE4KOi+NC2Nus+EFHpode9kFRoPm8I2MDN1dxVtD8 +FYswYPqtNuzRGqMEGKlweATap56Pvzqw1+1d8cy1g2sCrjBDEE1WvbFGTT7+I3N+ +Q1FjCkurMMQvdeV+EKwSuKm+iGmhtBmVUA1uu21ebFaKCETh89VeSE0cvmeCUQ2G +SnJYSM88XFI7u2Od9sayQZq/RoQqZQ+mbTj5Q0o4YsBnBKRQDyXyh/CsyQSLfwzk +xhvuWQpmwtiSy85/m3/69H3ad5ROrd7rX6Kh4AeGXqDi5WG3wMCayxv+tqAWODzl +NiKMz3I9vzZtu0hmjVmfpZcTGadNA0vErRa5Y37eDhcIsfl27fhJISTiyu5mx6gv +eo76YXwDS7A3vzXqjlMa7l1yK2rjA8XRJ9O2hRvomFllFJaJogoLFGfbLa6UEjbK +WQaCYrCEcrL1SBoIysZKNlle7jT9VVlMkHx6Tpva6ZiEZyzX8GEvPcDxhzS9h2ws +mYxI7V1v2dr2lK5/gmeb6hu2ISdWnHatBWWut5iJLp4ZoeecjCnJF5kXz7IzMXXZ +61BMRN+EBbgDg5appqQ63vo6z/dz9VVja7LROsou6CxFkC4QUqTpvULaBoKQM5l8 +nrFfiD6zcwj+Su+g8C5NGoH5PEdJFg/rGe6oaGSNQ1caQ2HBQhGmtqiuip6OiDVR +NA52gvTU0CsBIsL4FB3yrKOPIg7MYF8GGppVMQFMnHpOvQ3UmkJGYDbMBlvSpPaV +YrXrFuVuk+LGoZ30v0t1N3DAVh9ztHwovwYpytFN0Ee9Nc0kX61IIgn1o/GP4ttl +V/7Ywwq08UFBrH7Vf0ZA8jMoCKBqX5mmmagnAdlZgJE9kKKuT17WHbusoAY9KZ05 +EqSdLkpp0TAfM7q6m4fA4GAgj+mA7qVAYVUcw1zvbPH6POTSIULEgbLY/n18355M +XB1h73s+ji7zwBZXmNI4MxaI/jjp7aNVscoutO2of+EUTGobvb2kuMM6B017LGiL +7HpEgCXjU9ru8k/tQumJpbecbL4OkxmuWeJfpVi22whfXf1dh1QmFOftz4deLbQu +gCaNCi16q1JL3QSA2Pm+trXgoJRX5A1/PxKfsGPyxh4mUXZQO1Ot3NX6tU5LzT4p +4dftjyF7LhKCChQaRwx3iQfrixazctAXOEaWChqDDKLe/DJNyp7vkJVnRJ+66BGs +sWt7muABV8r9oH5cwOciFYwdjTK6zwmi7sp6YVBPSNjV29douI5DZz1/a1uTiOSO +o1xuQLL5C67cARJPLebLUkMAF2PDCZHukxRLG/ibqnoc2ukJsDOju/hNvfq7xCIR +KtCEXjjCuUnM4YFVzmcofNGSVflbpyQ4DRFVzYicBAZrVtZuBHvulbejMxHTE1XZ +JY93bFSnfbCXFydCdueBS06tpLI4JIbnQzVSXyxwlUcjeYrrId4P1dub8EoaHFKo +gyaH7s8z8dWFG6d/QlT2da3VrfNAOKlacCM+Uz+0SzF76LSUJrBFLpqyjm14Aiqw +p6ol9c641CDuXqx48hkoCFVBbsuGeY8V/IeibYz4DWx6+y0Pq/QkxYcW/rvLJezP +vyVokIQf53g+WoBMezr1awsuMRjVJU/CrptwTo0hKuUoFEnCvttNuSv8GZMwmrjT +fQqnZt5/XqYVr0IWGhMXru1JLX2+y/TLE5srzLVOXddK9+qdCybQMHDASDXQY/bT +LuBIBxURaxpAY2XE2fbOyy8ODUYW1ZFFb3pMewUokPhLVXbQyNHrrkOJdg2YA+yj +9HJX99ZGr7lOGt7Wy4VAJUTwoIqPL97U3x1GRe2o/oO1eq9CB6Sfp7KcOynhRwJ9 +P50NvP0Rw6Q6Obz9pTH6jY7STISxmKTqObObYjAuWGs0DGMCOAx5oxeP/MPXiffi +i7WLhJcD8vTxtSTzYSYznidGGnp2QOKSx4HdsXwvcNVbXxnef1q3XD7wMJQ/g1WK +m2a0sslMUMjgR9gq6+XDrUDhcVNcUto5kjcLI/1Baw2GppZGdZubWWljc8i5LwUR +qIzwXmmiBMlwpzQkzz8JfhWf+56skJTbXCSPzAWFLI7kxC99TIWvXbTZ/SfAhJcy +WSbuMjUpxjM1Y7DDH34SgKV/hiNMV7oG3GQaGfvuJ2VdaNRg0NP3DP51wf8zn7td +FA1+ZHTloPf7UUtTpeUbRx3nzveWB4RaQcDPBZ9uHvPKMw+KUJRiZ4Hw9OFLCzUG +nyzys4AJGkn2D1bPZgcckaOjld/YucJ4WPDF3wBY/sQJ+aO0DLdiT4P4/0FAvx9/ +ucDY7i2fLkrFM1/fkIidp+x2t9/bU44BtKgJ5xfGcRii63ALAKE1/O8ksyBBKCML +bTeA+/nVRcqbR3lig3CGdAWt4OuHW3mEwrxytul7OpLD4eY/a4j60Rc2RdXlrqck +GotH/R4T2yu+t0iMIL+mIMIznK8dPhKjSpKME6sAh/9pSeJCf5J4PsIZeJ4C+pzg +eUX0AIDoNZuKoZez1zFie7d6VA/nVC+tGUTtYoUnCP4MNDcRJkY1iuaGp9J5ItFH +QI15DzM3bVOzjSp77bDLsYXVmZ+RbFGVrIGQKD10ovCIwaKd0VFZ5GpMLo/qZsHX +R+5Btf1rZmPcrztd0+Gny/WpWxlQO20Z7OFiMTmlNSs+y4XOX0YYa+EGxNwh2zBy +dnh2E+zYnZEr3nhs1KiKuY9YJxsrdWQSeGl2kf3ZHEGIh1r5J4z1EbhGnK/y+/i5 +ooEkccICDOPqHpgWR/kPsp+ZRkMIEYVcXelLx10MgxYpqZtKM8Xz4JBnZfcMxT/w +VlxY3AgFx6+4SBi0ePcJG8mhJFHASmbVKYj23MR9j6rgZXZAyJWc78PQLbu3dVD4 +ESvekGYVjgCoYeAjMK2Er8SNQ05sQtfJzs4MIGISNXdbK9T1wvJ8GXDdOXnq3FZA +kW9AXFdWvAGi/eOmrWfyl8UWG/eZD3Egby4d+v53Vnfj8azEI6UWRzmKuOUlFEFD +nf71Uqv9IDM6OozBSBpSyN+MFjWaJtcDz551liZMMKSuky5ZRC9t6UC3qojb4K53 +f/xbpdgn1kC4ZLACd47vMYgi+XaZr1yLxoKZLJLIAq6T3SBJaFD956fi+bHVJcgK +vvQyRnP273BfA7Evqngzrx7aghJcmrXibNfg8rEtyZgzdBIBqU5OPAF+L/2+u2ZA +h0bzjrRvXV+KsAQtZOVXEIj5b7cIe2EoAv18FZ76moouIv6b4yFeiwiv6DyfaLl8 +OAKqkNxxiDOTGqMPPU/Lxzq+v3j8xfFPTQm5kabHONlt557cgGatNERewF7iGc8H +F4BscY2EvoAc5ZBJi0TZ7O+qXMsFIBGVAsEbsJZFVoKvuAJxrbfrga9VVKWpW2Hg +5a7kF0qZMXQhFjRj1bnafBr3SJCvaOjnSUCnv2D6PSq1iUyHueERXjY69r+dzZa3 +vwNrVK7kuUHnBQWaJdu3AgWiJOiI4Owpcr+BUjb7dvjRfhGlJDwF+39+smME8U6p +ayxZ7xXhqrTQWFJzM2+PEkhShoFvI1ss5vtArEzSzed6o/plgKZmGDLwm54huJ1q +f7wiPjQZtrALicKtyeAfTk9S+7TG8nxgB/ERnjT/QqBpxmi3PsE4QbWJ6XPd2Pqa +qax5uM60IAxve2lJZzAo3whLmvQVVbiZDqOdyzPkkBeNTiqHpzbtv2AVRfeahWG0 +TTISi8chocj76ROnfAZbHtppccNw6eXlhOOy8Nn461+F73uIVm+ypgiQI8IaDXPH +POMsZZdGNrESU/hsa9wTEPddtT0q2hmE0onAAGo57obdcgF1V30/C8rzMDlQlyEW +bjGuYqNJkrtt/EkUB1gdJCSwqS5jav4VNbtotaXKhKortJfPfofB8M/eqLVV2zTl +2dKl28tYtJc3x+1EOnbFbhSR2a/7Fzyxyuyfqnhhg8dfhbjMjCl7BPO4uBabyr65 +68VG1l/+Mvfl/L0I33wP3Ul/A11iVexrWiGTdVud7vKGFlOPtpRAu7SqnJlhJzcK +fcka+7YABdqiLMX8uYaEc0ciI3mBEBO1FLSuWu/3eRiKzeMUeEZpStPXyirctPS0 +iYRcrxw10r/5hpoV4lelTY8eTpB1+PxRcreVI3bMPNyQURX45sgOxGeHPJ0miQOe +2jxXXEWGB8aMKRmnYedAKbBxObMOPop2zTM85Qhz9EczW+IgiigDMMQzoD9SYkzv +TiBMoyyEaA69XeT+hodS7Qf/Lz9YpOt46kCdMZCPPSyYnG7vgTQ/UaQIaLguhDBn +VgXIgvOyax1eeFEDwXAlpq1XHa/z3ARJTL1778MQ8eGBAPHUPoVHmGgo+7jivcqC +/cEb0qo/4NiNo9ZPcGNeqFxAPFHRlpVeactnZVrHjWjHGzmpPm4wYrXenUT9fTzs +BNqy7dsCziCLHg3UZOAxlbv6SykjpycEHsOsi3ZV5dJZzhN+HXLnOBhxe9dl+xPd +LUVIEgSokV3yUI0n1Avj2vlcYArz2KEpnCCTAhTBzitmoKRQJqqkI+QM+p0EIj3m +/E3zQ44XsK/a4fXoe36vAa28pXMDT75qeyEx/PXPtFn3krjSjK1t/ZJjfQJhm96Y +k4FsVcMVwKkQ3oCmyiHfVn1viPCPb9MuQHC6r2MB+z1MTbihnhuxjJNSNnPbrDH8 +S7+hoigcLFUSkX3OOdXj7/ae2ycPQSzy1lK67Wr/gamCHujYtV8ljxl458ZlLavO +6/EtV98YcyjTTiBLYLcNm3EW+WSOooT421Pm8iFLg0ZflzWxktu13fUYRJI8ycxu +1hbto6QABvH/NCnn9uvdB+MhO83UTWcycwuKus82T0poct5j9y1mHmG7S9BaJZ3l +7qnYQwns6W0mbSIB/zWpc1XY13L1pTAwI1iG5Cpm1AnUbgFdFrycaEm/VHIinKNP +IItKPB+sT3Mi8BxGR1SkfKeawlDg8VCUpPinF257bUGl/5ht5LcrzTgcXgUizQfo +bbh67lOi1Nn31R0sOWfz5ndCOOLh0vqINCxD9ll8PmvT3vNjd4asRDUdZzAuniBW +WNpOT8jYaO2sbxXddtL6F3/m95PQPKzyRgf+lmPatS9q61H5tFL/4+FvtvZGCqlF +wfrOI4wmcx5GSGDPpeQ1vJ5zuWh/55o74bdYAIqn5vl0pYIQtgSzfWPTiFeZWuzc +9mjTYfWuTShbCmwL4cedYIh8bo0CDINEx38nbgl6PIhpWWjPZCcz3S2CKu6+UKCO +6LzMj0AYkbCf6eVsdzopGVrBs9cruKU+GeRHKZDvjvBauq4O7bp8jHFg7FLYrnwa +kAt4/mxHhlH9gZXJYV0RnBB9tD34ZlKsGBed8H9RRarcukTBmtR2B2MvBuc93DqU +XSycOuINO1owNvD+FWvlamNJzo5V6ZS/C1uGc+w6AiO/OHOyNGHOtK48XoEJyz+e +vx4IJ8oXAKOoYggnXS3tg5YHYYjKUoMFbpILhMlZYrbDrXJQCyyGNlLAK0aoMXEk +3LcwePPM32rQk3raWM47e+5yZgsZaplhUmmRHnbP0KkicGNagAgKKdGn32Sfi+sX +5i+GOoyZtgjL6LQWZvIcqZTVfFuBAlqbHgrmSJwlrTFFkjnkk8DfIuAbHBop32a+ +ti2DytzbhcA2cnHXA3cjm4MzdsaOV7ps3j1P23FRh3kGquAJs7GZVml1M5XpeNo9 +vFJz5NoYPIdFovGjxV43UWX9h8oXwjsZAnnziJEmpjEGzm8j5LzM/cMBhDQ2vhno +auTUi0swcfdhh4mb8KpqYXV3XwqXLHKtDi/7HpTc5bc7AiBpzK4Ej+VhcOgzbePb +t/ziGbIq2SZPYNFVNYHWZ3nPgPKy0I1kezqEjLUDGgS+qBmGqXTmcajrWC5mt6Zj +hNdO7Xhg7FTJSyh6qYuXkM/nnbPUm3ANXnFrz4HbF1mDzmLNcVPGrdrXVWeV5QNs +1hnv8hSxTX3g6uFKYIcJLSDvt0gR5hv1231XZF8WuqOJMr67dz0M3uAs7wJ/YIzS +Zaw/Xrd0yC/fkledEhVSvZdwzLU5CKz3FtDCItzsP8KfNbw19wXEk/RWB7O0wpUl +XMXFwqZzP3uEr/yDXvJofsG/yBww1ZPBHRjotXQjArkh2BrHH8YXwaLZWRUeFZZ1 +gI/9FdPGeKy6ogfeEU8/RbX3lbWrkvt3dRYBcGU/bJ6efpN2GRt4Ii566LQk+fRN +T71VKqbT1laUMLofHxFoJhTREx4JmFomsKV8eAP3avX5tgMgpGApOuBtngwsSTGR +umU+hIDn3JdAGoe9Vf6Q5mNLVyC89HE/k8H6TAwT/XMyrNcWdSWf5h7LA673KpCQ +uE0TjZk2P26ywMIY0v2RPqOCh1gx3d8zZLFKdO55M21J0zwZtiJUFL1EkS0g79TH +/Ct6btmdZQnYcd6rHrjWNXbFhiwblj/oY0DAS1oYPO2LFYRP2woLTNrL9txfBY+y +lgh7uSkF89sTmjXRUtbEJsWXzeAaNfezXr61FGGUyrN+uWZAthYhzUHhJTKeB9f7 +P3x9VUFM1xyKTvzpl275WUsGgz2AIPaY0LgAxKrFKAgDDckN8WQkGSvgcuOmHTJU +FYIvtdJ4ee0kkzsWmO+XdU4/aOOzwRPJ5eMDNgKeo1nvNcqGxBZgLVM/vPqE3AOe +Wrln0ms4mzXJ+eHBynr4mebDxE9ACMS0q8+bBYnfWDmWmPST85KsTYLz8V1Knc6f +duEs3JH3i1Hqhrlb9E//Jk6LFrvHFwNWM+oYCvOJbvowycwc23qeZA5QEr6dkJcf +xYqP+s7SBzFC8JY4JMs0esKbH2JAtmOydQ/TNZLgQTojSU+tn6CQriy+W/Eqh5MD +n48DfacAE4YH9iVSuZFfFRDZm+77tTeIRcHWJFSHcZKMqdLsyR76avASSPbx3jzz +eCU3xqCa5lwUuGI3MZGoP++CsLPAFCG+RQWVOhoO5EHcT1ukmApmyw6BhYvM0b1/ +n5zaVfDJjqCaUWl8c4H0OEod+drZhBhQGM8y3zeYAKTBfBgoWd1sptLj+mrprRP1 +CqpbMGKZuJ5Jl+1OUtgSTIxyf1X68zbakMlZgk4+Zy/XgOPxUdiCeZnnHJAhwQS+ +XuymbP1iEShvEEh9plEL+Nl/12nYg0clQi8D0lUsMinGpJFu2AZriGROIxEVgABg +mqCvU2PStLNbr4rVuy94oe16efEMQ+us727p+Kih+WOv4wcONAj3i5gWYMD/8Bn/ +Udw3EAPoMpD5V/LgJKwWsjHJgCEp+JV9S2yLKQScXCpCCaBtii0A3ah9PTZs4y8P +8T5n8ju4YqoAsOJlo9suvxHK0Y2GjH11rGd6/CXfKn+F8GxynKVOBp0izicW/dRk +s8MMWYvv2hn/8B7AcSDWznOLWu8YEo6uxU7ancC8y90MpHNddEv1PaRFRplQFQS3 +nSsbgkrocngzZbG+7t3N2cOKhZuDvVKjF1TDkJ4nFFkOKy+Wy9HM++GM5wvEeIgf +SfAz5O3lfGq25LualJxn6XSbpCXoaD+xAt/hi/N78iUaCjKDQOv2A88aDuhOnInp ++G3O8/UqPGUf4HJBrKMdChVfm9/ro6FxYUHiJdrbpaDnPA91EPqefAbFG/+3Prov +RmnMj/wiNcT/39v3pgKIroGDdd7wfE4rE7wjxNT2zr6rxvfm6Kp6ZAbnpg2MZ3Ov +JA29yb91N/zaj264R6Re+Gr/cuXGR5+aKwGjXIPLBpa+pyAWXD6JdtPXWu4h23EZ +kw+mqDaESbhZsC9c4drwTsEn3VxCZoZNrGUw36wx7K6EyKn96gc+u3fUqDFJFKbf +tOFI+iRxkXlGfGZhwVGxtcZqtcoqifJdSBrajVDlO9p/lv3FiA3c5h59IllGFfZ2 +/f1odz9ek/wOs6a/NWYsp0cctA2WUz/Bsq3XhdJda6I9yIiY0RuWKE2097sL+K4F +IMLN7vB3F+fF/Xfh8blL2VYvMNhMcrEnKbokppOn1hQthqoXQz+ypr8aBXBrUCew +pTg1suyDrU+vJ6Knm5clhyRy4NvfGzYCjaUS36EzX/kcRIo8ILpVD0RLaX3KlYtJ +BHpki0Q2p9/w5IKvY9PoC4xLkBY7a4M+CAEWMhPYNP4mqKYERNvYjMeKPBWyqUag +QoEcYuCvucV50zvkiWLeh0nySBQCJVeui8VHiAnhlIQ6ALMdgFGGqZnaesKEo4Ia +voypDIXDt4TvgR2rYdiTdsOqEuDpo+zWP2MWc0TPofWV3IMYxQxYD+OfS7nV8pYc +WhkmRhTm7JVyValdDvWC1GO5lCyGQDQ1VURUfwOZ2yZRygmvvKNiUO0Kt3kubsiU +/xiS8AJgPY+X7a3RMh0BxeAEdNGHtZeKK7j81fYtzdfg1LDr37Uv0nhloDRG2w4n +wxBi36N7icw6CmKgI9Xr2f3iwufGySJJLwI37fCNPeyygHeMR8J+uScipQtOX9xF +gGFlRembYVPMjHtSjv/eDZoLhCgAezlLBF8fHqm2bdxyaRBCGFwz0JXLJemWyZTj +vTQs9gvwx38y8TKwF5fCApBMDFGGFzKFlWF07Kqg7AvYgQ09iWVT7Q1093UxgQAc +sQbWcaqer8DkDrEHAP4LBsbQClMIoz5rSDNtJi0IdWyZZ165LUrXSXAVkwBxPmhg +0KmS3c20ZUPnk7jb7RRi6rQIQ9k4sY7pN0b+5XjKFqQlorf4CipmzAUkOWaJ90Gu +cFOl5fqTxvJOrxYb/mBdGC4ztJlya+4vWQyIkKh5Koc5MDnzVft+Jhv2VPm1Gsij +qs1Et0aupsM7NM/HNH40CH/zvcopYL4TPVhM7IoGwtWj+rfo5xMdNXQD6GitTV56 +2sZ6sV8FoBKxwU71Qd8mZ/DQcIJ/oz8bfMZXxp+1FbxTEqADn8iwlZ/P3NkdVJbw +In/hLwymUzhukcLg3x6TJ+4MQ1MgmjOBkxSVXF8NGINolMAAqwX0AUB8WABc6HAb +nyoSu9BiiRpcfAWdcaqoFp8xqdzGrMv1acfm71/U6r0YvMuBGYm0989y+YWj8s9y +a+b7xcy95YgY3c2vbzuEp+XEo59FbDwn22xxMuCSTe/DnIRGBTOguRmZogVS51dd +35B4BbqiXnr6hyZjVet+WWtMwHtnK76XsBFJ8yh8DcnRWlxG6zGcAMSzCEbElGl4 ++A5Bnpscvalxg7jqc6iSvcPeFZWJOenwjsMJO96AvXBg/u9OnAndCYCRxne7SRll +pBuyWA2W3iBZ5qkDFXWYl4gtLMF2g9tnmj+2NP+TC/41H2HeE3DhrqtaaNrO4etH +XKnqMKIt6uGA/YLSpHu2RVP4cmsE3XvsOj2rtly6M7N4JY3vDIgH3QzzqZuwQhQm +1mYcbRPzI+Kh/pFCD5u890cwIaw+IVCto51ebqhs6JpEssd7MgnRkE/vHLxZIioz +9fYXV+77V6l1Y4i+bOfOMcUm5BDeV6Cn/187l2+J2LCv5oUdOkGnmeuE0dLmVEG2 +6kDttsmVcqh18YluukCiflPplIBieVpqCYD5POT5rq/mq+RAmEzuHQ9qB0K6IdMi +/aw/fg3CwgiP/Zf2RtAZlzcU4prjypEgGaWUNwXmQlA36y0AHaPcWOvW1ouVXyYR +MwH81EItj83IsWMRtTcINd7hA1ruPohb9fHrq+UXdxrh5vlqokgw5nmE6TWa5JPb +tzaPX6we4Ezn0nSUfl0zlqxVHWtD8fopyQ4vdr91yopMiMiUJDcNj8pkumJd/t4M +jODpdJ7CmeSKBx+OCLierhsuJCPIg9uu3Lwm15B83+Lb69ZjQQu8IVsc7A2QCiQA +usT2TCj78dssZ4+YRW471PYR4hz/sZcbXg++/YWxkV4s1z8EWeWs4zLU+6FLm0aH +odcK4zc6MYpu8thJSNOX1Nc2/nbqQfRYg1IjaCUaddtVjesf4MBeh7ge4vsXdq80 +TnQBxc1ujHTur5Unx6QYx9+VL1ZSWNMlmXCcJWTUE+1qTplqMk1pxnwwjIsKqfcM +cvTSGFaLNiA1NE+h0cEE1qynIDHv3W0hcYzK7xY1VGf3OLtPtTK5Zs7KDX8jKcnU +G8smMQ1pulieGCAd1mt7jP4j6sOSe/0UyLEGvrOijzuB15nwU8iLez4fDxahyOB+ +tpOC/GwuzqflA5Mu4lIiqJj6dfKWU8jvECEoUEmnqiNHyGAL0Ic4OPx2e7f6XvF3 +uaoCUGp45p1M2am9gc85kPXf6h7/2p9etQ/qwk058+6hPHRte9Kfocdpk/qw/gdx +tir4UD8brmWx0Kr+aQ0Z5AL3bHEKT7tPAQPSla9rUSWNR7ZYtnZyjnALEhVdq7Qf +3FWgNuyHtYUBCwjbNNYWG26Ye5NhHeVhkyzYpq8esgbr8E+uMX1QwdFjn/Xh4W4h +EWmDLmk0HhuP3U11jWcrGWeyF/8aEHEnDFhIkFaKT5BLXC7FhRtLswIpplO6Grn2 +SZdW1iz3GFtX+wS3UuMlJLqYeOAabdGGOPQSpMdkGO7FKuP/cl5ZMOHOD1GlyTwv +PzKFe8QRFZnzcFhWBHJUGZ7hlT56eQx0ud0VBi6GWgdY92n3O+2v6ezhYaqCoXj1 +yXZ29po7U3cpNY3v587MMtmHsJjJbxmfKzK5ZImkmW7qavjs33unUGNL1U4pvBVT +HJq6W7RhBGemN406lkM6Z9hQNpynezbE2mwz/Ik+SW6vc3r9v8WiK9omG0+b14fF +DGU7k54jrNq8qhLX5u9ljVxq0C2hMBX2+LLhVRWqBTulhWNZ6/1x+G+OGGcoJjK2 +Uk3U6HIZaqZzsgd3sBiAcbE3wNUDwFsSi0eBdn8Is0+x+3ZbAseB6QSMUeS4ve+l +fqXexoU9DebQ0LLdqb8If6ra9zLMUXHXJIH7BMLadEblYRJB01BIMc2AgFdTPclN +2JZ/z4BwnLhcYQdnXqLiMKlR+KEbNnTVcvXgmMf09sI34ar9wB5IQLMUjF7Nh8ce +utdgxcZu/lclMt3F9KOJQQFhBSCK16IpzOaLBCjm0xDI8ZQwc+lUymLh3wl2Ncbx +jYQTnIwQUMfWNdfQ5pYepZLDTUV9bhOGX1Sz3w/Y1rEJgwqbjRlgWmmphidrDzuZ +l+ovwGNpNP1VdLjyb/9n1Qk/KdQGnNZES10Rv1gn2R8lhoNPzGQfm4ErsZ3CFxye +ZaOJEcSEXpTmtSKUcAVbimKFC/SKtxkznbNqp5J8JEmMktXm00iYefJt/G/3A4e/ +2/1IbbhzcdB7Nzisg4oVUmTxbTlNNfQrpGU8L55MfZVzi1ZRwhRQfLdbl3caRH6k +3g2BNre1V5nedMf3ctunVIdt5dJd68pMuBRa7ZfWwF2gX7J81QuSBiyMSZogBnWB +NXgNqSR5Pg+NI/0WUX+UVYVi1pw50pVaqupRud5kR/HoWUAQNDsMPFeMjSpw3hJp +Uo1N90krl9pUXrs6bj06oOfXzKGbEtwxumFf3iA0Z0ZHhVWNKVtfb5bhlkdKteMa +pgIztDaCB+fTv6+hnnsLNd48JfffecZrigGESlaqN9O7xVtW0DI9UfX+vHT2YdZx +odGmuzeBq0M6sKDA5bjJk0bVv+wwX3vSj0vqaAgj8a72Hjmo+4iKG7Awrrg2Bg3h +vha0Su3FcgPohdP2Jlyn9LzeR9qDsSrKWa20m+eI69lmVVEd1E2TC5KASbCqDlJm +MZ9YXuAlX9PqzpYy49ErSeTb6xdu5+iX2tADzzOOd8cuq9g77ibkl2piRqlzlOtO +XsgBZcYAv+gUkTJ9m314Jd4vGZ5iAeXJryhFEaS+MOs07laqaV/hLJSbIQKp9mLU +oGovkDh6T+Plee8e1++yeqylcwCZf7xf67Uay7X3j0BpCUwx22YkNWu3k5kiyNZg +RQpV7UszYINOqiaFHrVK3KVXELJu7yL/j7+G60ofsyoASqUgEBbWoako44E2aTVQ +5/+I470agI06SItbBS/ytyjWWodbVJCPzJ+QTuuQ6oCrogEWCJz+0cmVvLyjfNdh +LxRB0PnAddapwQDypBRocjKZA/JnR8J0denNcIjiBePF1VOMeS+fiHan6kXUNqzN +TXij5Sh2ESVRUfZFC/JL1y947xQbJbcJOJv7FPkuqoa21s+S9ueqawDvnsImkGcl +WUx8u0I55vpzu+jMJxUzikNNZMK5sgf2ZDZCyePm8GUV7AXPjx3de6Wt2e5Y3+pE +ZvJJWFpVd+ho6EbWZXCWGzA+iG2KVrBKeAHCSMrR+/+u+BQfX4FgK3M/36pxFmqm +8XzSb4nPLc8xIDky076rXmZujFsJlJ5nqVWdVQy7722giPEWD/5uISm14VPqXTgl +t/GC6Z/TUpWJwB8IsEF4UtV2kRvBe3hchgLMN06qwo8C6//oHlaBVEWox2SkNVoW +K3rLNunk02YWIH68l/lR/BsybdfYDNQ8x7XXRQMimiKCEMzqgE+z0uterrbT6yMn +6aad8Y6d05g643aGbDiWB/EsUGlU7HRcNbrNySTDBWC6W+nsbf1y90pmLyJNMWhi +TaHR5gTYj8xOMu1+GJc5z9Iuy0ntsWRcKn3xkrxuRzuIdWklEiF7/9Ki+DHedP0I +3h7sCI11BV5XJ4ymXbKezRLKcmEti35kw+5lmElROMRvGHE5Kqih1OawvfeIKvIq +/LK1HIZqz9sNAvqiL903MXOTP4XDAAWe35An2wR+FSpScM4OcoQA1+bu+BLHB01d +my7M6Y3J8BDhjbM+3oi8liO4Un1rYj9MHopg12oJvF36is87vBQbq2ua/ErvPoy2 +zb946wO0H0ORH57C91UFDw5oVFRx37EqGajGjvtj797fDpxd3l/JS+xZ6P2BgQex +8jPcjfP+hKjfufDFN1V3NI6qOVXl750RG8wt0NgGbTtYcFpOj8G1xwGEHiHqgobz +ADfXPLM5Ip/4jIIrn3ANj0RgNoa29umuruw/jdY8KFGu+lCdEN1/MC8F1tcYE8OM +CtbrzrD8Flw11PIUVzJRftmX4AXdzcvAbaZbI+0tXWER3zqeDRpwkwSBPa6zMqg6 +tnsFej1745/sywX0VLbLUFJlLNJaw3EkT+1ouUqy8KZuDQhDM4H0uotGhwr8TvOG +tImjh8KpvfpV9YqmWZQiIYjLcvmVlgB9osK6kkqWGVkPYhLRjJ5l3B8JKbEQjp6s +9Pnm3C7WXwCet9wGGTUxXhlhJ7+xELOmeGqKFOsB4ce5JtClY7V6yJ5HEzZ/dTs9 +PUuVmBsX0tYyYJn5Bndy/wrjYhUfiHewqgddRT8arEYg0FS30j34ItPDxJ1whKfY +C0F/hxyOIhuLtZme2pYCQ5nm67Ueq2TfAmjpoQIIp6mq2LC2YSP3B3qnCvVBq82Z +2hzot/HZaFVUI3F4n5OkL3WIk+JXR8GIbnrie0WNVGE7D8WkR29FvCpsgIbTgkoi +lKmLg9g4js5CPc0srunE87omjmc3pwjxzlmZ9TM228kYZazynxQfv8ULVqZXYWFx +krXUf2IQ1nukxeqrYd6LkrNWbTn2eIyeYiVhJkq4lPXha1JR2Cd1Ynuglg5IYHWK +OJ2rNC6g3ZWONtRXjBWoUQwShDhZuU684TTW19NueNiu/q7LCDU2GypIk+sUjBu/ +2fXOZs7KjHOLP+vnJHs8S8l2u1hhHEIAQPyLZwi1zGpbVAnwfuI/XerfEa4oyGAf +eSWvQxm/Xnh+wEz56hU5TAqE6uWTJzxdkSgE04Jbxyk3VxgwGKuyHKmevOS5yJZa +kWXtjE4FxZCPqTeAJ2MnwY/c60aEVM4RuTMrB1pR/K3/cpfH+5jFoohvgNfMXMOf +ixca3w1yMlsK0zJbGBvdp70vWFo8FRTXV2/4LgSKcZmoU1HO8L9SfL5KnqdBGv7h +PmZ3b25MbLV38G/tGYQjKwgy6wJGeKHZroyCwm7kDqHo1avFrChuLcKpr11oKDA3 +ICR/mBVhL2o/01Spx3gztOCG3rFF3JiQFuZXhip6uTYZlh9vzxH4dTVihdM7ed+o +/WcN616R6H30J848blGdhAH/VEmcnlqD8f11LEhItSP5fjJ7rbwqs1FQm4rxWuFg +gzsyoMI0Dm21QUWbblH32C6Ew3rj6XdKemWuDAuhuVv/+rycEDAbODxh5GMvrtwA ++kfORM9dWf5rPZgZ2yFSrVSbVD9l51yTVYlyOAJrQ5b0rd7GA0RZEOiufgsnnTH4 +ywSh81ET9vu1FIdSjaGfF+nLIczBzVyBaM7j7lVJ0ek9LTY8IHPMK2ACx1CB/Hr+ +C3EFRxA+fRM722gmZC1rnZG0ekREYf3L5v3Skzfs+kEyXTWbMprtn66VB5xuBHRp +FgL+E6lPAfb+NTRSRSWsF0H4B+eChY6OgjZkuwdhNXgfF146aNdR5GuagvfRMQfG +UM6R3iSSrAztwHGg18zNvOk62ttO0xn9Qi2WP9/FCRwx44/H22W71BtkVrrznyKP +7FKwJA1SUzOh70AwNXEs+/90HsFEN7iUgz4xJHnpyxc3axmTCYLb+Gm1Oj6nvvDn +ZnQ9CeNGMwBwLnTownxo5dbc3wDyHbc127OpSIibVmmEVN8OklBQEN+ALe0/Us6i +xgPg+yql3gTfhX6ZxmV3s1ZreSm0tWuRzy3K7P6eq9xNXbEUuL1Kd5BaTvk7eZhb +4bY+CIXG1Hcjohh6LhoCfTFag1cwBQKM3LUPZru4UtfTx1VnBRUGZoWSPUAb9K54 +NcsF8A0eWlZkNBP+yd1pv6XYR8bi8MvR9WJIq+d01bK2letQhJtTANtqSoG2yHP2 +OgTuogf89Bw/megLmQeus9Xf23fvSo8S8ZNd9UaE45DvFrGW7qMhtufcSDCkiJDG +3NnoAQkXDfeUbcNp9meVmsZuh85eLMcg+SZ+P5btSW0QbIMj09/LoP6u/ZEBE8nh +Bjgq457Bii5ST1Qx5C8FIBMJjtLxZijhB0EK48jmPFLNBDhsRG4iIWrc+gemrPix +6Vr+UxfiZpCmZXLnHKzpcdA1C2uikP4VMFWVU/V0Gk856edLaSLA6ACZVhKxfkMs +CMt6BdnHFHUHLxx/lnWIIx48iCiWUIlqpnZi+LgZd5YEL1Hdvi78h5rLnxdawwbc +jaazvUB7efdp1FWEymg4smgO92qOLB5/pfy+V5vjUPryYuKmxgdE1bkemJcfYqsh +c2L4NwB04usxJlvY/+zbLDnFmGeN4SAmgvf/+C9TM95RpwBNJ57Ub+chUPiycZre +7yV8em8rOWjZLDMzzp5Ew9sFLkfHE4eDHjOPXQpGbEfXXJB/soqQDOLLAMu+Q30t +YfNEozRKi+pD00oDfLUaOiQXQzAmxWh0LtYgRLXdZZ4QvmPaJ6czNJQ1Yf7s9rkI +wF415aC5/2BPkxrMqtKqBqhf1SozE6lvy5XcRb587//2ISuVqneCG3x2wqy4VO31 +0UGqn6YaKKVmBfyPU2knFEmZiQcArtD4TV9KYjJV0ddaXS9GfO1ysckAyq49lpon +YTn/W00MOL1RGJEcqs7DOUswaky8reSE+/uJEx3ysoCKDQy6JxK1YYfk6K4WuKZ8 +3Q5BPVp6rOCO6C2nsZxZLRFYFwKJbF/J75Ws33+Yi5ogncdfGrpMMeE3HJVuDB9m +jD3mSwG357cdIqUw3kgeZeeUKqXna1ieN/FnADOO0g3BXutzV5d8aWtICbIE3pWb +m4PfbduPKzjMUQ5xn/X7u0KZd8M6bxiBuUD0FRhlSQzaNCtrGQod6zvHYGwqJiSL +Q4fOyEI9qfM4BCub8TklYzB8E1aqpRamqFVlBYCJP0NUo/TPaLGC0FR6agnpJ79G +RRDj90lWxLL7cjC2GUteIPAKpfnSCpxrhCth4RTvbB478fiKFs/ShzeklL6mDCLz +Wq6uzoVsfB5Bicdi/3uMPNSWgqoGR6am08n473nV/6FnOpM2zIaR21ydVB74OGhd ++AedkFj8VlBblXI7oQnWABbNUFjfyJoE0cC0DPk949qVeNZ9fEx9h3jbdRMI9zeP +bkEZK0ZF+OZX76859UugbLJ12vzAilnJfqLMD0qpoXzTm6TA3nepGzfIjubp/VQ2 +uAHbzzPQubT4mK5UQlH8o7+ghSgYNYfHtl5CZaS80MwWrf+UpuDjJVwUmTumXOi4 +hY2shPCUwqVBg20hlymSUcOLZknkacoWpc3Cqz8dY2eTvoRc/bS/1oyDgEavK5iS +5u5YIGYb8bqjQFN7YSHvkiJRdzbCf/qYJXNe8tWfAbGyP0dAW4R5CeyAVbtr0jWu +Kkqx2o5XsszdWT1VaVGo7jp8GNVuqWaj6q7Uxg6m6dC/mNyXVLq69LHZbhf/wknP +oZg9Kk4SrjS/VaQotqrpcBoWtd/k0NPfnEd7TNy6wSdNELF2VlZOA4c/9JmQxgpU +6D1ZzTtXsRQAheEjyAIJzU1tMr3QrB9BkI9sNBINlfpkao82bIhyLPoIDIzGEx0e +DmTet2TH7B54yxapCIac3zd47uYFTheuBFKFh2VDyapcFHXTt1QhuXsHrtypMa83 +eAzu8R2v14f3MNGYokqQk39fprpYOwDP8zNCyEWcb1j3Fa75oQAhO9t17KV1r2iK +gwFVJY+t+tas5t9q8Sb7r95/Nu982BtHsJloWzh41wTUWjlk9LYOrSOtRReR+pLV +9LSSdUX5XFyeD4K6594V+Qq4JB44GyBZdJb7uG+wjNKdxXksjs35LIqlh92LSLYp +/kE+YNYvzndvSnxb/0vVwd0pJNpLbBT4OJeDLbo5qgm+MSpyWSqghh25m9Ua2z13 +rRZDFWseixPFOHc4UKJBLNOEn50ZPrJTGiBsK9vlf/vifaDkSZnQ8ol5+JDcDvVB +GCNEMbbIfQbjKRmhNSO5qvpjlKyh6wZBIdxtFDLLfwBmf/ZevN1bq0/eotQXUOYI +mTDXmKn2pEHaZEaQ2tkAMIUbt/30b+n8ZmHuwzPytsRVglut3K7JN9pzB+3yNMoh +cyVqn7a8saUAQB7NIcitegcXYZDboYxet/Fpg3iNof2d7sLWo6qd2Clhh01ypBXm +kJX4tXnP6/Z5cA+rhf1LXrvBKd83hI6r9rc6vjqGonnKWePyh6WGmDubj09rxwFf +ehV1jMF5OOOJpK4DGulsCGZuvguGSaHnTtCJ+r4cY6fC8+ms3FLVUESoT54YtfCK +x9hqwq2m7lDrHk5BuBPdDswBPe0LpxKAmzeR/G1C0JnWJ1A8BRJhOplNt0dHc7NQ +qM0NjG8lVCUG+VTNTdpdkFYe9So+8o28m/zx9P/yKuBim4bi01n2M+p5K+W/m+K8 +Tj9bUb9WkPitxGBNjKOP3taWPBwu75n7mNB9mVyBPODjgOOkC8Xm3+qh2ZofajgE +7G5fPL2gfbrLiK/b0/h/TVpb/RUZu63ZDsuu/AxpwPhOIUyYbjk0CJMuhWyAau/M +vOVQj1WHLxMsU7uEixzW8SaANCjpaOfS7wulPrXHzEOo52Zr1ixYpzGbUF9SrglZ +3CqwueMhVRBHQjpAszu9TfAuQG38IgIxgVhm3Y5aWaMpgEaHv+9MZF/0dsxvDpQA +FZXyDopdCzDSsKzgmzU56o2+PJX3BtvoCeUB6D3a7g4FZC7B7KCiupss2QfCwUEs +tBhNDXmZtSBt2jVqLloXvfA/2wGiobhVib8gkqEylMJZjnYbIWNBArjJrWi0NTYZ +mcE7AvWAxNNKpSvXHGJOytivmMNWMUzOdZVk8Pi/mC69sAioo930gGL5kYFTEDZi +drF+IsyaZkqmsEQSs74QbvbegBtu2LOuXiVQosFAEv1MohCwLOyYgM7fzX3DWtte +yt2v96lxYXkuJ0fKZy3I3oBLg39II9ErJe9NvXob/btbCk2eBbLGdLt01nPwSNwL +s+TXsK3c9HL8AXoT5JWetp1YJBruZG6O0/yGMS9RtguVavuhUKyoHGS9XjKjT4Wb +m21PBX1R+a3EsFBNlHp5/kxUTXzCugW696mve2CcGe0fx/r3UPa4Q8rTWcoWh/5+ +0yS2JxMe9VfxMMl13hol8acx4Yhp6QoTWUlpqC8n8Ppe0QmbxMBTbL0gAK1L1wsH +k06K75GY4MQ/CtTYIsl+BpxDu+9zDFH+2Gv0eMRqYnfoy6b0JfyUSR2+7AGWZ83p +VviPUt+zZfe7tTR/nsQDhV9Qq7rMh6+aPJvmkGJ/PQKwguR1TTYHtl5jgMSiWWzY +4IJHYnaVuvtV32kUhDtX5h3nqzzrHbn1u/5zeUARnidOrhlMnfVHBX1Vpn8gkSRn +9E/tTdbAYlun5QqkUDmZF2vh8lxh1XrIseHkOIUhTSibOLbyk25hQgVHi5pkpz7D +jWunWwFT1EoLGBxY2BsoHEJOZ2W85qY+bhcuBKSt1KT0pYQk+LksloTak2I2SI8L +3vnrh8cD5Ifol2Cn8firpl94MP7o0q6JGHzltPtPsceLFPPqaoC7Lo3FRjGi2cxh +wDhmxTe/GsYVJKhWh6EoiPHgRVFcdNDz9QPVhAwxKAVsyZ4CdQsY8s8xiT9WaiD4 +CR8AOIEwP1m89aLlZLVNkKN1hg3rUmt20lwHEAbD5szOCbBhEjx16BAf6Ktun138 +yXzGoJXUdLNvpFOmoDJQfmippEbwmUq/UZkiFhuMYurgyHdeBVPRUUzTAY83YhVV +J9uESh8eVpAjBtabOebuZR3EU77r4RgeBqIzcEZaojKFY62BssfS00GesHMLUBx6 +wabyX5jpDRXkNRGIWCzqeLHPUhYTP22+ROqSOiCRV8jOY7TDC8sDgHhkGBJfROfZ +1dGe/15YF0X6hj3x9P9xO9fslbsa+ti4CpJAgBw4u8zisE5HMr98Stjn6FNQQqBa +pGYsEbUX6bdzM0NS331zHbt/w9zuSpZtE4eknHQOiqT3KueeX2gdhORH1/cx7oBR +H0hxVWz+AruJ7SulgNhjTX7li1Z48TxQ4VaZ4qoBpMIhg2FcPJU0UmWTEhn7wV2n +mE3YWW8CdqOZG+YHPjS4uch9nuuLJiHd3BF068wNFbUEUJnP+lk8oeESNZ9CvXYV +OM2oa0rJbPGdFCBO1hvuEVeu1s2dX51Zq3+n+aQkkiOQLIPAt2mjz6xsB7T5MH4/ +pivqD8V4P5afIqVNSVwIbj9lBz3o1mT4UOCB/a0gWUrWEbF2E64OMwmeIlufZFVE +cAarMFZTcBnH6BUFRUuVaN0kk+hyygayBf91HSeSpWIdEC4NP3NejXrz7jFtZZtS +lDO3L0OHQ61rPr1pRQI14nzYqZlzAlcTViX8txFmZvvFH43ZNsM+OFGO3F/dxvT7 +mOoHQC3YZHG4IWB4QqAG0eRgrNac/u4uSGj5PCw2EV4vtRg+QML2jNJz0Kx3gzcL +pAFd3SLhxiaItYdl8ZaV0DW2jLV2d94EFpRC+ofrNXDIIvnKktlIKhN7xHP5G+TE +jHNQMKGub53hvJbjcLAkQI5j0gUIpGD8tja4vEHkd8zq34JXXpR71J9HNQpRaZ6A +KdxAtipqFjnVdYbCrgJyaDS7EauDImZwCKiSlCAgXUKxulbjBNYWVjz5W3n+ENS9 +9KmQ0qQMW1orcqw4P76c9JV8Pj6WbMZQP+dE3g8HxUQPal6B4dkTp91etoTcLAMe +S7ycBNbPS1G/NkJiFOyf39y3sVdt0DPVzWHPQFGd+HWSFdisEXwdnlGoXU2zVl23 +kdvDjoYQHR4R8DEnguZhKvGpKs4pJ6DiWsTucIqR9ZaSNEjNCLqM7fyGAXgnIQUd +MmzLu5F4EEd/JieAY4ifW/U8ksub4cRWnw0dMCJ+lHgjGXV3qKIZngtAOb2beCB2 +UYYt+iBSxlCHwTmPOoU6te4nzDoBTE0Grm6f7vhOjI24wowyX8ZrKtFnadaDjN7U +aMgGqe3tUC47G1qx+GCDZd9T5OnuI0VhflTJT3dVUpwmjqWAYyr5orgTDaw2FCom +LwYLpfYYHpyePiiW6fGRGznp07+R1M9ILUGQTr/P8T8tpBOvUBjAuVz0iSdtjHG/ +GTSyFujYZcSOl9QfjOmhHw+9hmLRKKNIZcPgnB7n7+jCrN06p1CnkfU88QEBCPrg +ln920ZIBGlLnXArva4IvCoIOtJqmA1tv8HZEFmSARMwVAqUqVpvjhrzDXmQjzH/9 +zwl9Xntyg5ggyn2cBn4hJGUPSJ2bYwGmBsEFG7FkgeVSDZWQgJ3k3L5oDrmKRWa1 +03kppexsDChQtAY1rJpJjZHl9jWtFxsLhxvhLyxqelYcx2D9JGYBzKLCORopFxWs +68jW/AXz7GOCxGAWAIE7WP1FVi2XxIGTS2E0ZDzPUSvtNk6kK0yd1QCUy6szif5H +rU3U4zSRUKQ55I6NcG1+hghqWVKWngVw9TIa9GnKmWVtkF5kUk762ebU0bh9/RGv +NBC12bJQBWOAEQbsNTw2TEnVQT4G8Bhocwcl9wHibrRYMd4Yrk6f52cF1Y2Id0Ab +lYVpwv2cvV+H7MlrOGnp1NFNr8DhCXuE3wYWsWUcsuXgoU9m/SN4k/TJG4BoGte7 +6DIDAgPoULLzKLVCFE/U4aSEjfc02RXXgxFyyUWiBdhcfWcGJL0kGMftfVVwF139 +rz0gll/hg1AOm0s/uEm5vDdFJOoA5Bzvi2HueZJT6Ft7cVwPySgsSaNROk/mRctK +WVAvT5PRjCSNrOQ/48ElDnCpN8Qngi7ZnUg2vs4/PrMObHEog0/KZhH3ZAcONLyR +embOFFD6AjpZb1Mp5Lel/s9GHSQphoJgMGh0z3OdY1fsZfdANnI36qgdvC/SX5rB +tb3gEeC7gi4ZhQRuqLc9HUbC8B85VmKyYitVxPrHw6wuORWohCfxH0cC5ymQltBP +T0k2O5nZwRFQ9TpV1nPjaaTF4fUMCTmUzeEkXKV+2m2/UYrA9dATRqXY0E/5Elyw +lRvoyET8GsTIDJAJ6MoT7fA8HQRM/faTCUfIgh2CpRhGfvW8bH+esv7GV/MfmfpZ +x125XkhMcibmDAoa2EIuMATt1wzzskRXmczDrEP0yuu2l8K+py2GUQYa3gErTy61 +iyOHVeQMgs4m5Es40GU/zC8fYIESI9JRb5qd39tSy0XdII9cMYAI5/LulBXQGQbh +Ffjtk2vD+acd2rvZ6D0yKpgrm0WtDHvKUzvtqYTQnE4OOadFTuhcXh0Y1vd0M8G0 +y6n2KIsKvj6NLaT6Noha/wIJG5S8hdFh8hCo9zMuNnug68rv+jPlp0iD5iB4s3jV +k3Ym4+M0pKMvIrsNAHffaX/DgK+ZTUpGSgZxdqdEP+ZXYVUKApicze5q8dDANMYd +VxHFK1wNPCW7Xie7xYEHZo6kMZEeEPcWcPbqCaff09+5cBBRhyH+eNkTXo4Tb8L+ +6VMd6e0HiHhbeBwjJe/5PoCgC6BwoQ8Wz8Ca6YkVQC+KRmrof2PXxY7iazqWsDDT +PncBBfHVezbfjwz2bRCH5jl1f9VFIQhPIVvlEndxD222OOo2sPeg+0K0DOghshPv +D2PJcIY2kFl4OHlz04OBcifowLdLzWgUI6n2iAnr1WB//YG31QAMBpaRBJrVw/5U +qgFfT2gcVh8gqiMZFobHP2Hp1knAuokHte7nsrsU7R0kEwIgdEQGWYj0HF1R7ceE +ZAop8HJY8rGciX6Qub0g19+EHTw8aIq/KHuT46kun2f3km5AR+ZIv3Q8EP10O+pM +s6Ryyix6+wVHVRFy6Y+71GI/4kh1Jtrojek3X+m8QKLFvw/s+5gHCjdRPDox21Tp +Yhnye1HR0Hml1p9AFHtsTWaxBbqTuJRSl0c4HUVil6BXwa5ds7LTg3s2c6+YusoP +4gleRyn5g3BmQfRbHJuronMteKoUAZ51hAcFXCS2/0oNiv7Et3Wi+DRauCLwJQmX +GH4dhpQMubfpW4TCk0W3UtXmpq068mGQ9OLQSSwMAbjcGV5Xl4K5BJIzrcuVbtLa +X+7Ib+sHk4HE3oezfeOwTSJb5HBFUVTGd8UiKShpGK3UeUlKNAux2Koi/dN00afm +tX4hlucXnF0ttRaYiOTHUPJapbdd0hZ0Zv9+VTXxGT2cW/ezSbAU1Wpl2G88iFuy +Y0yTA4lf7LXN612SFaWUjeSLGrE7OUN6P5dNcpKkO+hyX+aRV/bZgNqmInwxidlt ++dlqAweJFq151KY4AF8TA5oFEZrPy6Kbsy7DSiP8Bsknntb6jpo1OzfNvy+7lZkE +4SxxW/OICzXYfnaBNwLv6Oisfr6ODxXaxtcEYD4bvaItrlbHuquI1xdqPHuZXH++ +LhbkmWSNpxCe5e9Wzfmu8rsYdrWRGXG2oiuzAfISiqr5S67zo9AqND18RzEljVAa +a52z+5XS+9TpmLYn+RHGMLR8CXdxiFQWyBeDWhwqOEGYuchDa5y7kz9vKO4p9eSD +bP+25svOjQ4V2Rgy8RcGJm2EiSFz5aSkQcAO9s+9CI1BIZIZXkzKE/GbGNrXpeUw +6plYgf2lsxl2+KLXeaWAVCPeDOIE2B6gpekRgI3ETVUXsM/Wvfx/ZoaEhTNLJoG0 +0pkJx7f3tzOk9j11SQKdHGyGH7hY4PgdhWhD2iMUY9ItIwwrelsu0iT1HG9Iep+8 +N3D9qY5e9F8rQsdbwOqr5EQlTQNllxGPaqaLHWgm4SZLNu9KuPxdbH97kqLqHc7W +5iFXlq4WTa16jLsF8AVe0nWH3zx5FPq0jRNHvr+P7eLWZyCxTKKDF8SHy/6kBzlU +uHP1B8G8V6dhdJL+CqsJSTgXTuW1F+UgIJ6AICdeX826j6VQXxyujvCxRYXbagsN +Vja1n5QXlRJNR5FPM1qCmjlsn8EMhT/zZSJlSGQl97PWLPsEn2VAnbvXXGwcy+mS +uJuBMGzHH/8dlC25UxMDEgosYMfFeWKKheJirUo7feRcbxRjnIcPTN0yfEId8fvN +Q77H+6NWMs9BtXBm43oF5QNZcWDC+WZxzvZwfeIjpytWc5eyGDy5VVN9TWHISebI +tACf9IK6Z0rgk6ctWys0D9YXkUmoqG0N/WlpqWSFhlMVMY6OJkaexb9ZR336Cq3I +c+eyjioxw7/LMpXr92VoI1smwvSOLR7nFYj9SkJbHdA//kxYv5k5pl/6sJUujOz3 +RdvNzqk6eXzpujcyMLhys0uDaVz4tBH51OxJYllXCmZnQV36Drp3F4fAzX0iSpu1 +Nyzt0yLU+QR0uVOaYLK6g9+MXTJJbCBW+pPs9Bf4qyPNCt7V8avnrXdualawFFV+ +5jvIlyWrsmqEmcKEXf+XKmTImJfhdO8wndQ6cRFJLBRnsRMlJM5LJAbo03+cZFtD +cSLLreciaP60QIU/7eHqVetv+nhQ47DWbCF+VRLKwEtPeVxVcp+hUp366iHjNLlP ++xU3dVYJm/1cGO4LZlviRkZXDfYBhuRY/Rnsb2h6IH+OC3sRH/LDIVZBlcTZnhNc +/PXjKPG6HKINzxUtNnYpB9XxiqG82LGjRZofMeUPZIvj5dI9Wq06Hzhx7bhuYel8 +S9Oqlw6Qnfg4zB/Q4JhJPOFg6YqiELUSUqygLoCwipr67nhLZtyZr3ZW6IXt6dhY ++/2HMi2dyb4BhETw3zxe81E3ITHnOHfQAVFhz6jsuohMpwYS3bHhaxWOdkXSKSd6 +wgKyhA3MrzbYcgAkk9yUwoVMYndFEh686H0J+b8BtVP3Od258SBLvdl7b9hO/p0b +7kD6noQ37olMf5oIyJTNoV4G58FGSkUQ7nUCeehsfEfBhbR6tI/79JfmgENyiHR1 +rZYIxUMRKL5zNvZlpy9nUNUDN2EafMupBDriFb4r7S0wyi2u67q+906ilq8SAYgy +MWkxRY55fgEvysJA5pDT+4XSwH0N5NDnLr3h3pPzglmSvsugEf4ZSS+5zFs/T4Ld +W3uDWqXAAdWYk3igkpmZd6zw3qntZ48kvXPAMIObw7s= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..01050d7710cc87175e4be0cf4227f05ab6f83554 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/mentor_files.txt @@ -0,0 +1,34 @@ +./mentor/altera_xcvr_functions.sv +./mentor/altera_xcvr_xaui.sv +./mentor/hxaui_csr_h.sv +./mentor/hxaui_csr.sv +./mentor/alt_xcvr_mgmt2dec_phyreconfig.sv +./mentor/alt_xcvr_mgmt2dec_xaui.sv +./mentor/alt_pma_ch_controller_tgx.v +./mentor/alt_pma_controller_tgx.v +./mentor/alt_reset_ctrl_lego.sv +./mentor/alt_reset_ctrl_tgx_cdrauto.sv +./mentor/alt_xcvr_resync.sv +./mentor/alt_xcvr_csr_common_h.sv +./mentor/alt_xcvr_csr_common.sv +./mentor/alt_xcvr_csr_pcs8g_h.sv +./mentor/alt_xcvr_csr_pcs8g.sv +./mentor/alt_xcvr_csr_selector.sv +./mentor/alt_xcvr_mgmt2dec.sv +./mentor/altera_wait_generate.v +./mentor/hxaui_alt4gxb.v +./mentor/hxaui.v +./mentor/siv_xcvr_xaui.sv +./mentor/alt_xcvr_reconfig_h.sv +./mentor/alt_xcvr_reconfig_siv.sv +./mentor/alt_xcvr_reconfig_analog.sv +./mentor/alt_xcvr_reconfig_analog_tgx.v +./mentor/alt_xcvr_reconfig_offset_cancellation.sv +./mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v +./mentor/alt_xcvr_reconfig_eyemon_tgx.sv +./mentor/alt_xcvr_reconfig_dfe_tgx.sv +./mentor/alt_xcvr_reconfig_basic_tgx.v +./mentor/alt_mutex_acq.v +./mentor/alt_dprio.v +./mentor/alt_xcvr_arbiter.sv +./mentor/alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/modelsim_sim_script_example.tcl b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/modelsim_sim_script_example.tcl new file mode 100644 index 0000000000000000000000000000000000000000..64f09857ea3ab03830ff65cce67d58db4b3c3eed --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/modelsim_sim_script_example.tcl @@ -0,0 +1,380 @@ +# (C) 2001-2012 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions and other +# software and tools, and its AMPP partner logic functions, and any output +# files any of the foregoing (including device programming or simulation +# files), and any associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License Subscription +# Agreement, Altera MegaCore Function License Agreement, or other applicable +# license agreement, including, without limitation, that your use is for the +# sole purpose of programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the applicable +# agreement for further details. + + +########################################### +# +# This is an example file for compilation/simulation of 10GBASER-R PHY instance in Modelsim +# +# You can modify this script and use it to compile/simulate your design depending on +# the following Modelsim license options: +# (1) Mixed language license +# - Top-level PHY IP variant can be in Verilog or VHDL +# - Underlying PHY IP files are in plaintext Verilog +# - Testbench can be in Verilog or VHDL +# +# (2) Non-mixed language license +# (a) Verilog Only: +# - Top-level PHY IP variant should be in Verilog +# - Underlying PHY IP files are in plaintext Verilog +# - Testbench should be in Verilog +# +# (b) VHDL Only: +# - Top-level PHY IP variant should be in VHDL +# - Underlying PHY IP files are in encrypted Verilog +# - Testbench should be in VHDL +# +# (3) Quartus encrypted some files for copyright. Those file will be encrypted for different simulator +# +# Please do the following changes in this script in order to use it: +# - Set the language (verilog or vhdl) of the generated PHY IP variant +# - Set your top-level PHY IP variant name +# - Set your top-level testbench name +# - Compile your other design files and your testbench. You would use vlog or vcom according +# to the language of your design files/testbench and your Modelsim license. +# +# Note that the PHY IP files are correctly compiled in this script according to the the +# "language" variable you set. +# +############################################################################################## + +set QUARTUS_ROOTDIR $env(QUARTUS_ROOTDIR) +################################################################################# +## +## Set your language, simulator and top level design name here +## e.g. vsim -c -do "do ./test_sim/modelsim_example_script.tcl verilog stratixiv modelsim test test_tst hard" +## +################################################################################# +# language = verilog (verilog variant of the PHY IP) or vhdl (vhdl variant of the PHY IP) +# defaulted to verilog +set language $1 +if {$language != "verilog" && $language != "vhdl"} { + echo "invalid setting for language: $language. valid settings are verilog and vhdl" + exit +} + +# family = +# defaulted to stratixv +set family $2 +if {$family != "stratixiv" && $family != "stratixv" && $family != "cycloneiv" && $family != "arriaiigx" && $family != "arriaiigz" && $family != "hardcopyiv"} { + echo "invalid setting for family: $family. valid settings are stratixiv,stratixv,cycloneiv,arriaiigx,arriaiigz,hardcopyiv" + exit +} + +# simulator = modelsim or VCS +# defaulted to modelsim +# This file not support VCS yet, you can use this file as reference for VCS +set simulator $3 +if {$simulator != "modelsim"} { + echo "invalid setting for simulator: $simulator. valid settings are modelsim" + exit +} +## Set your top level design name here +##set dut_name <top level design name as generted in Quartus or Qsys> +set dut_name $4 +if {$dut_name == ""} { + echo "Missing top level design name: $dut_name" +} +## Set your test bench name here +##set tb_name <test bench> +set tb_name $5 +if {$tb_name == ""} { + echo "Missing test bench name: $tb_name" +} + +## Set your XAUI type +##set xaui_type soft or hard +set xaui_type $6 +if {$xaui_type == ""} { + echo "Missing test xaui_type: $xaui_type" +} + +puts " simulator=$simulator" +puts " language=$language" +puts " family=$family" +################################################################################# +## Set directory path according to simulator +## unenc_file_dir for Quartus unecrypted files +## enc_file_dir is for Quartus ecrypted files +## This file only support modelsim simulation. You can use the following path +## as reference of other simulator +################################################################################# +## Modelsim Verilog/Modelsim VHDL with Mixed language license +if { $simulator == "modelsim" } { + set enc_file_dir ./${dut_name}_sim/altera_xcvr_xaui/mentor + if {$language == "verilog"} { + set unenc_file_dir ./${dut_name}_sim/altera_xcvr_xaui + } else { + ## Modelsim-AE / Models + set unenc_file_dir ./${dut_name}_sim/altera_xcvr_xaui/mentor + } + +} elseif { $simulator == "VCS" } { + set unenc_file_dir ${dut_name}_sim + set enc_file_dir $dut_name_sim/synopsys + +} elseif { $simulator == "Aldec" } { + set unenc_file_dir ${dut_name}_sim + set enc_file_dir $dut_name_sim/Aldec + +} elseif { $simulator == "VCS" } { + set unenc_file_dir ./${dut_name}_sim + set enc_file_dir ./${dut_name}_sim/synopsys + +} elseif { $simulator == "NCSIM" } { + set unenc_file_dir ./${dut_name}_sim + set enc_file_dir ./${dut_name}_sim/cadence + +} + +exec rm -rf work +vlib work +puts " unenc_file_dir=$unenc_file_dir" +puts " enc_file_dir=$enc_file_dir" + +########################################### +# Library files +########################################### +if {$language == "verilog"} { + vlog $QUARTUS_ROOTDIR/eda/sim_lib/220model.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/altera_primitives.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/sgate.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/altera_mf.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_hssi_atoms.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixii_atoms.v + + if {$family == "stratixiv" || $family == "arriaiigx" || $family == "arriaiigz" || $family == "hardcopyiv"} { + ########################################### + # Stratix IV library files + ########################################### + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_hssi_atoms.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_atoms.v + vlog $QUARTUS_ROOTDIR/libraries/megafunctions/alt_cal_c3gxb.v + } + if {$family == "cycloneiv"} { + ########################################### + # Cyclone IV library files + ########################################### + vlog $QUARTUS_ROOTDIR/eda/sim_lib/cycloneiv_hssi_atoms.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/cycloneiv_atoms.v + vlog $QUARTUS_ROOTDIR/libraries/megafunctions/alt_cal_c3gxb.v + } + if {$family == "stratixv"} { + ########################################### + # Stratix V library files + ########################################### + vlog -sv $QUARTUS_ROOTDIR/eda/sim_lib/altera_lnsim.sv + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/stratixv_atoms_ncrypt.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixv_atoms.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/stratixv_hssi_atoms_ncrypt.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixv_hssi_atoms.v + } +} else { + vmap altera work + vmap altera_mf work + vmap lpm work + vmap sgate work + vmap stratixiv_hssi work + vcom $QUARTUS_ROOTDIR/eda/sim_lib/220pack.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/220model.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/altera_primitives_components.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/altera_primitives.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/sgate_pack.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/sgate.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/altera_mf_components.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/altera_mf.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/stratixii_atoms.vhd + + if {$family == "stratixiv" || $family == "arriaiigx" || $family == "arriaiigz"} { + ########################################### + # Stratix IV library files + ########################################### + vcom $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_hssi_components.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_hssi_atoms.vhd + vcom $QUARTUS_ROOTDIR/libraries/megafunctions/alt_cal_c3gxb.vhd + } + if {$family == "cycloneiv"} { + ########################################### + # Cyclone IV library files + ########################################### + vcom $QUARTUS_ROOTDIR/eda/sim_lib/cycloneiv_hssi_components.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/cycloneiv_hssi_atoms.vhd + vcom $QUARTUS_ROOTDIR/libraries/megafunctions/alt_cal_c3gxb.vhd + } + if {$family == "stratixv"} { + ########################################### + # Stratix V library files + ########################################### + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/altera_lnsim_for_vhdl.sv + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/stratixv_atoms_for_vhdl.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/stratixv_hssi_atoms_for_vhdl.v + } +} + +if {$xaui_type == "hard" } { + vlog -sv $unenc_file_dir/alt_xcvr_csr_common_h.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_common.sv + vlog $unenc_file_dir/alt_dprio.v + vlog $unenc_file_dir/alt_mutex_acq.v + vlog $unenc_file_dir/alt_pma_ch_controller_tgx.v + vlog $unenc_file_dir/alt_pma_controller_tgx.v + vlog -sv $unenc_file_dir/altera_xcvr_functions.sv + vlog -sv $unenc_file_dir/alt_reset_ctrl_lego.sv + vlog -sv $unenc_file_dir/alt_reset_ctrl_tgx_cdrauto.sv + vlog -sv $unenc_file_dir/alt_xcvr_resync.sv + vlog -sv $unenc_file_dir/altera_xcvr_xaui.sv + vlog -sv $unenc_file_dir/alt_xcvr_arbiter.sv + vlog -sv $unenc_file_dir/alt_xcvr_m2s.sv + vlog -sv $unenc_file_dir/alt_xcvr_mgmt2dec_phyreconfig.sv + vlog -sv $unenc_file_dir/alt_xcvr_mgmt2dec_xaui.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_pcs8g_h.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_pcs8g.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_selector.sv + vlog $unenc_file_dir/altera_wait_generate.v + vlog $unenc_file_dir/hxaui.v + vlog $unenc_file_dir/hxaui_csr_h.sv + vlog +incdir+$unenc_file_dir $unenc_file_dir/hxaui_csr.sv + if {$family == "stratixiv" || $family == "arriaiigx" || $family == "arriaiigz" || $family == "hardcopyiv"} { + ########################################### + # Use this section for Stratix IV/Arria II GX Hard XAUI + ########################################### + vlog -sv $unenc_file_dir/siv_xcvr_xaui.sv + vlog $unenc_file_dir/alt_dprio.v + vlog $unenc_file_dir/alt_xcvr_reconfig*.v + vlog -sv $unenc_file_dir/alt_xcvr_reconfig*.sv + vlog $unenc_file_dir/hxaui_alt4gxb.v + } + if {$family == "cycloneiv"} { + ########################################### + # Use this section for Cyclone IV GX Hard XAUI + ########################################### + vlog $unenc_file_dir/civ_xcvr_xaui.v + vlog $unenc_file_dir/alt_xcvr_reconfig*.v + vlog -sv $unenc_file_dir/alt_xcvr_reconfig*.sv + vlog $unenc_file_dir/hxaui_alt_c3gxb.v + } + } else { + vlog -sv $unenc_file_dir/alt_xcvr_csr_common_h.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_common.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_pcs8g_h.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_pcs8g.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_selector.sv + vlog -sv $unenc_file_dir/alt_xcvr_m2s.sv + vlog -sv $unenc_file_dir/alt_xcvr_mgmt2dec_phyreconfig.sv + vlog -sv $unenc_file_dir/alt_xcvr_mgmt2dec_xaui.sv + vlog -sv $unenc_file_dir/alt_xcvr_arbiter.sv + vlog -sv $unenc_file_dir/altera_xcvr_functions.sv + vlog $enc_file_dir/alt_soft_xaui_pcs.v + vlog $enc_file_dir/alt_soft_xaui_reset.v + vlog $enc_file_dir/alt_soft_xaui_rx.v + vlog $enc_file_dir/alt_soft_xaui_rx_8b10b_dec.v + vlog $enc_file_dir/alt_soft_xaui_rx_channel_synch.v + vlog $enc_file_dir/alt_soft_xaui_rx_deskew.v + vlog $enc_file_dir/alt_soft_xaui_rx_deskew_channel.v + vlog $enc_file_dir/alt_soft_xaui_rx_deskew_ram.v + vlog $enc_file_dir/alt_soft_xaui_rx_invalid_code_det.v + vlog $enc_file_dir/alt_soft_xaui_rx_parity.v + vlog $enc_file_dir/alt_soft_xaui_rx_parity_4b.v + vlog $enc_file_dir/alt_soft_xaui_rx_parity_6b.v + vlog $enc_file_dir/alt_soft_xaui_rx_rate_match.v + vlog $enc_file_dir/alt_soft_xaui_rx_rate_match_ram.v + vlog $enc_file_dir/alt_soft_xaui_rx_rl_chk_6g.v + vlog $enc_file_dir/alt_soft_xaui_rx_sm.v + vlog $enc_file_dir/alt_soft_xaui_tx.v + vlog $enc_file_dir/alt_soft_xaui_tx_8b10b_enc.v + vlog $enc_file_dir/alt_soft_xaui_tx_idle_conv.v + vlog $enc_file_dir/l_modules.v + vlog $unenc_file_dir/hxaui_csr_h.sv + vlog +incdir+$unenc_file_dir $unenc_file_dir/hxaui_csr.sv + vlog $unenc_file_dir/sxaui.v + vlog -sv $unenc_file_dir/alt_reset_ctrl_lego.sv + vlog $unenc_file_dir/altera_wait_generate.v + vlog -sv $unenc_file_dir/altera_xcvr_xaui.sv + vlog -sv $unenc_file_dir/alt_reset_ctrl_tgx_cdrauto.sv + vlog -sv $unenc_file_dir/alt_xcvr_resync.sv + if {$family == "stratixiv" } { + ########################################### + # Use this section for Stratix IV Soft XAUI + ########################################### + vlog -sv $unenc_file_dir/siv_xcvr_xaui.sv + vlog $unenc_file_dir/alt4gxb_vo.v + vlog $unenc_file_dir/alt_mutex_acq.v + vlog $unenc_file_dir/alt_pma_ch_controller_tgx.v + vlog $unenc_file_dir/alt_pma_controller_tgx.v + vlog -sv $unenc_file_dir/alt_pma_functions.sv + vlog -sv $unenc_file_dir/siv_xcvr_low_latency_phy_nr.sv + vlog $unenc_file_dir/alt_dprio.v + vlog $unenc_file_dir/alt_xcvr_reconfig*.v + vlog -sv $unenc_file_dir/alt_xcvr_reconfig*.sv + } else { + + ########################################### + # Use this section for Stratix V Soft XAUI + ########################################### + + vlog -sv $unenc_file_dir/sv_xcvr_h.sv + vlog -sv $unenc_file_dir/sv_xcvr_xaui.sv + vlog -sv $unenc_file_dir/sv_xcvr_plls.sv + vlog $unenc_file_dir/alt_pma_ch_controller_tgx.v + vlog $unenc_file_dir/alt_pma_controller_tgx.v + vlog -sv $unenc_file_dir/alt_pma_functions.sv + vlog -sv $unenc_file_dir/sv_xcvr_low_latency_phy_nr.sv + vlog -sv $unenc_file_dir/sv_xcvr_avmm.sv + vlog -sv $unenc_file_dir/sv_xcvr_avmm_csr.sv + vlog -sv $unenc_file_dir/sv_xcvr_avmm_dcd.sv + vlog -sv $unenc_file_dir/sv_xcvr_custom_native.sv + vlog -sv $unenc_file_dir/sv_xcvr_data_adapter.sv + vlog -sv $unenc_file_dir/sv_xcvr_native.sv + vlog -sv $unenc_file_dir/sv_reconfig_bundle_to_xcvr.sv + vlog -sv $unenc_file_dir/sv_reconfig_bundle_merger.sv + vlog -sv $unenc_file_dir/sv_reconfig_bundle_to_ip.sv + vlog -sv $unenc_file_dir/sv_pcs.sv + vlog -sv $unenc_file_dir/sv_pcs_ch.sv + vlog -sv $unenc_file_dir/sv_pma.sv + vlog -sv $unenc_file_dir/sv_rx_pma.sv + vlog -sv $unenc_file_dir/sv_tx_pma.sv + vlog -sv $unenc_file_dir/sv_tx_pma_ch.sv + vlog -sv $unenc_file_dir/sv_pcs_ch.sv + vlog -sv $unenc_file_dir/sv_pcs_ch.sv + vlog -sv $unenc_file_dir/stratixv_hssi_8g_rx_pcs_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_8g_tx_pcs_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_common_pcs_pma_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_common_pld_pcs_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_rx_pcs_pma_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_rx_pld_pcs_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_tx_pcs_pma_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_tx_pld_pcs_interface_rbc.sv + } + } + + +################################################################# +# Add your custom library compilation here and testbench +################################################################# +set custom_compilation custom_lib.tcl +if {[file exists $custom_compilation]} { + source $custom_compilation +} + +########################################### +# Add your test bench here +########################################### +vlog ${dut_name}.v +vsim -c -t 1ps $tb_name -novopt + + +########################################### +# Run and Quit your simulator +########################################### +run -all +quit -sim +exit diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/plain_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/plain_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..96175539fe10e847160e3bb13c7980081beae5b3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/plain_files.txt @@ -0,0 +1,34 @@ +./altera_xcvr_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./hxaui_alt4gxb.v +./hxaui.v +./siv_xcvr_xaui.sv +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/sim_script_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/sim_script_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..96175539fe10e847160e3bb13c7980081beae5b3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/sim_script_files.txt @@ -0,0 +1,34 @@ +./altera_xcvr_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./hxaui_alt4gxb.v +./hxaui.v +./siv_xcvr_xaui.sv +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/siv_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/siv_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..16ad2c9b0c247529918db040a9c41da5f8639e0c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/siv_xcvr_xaui.sv @@ -0,0 +1,539 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: hxaui static verilog for Stratix IV +// +// Authors: bauyeung 7-Sep-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +//----------------------------------------------------------------------------- + /*ALTERA_ATTRIBUTE = "-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" " */ + +module siv_xcvr_xaui #( + parameter device_family = "Stratix IV", + parameter starting_channel_number = 0, + parameter interface_type = "Hard XAUI", + parameter number_of_interfaces = 1, + parameter sys_clk_in_mhz = 50, + parameter xaui_pll_type = "CMU", + parameter reconfig_interfaces = 1, + parameter use_control_and_status_ports = 0, + parameter external_pma_ctrl_reconf = 0, + parameter tx_termination = "OCT_150_OHMS", + parameter tx_vod_selection = 1, + parameter tx_preemp_pretap = 0, + parameter tx_preemp_pretap_inv = 0, + parameter tx_preemp_tap_1 = 5, + parameter tx_preemp_tap_2 = 0, + parameter tx_preemp_tap_2_inv = 0, + parameter rx_common_mode = "0.82v", + parameter rx_termination = "OCT_150_OHMS", + parameter rx_eq_dc_gain = 0, + parameter rx_eq_ctrl = 14 +) ( + input wire pll_ref_clk, // refclk.clk + input wire xgmii_tx_clk, // xgmii_tx_clk.clk + output wire xgmii_rx_clk, // xgmii_rx_clk.clk + input wire phy_mgmt_clk, // mgmt_clk.clk + input wire phy_mgmt_clk_reset, // mgmt_clk_rst.reset_n + input wire [7:0] phy_mgmt_address, // phy_mgmt.address + output wire phy_mgmt_waitrequest, // .waitrequest + input wire phy_mgmt_read, // .read + output wire [31:0] phy_mgmt_readdata, // .readdata + input wire phy_mgmt_write, // .write + input wire [31:0] phy_mgmt_writedata, // .writedata + input wire [71:0] xgmii_tx_dc, // xgmii_tx_dc.data + output wire [71:0] xgmii_rx_dc, // xgmii_rx_dc.data + output wire [3:0] xaui_tx_serial_data, // xaui_tx_serial.export + input wire [3:0] xaui_rx_serial_data, // xaui_rx_serial.export + output wire rx_ready, // rx_pma_ready.data + output wire tx_ready, // tx_pma_ready.data + output tri0 [altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces)-1:0] reconfig_from_xcvr, + input tri0 [altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces) -1:0] reconfig_to_xcvr, + + output wire [3:0] rx_recovered_clk, // rx recovered clock from cdr +// optional control and status ports + input wire rx_analogreset, + input wire rx_digitalreset, + input wire tx_digitalreset, + output wire rx_channelaligned, + input wire [3:0] rx_invpolarity, + input wire [3:0] rx_set_locktodata, + input wire [3:0] rx_set_locktoref, + input wire [3:0] rx_seriallpbken, + input wire [3:0] tx_invpolarity, + output wire [3:0] rx_is_lockedtodata, + output wire [3:0] rx_phase_comp_fifo_error, + output wire [3:0] rx_is_lockedtoref, + output wire [3:0] rx_rlv, + output wire [3:0] rx_rmfifoempty, + output wire [3:0] rx_rmfifofull, + output wire [3:0] tx_phase_comp_fifo_error, + output wire [7:0] rx_disperr, + output wire [7:0] rx_errdetect, + output wire [7:0] rx_patterndetect, + output wire [7:0] rx_rmfifodatadeleted, + output wire [7:0] rx_rmfifodatainserted, + output wire [7:0] rx_runningdisp, + output wire [7:0] rx_syncstatus, + +// external_pma_ctrl_reconf + output wire pll_locked, + input wire cal_blk_powerdown, + input wire gxb_powerdown, + input wire pll_powerdown +); + +import altera_xcvr_functions::*; + + wire alt_pma_controller_0_cal_blk_pdn_data; + wire alt_pma_controller_0_pll_pdn0_data; + wire alt_pma_controller_0_gx_pdn_data; + wire pll_locked_data; + wire [7:0] rx_disperr_data; + wire [7:0] rx_errdetect_data; + wire [7:0] rx_patterndetect_data; + wire [3:0] rx_phase_comp_fifo_error_data; + wire [3:0] rx_rlv_data; + wire [7:0] rx_rmfifodatadeleted_data; + wire [7:0] rx_rmfifodatainserted_data; + wire [3:0] rx_rmfifoempty_data; + wire [3:0] rx_rmfifofull_data; + wire [7:0] rx_runningdisp_data; + wire [7:0] rx_syncstatus_data; + wire [3:0] tx_phase_comp_fifo_error_data; + wire [3:0] alt_pma_ch_controller_0_rx_set_locktodata_data; + wire [3:0] alt_pma_ch_controller_0_rx_set_locktoref_data; + wire [3:0] alt_pma_ch_controller_0_rx_seriallpbken_data; + wire [3:0] alt_pma_ch_controller_0_rx_analog_rst_data; + wire [3:0] rx_is_lockedtodata_data; + wire [3:0] rx_is_lockedtoref_data; + wire [3:0] alt_pma_ch_controller_0_tx_digital_rst_data; + wire [3:0] alt_pma_ch_controller_0_rx_digital_rst_data; + wire hxaui_csr_r_rx_digitalreset_data; + wire [3:0] hxaui_csr_r_rx_invpolarity_data; + wire hxaui_csr_r_tx_digitalreset_data; + wire [3:0] hxaui_csr_r_tx_invpolarity_data; + wire hxaui_csr_simulation_flag_data; + wire alt_pma_controller_0_pll_pdn; + wire [3:0] alt_pma_0_tx_out_clk_clk; + wire [3:0] alt_pma_0_rx_recovered_clk_clk; + wire [79:0] sxaui_0_tx_parallel_data_data; + wire [79:0] alt_pma_0_rx_parallel_data_data; + wire [3:0] alt_pma_0_rx_is_lockedtodata_data; + wire rx_pma_ready; + wire sxaui_rst_done; + + + wire [5:0] sc_pma_ch_controller_address; + wire sc_pma_ch_controller_read; + wire [31:0] sc_pma_ch_controller_readdata; + wire sc_pma_ch_controller_waitrequest; + wire sc_pma_ch_controller_write; + + + wire [1:0] sc_pma_controller_address; + wire sc_pma_controller_read; + wire [31:0] sc_pma_controller_readdata; + wire sc_pma_controller_waitrequest; + wire sc_pma_controller_write; + + wire [4:0] sc_csr_address; + wire sc_csr_read; + wire [31:0] sc_csr_readdata; + wire sc_csr_write; + +// assign output wires for status ports - whether or not they are used will be decided by the top level + assign rx_is_lockedtodata = rx_is_lockedtodata_data; + assign rx_phase_comp_fifo_error = rx_phase_comp_fifo_error_data; + assign rx_is_lockedtoref = rx_is_lockedtoref_data; + assign rx_rlv = rx_rlv_data; + assign rx_rmfifoempty = rx_rmfifoempty_data; + assign rx_rmfifofull = rx_rmfifofull_data; + assign tx_phase_comp_fifo_error = tx_phase_comp_fifo_error_data; + assign rx_disperr = rx_disperr_data; + assign rx_errdetect = rx_errdetect_data; + assign rx_patterndetect = rx_patterndetect_data; + assign rx_rmfifodatadeleted = rx_rmfifodatadeleted_data; + assign rx_rmfifodatainserted = rx_rmfifodatainserted_data; + assign rx_runningdisp = rx_runningdisp_data; + assign rx_syncstatus = rx_syncstatus_data; + assign rx_recovered_clk = alt_pma_0_rx_recovered_clk_clk; + + // assign output wires for external pma_ctrl - whether or not they are used will be decided by the top level + assign pll_locked = pll_locked_data; + assign rx_ready = rx_pma_ready & sxaui_rst_done; // pma and PCS must be both ready + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of pma_ch_control,pma_control,hxaui i/f + /////////////////////////////////////////////////////////////////////// + alt_xcvr_mgmt2dec_xaui mgmtdec_xaui ( + .mgmt_clk_reset (phy_mgmt_clk_reset), + .mgmt_clk (phy_mgmt_clk), + .mgmt_address (phy_mgmt_address), + .mgmt_read (phy_mgmt_read), + .mgmt_write (phy_mgmt_write), + .mgmt_readdata (phy_mgmt_readdata), + .mgmt_waitrequest (phy_mgmt_waitrequest), + + // internal interface to 'top' pma ch controller block + .sc_pma_ch_controller_readdata (sc_pma_ch_controller_readdata), + .sc_pma_ch_controller_waitrequest (sc_pma_ch_controller_waitrequest), + .sc_pma_ch_controller_address (sc_pma_ch_controller_address), //6 bit wide + .sc_pma_ch_controller_read (sc_pma_ch_controller_read), + .sc_pma_ch_controller_write (sc_pma_ch_controller_write), + + // internal interface to 'top' pma controller block + .sc_pma_controller_readdata (sc_pma_controller_readdata), + .sc_pma_controller_waitrequest (sc_pma_controller_waitrequest), + .sc_pma_controller_address (sc_pma_controller_address), //2 bit wide + .sc_pma_controller_read (sc_pma_controller_read), + .sc_pma_controller_write (sc_pma_controller_write), + + // internal interface to 'top' hxaui csr block + .sc_csr_readdata (sc_csr_readdata), + .sc_csr_waitrequest (1'b0), // PCS CSR is always ready + .sc_csr_address (sc_csr_address), //5 bit wide + .sc_csr_read (sc_csr_read), + .sc_csr_write (sc_csr_write) + ); + + /////////////////////////////////////////////////////////////////////// + // PMA Channel Controller - only for HXAUI + /////////////////////////////////////////////////////////////////////// +// add generate for hxaui/sxaui - only use ch_controller for hxaui + generate + if (interface_type == "Hard XAUI") begin + alt_pma_ch_controller_tgx #( + .number_of_channels (4), + .sync_depth (2), + .sys_clk_in_mhz (sys_clk_in_mhz) + ) alt_pma_ch_controller_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .ch_mgmt_address (sc_pma_ch_controller_address), + .ch_mgmt_read (sc_pma_ch_controller_read), + .ch_mgmt_readdata (sc_pma_ch_controller_readdata), + .ch_mgmt_write (sc_pma_ch_controller_write), + .ch_mgmt_writedata (phy_mgmt_writedata), + .ch_mgmt_waitrequest (sc_pma_ch_controller_waitrequest), + .rx_pma_ready (rx_pma_ready), + .tx_pma_ready (tx_ready), + .rx_is_lockedtodata (rx_is_lockedtodata_data), + .rx_is_lockedtoref (rx_is_lockedtoref_data), + .rx_set_locktodata (alt_pma_ch_controller_0_rx_set_locktodata_data), + .rx_set_locktoref (alt_pma_ch_controller_0_rx_set_locktoref_data), + .rx_seriallpbken (alt_pma_ch_controller_0_rx_seriallpbken_data), + .rx_analog_rst (alt_pma_ch_controller_0_rx_analog_rst_data), + .tx_digital_rst (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_digital_rst (alt_pma_ch_controller_0_rx_digital_rst_data), + .rx_rst_digital (rx_digitalreset), // optional user triggered rx_digitalreset + .tx_rst_digital (tx_digitalreset), // optional user triggered tx_digitalreset + //reconfig_to_xcvr bit configuration + //Bit | Value + //------------------------------------------- + //3 | offset_cancellation_is_busy | + //2 | dprio_load | + //1 | dprio_disable | + //0 | dprio_in | + //------------------------------------------- + // .rx_oc_busy (reconfig_to_xcvr[3]), // rx_oc_busy.data + .rx_cal_busy (reconfig_to_xcvr[3]), // rx_oc_busy.data + .tx_cal_busy (1'b0), // rx_oc_busy.data + .pll_locked (pll_locked_data) + ); + end else if (interface_type == "Soft XAUI") begin + siv_xcvr_low_latency_phy_nr #( + .device_family (device_family), + .intended_device_variant ("ANY"), + .number_of_channels (4), + .number_of_reconfig_interface (reconfig_interfaces), + .operation_mode ("DUPLEX"), + .phase_comp_fifo_mode ("NONE"), + .serialization_factor (20), + .data_rate ("3125 Mbps"), + .pll_input_frequency ("156.25 MHz"), + .number_pll_inclks (1), + .pll_inclk_select (0), + .pll_type (xaui_pll_type), + .starting_channel_number (starting_channel_number), + .bonded_mode ("TRUE"), + .sys_clk_in_mhz (sys_clk_in_mhz), + //.rx_bitslip_en (0), + //.tx_bitslip_en (0), + //.select_10g_pcs (0), + //.tx_use_coreclk (0), + //.rx_use_coreclk (0), + .gx_analog_power ("AUTO"), + .pll_lock_speed ("AUTO"), + .tx_analog_power ("AUTO"), + .tx_slew_rate ("OFF"), + .tx_termination (tx_termination), + .tx_common_mode ("0.65V"), + .rx_pll_lock_speed ("AUTO"), + .rx_common_mode (rx_common_mode), + .rx_signal_detect_threshold (2), + .rx_ppmselect (32), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv ((tx_preemp_pretap_inv==1)? "TRUE" : "FALSE"), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv ((tx_preemp_tap_2_inv==1)? "TRUE" : "FALSE"), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_use_cruclk ("FALSE"), + .loopback_mode ("SLB") + ) alt_pma_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .gx_pdn (alt_pma_controller_0_gx_pdn_data), + .ch_mgmt_address (sc_pma_ch_controller_address), + .ch_mgmt_read (sc_pma_ch_controller_read), + .ch_mgmt_readdata (sc_pma_ch_controller_readdata), + .ch_mgmt_write (sc_pma_ch_controller_write), + .ch_mgmt_writedata (phy_mgmt_writedata), + .ch_mgmt_waitrequest (sc_pma_ch_controller_waitrequest), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_pdn (alt_pma_controller_0_cal_blk_pdn_data), + .pll_ref_clk (pll_ref_clk), + .tx_out_clk (alt_pma_0_tx_out_clk_clk), + .tx_parallel_data (sxaui_0_tx_parallel_data_data), + .tx_serial_data (xaui_tx_serial_data), + .tx_pma_ready (tx_ready), + .pll_pdn (alt_pma_controller_0_pll_pdn0_data), + .pll_locked (pll_locked_data), + .rx_is_lockedtodata (alt_pma_0_rx_is_lockedtodata_data), + .rx_is_lockedtoref (), + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), + .rx_serial_data (xaui_rx_serial_data), + .rx_parallel_data (alt_pma_0_rx_parallel_data_data), + .rx_cdr_ref_clk (), + .rx_pma_ready (rx_pma_ready), + .reconfig_clk (phy_mgmt_clk), + .reconfig_to_gxb (reconfig_to_xcvr), + .reconfig_from_gxb (reconfig_from_xcvr), + .rx_rst_digital (rx_digitalreset), //optional user triggered rx_digitalreset + .tx_rst_digital (tx_digitalreset), //optional user triggered tx_digitalreset + //.tx_bitslip (28'b0), + //.tx_coreclk (4'b0), + //.rx_offset_cancellation_done (1'b1), + //.rx_bitslip (4'b0), + //.rx_parallel_data_read (4'b0), + //.rx_coreclk (4'b0), + //.aeq_to_gxb (96'b0), + //.aeq_from_gxb (), + .tx_digital_rst (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_digital_rst (alt_pma_ch_controller_0_rx_digital_rst_data) + ); + end + // don't instantiate anything if the interface type is invalid + endgenerate + + /////////////////////////////////////////////////////////////////////// + // PMA Controller + /////////////////////////////////////////////////////////////////////// + generate + if (external_pma_ctrl_reconf == 0) begin + alt_pma_controller_tgx #( + .number_of_plls (1), + .sync_depth (2), + .tx_pll_reset_hold_time (20) + ) alt_pma_controller_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .pma_mgmt_address (sc_pma_controller_address), + .pma_mgmt_read (sc_pma_controller_read), + .pma_mgmt_readdata (sc_pma_controller_readdata), + .pma_mgmt_write (sc_pma_controller_write), + .pma_mgmt_writedata (phy_mgmt_writedata), + .pma_mgmt_waitrequest (sc_pma_controller_waitrequest), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_pdn (alt_pma_controller_0_cal_blk_pdn_data), + .tx_pll_ready (), + .gx_pdn (alt_pma_controller_0_gx_pdn_data), + .pll_pdn (alt_pma_controller_0_pll_pdn0_data), + .pll_locked (pll_locked_data) + ); + end else begin + assign alt_pma_controller_0_cal_blk_pdn_data = cal_blk_powerdown; + assign alt_pma_controller_0_gx_pdn_data = gxb_powerdown; + assign alt_pma_controller_0_pll_pdn0_data = pll_powerdown; + end + endgenerate + + + /////////////////////////////////////////////////////////////////////// + // HXAUI CSR + /////////////////////////////////////////////////////////////////////// +// should be consistent across all device families and interface types +// -might need a generate statement for some of the connections that don't exist between hxaui/sxaui + hxaui_csr hxaui_csr ( + .clk (phy_mgmt_clk), + .reset (phy_mgmt_clk_reset), + .address (sc_csr_address), + .byteenable (4'b1111), // .byteenable (Tie byteenable to all 1s) + .read (sc_csr_read), + .readdata (sc_csr_readdata), + .write (sc_csr_write), + .writedata (phy_mgmt_writedata), + .rx_patterndetect (rx_patterndetect_data), + .rx_syncstatus (rx_syncstatus_data), + .rx_runningdisp (rx_runningdisp_data), + .rx_errdetect (rx_errdetect_data), + .rx_disperr (rx_disperr_data), + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error_data), + .rx_rlv (rx_rlv_data), + .rx_rmfifodatadeleted (rx_rmfifodatadeleted_data), + .rx_rmfifodatainserted (rx_rmfifodatainserted_data), + .rx_rmfifoempty (rx_rmfifoempty_data), + .rx_rmfifofull (rx_rmfifofull_data), + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error_data), + .r_rx_invpolarity (hxaui_csr_r_rx_invpolarity_data), + .r_tx_invpolarity (hxaui_csr_r_tx_invpolarity_data), + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), + .simulation_flag (hxaui_csr_simulation_flag_data) // only for soft_xaui + ); + + + /////////////////////////////////////////////////////////////////////// + // HXAUI - Interface to alt4gxb megafunction block + /////////////////////////////////////////////////////////////////////// +// need to add generate to pick between sxaui and hxaui + generate + if (interface_type == "Hard XAUI") begin + (* ALTERA_ATTRIBUTE = {"-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*fifo*flow] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[*]}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*alt_pma_ch_controller_0*rc*}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\""} *) + hxaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) hxaui_0 ( + .xgmii_tx_clk (xgmii_tx_clk), + .xgmii_tx_dc (xgmii_tx_dc), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_rx_dc (xgmii_rx_dc), + .refclk (pll_ref_clk), + .xaui_tx_serial (xaui_tx_serial_data), + .xaui_rx_serial (xaui_rx_serial_data), + .rx_analogreset (rx_analogreset), // use_cs_ports, input + .rx_digitalreset (alt_pma_ch_controller_0_rx_digital_rst_data), + .tx_digitalreset (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_channelaligned (rx_channelaligned), // use_cs_ports, output + .rx_invpolarity (rx_invpolarity), // use_cs_ports, input + .rx_set_locktodata (rx_set_locktodata), // use_cs_ports, input + .rx_set_locktoref (rx_set_locktoref), // use_cs_ports, input + .rx_seriallpbken (rx_seriallpbken), // use_cs_ports, input + .tx_invpolarity (tx_invpolarity), // use_cs_ports, input + .rx_is_lockedtodata (rx_is_lockedtodata_data), // use_cs_ports, output + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error_data), // use_cs_ports, output + .rx_is_lockedtoref (rx_is_lockedtoref_data), // use_cs_ports, output + .rx_rlv (rx_rlv_data), // use_cs_ports, output + .rx_rmfifoempty (rx_rmfifoempty_data), // use_cs_ports, output + .rx_rmfifofull (rx_rmfifofull_data), // use_cs_ports, output + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error_data), // use_cs_ports, output + .rx_disperr (rx_disperr_data), // use_cs_ports, output + .rx_errdetect (rx_errdetect_data), // use_cs_ports, output + .rx_patterndetect (rx_patterndetect_data), // use_cs_ports, output + .rx_rmfifodatadeleted (rx_rmfifodatadeleted_data), // use_cs_ports, output + .rx_rmfifodatainserted (rx_rmfifodatainserted_data), // use_cs_ports, output + .rx_runningdisp (rx_runningdisp_data), // use_cs_ports, output + .rx_syncstatus (rx_syncstatus_data), // use_cs_ports, output + .reconfig_clk (phy_mgmt_clk), + .reconfig_togxb (reconfig_to_xcvr), // external_pma_ctrl_reconf + .reconfig_fromgxb (reconfig_from_xcvr[16:0]), // external_pma_ctrl_reconf + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_powerdown (1'b0), // not used, should remove + .gxb_powerdown (1'b0), // not used, should remove + .pll_powerdown (1'b0), // not used, should remove + .pll_locked (pll_locked_data), + .r_cal_blk_powerdown (alt_pma_controller_0_cal_blk_pdn_data), + .r_gxb_powerdown (alt_pma_controller_0_gx_pdn_data), + .r_pll_powerdown (alt_pma_controller_0_pll_pdn0_data), + .r_rx_set_locktodata (alt_pma_ch_controller_0_rx_set_locktodata_data), + .r_rx_set_locktoref (alt_pma_ch_controller_0_rx_set_locktoref_data), + .r_rx_seriallpbken (alt_pma_ch_controller_0_rx_seriallpbken_data), + .r_rx_analogreset (alt_pma_ch_controller_0_rx_analog_rst_data), + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), + .r_rx_invpolarity (hxaui_csr_r_rx_invpolarity_data), + .r_tx_invpolarity (hxaui_csr_r_tx_invpolarity_data) + ); + assign sxaui_rst_done = 1'b1; // if using hard xaui, assign this to 1 to always be ready + end else if (interface_type == "Soft XAUI") begin + (* ALTERA_ATTRIBUTE = {" -name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*disp_err_delay[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*pcs_rx_syncstatus[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*channel_align_synchclk[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[1]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*tx_reset*] \" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[1]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*rx_reset*] \" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*rd_ptr_latched_del[*]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*xaui_rx*rd_ptr_wr[*]]\""} *) + sxaui #( + .starting_channel_number (starting_channel_number), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports) + ) sxaui_0 ( + .xgmii_tx_clk (xgmii_tx_clk), // xgmii_tx_clk.clk + .xgmii_tx_dc (xgmii_tx_dc), // xgmii_tx_dc.data + .xgmii_rx_clk (xgmii_rx_clk), // xgmii_rx_clk.clk + .xgmii_rx_dc (xgmii_rx_dc), // xgmii_rx_dc.data + .refclk (pll_ref_clk), // refclk.clk + .mgmt_clk (phy_mgmt_clk), // mgmt_clk.clk + .tx_out_clk (alt_pma_0_tx_out_clk_clk), // tx_out_clk.clk + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), // rx_recovered_clk.clk + .tx_parallel_data (sxaui_0_tx_parallel_data_data), // tx_parallel_data.data + .rx_parallel_data (alt_pma_0_rx_parallel_data_data), // rx_parallel_data.data + .rx_is_lockedtodata (alt_pma_0_rx_is_lockedtodata_data), // rx_is_lockedtodata.data + .rx_digitalreset (alt_pma_ch_controller_0_rx_digital_rst_data[0] ), // rx_digitalreset from cahnnel controller in alt_pma + .tx_digitalreset (alt_pma_ch_controller_0_tx_digital_rst_data[0] ), // tx_digitalreset from cahnnel controller in alt_pma + .pll_locked (pll_locked_data), // pll_locked.data + .rx_syncstatus (rx_syncstatus_data), // rx_syncstatus.data + .rx_channelaligned (rx_channelaligned), // rx_channelaligned.data + .rx_disperr (rx_disperr_data), // rx_disperr.data + .rx_errdetect (rx_errdetect_data), // rx_errdetect.data + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), // r_rx_digitalreset.data + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), // r_tx_digitalreset.data + .pma_stat_rst_done (sxaui_rst_done), // soft reset done + .simulation_flag (hxaui_csr_simulation_flag_data) // simulation_flag.data + ); + assign rx_patterndetect_data = 8'b0; // not supported by soft PCS + assign rx_runningdisp_data = 8'b0; // not supported by soft PCS + assign rx_phase_comp_fifo_error_data = 4'b0; // not supported by soft PCS + assign rx_rlv_data = 4'b0; // not supported by soft PCS + assign rx_rmfifodatadeleted_data = 8'b0; // not supported by soft PCS + assign rx_rmfifodatainserted_data = 8'b0; // not supported by soft PCS + assign rx_rmfifoempty_data = 4'b0; // not supported by soft PCS + assign rx_rmfifofull_data = 4'b0; // not supported by soft PCS + assign tx_phase_comp_fifo_error_data = 4'b0; // not supported by soft PCS + assign hxaui_csr_r_rx_invpolarity_data = 4'b0; // not supported by soft PCS + assign hxaui_csr_r_tx_invpolarity_data = 4'b0; // not supported by soft PCS + assign rx_is_lockedtodata_data = 4'b0; // not supported by soft PCS + assign rx_is_lockedtoref_data = 4'b0; // not supported by soft PCS + end + // don't instantiate anything if the interface type is invalid + endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/synopsys_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/synopsys_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..96175539fe10e847160e3bb13c7980081beae5b3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/altera_xcvr_xaui/synopsys_files.txt @@ -0,0 +1,34 @@ +./altera_xcvr_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./hxaui_alt4gxb.v +./hxaui.v +./siv_xcvr_xaui.sv +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/ip_stratixiv_phy_xaui_0.vhd b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/ip_stratixiv_phy_xaui_0.vhd new file mode 100644 index 0000000000000000000000000000000000000000..4e561332dedee9ffd0ef1cf1d4f1ec6400aa7b73 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/ip_stratixiv_phy_xaui_0.vhd @@ -0,0 +1,220 @@ +-- ip_stratixiv_phy_xaui_0.vhd + +-- Generated using ACDS version 11.1sp2 259 at 2014.09.29.14:00:54 + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity ip_stratixiv_phy_xaui_0 is + port ( + pll_ref_clk : in std_logic := '0'; -- pll_ref_clk.clk + xgmii_tx_clk : in std_logic := '0'; -- xgmii_tx_clk.clk + xgmii_rx_clk : out std_logic; -- xgmii_rx_clk.clk + xgmii_rx_dc : out std_logic_vector(71 downto 0); -- xgmii_rx_dc.data + xgmii_tx_dc : in std_logic_vector(71 downto 0) := (others => '0'); -- xgmii_tx_dc.data + xaui_rx_serial_data : in std_logic_vector(3 downto 0) := (others => '0'); -- xaui_rx_serial_data.export + xaui_tx_serial_data : out std_logic_vector(3 downto 0); -- xaui_tx_serial_data.export + rx_ready : out std_logic; -- rx_ready.export + tx_ready : out std_logic; -- tx_ready.export + phy_mgmt_clk : in std_logic := '0'; -- phy_mgmt_clk.clk + phy_mgmt_clk_reset : in std_logic := '0'; -- phy_mgmt_clk_reset.reset + phy_mgmt_address : in std_logic_vector(8 downto 0) := (others => '0'); -- phy_mgmt.address + phy_mgmt_read : in std_logic := '0'; -- .read + phy_mgmt_readdata : out std_logic_vector(31 downto 0); -- .readdata + phy_mgmt_write : in std_logic := '0'; -- .write + phy_mgmt_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata + phy_mgmt_waitrequest : out std_logic; -- .waitrequest + rx_digitalreset : in std_logic := '0'; -- rx_digitalreset.data + tx_digitalreset : in std_logic := '0'; -- tx_digitalreset.data + rx_channelaligned : out std_logic; -- rx_channelaligned.data + rx_syncstatus : out std_logic_vector(7 downto 0); -- rx_syncstatus.data + rx_disperr : out std_logic_vector(7 downto 0); -- rx_disperr.data + rx_errdetect : out std_logic_vector(7 downto 0); -- rx_errdetect.data + rx_analogreset : in std_logic := '0'; -- rx_analogreset.data + rx_invpolarity : in std_logic_vector(3 downto 0) := (others => '0'); -- rx_invpolarity.data + rx_set_locktodata : in std_logic_vector(3 downto 0) := (others => '0'); -- rx_set_locktodata.data + rx_set_locktoref : in std_logic_vector(3 downto 0) := (others => '0'); -- rx_set_locktoref.data + rx_seriallpbken : in std_logic_vector(3 downto 0) := (others => '0'); -- rx_seriallpbken.data + tx_invpolarity : in std_logic_vector(3 downto 0) := (others => '0'); -- tx_invpolarity.data + rx_is_lockedtodata : out std_logic_vector(3 downto 0); -- rx_is_lockedtodata.data + rx_phase_comp_fifo_error : out std_logic_vector(3 downto 0); -- rx_phase_comp_fifo_error.data + rx_is_lockedtoref : out std_logic_vector(3 downto 0); -- rx_is_lockedtoref.data + rx_rlv : out std_logic_vector(3 downto 0); -- rx_rlv.data + rx_rmfifoempty : out std_logic_vector(3 downto 0); -- rx_rmfifoempty.data + rx_rmfifofull : out std_logic_vector(3 downto 0); -- rx_rmfifofull.data + tx_phase_comp_fifo_error : out std_logic_vector(3 downto 0); -- tx_phase_comp_fifo_error.data + rx_patterndetect : out std_logic_vector(7 downto 0); -- rx_patterndetect.data + rx_rmfifodatadeleted : out std_logic_vector(7 downto 0); -- rx_rmfifodatadeleted.data + rx_rmfifodatainserted : out std_logic_vector(7 downto 0); -- rx_rmfifodatainserted.data + rx_runningdisp : out std_logic_vector(7 downto 0); -- rx_runningdisp.data + cal_blk_powerdown : in std_logic := '0'; -- cal_blk_powerdown.data + pll_powerdown : in std_logic := '0'; -- pll_powerdown.data + gxb_powerdown : in std_logic := '0'; -- gxb_powerdown.data + pll_locked : out std_logic; -- pll_locked.data + reconfig_from_xcvr : out std_logic_vector(16 downto 0); -- reconfig_from_xcvr.data + reconfig_to_xcvr : in std_logic_vector(3 downto 0) := (others => '0') -- reconfig_to_xcvr.data + ); +end entity ip_stratixiv_phy_xaui_0; + +architecture rtl of ip_stratixiv_phy_xaui_0 is + component altera_xcvr_xaui is + generic ( + device_family : string := "Stratix IV"; + starting_channel_number : integer := 0; + interface_type : string := "Hard XAUI"; + data_rate : string := "3125 Mbps"; + xaui_pll_type : string := "AUTO"; + BASE_DATA_RATE : string := ""; + use_control_and_status_ports : integer := 0; + external_pma_ctrl_reconf : integer := 0; + recovered_clk_out : integer := 0; + number_of_interfaces : integer := 1; + reconfig_interfaces : integer := 1; + use_rx_rate_match : integer := 0; + tx_termination : string := "OCT_100_OHMS"; + tx_vod_selection : integer := 4; + tx_preemp_pretap : integer := 0; + tx_preemp_pretap_inv : integer := 0; + tx_preemp_tap_1 : integer := 0; + tx_preemp_tap_2 : integer := 0; + tx_preemp_tap_2_inv : integer := 0; + rx_common_mode : string := "0.82v"; + rx_termination : string := "OCT_100_OHMS"; + rx_eq_dc_gain : integer := 0; + rx_eq_ctrl : integer := 0; + mgmt_clk_in_mhz : integer := 150 + ); + port ( + pll_ref_clk : in std_logic := 'X'; -- clk + xgmii_tx_clk : in std_logic := 'X'; -- clk + xgmii_rx_clk : out std_logic; -- clk + xgmii_rx_dc : out std_logic_vector(71 downto 0); -- data + xgmii_tx_dc : in std_logic_vector(71 downto 0) := (others => 'X'); -- data + xaui_rx_serial_data : in std_logic_vector(3 downto 0) := (others => 'X'); -- export + xaui_tx_serial_data : out std_logic_vector(3 downto 0); -- export + rx_ready : out std_logic; -- export + tx_ready : out std_logic; -- export + phy_mgmt_clk : in std_logic := 'X'; -- clk + phy_mgmt_clk_reset : in std_logic := 'X'; -- reset + phy_mgmt_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address + phy_mgmt_read : in std_logic := 'X'; -- read + phy_mgmt_readdata : out std_logic_vector(31 downto 0); -- readdata + phy_mgmt_write : in std_logic := 'X'; -- write + phy_mgmt_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata + phy_mgmt_waitrequest : out std_logic; -- waitrequest + rx_digitalreset : in std_logic := 'X'; -- data + tx_digitalreset : in std_logic := 'X'; -- data + rx_channelaligned : out std_logic; -- data + rx_syncstatus : out std_logic_vector(7 downto 0); -- data + rx_disperr : out std_logic_vector(7 downto 0); -- data + rx_errdetect : out std_logic_vector(7 downto 0); -- data + rx_analogreset : in std_logic := 'X'; -- data + rx_invpolarity : in std_logic_vector(3 downto 0) := (others => 'X'); -- data + rx_set_locktodata : in std_logic_vector(3 downto 0) := (others => 'X'); -- data + rx_set_locktoref : in std_logic_vector(3 downto 0) := (others => 'X'); -- data + rx_seriallpbken : in std_logic_vector(3 downto 0) := (others => 'X'); -- data + tx_invpolarity : in std_logic_vector(3 downto 0) := (others => 'X'); -- data + rx_is_lockedtodata : out std_logic_vector(3 downto 0); -- data + rx_phase_comp_fifo_error : out std_logic_vector(3 downto 0); -- data + rx_is_lockedtoref : out std_logic_vector(3 downto 0); -- data + rx_rlv : out std_logic_vector(3 downto 0); -- data + rx_rmfifoempty : out std_logic_vector(3 downto 0); -- data + rx_rmfifofull : out std_logic_vector(3 downto 0); -- data + tx_phase_comp_fifo_error : out std_logic_vector(3 downto 0); -- data + rx_patterndetect : out std_logic_vector(7 downto 0); -- data + rx_rmfifodatadeleted : out std_logic_vector(7 downto 0); -- data + rx_rmfifodatainserted : out std_logic_vector(7 downto 0); -- data + rx_runningdisp : out std_logic_vector(7 downto 0); -- data + cal_blk_powerdown : in std_logic := 'X'; -- data + pll_powerdown : in std_logic := 'X'; -- data + gxb_powerdown : in std_logic := 'X'; -- data + pll_locked : out std_logic; -- data + reconfig_from_xcvr : out std_logic_vector(16 downto 0); -- data + reconfig_to_xcvr : in std_logic_vector(3 downto 0) := (others => 'X'); -- data + rx_recovered_clk : out std_logic_vector(3 downto 0); -- export + tx_clk312_5 : out std_logic -- export + ); + end component altera_xcvr_xaui; + +begin + + ip_stratixiv_phy_xaui_0_inst : component altera_xcvr_xaui + generic map ( + device_family => "Stratix IV", + starting_channel_number => 0, + interface_type => "Hard XAUI", + data_rate => "3125 Mbps", + xaui_pll_type => "CMU", + BASE_DATA_RATE => "", + use_control_and_status_ports => 1, + external_pma_ctrl_reconf => 1, + recovered_clk_out => 0, + number_of_interfaces => 1, + reconfig_interfaces => 1, + use_rx_rate_match => 0, + tx_termination => "OCT_100_OHMS", + tx_vod_selection => 4, + tx_preemp_pretap => 0, + tx_preemp_pretap_inv => 0, + tx_preemp_tap_1 => 0, + tx_preemp_tap_2 => 0, + tx_preemp_tap_2_inv => 0, + rx_common_mode => "0.82v", + rx_termination => "OCT_100_OHMS", + rx_eq_dc_gain => 0, + rx_eq_ctrl => 0, + mgmt_clk_in_mhz => 150 + ) + port map ( + pll_ref_clk => pll_ref_clk, -- pll_ref_clk.clk + xgmii_tx_clk => xgmii_tx_clk, -- xgmii_tx_clk.clk + xgmii_rx_clk => xgmii_rx_clk, -- xgmii_rx_clk.clk + xgmii_rx_dc => xgmii_rx_dc, -- xgmii_rx_dc.data + xgmii_tx_dc => xgmii_tx_dc, -- xgmii_tx_dc.data + xaui_rx_serial_data => xaui_rx_serial_data, -- xaui_rx_serial_data.export + xaui_tx_serial_data => xaui_tx_serial_data, -- xaui_tx_serial_data.export + rx_ready => rx_ready, -- rx_ready.export + tx_ready => tx_ready, -- tx_ready.export + phy_mgmt_clk => phy_mgmt_clk, -- phy_mgmt_clk.clk + phy_mgmt_clk_reset => phy_mgmt_clk_reset, -- phy_mgmt_clk_reset.reset + phy_mgmt_address => phy_mgmt_address, -- phy_mgmt.address + phy_mgmt_read => phy_mgmt_read, -- .read + phy_mgmt_readdata => phy_mgmt_readdata, -- .readdata + phy_mgmt_write => phy_mgmt_write, -- .write + phy_mgmt_writedata => phy_mgmt_writedata, -- .writedata + phy_mgmt_waitrequest => phy_mgmt_waitrequest, -- .waitrequest + rx_digitalreset => rx_digitalreset, -- rx_digitalreset.data + tx_digitalreset => tx_digitalreset, -- tx_digitalreset.data + rx_channelaligned => rx_channelaligned, -- rx_channelaligned.data + rx_syncstatus => rx_syncstatus, -- rx_syncstatus.data + rx_disperr => rx_disperr, -- rx_disperr.data + rx_errdetect => rx_errdetect, -- rx_errdetect.data + rx_analogreset => rx_analogreset, -- rx_analogreset.data + rx_invpolarity => rx_invpolarity, -- rx_invpolarity.data + rx_set_locktodata => rx_set_locktodata, -- rx_set_locktodata.data + rx_set_locktoref => rx_set_locktoref, -- rx_set_locktoref.data + rx_seriallpbken => rx_seriallpbken, -- rx_seriallpbken.data + tx_invpolarity => tx_invpolarity, -- tx_invpolarity.data + rx_is_lockedtodata => rx_is_lockedtodata, -- rx_is_lockedtodata.data + rx_phase_comp_fifo_error => rx_phase_comp_fifo_error, -- rx_phase_comp_fifo_error.data + rx_is_lockedtoref => rx_is_lockedtoref, -- rx_is_lockedtoref.data + rx_rlv => rx_rlv, -- rx_rlv.data + rx_rmfifoempty => rx_rmfifoempty, -- rx_rmfifoempty.data + rx_rmfifofull => rx_rmfifofull, -- rx_rmfifofull.data + tx_phase_comp_fifo_error => tx_phase_comp_fifo_error, -- tx_phase_comp_fifo_error.data + rx_patterndetect => rx_patterndetect, -- rx_patterndetect.data + rx_rmfifodatadeleted => rx_rmfifodatadeleted, -- rx_rmfifodatadeleted.data + rx_rmfifodatainserted => rx_rmfifodatainserted, -- rx_rmfifodatainserted.data + rx_runningdisp => rx_runningdisp, -- rx_runningdisp.data + cal_blk_powerdown => cal_blk_powerdown, -- cal_blk_powerdown.data + pll_powerdown => pll_powerdown, -- pll_powerdown.data + gxb_powerdown => gxb_powerdown, -- gxb_powerdown.data + pll_locked => pll_locked, -- pll_locked.data + reconfig_from_xcvr => reconfig_from_xcvr, -- reconfig_from_xcvr.data + reconfig_to_xcvr => reconfig_to_xcvr, -- reconfig_to_xcvr.data + rx_recovered_clk => open, -- (terminated) + tx_clk312_5 => open -- (terminated) + ); + +end architecture rtl; -- of ip_stratixiv_phy_xaui_0 diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/mentor/msim_setup.tcl b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/mentor/msim_setup.tcl new file mode 100644 index 0000000000000000000000000000000000000000..e19fa6f7e7fa37fc50ac7264c417da2639ddbc0f --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim/mentor/msim_setup.tcl @@ -0,0 +1,252 @@ + +# (C) 2001-2014 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions and +# other software and tools, and its AMPP partner logic functions, and +# any output files any of the foregoing (including device programming +# or simulation files), and any associated documentation or information +# are expressly subject to the terms and conditions of the Altera +# Program License Subscription Agreement, Altera MegaCore Function +# License Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by Altera +# or its authorized distributors. Please refer to the applicable +# agreement for further details. + +# ---------------------------------------- +# Auto-generated simulation script + +# ---------------------------------------- +# Initialize the variable +if ![info exists SYSTEM_INSTANCE_NAME] { + set SYSTEM_INSTANCE_NAME "" +} elseif { ![ string match "" $SYSTEM_INSTANCE_NAME ] } { + set SYSTEM_INSTANCE_NAME "/$SYSTEM_INSTANCE_NAME" +} + +if ![info exists TOP_LEVEL_NAME] { + set TOP_LEVEL_NAME "ip_stratixiv_phy_xaui_0" +} elseif { ![ string match "" $TOP_LEVEL_NAME ] } { + set TOP_LEVEL_NAME "$TOP_LEVEL_NAME" +} + +if ![info exists QSYS_SIMDIR] { + set QSYS_SIMDIR "./../" +} elseif { ![ string match "" $QSYS_SIMDIR ] } { + set QSYS_SIMDIR "$QSYS_SIMDIR" +} + + +# ---------------------------------------- +# Copy ROM/RAM files to simulation directory + +# ---------------------------------------- +# Create compilation libraries +proc ensure_lib { lib } { if ![file isdirectory $lib] { vlib $lib } } +ensure_lib ./libraries/ +ensure_lib ./libraries/work/ +vmap work ./libraries/work/ +if { ![ string match "*ModelSim ALTERA*" [ vsim -version ] ] } { + ensure_lib ./libraries/altera_ver/ + vmap altera_ver ./libraries/altera_ver/ + ensure_lib ./libraries/lpm_ver/ + vmap lpm_ver ./libraries/lpm_ver/ + ensure_lib ./libraries/sgate_ver/ + vmap sgate_ver ./libraries/sgate_ver/ + ensure_lib ./libraries/altera_mf_ver/ + vmap altera_mf_ver ./libraries/altera_mf_ver/ + ensure_lib ./libraries/altera_lnsim_ver/ + vmap altera_lnsim_ver ./libraries/altera_lnsim_ver/ + ensure_lib ./libraries/stratixiv_hssi_ver/ + vmap stratixiv_hssi_ver ./libraries/stratixiv_hssi_ver/ + ensure_lib ./libraries/stratixiv_pcie_hip_ver/ + vmap stratixiv_pcie_hip_ver ./libraries/stratixiv_pcie_hip_ver/ + ensure_lib ./libraries/stratixiv_ver/ + vmap stratixiv_ver ./libraries/stratixiv_ver/ + ensure_lib ./libraries/altera/ + vmap altera ./libraries/altera/ + ensure_lib ./libraries/lpm/ + vmap lpm ./libraries/lpm/ + ensure_lib ./libraries/sgate/ + vmap sgate ./libraries/sgate/ + ensure_lib ./libraries/altera_mf/ + vmap altera_mf ./libraries/altera_mf/ + ensure_lib ./libraries/altera_lnsim/ + vmap altera_lnsim ./libraries/altera_lnsim/ + ensure_lib ./libraries/stratixiv_hssi/ + vmap stratixiv_hssi ./libraries/stratixiv_hssi/ + ensure_lib ./libraries/stratixiv_pcie_hip/ + vmap stratixiv_pcie_hip ./libraries/stratixiv_pcie_hip/ + ensure_lib ./libraries/stratixiv/ + vmap stratixiv ./libraries/stratixiv/ +} +ensure_lib ./libraries/ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0/ +vmap ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 ./libraries/ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0/ + +# ---------------------------------------- +# Compile device library files +alias dev_com { + echo "\[exec\] dev_com" + if { ![ string match "*ModelSim ALTERA*" [ vsim -version ] ] } { + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_primitives.v" -work altera_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/220model.v" -work lpm_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/sgate.v" -work sgate_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_mf.v" -work altera_mf_ver + vlog -sv "/home/software/Altera/11.1/quartus/eda/sim_lib/mentor/altera_lnsim_for_vhdl.sv" -work altera_lnsim_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_hssi_atoms.v" -work stratixiv_hssi_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_pcie_hip_atoms.v" -work stratixiv_pcie_hip_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_atoms.v" -work stratixiv_ver + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_syn_attributes.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_standard_functions.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/alt_dspbuilder_package.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_europa_support_lib.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_primitives_components.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_primitives.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/220pack.vhd" -work lpm + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/220model.vhd" -work lpm + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/sgate_pack.vhd" -work sgate + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/sgate.vhd" -work sgate + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_mf_components.vhd" -work altera_mf + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_mf.vhd" -work altera_mf + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim_components.vhd" -work altera_lnsim + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_hssi_components.vhd" -work stratixiv_hssi + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_hssi_atoms.vhd" -work stratixiv_hssi + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_pcie_hip_components.vhd" -work stratixiv_pcie_hip + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_pcie_hip_atoms.vhd" -work stratixiv_pcie_hip + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_atoms.vhd" -work stratixiv + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_components.vhd" -work stratixiv + } +} + +# ---------------------------------------- +# Compile the design files in correct order +alias com { + echo "\[exec\] com" + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/altera_xcvr_functions.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/altera_xcvr_xaui.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/hxaui_csr_h.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/hxaui_csr.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_mgmt2dec_phyreconfig.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_mgmt2dec_xaui.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/altera_xcvr_xaui.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/hxaui_csr_h.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/hxaui_csr.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_phyreconfig.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_xaui.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_pma_ch_controller_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_pma_ch_controller_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_pma_controller_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_pma_controller_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_reset_ctrl_lego.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_reset_ctrl_tgx_cdrauto.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_resync.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_common_h.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_common.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_pcs8g_h.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_pcs8g.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_selector.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_mgmt2dec.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/altera_wait_generate.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_reset_ctrl_lego.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_reset_ctrl_tgx_cdrauto.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_resync.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_common_h.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_common.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g_h.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_selector.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/altera_wait_generate.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/hxaui_alt4gxb.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/hxaui_alt4gxb.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/hxaui.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/hxaui.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/siv_xcvr_xaui.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/siv_xcvr_xaui.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_h.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_h.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_siv.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_siv.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_analog.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_analog_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_eyemon_tgx.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_eyemon_tgx.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_dfe_tgx.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_dfe_tgx.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_basic_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_mutex_acq.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_dprio.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_basic_tgx.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_mutex_acq.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_dprio.v" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_arbiter.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_m2s.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv" -work ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 + vcom "$QSYS_SIMDIR/ip_stratixiv_phy_xaui_0.vhd" +} + +# ---------------------------------------- +# Elaborate top level design +alias elab { + echo "\[exec\] elab" + vsim -t ps -L work -L ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L stratixiv_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv_hssi -L stratixiv_pcie_hip -L stratixiv $TOP_LEVEL_NAME +} + +# ---------------------------------------- +# Elaborate the top level design with novopt option +alias elab_debug { + echo "\[exec\] elab_debug" + vsim -novopt -t ps -L work -L ip_stratixiv_phy_xaui_0_ip_stratixiv_phy_xaui_0 -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L stratixiv_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv_hssi -L stratixiv_pcie_hip -L stratixiv $TOP_LEVEL_NAME +} + +# ---------------------------------------- +# Compile all the design files and elaborate the top level design +alias ld " + dev_com + com + elab +" + +# ---------------------------------------- +# Compile all the design files and elaborate the top level design with -novopt +alias ld_debug " + dev_com + com + elab_debug +" + +# ---------------------------------------- +# Print out user commmand line aliases +alias h { + echo "List Of Command Line Aliases" + echo + echo "dev_com -- Compile device library files" + echo + echo "com -- Compile the design files in correct order" + echo + echo "elab -- Elaborate top level design" + echo + echo "elab_debug -- Elaborate the top level design with novopt option" + echo + echo "ld -- Compile all the design files and elaborate the top level design" + echo + echo "ld_debug -- Compile all the design files and elaborate the top level design with -novopt" + echo + echo + echo + echo "List Of Variables" + echo + echo "TOP_LEVEL_NAME -- Top level module name." + echo + echo "SYSTEM_INSTANCE_NAME -- Instantiated system module name inside top level module." + echo + echo "QSYS_SIMDIR -- Qsys base simulation directory." +} +h diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft.qip b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft.qip new file mode 100644 index 0000000000000000000000000000000000000000..b780b77d75b1615fd18d486d844a342c76df74eb --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft.qip @@ -0,0 +1,72 @@ +set_global_assignment -entity "ip_stratixiv_phy_xaui_soft" -library "lib_ip_stratixiv_phy_xaui_soft" -name IP_TOOL_NAME "altera_xcvr_xaui" +set_global_assignment -entity "ip_stratixiv_phy_xaui_soft" -library "lib_ip_stratixiv_phy_xaui_soft" -name IP_TOOL_VERSION "11.1sp2" +set_global_assignment -entity "ip_stratixiv_phy_xaui_soft" -library "lib_ip_stratixiv_phy_xaui_soft" -name IP_TOOL_ENV "mwpim" + +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VHDL_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft.vhd] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/altera_xcvr_functions.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_pma_functions.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/altera_xcvr_xaui.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/hxaui_csr_h.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/hxaui_csr.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec_phyreconfig.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec_xaui.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_pma_ch_controller_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_pma_controller_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_reset_ctrl_lego.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_reset_ctrl_tgx_cdrauto.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_resync.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_common_h.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_common.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_pcs8g_h.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_pcs8g.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_selector.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/altera_wait_generate.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_pcs.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_reset.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_8b10b_dec.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_channel_synch.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew_channel.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew_ram.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_invalid_code_det.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity_4b.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity_6b.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rate_match.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rate_match_ram.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rl_chk_6g.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_sm.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx_8b10b_enc.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx_idle_conv.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/l_modules.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/serdes_4_unit_lc_siv.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/serdes_4_unit_siv.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/serdes_4unit.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SOURCE_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_soft_xaui_pcs.ocp] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/sxaui.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/siv_xcvr_low_latency_phy_nr.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/siv_xcvr_xaui.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name QIP_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xaui_phy_assignments.qip] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SDC_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xaui_phy_top.sdc] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_h.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_siv.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_analog.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_analog_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_offset_cancellation.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_offset_cancellation_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_eyemon_tgx.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_dfe_tgx.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_basic_tgx.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_mutex_acq.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name VERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_dprio.v] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_arbiter.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/alt_xcvr_m2s.sv] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SOURCE_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/plain_files.txt] +set_global_assignment -library "lib_ip_stratixiv_phy_xaui_soft" -name SOURCE_FILE [file join $::quartus(qip_path) ip_stratixiv_phy_xaui_soft/qencrypt_files.txt] + +set_global_assignment -entity "altera_xcvr_xaui" -library "lib_ip_stratixiv_phy_xaui_soft" -name IP_TOOL_NAME "altera_xcvr_xaui" +set_global_assignment -entity "altera_xcvr_xaui" -library "lib_ip_stratixiv_phy_xaui_soft" -name IP_TOOL_VERSION "11.1" +set_global_assignment -entity "altera_xcvr_xaui" -library "lib_ip_stratixiv_phy_xaui_soft" -name IP_TOOL_ENV "mwpim" diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_dprio.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_dprio.v new file mode 100644 index 0000000000000000000000000000000000000000..c8cb550d6c519e9fddf949f789fe95544e45690e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_dprio.v @@ -0,0 +1,199 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//synopsys translate_off +`timescale 1 ps / 1 ps +//synopsys translate_on +(* ALTERA_ATTRIBUTE = {"{-to addr_shift_reg[31]} DPRIO_INTERFACE_REG=ON;{-to wr_out_data_shift_reg[31]} DPRIO_INTERFACE_REG=ON;{-to rd_out_data_shift_reg[13]} DPRIO_INTERFACE_REG=ON;{-to in_data_shift_reg[0]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[0]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[1]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[2]} DPRIO_INTERFACE_REG=ON"} *) +module alt_dprio ( + input wire [15:0] address, + output wire busy, + input wire [15:0] datain, + output wire [15:0] dataout, + input wire dpclk, + output wire dpriodisable, + output wire dprioin, + output wire dprioload, + input wire dprioout, + input wire [6:0] quad_address, + input wire rden, + input wire wren, + input wire wren_data + ); + + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [31:0] addr_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [15:0] in_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [15:0] rd_out_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [2:0] startup_cntr; + (* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) + reg [2:0] state_mc_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [31:0] wr_out_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) + reg [5:0] state_mc_counter_q; + + wire pre_amble_cmpr_agb; + wire [7:0] state_mc_decode_eq; + wire dprioin_mux_dataout; + wire busy_state; + wire idle_state; + wire rd_addr_done; + wire rd_addr_state; + wire rd_data_done; + wire rd_data_input_state; + wire rd_data_output_state; + wire rd_data_state; + wire rdinc; + wire read_state; + wire s0_to_0; + wire s0_to_1; + wire s1_to_0; + wire s1_to_1; + wire s2_to_0; + wire s2_to_1; + wire startup_done; + wire startup_idle; + wire wr_addr_done; + wire wr_addr_state; + wire wr_data_done; + wire wr_data_state; + wire write_state; + wire [2:0] startup_cntr_d; + wire [2:0] startup_cntr_ena; + + // synopsys translate_off + initial + begin + addr_shift_reg = 0; + in_data_shift_reg = 0; + rd_out_data_shift_reg = 0; + startup_cntr[2:0] = 3'b0; + state_mc_reg = 0; + wr_out_data_shift_reg = 0; + state_mc_counter_q = 6'b0; + end + // synopsys translate_on + + always @ (posedge dpclk) + begin + if (state_mc_counter_q == 6'b011111) + begin + addr_shift_reg <= {{2{{2{1'b0}}}}, {3{1'b0}}, quad_address, 2'b10, address}; + rd_out_data_shift_reg <= {{2{1'b0}}, {2{1'b1}}, {3{1'b0}}, quad_address, 2'b10}; + wr_out_data_shift_reg <= {{2{1'b0}}, 2'b01, {3{1'b0}}, quad_address, 2'b10, datain}; + end + else begin + addr_shift_reg <= {addr_shift_reg[30:0], 1'b0}; + rd_out_data_shift_reg <= {rd_out_data_shift_reg[14:0], 1'b0}; + wr_out_data_shift_reg <= {wr_out_data_shift_reg[30:0], 1'b0}; + end + + if (rd_data_input_state == 1'b1) + in_data_shift_reg <= {in_data_shift_reg[14:0], dprioout}; + end + + always @ (posedge dpclk) + begin + if (startup_cntr_ena[0] == 1'b1) + startup_cntr[0] <= startup_cntr_d[0]; + if (startup_cntr_ena[1:1] == 1'b1) + startup_cntr[1] <= startup_cntr_d[1]; + if (startup_cntr_ena[2:2] == 1'b1) + startup_cntr[2] <= startup_cntr_d[2]; + end + + assign startup_cntr_d = {(startup_cntr[2] ^ (startup_cntr[1] & startup_cntr[0])), (startup_cntr[0] ^ startup_cntr[1]), (~ startup_cntr[0])}; + assign startup_cntr_ena = {3{((((rden | wren) | rdinc) | (~ startup_idle)) & (~ startup_done))}}; + + always @ ( posedge dpclk) + begin + state_mc_reg <= {(s2_to_1 | (((~ s2_to_0) & (~ s2_to_1)) & state_mc_reg[2])), (s1_to_1 | (((~ s1_to_0) & (~ s1_to_1)) & state_mc_reg[1])), (s0_to_1 | (((~ s0_to_0) & (~ s0_to_1)) & state_mc_reg[0]))}; + end + + always @(posedge dpclk) + begin + if (write_state | read_state) + begin + if (state_mc_counter_q == 6'b111111) + state_mc_counter_q <= 6'b0; + else + state_mc_counter_q <= state_mc_counter_q[5:0] + 6'b1; + end + end + + lpm_decode #( + .lpm_decodes (8), + .lpm_width (3), + .lpm_type ("lpm_decode")) + state_mc_decode( + .data(state_mc_reg), + .eq(state_mc_decode_eq) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .aclr(1'b0), + .clken(1'b1), + .clock(1'b0), + .enable(1'b1) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + + or(dprioin_mux_dataout, ((((((wr_addr_state | rd_addr_state) & addr_shift_reg[31]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & (wr_addr_state | rd_addr_state))) | (((wr_data_state & wr_out_data_shift_reg[31]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & wr_data_state))) | (((rd_data_output_state & rd_out_data_shift_reg[15]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & rd_data_output_state))), ~(((write_state | rd_addr_state) | rd_data_output_state))); + + assign + pre_amble_cmpr_agb = (state_mc_counter_q > 6'b011111), + busy = busy_state, + busy_state = (write_state | read_state), + dataout = in_data_shift_reg, + dpriodisable = (~ (startup_cntr[2] & (startup_cntr[0] | startup_cntr[1]))), + dprioin = dprioin_mux_dataout, + dprioload = (~ ((startup_cntr[0] ^ startup_cntr[1]) & (~ startup_cntr[2]))), + idle_state = state_mc_decode_eq[0], + + rd_addr_done = (rd_addr_state & (state_mc_counter_q == 6'b111111)), + + rd_addr_state = (state_mc_decode_eq[5] & startup_done), + rd_data_done = (rd_data_state & (state_mc_counter_q == 6'b111111)), + + rd_data_input_state = ((state_mc_counter_q >= 6'b110000) & rd_data_state), + + rd_data_output_state = (state_mc_counter_q < 6'b110000 & rd_data_state), + rd_data_state = (state_mc_decode_eq[7] & startup_done), + rdinc = 1'b0, + read_state = (rd_addr_state | rd_data_state), + s0_to_0 = ((wr_data_state & wr_data_done) | (rd_data_state & rd_data_done)), + s0_to_1 = (((idle_state & (wren | ((~ wren) & ((rden | rdinc) | wren_data)))) | (wr_addr_state & wr_addr_done)) | (rd_addr_state & rd_addr_done)), + s1_to_0 = (((wr_data_state & wr_data_done) | (rd_data_state & rd_data_done)) | (idle_state & (wren | (((~ wren) & (~ wren_data)) & rden)))), + s1_to_1 = (((idle_state & ((~ wren) & (rdinc | wren_data))) | (wr_addr_state & wr_addr_done)) | (rd_addr_state & rd_addr_done)), + s2_to_0 = ((((wr_addr_state & wr_addr_done) | (wr_data_state & wr_data_done)) | (rd_data_state & rd_data_done)) | (idle_state & (wren | wren_data))), + s2_to_1 = ((idle_state & (((~ wren) & (~ wren_data)) & (rdinc | rden))) | (rd_addr_state & rd_addr_done)), + startup_done = ((startup_cntr[2] & (~ startup_cntr[0])) & startup_cntr[1]), + startup_idle = ((~ startup_cntr[0]) & (~ (startup_cntr[2] ^ startup_cntr[1]))), + + wr_addr_done = (wr_addr_state & (state_mc_counter_q == 6'b111111)), + + wr_addr_state = (state_mc_decode_eq[1] & startup_done), + + wr_data_done = (wr_data_state & (state_mc_counter_q == 6'b111111)), + + wr_data_state = (state_mc_decode_eq[3] & startup_done), + write_state = (wr_addr_state | wr_data_state); +endmodule //alt_dprio + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_mutex_acq.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_mutex_acq.v new file mode 100644 index 0000000000000000000000000000000000000000..c5d020f703904fbb94916ef75bd1fda144424ba9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_mutex_acq.v @@ -0,0 +1,221 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_mutex_acq #( + parameter mutex_wait_time = 101, + parameter mutex_wait_time_cntr_width = 7, // do we need this parameter? + parameter addr_width = 5, + parameter data_width = 32, + parameter read_mutex_addr_offset = 0 // address of the mutex word +) +( + +input wire clk, // this will be the reconfig clk +input wire reset, + +// Internal interface for the bigger controlling module, like analog reconfig +input wire [addr_width-1:0] address, // MM address +input wire [data_width-1:0] writedata, +input wire write, +input wire read, +output reg waitrequest, // can use to tell internal master to wait when auto-request+release +output wire [data_width-1:0] readdata, + + +// MM master external interface, that connects to mutex-slave, like the reconfig_basic block +output wire [addr_width-1:0] master_address, // MM address +output wire [data_width-1:0] master_writedata, +output wire master_write, +output wire master_read, +input wire master_waitrequest, // needed for a valid master interface +input wire [data_width-1:0] master_readdata, // from mutex-slave + + + +// request mutex access and should be held high as long as mutex is used +input wire mutex_req, +// output indicating whether mutex access was granted or not +output reg mutex_grant + +); + + + +localparam IDLE = 2'b00; +localparam GET_MUTEX = 2'b01; +localparam RELEASE_MUTEX = 2'b10; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [9:0] mutex_timeout_cntr; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) // should we make this variable +reg [mutex_wait_time_cntr_width-1:0] mutex_wait_cntr; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [addr_width-1:0] mutex_int_address; // MM address +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [data_width-1:0] mutex_int_writedata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_int_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_int_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_base_readwrite; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=HIGH"} *) +reg mutex_mux_ctrl; + +// synopsys translate_off +initial +begin + mutex_grant = 0; + mutex_mux_ctrl = 1'b1; + mutex_timeout_cntr = 0; + mutex_wait_cntr = 0; + mutex_int_address = 0; + mutex_int_writedata = 0; + mutex_int_read = 0; + mutex_int_write = 0; + waitrequest = 0; + do_base_readwrite = 0; + state = 0; +end +// synopsys translate_on + +always @(posedge clk or posedge reset) +begin + if (reset === 1'b1) + begin + mutex_grant <= 0; + mutex_mux_ctrl <= 1'b1; + mutex_timeout_cntr <= 0; + mutex_wait_cntr <= 0; + mutex_int_address <= 'b0; + mutex_int_writedata <= 'b0; + mutex_int_read <= 'b0; + mutex_int_write <= 'b0; + waitrequest <= 'b0; + do_base_readwrite <= 'b0; + state <= 'b0; + end + else begin + case (state) + IDLE:begin +// fix SPR:343567 - Avalon slaves are permitted to keep waitrequest asserted during idle cycles. +// The state machine will still work correctly by simply removing this condition. +// The next state is GET_MUTEX, and it will wait there if waitrequest is legitimately asserted. +// if (master_waitrequest == 1'b1) +// state <= IDLE; // wait till the waitrequest goes low +// else if (mutex_grant == 0 && + if (mutex_grant == 0 && + (mutex_req == 1'b1 || write == 1'b1 || read == 1'b1)) + begin + state <= GET_MUTEX; + mutex_int_read <= 1'b1; + mutex_int_address <= read_mutex_addr_offset; + mutex_int_write <= 1'b0; + mutex_wait_cntr <= 0; + mutex_mux_ctrl <= 1'b1; + waitrequest <= 1'b1; // pull the waitrequest high + if (write == 1'b1 || read == 1'b1) + do_base_readwrite <= 1'b1; + else + do_base_readwrite <= 1'b0; + end + else if (mutex_grant == 1'b1) + begin + do_base_readwrite <= 1'b0; + if (mutex_req == 1'b1 || write == 1'b1 || read == 1'b1) + begin + mutex_mux_ctrl <= 1'b0; // relinquish control of the mux + if (write == 1'b1 || read == 1'b1) + waitrequest <= master_waitrequest; + else + waitrequest <= 1'b0; + if (read == 1'b1 || write == 1'b1) + mutex_timeout_cntr <= 0; + else if (mutex_timeout_cntr == 10'd1000) + state <= RELEASE_MUTEX; + else + mutex_timeout_cntr <= mutex_timeout_cntr[9:0] + 10'b1; + end + else + state <= RELEASE_MUTEX; + end + else + begin + mutex_mux_ctrl <= 1'b1; // choke the inputs going to basic as mux is not available + mutex_int_read <= 1'b0; + mutex_int_write <= 1'b0; + waitrequest <= 1'b0; + end + end + RELEASE_MUTEX: begin + // release the mutex + mutex_mux_ctrl <= 1'b1; + mutex_int_read <= 1'b0; + mutex_int_write <= 1'b1; + mutex_int_address <= read_mutex_addr_offset; + mutex_int_writedata <= 16'b0; + mutex_grant <= 1'b0; + state <= IDLE; + mutex_timeout_cntr <= 0; + waitrequest <= 1'b0; + end + GET_MUTEX: begin + if (master_waitrequest == 1'b1) // wait in this state itself + begin + state <= GET_MUTEX; + end + else if (mutex_int_read == 1'b1 && master_readdata[0] == 1'b0) // check mutex bit + begin + mutex_grant <= 1; // mutex is available + state <= IDLE; + mutex_int_read <= 1'b0; // reset the read and mux ctrl bits + mutex_mux_ctrl <= 0; + if (do_base_readwrite == 1'b1) + waitrequest <= master_waitrequest; + else + waitrequest <= 1'b0; + end + else if (mutex_wait_cntr != mutex_wait_time) + begin // continue waiting till the wait time is hit + mutex_wait_cntr <= mutex_wait_cntr[mutex_wait_time_cntr_width-1:0] + 1; + mutex_int_read <= 1'b0; // pull down the read + state <= GET_MUTEX; + end + else // try and read again + begin + mutex_wait_cntr <= 0; // reset the wait cntr and try and read again + mutex_int_read <= 1'b1; + state <= GET_MUTEX; + end + end + default: begin + state <= IDLE; + end + endcase + end + +end + + +assign master_address = mutex_mux_ctrl ? mutex_int_address : address; +assign master_writedata = mutex_mux_ctrl ? mutex_int_writedata : writedata; +assign master_write = mutex_mux_ctrl ? mutex_int_write : write; +assign master_read = mutex_mux_ctrl ? mutex_int_read : read; +assign readdata = master_readdata; // pipe the readdata out + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_pma_ch_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_pma_ch_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..6347c1ae0190510fae1e3cec2d4c58e3c11c0d34 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_pma_ch_controller_tgx.v @@ -0,0 +1,164 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1ns/10ps +module alt_pma_ch_controller_tgx #( + parameter + number_of_channels = 4, + sys_clk_in_mhz = 50, // used to calculate internal delays in terms of system clock cycles + manual_reset = "false", + sync_depth =2 + ) + ( + //controller standard interface to user + input tri0 rst, // controller logic reset +// input wire powerdown_all, // save power + input tri0 rx_rst_digital, // tx_digitalreset + input tri0 tx_rst_digital, // rx_digitalreset + output wire tx_pma_ready, // pma tx pll_locked + output wire rx_pma_ready, // pma rx pll is locked to data + input tri0 tx_cal_busy, // tx calibration in progress + input tri0 rx_cal_busy, // rx calibration in progress + input tri1 pll_locked, // tx pll_locked + + // user data (avalon-MM formatted) + input wire clk, + input tri0 [5:0] ch_mgmt_address, + input tri1 ch_mgmt_read, + output wire [31:0] ch_mgmt_readdata, + input tri0 ch_mgmt_write, + input tri0 [31:0] ch_mgmt_writedata, + output wire ch_mgmt_waitrequest, + + input tri1 [number_of_channels-1:0] rx_is_lockedtodata, + input tri1 [number_of_channels-1:0] rx_is_lockedtoref, + + output wire [number_of_channels-1:0] rx_set_locktodata, + output wire [number_of_channels-1:0] rx_set_locktoref, + output wire [number_of_channels-1:0] rx_seriallpbken, + + output wire [number_of_channels-1:0] rx_analog_rst, + output wire [number_of_channels-1:0] tx_digital_rst, + output wire [number_of_channels-1:0] rx_digital_rst, + output wire pll_powerdown +); + // reset controller outputs + wire reset_controller_tx_ready; + wire reset_controller_rx_ready; + wire reset_controller_pll_powerdown; + wire reset_controller_tx_digitalreset; + wire reset_controller_rx_analogreset; + wire reset_controller_rx_digitalreset; + wire reset_controller_manual_mode; + + // Control & status register map (CSR) outputs + wire csr_reset_tx_digital; // to reset controller + wire csr_reset_rx_digital; // to reset controller + wire csr_reset_all; // to reset controller + wire csr_pll_powerdown; + wire [number_of_channels - 1 : 0] csr_tx_digitalreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_analogreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_digitalreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_phy_loopback_serial; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_set_locktoref; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_set_locktodata; // to xcvr instance + + + /////////////////////////////////////////////////////////////////// + + // Implement waitrequest to match previous implementation + altera_wait_generate wait_gen( + .rst(rst), + .clk(clk), + .launch_signal(ch_mgmt_read), + .wait_req(ch_mgmt_waitrequest) + ); + // Instantiate memory map logic for given number of lanes & PLL's + // Includes all except PCS + alt_xcvr_csr_common #( + .lanes(number_of_channels), + .plls(1) + ) csr ( + .clk(clk), + .reset(rst), + .address(8'h40 | ch_mgmt_address), // map word 0 of this module to word 64 of alt_xcvr_csr_common + .read(ch_mgmt_read), + .write(ch_mgmt_write), + .writedata(ch_mgmt_writedata), + .pll_locked(pll_locked), + .rx_is_lockedtoref(rx_is_lockedtoref), + .rx_is_lockedtodata(rx_is_lockedtodata), + .rx_signaldetect({number_of_channels{1'b0}}), + .reset_controller_tx_ready(reset_controller_tx_ready), + .reset_controller_rx_ready(reset_controller_rx_ready), + .reset_controller_pll_powerdown(reset_controller_pll_powerdown), + .reset_controller_tx_digitalreset(reset_controller_tx_digitalreset), + .reset_controller_rx_analogreset(reset_controller_rx_analogreset), + .reset_controller_rx_digitalreset(reset_controller_rx_digitalreset), + .readdata(ch_mgmt_readdata), + .csr_reset_tx_digital(csr_reset_tx_digital), + .csr_reset_rx_digital(csr_reset_rx_digital), + .csr_reset_all(csr_reset_all), + .csr_pll_powerdown(csr_pll_powerdown), + .csr_tx_digitalreset(csr_tx_digitalreset), + .csr_rx_analogreset(csr_rx_analogreset), + .csr_rx_digitalreset(csr_rx_digitalreset), + .csr_phy_loopback_serial(csr_phy_loopback_serial), + .csr_rx_set_locktoref(csr_rx_set_locktoref), + .csr_rx_set_locktodata(csr_rx_set_locktodata) + ); + + + // Instantiate reset controller for PMA channels + alt_reset_ctrl_tgx_cdrauto #( + .sys_clk_in_mhz(sys_clk_in_mhz) + ) rc ( + .clock(clk), + .reset_all(csr_reset_all), + .reset_tx_digital(csr_reset_tx_digital | tx_rst_digital), + .reset_rx_digital(csr_reset_rx_digital | rx_rst_digital), + .powerdown_all(rst), + .tx_ready(reset_controller_tx_ready), + .rx_ready(reset_controller_rx_ready), + .pll_powerdown (reset_controller_pll_powerdown), + .tx_digitalreset(reset_controller_tx_digitalreset), + .rx_analogreset(reset_controller_rx_analogreset), + .rx_digitalreset(reset_controller_rx_digitalreset), + .gxb_powerdown(), + .pll_is_locked(pll_locked), + .tx_cal_busy(tx_cal_busy), + .rx_cal_busy(rx_cal_busy), + .rx_is_lockedtodata(&rx_is_lockedtodata), + .manual_mode(reset_controller_manual_mode) + ); + + // Assign outputs from reset controller + assign tx_pma_ready = reset_controller_tx_ready; + assign rx_pma_ready = reset_controller_rx_ready; + + // Assign outputs from CSR to channel control bits + assign rx_set_locktodata = csr_rx_set_locktodata; + assign rx_set_locktoref = csr_rx_set_locktoref; + assign rx_seriallpbken = csr_phy_loopback_serial; + + assign rx_analog_rst = csr_rx_analogreset; + assign tx_digital_rst = csr_tx_digitalreset; + assign rx_digital_rst = csr_rx_digitalreset; + + assign reset_controller_manual_mode = (manual_reset == "true") ? 1'b1 + : (csr_rx_set_locktoref || csr_rx_set_locktodata); + + assign pll_powerdown = csr_pll_powerdown; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_pma_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_pma_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..614ad6278ca4a3cbbfa013d414c4e6c8410c156e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_pma_controller_tgx.v @@ -0,0 +1,198 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1ns/10ps +module alt_pma_controller_tgx #( + parameter number_of_plls = 1,// One controller only control the possible merged pll. If more pll is needed, user can use multiplier controller for none share plls. + parameter tx_pll_reset_hold_time = 0,// ignored - now calculated according to system clock + parameter sync_depth = 2, + parameter sys_clk_in_mhz = 50 // needed for 1us and 4us delay timers +) +( + input rst, // controller logic reset + output tx_pll_ready, + + // user data (avalon-MM formatted) + input wire clk, + input wire [1:0] pma_mgmt_address, + input wire pma_mgmt_read, + output reg [31:0] pma_mgmt_readdata, + input wire pma_mgmt_write, + input wire [31:0] pma_mgmt_writedata, + output wire pma_mgmt_waitrequest, + + // user data (avalon-clock formatted) + input wire cal_blk_clk, + + // user data: pll control (avalon-ST formatted) + output wire cal_blk_pdn,//sync with cal_blk_clk + output wire gx_pdn,//sync with clk + output wire [number_of_plls-1:0] pll_pdn, //sync with clk + input wire [number_of_plls-1:0] pll_locked // +); + +localparam clk_in_mhz = +`ifdef QUARTUS__SIMGEN + 2; // simulation-only value +`elsif ALTERA_RESERVED_QIS + sys_clk_in_mhz; // use real counter lengths for normal Quartus synthesis +`else + 2; // simulation-only value +`endif +localparam t_pll_powerdown = clk_in_mhz; // 1 us minimum +localparam t_ltd_auto = clk_in_mhz*4; // 4 us minimum + +wire [number_of_plls-1:0] pll_locked_sync; +reg cal_blk_pdn_reg; +reg read_delay; +reg gx_pdn_reg; +reg pll_pdn_resetall_avmm; +wire pll_pdn_int; +reg pll_pdn_reg; + +wire gx_pdn_int; +wire gx_pdn_done; + +altera_wait_generate wait_gen( + .rst(rst), +.clk(clk), +.launch_signal(pma_mgmt_read), +.wait_req(pma_mgmt_waitrequest) + ); + +alt_reset_ctrl_lego +#( +.reset_hold_cycles (t_pll_powerdown) // reset pulse length in clock cycles +) pll_pdn_rst +( +.clock(clk), +.start(1'b1 ), +.reset(pll_pdn_int), +.rdone(pll_locked), // reset done signal +.aclr(rst), +.sdone(tx_pll_ready) // sequence done for this lego +); + +alt_reset_ctrl_lego +#( +.reset_hold_cycles (2) // reset pulse length in clock cycles +) gx_pdn_rst +( +.clock(clk), +.start(1'b1 ), +.reset(gx_pdn_int), +.rdone(1'b1), // reset done signal +.aclr(rst), +.sdone(gx_pdn_done) // sequence done for this lego +); + +assign pll_pdn= pll_pdn_reg | {number_of_plls{pll_pdn_int}} ; + +initial +begin + pll_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + pll_pdn_reg <= 1'b0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b00) begin + pll_pdn_reg <= pma_mgmt_writedata[number_of_plls -1 :0]; + end +end + +initial +begin + pma_mgmt_readdata <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + pma_mgmt_readdata <= 0; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b00) begin + pma_mgmt_readdata[number_of_plls -1 :0] <= pll_pdn; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b01) begin + pma_mgmt_readdata[0] <= cal_blk_pdn_reg; + pma_mgmt_readdata[1] <= gx_pdn; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b10) begin + pma_mgmt_readdata[number_of_plls -1 :0] <= pll_locked_sync; + end + else + pma_mgmt_readdata <= 0; +end + +generate +genvar i; +for (i=0; i<number_of_plls; i=i+1) +begin: lock_sync + altera_std_synchronizer + #( + .depth (sync_depth) // reset pulse length in clock cycles + )stdsync + ( + .clk(clk), + .din(pll_locked[i]), + .dout(pll_locked_sync[i]), + .reset_n((~ rst)) + ); +end +endgenerate + +initial +begin + cal_blk_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + cal_blk_pdn_reg <= 0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b01) begin + cal_blk_pdn_reg <= pma_mgmt_writedata[0]; + end +end +altera_std_synchronizer +#( + .depth (sync_depth) // reset pulse length in clock cycles +)stdsync +( + .clk(cal_blk_clk), + .din(cal_blk_pdn_reg), + .dout(cal_blk_pdn), + .reset_n((~ rst)) +); + +assign gx_pdn = gx_pdn_reg | gx_pdn_int; +initial +begin + gx_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + gx_pdn_reg <= 0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b01) begin + gx_pdn_reg <= pma_mgmt_writedata[1]; + end + else begin + gx_pdn_reg <= gx_pdn_reg; + end + +end +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_pma_functions.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_pma_functions.sv new file mode 100644 index 0000000000000000000000000000000000000000..3b2ad149729abe8a029617dbbf1964bb6ce925dc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_pma_functions.sv @@ -0,0 +1,73 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common functions for PMA serdes +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_pma_functions; + + // convert "xx.xxx MHz" to ps + function integer freq2ps; + input [8*16:1] s; + + integer in_freq_khz; + integer period_ps; + + in_freq_khz = mega2k(s); + freq2ps = 1000000000/(in_freq_khz); + endfunction + + + // convert "xx.xxx MHz" string to integer with hz as unit + function integer mega2k; + input [8*16:1] s; + + reg [8*16:1] reg_s; + reg [8:1] digit; + reg [8:1] tmp; + integer m, magnitude; + integer final_mag; + integer unit_mag; + integer point_pos; + + begin + magnitude = 0; + reg_s = s; + unit_mag = 1000000; + point_pos = 0; + for (m=1; m<=16; m=m+1) + begin + tmp = reg_s[128:121]; + digit = tmp & 8'b00001111; + reg_s = reg_s << 8; + // Accumulate ascii digits 0-9 only. + if (tmp == 77) + unit_mag = 1000; // Found a 'M' character, Mhz + if (tmp == 46) + point_pos = 1; // Found a '.' character, point + if ((tmp>=48) && (tmp<=57)) begin + magnitude = (magnitude * 10) + digit; + point_pos = point_pos *10; + end + end + if(point_pos > 0) + mega2k = magnitude*unit_mag/point_pos; + else + mega2k = magnitude*unit_mag; + end + endfunction + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_reset_ctrl_lego.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_reset_ctrl_lego.sv new file mode 100644 index 0000000000000000000000000000000000000000..38d99a0658aa5380a62046e68a3b66cb9158881b --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_reset_ctrl_lego.sv @@ -0,0 +1,265 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Reset controller building block. +// +// Handles a single reset stage. Can be daisy-chained with other blocks for purely sequential resets. +// Options include reset pulse length in clock cycles, and a counter for sdone stability checking. +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module alt_reset_ctrl_lego +#( + parameter reset_hold_til_rdone = 0, // 1 means reset stays high until rdone arrives + // 0 means fixed pulse length, defined by reset_hold_cycles + parameter reset_hold_cycles = 1, // reset pulse length in clock cycles + parameter sdone_delay_cycles = 0, // optional delay from rdone received til sdone sent to next block + parameter rdone_is_edge_sensitive = 0 // default is level sensitive rdone +) +( + // clocks and PLLs + input wire clock, + input wire start, + input tri0 aclr, // active-high asynchronous reset + output wire reset, + input tri1 rdone, // reset done signal + output reg sdone // sequence done for this lego +); + localparam max_precision = 32; // VCS requires this declaration outside the function + function integer ceil_log2; + input [max_precision-1:0] input_num; + integer i; + reg [max_precision-1:0] try_result; + begin + i = 0; + try_result = 1; + while ((try_result << i) < input_num && i < max_precision) + i = i + 1; + ceil_log2 = i; + end + endfunction + + // How many bits are needed for 'reset_hold_cycles' counter? + localparam rhc_bits = ceil_log2(reset_hold_cycles); + localparam rhc_load_constant = (1 << rhc_bits) | (reset_hold_cycles-1); + // How many bits are needed for 'sdone_delay_cycles' counter? + localparam sdc_bits = ceil_log2(sdone_delay_cycles); + localparam sdc_load_constant = (1 << sdc_bits) + | ((rdone_is_edge_sensitive == 1 && sdone_delay_cycles > 1) ? sdone_delay_cycles-2 : sdone_delay_cycles-1); + localparam sdone_stable_cycles = (sdone_delay_cycles > 1 ? sdone_delay_cycles+1 : 0); + + wire spulse; // synchronous detection of 'start' 0-to-1 transition + wire rhold; + wire timed_reset_in_progress; + wire rinit_next; // combinatorial input to rinit DFF + wire rdonei; // internal selector between rdone and rdsave (rdone_is_edge_sensitive==1) + wire rdpulse; // synchronous detection of 'rdone' 0-to-1 transition, when rdone_is_edge_sensitive==1 + + reg zstart = 0; // delayed value of 'start' input, used for detection of 0-to-1 transition + reg rinit = 0; // state bit that indicates sequence is in progress + + initial begin + sdone = 0; // 1 indicates sequence is done + end + + + // 'start' input, detect 0-to-1 transition that triggers sequence + assign spulse = start & ~zstart; + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + zstart <= 0; + else + zstart <= start; + + // rinit state bit, triggered by spulse, waits while rhold = 1 + assign rinit_next = spulse | (rinit & (rhold | ~rdonei | rdpulse)) | timed_reset_in_progress; + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + rinit <= 0; + else + rinit <= rinit_next; + + // optional internal 'rdone' generation logic, if rdone_is_edge_sensitive==1 + generate + if (rdone_is_edge_sensitive == 0) begin + assign rdpulse = 0; + assign rdonei = rdone; + end + else begin + // instantiate synchronous edge-detection logic for rdone + reg zrdone = 0; // for edge-sensitive rdone, detect 0-to-1 transition synchronously + reg rdsave = 0; // for edge-sensitive rdone, use this as internal rdone + always @(posedge clock or posedge aclr) begin + if (aclr == 1'b1) begin + zrdone <= 0; + rdsave <= 0; + end + else begin + zrdone <= rdone; // previous value of rdone for synchronous edge detection + rdsave <= ~spulse & (rdpulse | rdsave); + end + end + assign rdpulse = rdone & ~zrdone; + assign rdonei = rdsave; + end + endgenerate + + // rhold depends on sdone_delay_cycles and rdone_is_edge_sensitive + generate + if (sdone_delay_cycles == 0 || (sdone_delay_cycles == 1 && rdone_is_edge_sensitive == 1)) + assign rhold = ~rdonei; // sdone_delay_cycles=0 + else begin + // declare only when needed to avoid Quartus synthesis warnings + reg [sdc_bits:0] rhold_reg = 0; // for sdone_delay_cycles > 0 + if (sdone_delay_cycles == 1) begin + always @(posedge clock or posedge aclr) begin + if (aclr == 1'b1) + rhold_reg <= 0; + else + rhold_reg <= ~(rinit & rdonei); + end + assign rhold = rhold_reg[0]; // sdone_delay_cycles=1 + end + else begin + // need to count cycles to make sure rdone is stable + always @(posedge clock or posedge aclr) + begin + if (aclr == 1'b1) + rhold_reg <= 0; + else if ((rinit & rdonei & ~rdpulse) == 0) + // keep load value until rinit & rdone both high, and no new rdone pulses + rhold_reg <= sdc_load_constant[sdc_bits:0]; + else + rhold_reg <= rhold_reg - 1'b1; + end + assign rhold = rhold_reg[sdc_bits]; // sdone_delay_cycles > 1 + end + end + endgenerate + + // sdone state bit indicates that reset sequence completed. Clear again on 'start' + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + sdone <= 0; + else + sdone <= ~spulse & (sdone | (rinit & ~rinit_next)); + + // reset pulse generation logic depends on 2 parameters + generate + if (reset_hold_til_rdone == 1) begin + assign reset = rinit; + assign timed_reset_in_progress = 0; + end + else if (reset_hold_cycles < 1) begin // 0 is legal, but catch negative (illegal) values too + assign reset = spulse; + assign timed_reset_in_progress = 0; + end + else begin + // declare only when needed to avoid Quartus synthesis warnings + reg [rhc_bits:0] zspulse = 0; // bits for reset pulse if fixed length + assign timed_reset_in_progress = zspulse[rhc_bits]; + assign reset = zspulse[rhc_bits]; + + if (reset_hold_cycles == 1) + // a single-cycle reset pulse needs 1 register + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + zspulse <= 0; + else + zspulse <= spulse; + else begin + // multi-cycle reset pulse needs a counter + always @(posedge clock or posedge aclr) + begin + if (aclr == 1'b1) + zspulse <= 0; + else if (spulse == 1) + zspulse <= rhc_load_constant[rhc_bits:0]; + else if (zspulse[rhc_bits] == 1) + zspulse <= zspulse - 1'b1; + end + end + end + endgenerate + +// generate +// case (reset_hold_til_rdone) +// 0 : m1 U1 (a, b, c); +// 2 : m2 U1 (a, b, c); +// default : m3 U1 (a, b, c); +// endcase +// endgenerate + + // general assertions + //synopsys translate_off + // vlog/vcs/ncverilog: +define+ALTERA_XCVR_ASSERTIONS +`ifdef ALTERA_XCVR_ASSERTIONS + // when rdone is edge sensitive, last rdone +ve edge triggers sdone +ve edge, + // 'sdone_delay_cycles' later. "##1 1" is an always-true cycle to match $rise(sdone) + sequence rdone_last_edge; + @(posedge clock) $rose(rdone) ##1 !$rose(rdone) [*sdone_delay_cycles] ##1 1; + endsequence + + // when rdone is level sensitive, stable rdone for 'sdone_delay_cycles' consecutive cycles + // triggers sdone +ve edge. "##1 1" is an always-true cycle to match $rise(sdone) + sequence rdone_stable_level; + @(posedge clock) rdone [*(sdone_delay_cycles+1)] ##1 1; + endsequence + +// Most assertions aren't valid when 'aclr' is active +//`define assert_awake(arg) assert property (disable iff (aclr) arg ) + always @(aclr) + if (aclr) $assertkill; + else $asserton; + + generate + always @(posedge clock) begin + // A rising edge on start will result in reset high within 1 clock cycle + assert property ($rose(start & ~aclr) |-> ##[0:1] reset); + // A rising edge on reset will result in sdone low within 1 clock cycle + assert property ($rose(reset) |-> ##[0:1] !sdone); + + // assertions for optional behavior: reset pulse length options + if (reset_hold_til_rdone == 0 && reset_hold_cycles > 1) + // Verify fixed-length reset pulse option + assert property ($rose(reset) |-> reset [*reset_hold_cycles] ##1 !reset) + else $error("Reset pulse length should be %d", reset_hold_cycles); + if (reset_hold_til_rdone == 0 && reset_hold_cycles == 1) + // Verify fixed 1-length reset pulse option + assert property ($rose(reset) |=> !reset); + if (reset_hold_til_rdone == 0 && reset_hold_cycles == 0) + // Verify minimal-length reset pulse option, which mirrors 'start' edge detection + assert property ($rose(start & ~aclr) |-> reset ##1 !reset); + if (reset_hold_til_rdone == 1) begin + // with hold-til-rdone, reset should not deassert until after rdone asserts, then deassert immediately + assert property ($rose(reset) && !rdone |=> $stable(reset) [*0:$] ##1 (reset && rdone) ##1 !reset); + assert property ($rose(reset) && rdone ##1 rdone [*sdone_delay_cycles] |=> !reset); // rdone was already high + //assert property ($rose(reset) && !rdone |-> ##[0:$] rdone ##1 !reset); + end + + // assertions for optional behavior: sdone delay options and rdone edge sensitive option + if (rdone_is_edge_sensitive == 1) + // rdone edge-sensitive option only has an effect when sdone_delay_cycles > 0 + assert property ($rose(sdone) |-> rdone_last_edge.ended); + if (rdone_is_edge_sensitive == 0) + // rdone defaults to level-sensitive + assert property ($rose(sdone) |-> (rdone_stable_level.ended or $past($fell(reset),1))); + end + endgenerate +`endif // ALTERA_XCVR_ASSERTIONS + //synopsys translate_on +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_reset_ctrl_tgx_cdrauto.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_reset_ctrl_tgx_cdrauto.sv new file mode 100644 index 0000000000000000000000000000000000000000..d785de6ee8eb397584ea1833c7e8a7ddf5c3b045 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_reset_ctrl_tgx_cdrauto.sv @@ -0,0 +1,201 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Reset controller for Stratix IV transceivers with RX CDR in auto-lock mode. +// +// Uses alt_reset_ctrl_lego to handle each reset stage, with 3 required for the overall sequence. +// Parameter defaults for pll-powerdown and lock-to-data-auto timers assume 50 MHz system clock +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +(* altera_attribute = "-name MERGE_TX_PLL_DRIVEN_BY_REGISTERS_WITH_SAME_CLEAR ON -to \"alt_reset_ctrl_lego:lego_pll_powerdown|zspulse\" " *) +module alt_reset_ctrl_tgx_cdrauto +#( + parameter sys_clk_in_mhz = 50 // needed for 1us and 4us delay timers +) +( + // User inputs and outputs + input wire clock, + input wire reset_all, + input tri0 reset_tx_digital, + input tri0 reset_rx_digital, + input wire powerdown_all, + output wire tx_ready, + output wire rx_ready, + + // I/O to Stratix IV transceiver control & status + output wire pll_powerdown, // reset TX PLL + output wire tx_digitalreset, // reset TX PCS + output wire rx_analogreset, // reset RX PMA + output wire rx_digitalreset, // reset RX PCS + output wire gxb_powerdown, // powerdown whole quad + input wire pll_is_locked, // TX PLL is locked status + input tri0 tx_cal_busy, // TX channel calibration status + input tri0 rx_cal_busy, // RX channel calibration status + input tri1 rx_is_lockedtodata, // RX CDR PLL is locked to data status + input tri0 manual_mode // 0=Automatically reset RX after loss of rx_is_lockedtodata +); + +localparam clk_in_mhz = +`ifdef QUARTUS__SIMGEN + 2; // simulation-only value +`elsif ALTERA_RESERVED_QIS + sys_clk_in_mhz; // use real counter lengths for normal Quartus synthesis +`else + 2; // simulation-only value +`endif +localparam t_pll_powerdown = clk_in_mhz; // 1 us minimum +localparam t_ltd_auto = clk_in_mhz*4; // 4 us minimum + + +wire pll_is_locked_r; // pll_is_locked resynchronized +wire tx_cal_busy_r; // tx_cal_busy resynchronized +wire rx_cal_busy_r; // rx_cal_busy resynchronized +wire rx_is_lockedtodata_r; // rx_is_lockedtodata resynchronized + +wire sdone_lego_pll_powerdown; // 'sequence done' output of pll_powerdown lego +wire sdone_lego_tx_digitalreset;// 'sequence done' output of tx_digitalreset lego +wire sdone_lego_rx_digitalreset;// 'sequence done' output of rx_digitalreset lego +wire sdone_lego_rx_analogreset; // 'sequence done' output of rx_analogreset lego +wire wire_tx_digital_only_reset;// reset output for TX digital-only +wire wire_rx_digital_only_reset;// reset output for RX digital-only +wire wire_tx_digitalreset; // TX digital full-reset source +wire wire_rx_digitalreset; // RX digital full-reset source +wire wire_rx_digital_retrigger; // Trigger new RX digital sequence after main sequence completes, and lose lock-to-data + +// Resynchronize input signals +alt_xcvr_resync #( + .WIDTH(2) + ) alt_xcvr_resync_inst_0 ( + .clk (clock), + .reset (1'b0), + .d ({pll_is_locked ,rx_is_lockedtodata }), + .q ({pll_is_locked_r,rx_is_lockedtodata_r}) +); + +alt_xcvr_resync #( + .WIDTH(2), + .INIT_VALUE(1) + ) alt_xcvr_resync_inst_1 ( + .clk (clock), + .reset (1'b0), + .d ({rx_cal_busy,tx_cal_busy}), + .q ({rx_cal_busy_r,tx_cal_busy_r}) +); + +// First reset ctrl sequencer lego is for pll_powerdown generation +alt_reset_ctrl_lego #( + .reset_hold_cycles(t_pll_powerdown) // hold pll_powerdown for 1us + ) lego_pll_powerdown ( .clock(clock), + .start(reset_all), // Do not use resynched version of reset_all here + .aclr(powerdown_all), + .reset(pll_powerdown), + .rdone(pll_is_locked_r), + .sdone(sdone_lego_pll_powerdown)); + +// next reset ctrl sequencer lego is for tx_digitalreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1) // hold until rdone arrives for this test case + ) lego_tx_digitalreset ( .clock(clock), + .start(reset_all), + .aclr(powerdown_all), + .reset(wire_tx_digitalreset), + .rdone(sdone_lego_pll_powerdown & ~tx_cal_busy_r), + .sdone(sdone_lego_tx_digitalreset)); + +// next reset ctrl sequencer lego is for rx_analogreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1), // hold until rdone arrives for this test case + .sdone_delay_cycles(2) // hold rx_analogreset 2 parallel_clock cycles after offset cancellation done + ) lego_rx_analogreset ( .clock(clock), + .start(reset_all), + .aclr(powerdown_all), + .reset(rx_analogreset), + .rdone(sdone_lego_tx_digitalreset & ~rx_cal_busy_r), + .sdone(sdone_lego_rx_analogreset)); + +// last reset ctrl sequencer lego is for rx_digitalreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1), // hold until rdone arrives for this test case + .sdone_delay_cycles(t_ltd_auto) // hold rx_digitalreset for 4us + ) lego_rx_digitalreset ( .clock(clock), + .start(~manual_mode & reset_all | wire_rx_digital_retrigger), + .aclr(powerdown_all), + .reset(wire_rx_digitalreset), + .rdone(sdone_lego_rx_analogreset & rx_is_lockedtodata_r), + .sdone(sdone_lego_rx_digitalreset)); + +//////////// digital-only reset //////////// +// separate reset ctrl sequencer lego for digital-only reset generation +alt_reset_ctrl_lego #( + .reset_hold_cycles(3) // hold 2 parallel clock cycles (assumes sysclk slower or same freq as parallel clock) + ) lego_tx_digitalonly ( .clock(clock), + .start(reset_tx_digital | reset_all), + .aclr(powerdown_all), + .reset(wire_tx_digital_only_reset), + .rdone(sdone_lego_tx_digitalreset), + .sdone(tx_ready)); // TX status indicator for user + +alt_reset_ctrl_lego #( + .reset_hold_cycles(3) // hold 2 parallel clock cycles (assumes sysclk slower or same freq as parallel clock) + ) lego_rx_digitalonly ( .clock(clock), + .start(reset_rx_digital | (reset_all & ~manual_mode) | wire_rx_digital_retrigger), + .aclr(powerdown_all), + .reset(wire_rx_digital_only_reset), + .rdone(sdone_lego_rx_digitalreset), + .sdone(rx_ready)); // RX status indicator for user + +// digital resets have 2 possible sources: full-reset or digital-only +assign tx_digitalreset = wire_tx_digitalreset | wire_tx_digital_only_reset; +assign rx_digitalreset = wire_rx_digitalreset | wire_rx_digital_only_reset; + +// re-trigger RX digital sequence when main sequence is complete (indicated by sdone_lego_rx_digitalreset) +// not manual mode, and lose lock-to-data +assign wire_rx_digital_retrigger = ~manual_mode & sdone_lego_rx_digitalreset & ~rx_is_lockedtodata_r; + +// Quad power-down +assign gxb_powerdown = powerdown_all; + + +//////////////////////// +// general assertions +//synopsys translate_off +// vlog/vcs/ncverilog: +define+ALTERA_XCVR_ASSERTIONS +`ifdef ALTERA_XCVR_ASSERTIONS +always @(posedge clock) begin + // reset_all starts by triggering CMU PLL powerdown + assert property ($rose(reset_all) |=> $rose(pll_powerdown)); + // While CMU PLL powerdown is asserted, all other resets must be asserted + assert property (pll_powerdown |-> (tx_digitalreset & rx_analogreset & rx_digitalreset)); + // While rx_analogreset is asserted, rx_digitalreset must be asserted + assert property (rx_analogreset |-> rx_digitalreset); + // When pll_is_locked is asserted, tx_digitalreset must be deasserted + assert property ($rose(pll_is_locked_r) |-> ##[0:2] !tx_digitalreset); + // During a reset, rx_digitalreset should remain high for t_ltd_auto after rx_is_lockedtodata rising edge + assert property ($rose(rx_is_lockedtodata_r) & ~manual_mode|-> rx_digitalreset [*(t_ltd_auto+1)] ##1 !rx_digitalreset); + assert property ($rose(rx_is_lockedtodata_r) & manual_mode|->!rx_digitalreset); + // reset_tx_digital results in only a brief pulse on tx_digitalreset + assert property ($rose(reset_tx_digital) |=> tx_digitalreset [*3] ); + assert property ($rose(reset_tx_digital) & tx_ready |=> tx_digitalreset [*3] ##1 ~tx_digitalreset ##1 $rose(tx_ready) ); + // reset_rx_digital results in only a brief pulse on rx_digitalreset + assert property ($rose(reset_rx_digital) |=> rx_digitalreset [*3] ); + assert property ($rose(reset_rx_digital) & rx_ready |=> rx_digitalreset [*3] ##1 ~rx_digitalreset ##1 $rose(rx_ready) ); +end +`endif +//synopsys translate_on + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_pcs.ocp b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_pcs.ocp new file mode 100644 index 0000000000000000000000000000000000000000..de13b50ff16421cb8fef2e380f5345a81ba5d279 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_pcs.ocp differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_pcs.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_pcs.v new file mode 100644 index 0000000000000000000000000000000000000000..581b4567cfe2a5f6c93e2d604be76399f1d2cc39 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_pcs.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_reset.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_reset.v new file mode 100644 index 0000000000000000000000000000000000000000..b5a2d936f9ac0ca8a42085bbd8ecc3bfd001011e Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_reset.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx.v new file mode 100644 index 0000000000000000000000000000000000000000..b047afe427ef0effcf7b38f183c32d1150cbc830 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_8b10b_dec.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_8b10b_dec.v new file mode 100644 index 0000000000000000000000000000000000000000..88331f4ab4b11c8f81c7beed6cd3b70b9126ee95 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_8b10b_dec.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_channel_synch.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_channel_synch.v new file mode 100644 index 0000000000000000000000000000000000000000..5113bd5b7c83d84b48b22ef8727ead02216889f9 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_channel_synch.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew.v new file mode 100644 index 0000000000000000000000000000000000000000..74352e8019bed03e95b878641cfdc3e726bc6180 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew_channel.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew_channel.v new file mode 100644 index 0000000000000000000000000000000000000000..b391954afbc92ade7b23ac42d6cbdd865d5058ed Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew_channel.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew_ram.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew_ram.v new file mode 100644 index 0000000000000000000000000000000000000000..994ca472275425898691975a06e6672b1ba7d53d Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_deskew_ram.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_invalid_code_det.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_invalid_code_det.v new file mode 100644 index 0000000000000000000000000000000000000000..a8d1d3fede3ae2f00d63b8cb80ee83a6489c9aa8 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_invalid_code_det.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity.v new file mode 100644 index 0000000000000000000000000000000000000000..d491472147abe913948924838ae1fb22a68cd1b8 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity_4b.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity_4b.v new file mode 100644 index 0000000000000000000000000000000000000000..b5f39732ed2e327f89530ff26d20a9c142a99f9b Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity_4b.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity_6b.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity_6b.v new file mode 100644 index 0000000000000000000000000000000000000000..b33a0d491f530204b71823e4403e22f22d6c5adf Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_parity_6b.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rate_match.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rate_match.v new file mode 100644 index 0000000000000000000000000000000000000000..420b77a49cc59c14f504b372438da9421368ce4b Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rate_match.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rate_match_ram.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rate_match_ram.v new file mode 100644 index 0000000000000000000000000000000000000000..f3b536cea4d4a7407c9aaea31a474317cd6548e5 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rate_match_ram.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rl_chk_6g.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rl_chk_6g.v new file mode 100644 index 0000000000000000000000000000000000000000..d4d09cb508d5d0ef345b413169843055562f619e Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_rl_chk_6g.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_sm.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_sm.v new file mode 100644 index 0000000000000000000000000000000000000000..2fd428953eae0c3d54a794f8f134dc7653f98b1b Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_rx_sm.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx.v new file mode 100644 index 0000000000000000000000000000000000000000..fc581cbf3f16d60708fcd7503d00dfd1eefe3f1a Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx_8b10b_enc.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx_8b10b_enc.v new file mode 100644 index 0000000000000000000000000000000000000000..28d00d4bfc512bbbbbe84e3dff9805dfc93398c4 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx_8b10b_enc.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx_idle_conv.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx_idle_conv.v new file mode 100644 index 0000000000000000000000000000000000000000..365c0d42d332ac62c1d85bad191d2d4b719cb0e1 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_soft_xaui_tx_idle_conv.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xaui_phy_assignments.qip b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xaui_phy_assignments.qip new file mode 100644 index 0000000000000000000000000000000000000000..6b854ad5bc76259e81a1220b8e3fb397d6826122 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xaui_phy_assignments.qip @@ -0,0 +1,4 @@ +#comment: example of PLL TYPE assignment for TX PLL. This assignment is needed for every TX PLL that exist in the design + +# For Stratix V Soft XAUI: +#set_instance_assignment -name PLL_TYPE ATX -to "*sv_xcvr_xaui:alt_xaui_phy*alt_pma_0*sv_xcvr_native_insts*pll*.tx_pll" diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xaui_phy_top.sdc b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xaui_phy_top.sdc new file mode 100644 index 0000000000000000000000000000000000000000..3c125358eb82fe3f94dbea0afd1092f76ba3c68f --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xaui_phy_top.sdc @@ -0,0 +1,43 @@ +# (C) 2001-2012 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions and other +# software and tools, and its AMPP partner logic functions, and any output +# files any of the foregoing (including device programming or simulation +# files), and any associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License Subscription +# Agreement, Altera MegaCore Function License Agreement, or other applicable +# license agreement, including, without limitation, that your use is for the +# sole purpose of programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the applicable +# agreement for further details. + + +#----------------------------------------------------------------------------- +# +# Description: SDC file for alt_xaui +# +# Authors: bauyeung +# +# Copyright (c) Altera Corporation 1997 - 2010 +# All rights reserved. +# +# +#----------------------------------------------------------------------------- + +set_time_format -unit ns -decimal_places 3 +#derive_pll_clocks +derive_clock_uncertainty + +# +# input clocks +# +create_clock -name {xgmii_tx_clk} \ + -period 6.400 -waveform {0.000 3.2} \ + [ get_ports {xgmii_tx_clk} ] +create_clock -name {phy_mgmt_clk} \ + -period 20.000 -waveform {0.000 10.0} \ + [ get_ports {phy_mgmt_clk} ] +create_clock -name {refclk} \ + -period 6.400 -waveform {0.000 3.2} \ + [ get_ports {pll_ref_clk} ] + + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_arbiter.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_arbiter.sv new file mode 100644 index 0000000000000000000000000000000000000000..5b0e914d4dea0be5e022a29a6df73240a4f4f29e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_arbiter.sv @@ -0,0 +1,64 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Clocked priority encoder with state +// +// On each clock cycle, updates state to show which request is granted. +// Most recent grant holder is always the highest priority. +// If current grant holder is not making a request, while others are, +// then new grant holder is always the requester with lowest bit number. +// If no requests, current grant holder retains grant state + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_arbiter #( + parameter width = 2 +) ( + input wire clock, + input wire [width-1:0] req, // req[n] requests for this cycle + output reg [width-1:0] grant // grant[n] means requester n is grantee in this cycle +); + + wire idle; // idle when no requests + wire [width-1:0] keep; // keep[n] means requester n is requesting, and already has the grant + // Note: current grantee is always highest priority for next grant + wire [width-1:0] take; // take[n] means requester n is requesting, and there are no higher-priority requests + + assign keep = req & grant; // current grantee is always highest priority for next grant + assign idle = ~| req; // idle when no requests + + initial begin + grant = 0; + end + + // grant next state depends on current grant and take priority + always @(posedge clock) begin + grant <= keep // if current grantee is requesting, gets to keep grant + | ({width{idle}} & grant) // if no requests, grant state remains unchanged + | take; // take applies only if current grantee is not requesting + end + + // 'take' bus encodes priority. Request with lowest bit number wins when current grantee not requesting + assign take[0] = req[0] + & (~| (keep & ({width{1'b1}} << 1))); // no 'keep' from lower-priority inputs + genvar i; + generate + for (i=1; i < width; i = i + 1) begin : arb + assign take[i] = req[i] + & (~| (keep & ({width{1'b1}} << (i+1)))) // no 'keep' from lower-priority inputs + & (~| (req & {i{1'b1}})); // no 'req' from higher-priority inputs + end + endgenerate +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_common.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_common.sv new file mode 100644 index 0000000000000000000000000000000000000000..dbe3e5a5fcf1db4a9ce64efc08efa2005e19cbe8 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_common.sv @@ -0,0 +1,209 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// + +`timescale 1 ps / 1 ps + +module alt_xcvr_csr_common #( + parameter lanes = 1, + parameter plls = 1 +) +( + // user data (avalon-MM formatted) + input wire clk, + input tri0 reset, + input wire [7:0] address, + input tri1 read, + output reg [31:0] readdata = 0, + input tri0 write, + input wire [31:0] writedata, + + // transceiver status inputs to this CSR + input wire [plls - 1 : 0] pll_locked, + input wire [lanes - 1 : 0] rx_is_lockedtoref, + input wire [lanes - 1 : 0] rx_is_lockedtodata, + input wire [lanes - 1 : 0] rx_signaldetect, + + // reset controller outputs + input wire reset_controller_tx_ready, + input wire reset_controller_rx_ready, + input wire reset_controller_pll_powerdown, + input wire reset_controller_tx_digitalreset, + input wire reset_controller_rx_analogreset, + input wire reset_controller_rx_digitalreset, + + // read/write control registers + // to reset controller + output reg csr_reset_tx_digital = 0, + output reg csr_reset_rx_digital = 0, + output reg csr_reset_all = 1, // power-up to 1 to trigger auto-init sequence + // to PMA and PCS reset inputs + output wire csr_pll_powerdown, // reset controller or manual + output wire [lanes - 1 : 0] csr_tx_digitalreset, // reset controller or manual + output wire [lanes - 1 : 0] csr_rx_analogreset, // reset controller or manual + output wire [lanes - 1 : 0] csr_rx_digitalreset, // reset controller or manual + // common PMA controls + output reg [lanes - 1 : 0] csr_phy_loopback_serial = 0, + output reg [lanes - 1 : 0] csr_rx_set_locktoref = 0, + output reg [lanes - 1 : 0] csr_rx_set_locktodata = 0 +); + import alt_xcvr_csr_common_h::*; + + localparam sync_stages = 2; // number of sync stages for transceiver status signals + localparam sync_stages_str = "2"; // number of sync stages specified as string (for timing constraints) + integer stage; + + // Parameter strings for embedded timing constraints + localparam CSR_PLLLOCKED_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_pll_locked*[",sync_stages_str,"]*]\""}; + localparam CSR_RXISLOCKED_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_rx_is_locked*[",sync_stages_str,"]*]\""}; + localparam CSR_RXSIGNALDET_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_rx_signaldetect*[",sync_stages_str,"]*]\""}; + localparam SDC_CONSTRAINTS = {CSR_PLLLOCKED_CONSTRAINT,";",CSR_RXISLOCKED_CONSTRAINT,";",CSR_RXSIGNALDET_CONSTRAINT}; + + // internal control registers + reg [lanes - 1 : 0] csr_interrupt_ch_bitmask = {lanes{1'b1}}; + // fine reset control. 'OR' with reset controller equivalent signals + reg csr_reset_or_pll_powerdown = 0; // fine reset control + reg csr_reset_or_reset_tx_digital = 0; // fine reset control + reg csr_reset_or_reset_rx_analog = 0; // fine reset control + reg csr_reset_or_reset_rx_digital = 0; // fine reset control + reg [lanes - 1 : 0] csr_reset_ch_bitmask = {lanes{1'b1}}; + + // read-only status registers + // These are synchronized forms of transceiver status signals + // async inputs go to reg [sync_stages], and come out synchronized at reg [1] + (* altera_attribute = SDC_CONSTRAINTS *) // Apply timing constraints (does not matter which node) + reg [plls - 1 : 0] csr_pll_locked [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_is_lockedtoref [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_is_lockedtodata [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_signaldetect [sync_stages:1]; + + always @(posedge clk or posedge reset) begin + if (reset == 1) begin + readdata <= 0; + csr_interrupt_ch_bitmask <= {lanes{1'b1}}; + + csr_reset_tx_digital <= 0; + csr_reset_rx_digital <= 0; + csr_reset_all <= 1; // reset to 1 to trigger auto-init sequence + csr_reset_ch_bitmask <= {lanes{1'b1}}; + csr_reset_or_pll_powerdown <= 0; // fine reset control + csr_reset_or_reset_tx_digital <= 0; // fine reset control + csr_reset_or_reset_rx_analog <= 0; // fine reset control + csr_reset_or_reset_rx_digital <= 0; // fine reset control + + csr_phy_loopback_serial <= 0; + csr_rx_set_locktoref <= 0; + csr_rx_set_locktodata <= 0; + end + else begin + // decode read & write for each supported address + case (address) + // interrupt control + ADDR_INTERRUPT_CH_BITMASK: begin + readdata <= (32'd0 | csr_interrupt_ch_bitmask); + if (write) csr_interrupt_ch_bitmask <= writedata[lanes-1:0]; + end + + // reset control + ADDR_RESET_CONTROL: begin + // on read, returns two bits: bit0: TX ready, bit1: RX ready + readdata <= (32'd0 | {reset_controller_rx_ready, reset_controller_tx_ready}); + // on write, bit0 is 'reset_tx_digital', bit1 is 'reset_rx_digital', bit2 is 'reset_all' + //if (write) begin + // reset_all write side-effect: when write a '1', force all reset_ch_bitmask bits to '1' + // csr_reset_ch_bitmask <= csr_reset_ch_bitmask | {(lanes){writedata[2]}}; + //end + end + ADDR_RESET_CH_BITMASK: begin + readdata <= (32'd0 | csr_reset_ch_bitmask); + if (write) csr_reset_ch_bitmask <= writedata[lanes-1:0]; + end + + // loopback control + ADDR_PHY_LOOPBACK_SERIAL, + ADDR_PMA_LOOPBACK_SERIAL: begin + readdata <= (32'd0 | csr_phy_loopback_serial); + if (write) csr_phy_loopback_serial <= writedata[lanes-1:0]; + end + + // PMA control and status + ADDR_PMA_RX_SET_LOCKTOREF: begin + readdata <= (32'd0 | csr_rx_set_locktoref); + if (write) csr_rx_set_locktoref <= writedata[lanes-1:0]; + end + ADDR_PMA_RX_SET_LOCKTODATA: begin + readdata <= (32'd0 | csr_rx_set_locktodata); + if (write) csr_rx_set_locktodata <= writedata[lanes-1:0]; + end + // PMA status (read-only) + ADDR_PMA_PLL_IS_LOCKED: readdata <= (32'd0 | csr_pll_locked[1]); + ADDR_PMA_RX_IS_LOCKEDTOREF: readdata <= (32'd0 | csr_rx_is_lockedtoref[1]); + ADDR_PMA_RX_IS_LOCKEDTODATA: readdata <= (32'd0 | csr_rx_is_lockedtodata[1]); + ADDR_PMA_RX_SIGNALDETECT: readdata <= (32'd0 | csr_rx_signaldetect[1]); + + // Fine reset control - device dependent + ADDR_RESET_FINE_CONTROL: begin + // bit 0: 'pll_powerdown', 1: 'reset_tx_digital', 2: 'reset_rx_analog', 3: 'reset_rx_digital' + + readdata <= (32'd0 | {csr_reset_or_reset_rx_digital, csr_reset_or_reset_rx_analog, + csr_reset_or_reset_tx_digital, csr_reset_or_pll_powerdown}); + if (write) begin + csr_reset_or_pll_powerdown <= writedata[0]; // fine reset control + csr_reset_or_reset_tx_digital <= writedata[1]; // fine reset control + csr_reset_or_reset_rx_analog <= writedata[2]; // fine reset control + csr_reset_or_reset_rx_digital <= writedata[3]; // fine reset control + end + end + + + default: readdata <= ~ 32'd0; // use too many LEs? + endcase + + // special handling for registers that must auto-clear on cycle after a write + // reset control. on write, bit0 is 'reset_tx_digital', bit1 is 'reset_rx_digital', bit2 is 'reset_all' + csr_reset_tx_digital <= (address == ADDR_RESET_CONTROL) & write & writedata[0]; + csr_reset_rx_digital <= (address == ADDR_RESET_CONTROL) & write & writedata[1]; + csr_reset_all <= (address == ADDR_RESET_CONTROL) & write & writedata[2]; + + // synchronization registers for status signals from transceivers + csr_pll_locked[sync_stages] <= pll_locked; // input from transceiver + csr_rx_is_lockedtoref[sync_stages] <= rx_is_lockedtoref; + csr_rx_is_lockedtodata[sync_stages] <= rx_is_lockedtodata; + csr_rx_signaldetect[sync_stages] <= rx_signaldetect; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + csr_pll_locked[stage-1] <= csr_pll_locked[stage]; + csr_rx_is_lockedtoref[stage-1] <= csr_rx_is_lockedtoref[stage]; + csr_rx_is_lockedtodata[stage-1] <= csr_rx_is_lockedtodata[stage]; + csr_rx_signaldetect[stage-1] <= csr_rx_signaldetect[stage]; + end + end + end + + // combine reset controller and CSR manual reset control settings + assign csr_pll_powerdown = reset_controller_pll_powerdown; // cut manual PLL reset path + // | csr_reset_or_pll_powerdown; + assign csr_tx_digitalreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_tx_digitalreset | csr_reset_or_reset_tx_digital}}; + assign csr_rx_analogreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_rx_analogreset | csr_reset_or_reset_rx_analog}}; + assign csr_rx_digitalreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_rx_digitalreset | csr_reset_or_reset_rx_digital}}; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_common_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_common_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..a323c0a2a16722d20880e2fd2d694fe5031fe780 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_common_h.sv @@ -0,0 +1,61 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// +// PACKAGE DECLARATION + +`timescale 1 ps / 1 ps + +package alt_xcvr_csr_common_h; + + localparam alt_xcvr_csr_addr_width = 8; + + // register bitmap --------------------------------------------------------- + // common blocks, interrupt control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_CH_BITMASK = 1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_ENABLE_BITMASK = 2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_SOURCE = 3; + + // common blocks, loopback control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PHY_LOOPBACK_SERIAL = 6; + + // common blocks, reset control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CONTROL_BASE = 64; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CH_BITMASK = ADDR_RESET_CONTROL_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CONTROL = ADDR_RESET_CONTROL_BASE + 8'd2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_FINE_CONTROL = ADDR_RESET_CONTROL_BASE + 8'd4; + + // common blocks, PMA common control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_COMMON_BASE = 32; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_PLL_IS_LOCKED = ADDR_PMA_COMMON_BASE + 8'd2; + // common blocks, PMA channel control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_CHANNEL_BASE = 96; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_LOOPBACK_SERIAL = ADDR_PMA_CHANNEL_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SIGNALDETECT = ADDR_PMA_CHANNEL_BASE + 8'd3; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SET_LOCKTODATA = ADDR_PMA_CHANNEL_BASE + 8'd4; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SET_LOCKTOREF = ADDR_PMA_CHANNEL_BASE + 8'd5; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_IS_LOCKEDTODATA = ADDR_PMA_CHANNEL_BASE + 8'd6; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_IS_LOCKEDTOREF = ADDR_PMA_CHANNEL_BASE + 8'd7; + + // external block for PCS control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS_BASE = 128; // 128-255 + + // First word in every PCS CSR is lane # (or lane group # as appropriate) + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS_LANE_GROUP = ADDR_PCS_BASE + 8'd0; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_pcs8g.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_pcs8g.sv new file mode 100644 index 0000000000000000000000000000000000000000..62ddfa2dce74f10cf83c30cd54f72573bbf216be --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_pcs8g.sv @@ -0,0 +1,350 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module alt_xcvr_csr_pcs8g #( + parameter lanes = 1, + parameter words = 2 // for status bits that are per-word, like 8B10B status +) +( + // user data (avalon-MM formatted) + input wire clk, + input tri0 reset, + input wire [7:0] address, + input tri1 read, + output reg [31:0] readdata = 0, + input tri0 write, + input wire [31:0] writedata, + + input wire rx_clk, // to synchronize rx control outputs + input wire tx_clk, // to synchronize tx control outputs + + // transceiver status inputs to this CSR + input wire [lanes*words - 1 : 0] rx_patterndetect, + input wire [lanes*words - 1 : 0] rx_syncstatus, + input wire [lanes*words - 1 : 0] rx_errdetect, + input wire [lanes*words - 1 : 0] rx_disperr, + input wire [lanes - 1 : 0] rx_phase_comp_fifo_error, + input wire [lanes - 1 : 0] tx_phase_comp_fifo_error, + input wire [lanes*5 - 1: 0] rx_bitslipboundaryselectout, + input wire [lanes - 1 : 0] rlv, + input wire [lanes*words - 1 : 0] rx_a1a2sizeout, + + // read/write control outputs + // PCS controls + output wire [lanes - 1 : 0] csr_tx_invpolarity, + output wire [lanes*5 - 1 : 0] csr_tx_bitslipboundaryselect, + output wire [lanes - 1 : 0] csr_rx_invpolarity, + output wire [lanes - 1 : 0] csr_rx_enapatternalign, + output wire [lanes - 1 : 0] csr_rx_bitreversalenable, + output wire [lanes - 1 : 0] csr_rx_bytereversalenable, + output wire [lanes - 1 : 0] csr_rx_bitslip, + output wire [lanes - 1 : 0] csr_rx_a1a2size +); + import alt_xcvr_csr_common_h::*; + import alt_xcvr_csr_pcs8g_h::*; + + localparam sync_stages = 2; // number of sync stages for transceiver status signals + localparam sync_stages_str = "2"; // number of sync stages specified as string (for timing constraints) + localparam LANE_REGW = 5; + + // Parameter strings for embedded timing constraints + localparam SYNC_RX_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*sync_rx_*[",sync_stages_str,"]*]\""}; + localparam SYNC_TX_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*sync_tx_*[",sync_stages_str,"]*]\""}; + localparam CSR_REG_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*csr_indexed_read_only_reg*sreg[",sync_stages_str,"]*]\""}; + localparam SDC_CONSTRAINTS = {SYNC_RX_CONSTRAINT,";",SYNC_TX_CONSTRAINT,";",CSR_REG_CONSTRAINT}; + + // internal registers + reg [LANE_REGW-1:0] reg_lane_number = 0; // lane or group number for indirection + + //////////////////////////////////////////////////////// + // Read/Write CSR registers with lane indirection + //////////////////////////////////////////////////////// + // Apply false path timing constraints to synchronization registers. (It does not matter as to which node these are applied). + (* altera_attribute = SDC_CONSTRAINTS *) + reg [lanes - 1 : 0] reg_tx_invpolarity = 0; + reg [lanes - 1 : 0] sync_tx_invpolarity [sync_stages:1]; // synchronize to tx_clk + wire [lanes - 1 : 0] write_tx_invpolarity; // indexed write group muxed in + wire [0 : 0] lane_tx_invpolarity; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_tx_invpolarity(.in_narrow(writedata[0]), + .in_wide(reg_tx_invpolarity), .sel(reg_lane_number), + .out_narrow(lane_tx_invpolarity), .out_wide(write_tx_invpolarity)); + + reg [lanes*5 - 1 : 0] reg_tx_bitslipboundaryselect = 0; + reg [lanes*5 - 1 : 0] sync_tx_bitslipboundaryselect [sync_stages:1]; //synchronize to tx_clk + wire [lanes*5 - 1 : 0] write_tx_bitslipboundaryselect; //indexed write group muxed in + wire [4:0] lane_tx_bitslipboundaryselect; //selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(5), .sel_size(LANE_REGW), .init_value(0)) + wmux_tx_bitslipboundaryselect(.in_narrow(writedata[5:1]), + .in_wide(reg_tx_bitslipboundaryselect), .sel(reg_lane_number), + .out_narrow(lane_tx_bitslipboundaryselect), .out_wide(write_tx_bitslipboundaryselect)); + + reg [lanes - 1 : 0] reg_rx_invpolarity = 0; + reg [lanes - 1 : 0] sync_rx_invpolarity [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_invpolarity; // indexed write group muxed in + wire [0 : 0] lane_rx_invpolarity; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_invpolarity(.in_narrow(writedata[0]), + .in_wide(reg_rx_invpolarity), .sel(reg_lane_number), + .out_narrow(lane_rx_invpolarity), .out_wide(write_rx_invpolarity)); + + reg [lanes - 1 : 0] reg_rx_enapatternalign = 0; + reg [lanes - 1 : 0] sync_rx_enapatternalign [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_enapatternalign; // indexed write group muxed in + wire [0 : 0] lane_rx_enapatternalign; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_enapatternalign(.in_narrow(writedata[0]), + .in_wide(reg_rx_enapatternalign), .sel(reg_lane_number), + .out_narrow(lane_rx_enapatternalign), .out_wide(write_rx_enapatternalign)); + + reg [lanes - 1 : 0] reg_rx_bitreversalenable = 0; + reg [lanes - 1 : 0] sync_rx_bitreversalenable [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bitreversalenable; // indexed write group muxed in + wire [0 : 0] lane_rx_bitreversalenable; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bitreversalenable(.in_narrow(writedata[1]), + .in_wide(reg_rx_bitreversalenable), .sel(reg_lane_number), + .out_narrow(lane_rx_bitreversalenable), .out_wide(write_rx_bitreversalenable)); + + reg [lanes - 1 : 0] reg_rx_bytereversalenable = 0; + reg [lanes - 1 : 0] sync_rx_bytereversalenable [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bytereversalenable; // indexed write group muxed in + wire [0 : 0] lane_rx_bytereversalenable; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bytereversalenable(.in_narrow(writedata[2]), + .in_wide(reg_rx_bytereversalenable), .sel(reg_lane_number), + .out_narrow(lane_rx_bytereversalenable), .out_wide(write_rx_bytereversalenable)); + + reg [lanes - 1 : 0] reg_rx_bitslip = 0; + reg [lanes - 1 : 0] sync_rx_bitslip [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bitslip; // indexed write group muxed in + wire [0 : 0] lane_rx_bitslip; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bitslip(.in_narrow(writedata[3]), + .in_wide(reg_rx_bitslip), .sel(reg_lane_number), + .out_narrow(lane_rx_bitslip), .out_wide(write_rx_bitslip)); + + reg [lanes - 1 : 0] reg_rx_a1a2size = 0; + reg [lanes - 1 : 0] sync_rx_a1a2size [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_a1a2size; // indexed write group muxed in + wire [0 : 0] lane_rx_a1a2size; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_a1a2size(.in_narrow(writedata[3]), + .in_wide(reg_rx_a1a2size), .sel(reg_lane_number), + .out_narrow(lane_rx_a1a2size), .out_wide(write_rx_a1a2size)); + + //////////////////////////////////////////////////////// + // Read-only CSR registers with lane indirection + //////////////////////////////////////////////////////// + // read-only status registers are synchronized forms of transceiver status signals + // async inputs go to reg [sync_stages], and come out synchronized at reg [1] + //////////////////////////////////////////////////////// + // read selectors (muxes) that index using the indirect lane (group) number + wire [words-1 : 0] lane_rx_patterndetect; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_patterndetect(.clk(clk), .async_in_wide(rx_patterndetect), + .sel(reg_lane_number), .out_narrow(lane_rx_patterndetect)); + + wire [words-1 : 0] lane_rx_syncstatus; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_syncstatus(.clk(clk), .async_in_wide(rx_syncstatus), + .sel(reg_lane_number), .out_narrow(lane_rx_syncstatus)); + + wire [words-1 : 0] lane_rx_errdetect; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_errdetect(.clk(clk), .async_in_wide(rx_errdetect), + .sel(reg_lane_number), .out_narrow(lane_rx_errdetect)); + + wire [words-1 : 0] lane_rx_disperr; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_disperr(.clk(clk), .async_in_wide(rx_disperr), + .sel(reg_lane_number), .out_narrow(lane_rx_disperr)); + + wire [words-1 : 0] lane_rx_a1a2sizeout; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_a1a2sizeout(.clk(clk), .async_in_wide(rx_a1a2sizeout), + .sel(reg_lane_number), .out_narrow(lane_rx_a1a2sizeout)); + + wire [0 : 0] lane_rx_phase_comp_fifo_error; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_phase_comp_fifo_error(.clk(clk), .async_in_wide(rx_phase_comp_fifo_error), + .sel(reg_lane_number), .out_narrow(lane_rx_phase_comp_fifo_error)); + + wire [4 : 0] lane_rx_bitslipboundaryselectout; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(5), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_bitslipboundaryselectout(.clk(clk), .async_in_wide(rx_bitslipboundaryselectout), + .sel(reg_lane_number), .out_narrow(lane_rx_bitslipboundaryselectout)); + + wire [0 : 0] lane_tx_phase_comp_fifo_error; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_tx_phase_comp_fifo_error(.clk(clk), .async_in_wide(tx_phase_comp_fifo_error), + .sel(reg_lane_number), .out_narrow(lane_tx_phase_comp_fifo_error)); + + wire [0 : 0] lane_rlv; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rlv(.clk(clk), .async_in_wide(rlv), + .sel(reg_lane_number), .out_narrow(lane_rlv)); + + + always @(posedge clk or posedge reset) begin + if (reset == 1) begin + readdata <= 0; + reg_lane_number <= 0; + reg_tx_invpolarity <= 0; + reg_tx_bitslipboundaryselect <= 0; + reg_rx_invpolarity <= 0; + reg_rx_enapatternalign <= 0; + reg_rx_bitreversalenable <= 0; + reg_rx_bytereversalenable <= 0; + reg_rx_bitslip <= 0; + reg_rx_a1a2size <= 0; + + // no need to clear synchronization registers, since they do not store state + end + else begin + // decode read & write for each supported address + case (address) + // lane or group number for indirection + ADDR_PCS_LANE_GROUP: begin + readdata <= (32'd0 | reg_lane_number); + if (write) reg_lane_number <= writedata[LANE_REGW-1:0]; + end + + // offset + 1, read-only RX status bits + // bit 0, rx_phase_comp_fifo_error + // bit 5:1, rx_bitslipboundaryselectout + ADDR_PCS8G_RX_STATUS: begin + readdata <= (32'd0 | + {lane_rx_bitslipboundaryselectout, //bit 5-1 + lane_rx_phase_comp_fifo_error}); // bit 0 + end + + // offset + 2, read-only TX status bits + // bit 0, tx_phase_comp_fifo_error + ADDR_PCS8G_TX_STATUS: begin + readdata <= (32'd0 | lane_tx_phase_comp_fifo_error); // bit 0 + end + + // offset + 3, read/write TX control bits + // bit 0, tx_invpolarity + // bit 5:1, tx_bitslipboundaryselect + ADDR_PCS8G_TX_CONTROL: begin + readdata <= (32'd0 | {lane_tx_bitslipboundaryselect, lane_tx_invpolarity}); + if (write) + begin + reg_tx_invpolarity <= write_tx_invpolarity; + reg_tx_bitslipboundaryselect <= write_tx_bitslipboundaryselect; + end + end + + // offset + 4, read/write RX control bits + // bit 0, rx_invpolarity + ADDR_PCS8G_RX_CONTROL: begin + readdata <= (32'd0 | lane_rx_invpolarity); + if (write) reg_rx_invpolarity <= write_rx_invpolarity; + end + + // offset + 5, read/write RX WA control bits + // bit 0, rx_enapatternalign + // bit 1, rx_bitreversalenable + // bit 2, rx_bytereversalenable + // bit 3, rx_bitslip + // bit 4, rx_a1a2size + ADDR_PCS8G_RX_WA_CONTROL: begin + readdata <= (32'd0 | { lane_rx_a1a2size, // bit 4 + lane_rx_bitslip, // bit 3 + lane_rx_bytereversalenable, // bit 2 + lane_rx_bitreversalenable, // bit 1 + lane_rx_enapatternalign}); // bit 0 + if (write) + begin + reg_rx_enapatternalign <= write_rx_enapatternalign; + reg_rx_bitreversalenable <= write_rx_bitreversalenable; + reg_rx_bytereversalenable <= write_rx_bytereversalenable; + reg_rx_bitslip <= write_rx_bitslip; + reg_rx_a1a2size <= write_rx_a1a2size; + end + end + + // offset + 5, read RX WA status bits + // bit 3:0, rx_errdetect + // bit 7:4, rx_syncstatus + // bit 11:8, rx_disperr + // bit 15:12, rx_patterndetect + // bit 16, rlv + // bit 23:20, rx_a1a2sizeout + ADDR_PCS8G_RX_WA_STATUS: begin + readdata <= (32'd0 | {(4'b0 | lane_rx_a1a2sizeout), // bit 23:20 + (4'b0 | lane_rlv), // bit 16 + (4'b0 | lane_rx_patterndetect), // bit 15:12 + (4'b0 | lane_rx_disperr), // bit 11:8 + (4'b0 | lane_rx_syncstatus), // bit 7:4 + (4'b0 | lane_rx_errdetect)}); // bit 3:0 + end + + default: readdata <= ~ 32'd0; // use too many LEs? + endcase + end + end + + // synchronize TX controls to tx_clk before generating output + // sysclk-sync'ed input enters at [sync_stages], and tx_clk-sync'ed output exist at [1] + integer stage; + always @(posedge tx_clk) begin + sync_tx_invpolarity[sync_stages] <= reg_tx_invpolarity; + sync_tx_bitslipboundaryselect[sync_stages] <= reg_tx_bitslipboundaryselect; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sync_tx_invpolarity[stage-1] <= sync_tx_invpolarity[stage]; + sync_tx_bitslipboundaryselect[stage-1] <= sync_tx_bitslipboundaryselect[stage]; + end + end + assign csr_tx_invpolarity = sync_tx_invpolarity[1]; + assign csr_tx_bitslipboundaryselect = sync_tx_bitslipboundaryselect[1]; + + // synchronize RX controls to rx_clk before generating output + // sysclk-sync'ed input enters at [sync_stages], and rx_clk-sync'ed output exist at [1] + always @(posedge rx_clk) begin + sync_rx_invpolarity[sync_stages] <= reg_rx_invpolarity; + sync_rx_enapatternalign[sync_stages] <= reg_rx_enapatternalign; + sync_rx_bitreversalenable[sync_stages] <= reg_rx_bitreversalenable; + sync_rx_bytereversalenable[sync_stages] <= reg_rx_bytereversalenable; + sync_rx_bitslip[sync_stages] <= reg_rx_bitslip; + sync_rx_a1a2size[sync_stages] <= reg_rx_a1a2size; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sync_rx_invpolarity[stage-1] <= sync_rx_invpolarity[stage]; + sync_rx_enapatternalign[stage-1] <= sync_rx_enapatternalign[stage]; + sync_rx_bitreversalenable[stage-1] <= sync_rx_bitreversalenable[stage]; + sync_rx_bytereversalenable[stage-1] <= sync_rx_bytereversalenable[stage]; + sync_rx_bitslip[stage-1] <= sync_rx_bitslip[stage]; + sync_rx_a1a2size[stage-1] <= sync_rx_a1a2size[stage]; + end + end + assign csr_rx_invpolarity = sync_rx_invpolarity[1]; + assign csr_rx_enapatternalign = sync_rx_enapatternalign[1]; + assign csr_rx_bitreversalenable = sync_rx_bitreversalenable[1]; + assign csr_rx_bytereversalenable = sync_rx_bytereversalenable[1]; + assign csr_rx_bitslip = sync_rx_bitslip[1]; + assign csr_rx_a1a2size = sync_rx_a1a2size[1]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_pcs8g_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_pcs8g_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..09f65ef3aee3227b1f9f9ba7d3359a300eb092ac --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_pcs8g_h.sv @@ -0,0 +1,33 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_xcvr_csr_pcs8g_h; + + import alt_xcvr_csr_common_h::*; + + // 8G PCS, a.k.a. "Standard PCS", control and status bits + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_STATUS = ADDR_PCS_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_TX_STATUS = ADDR_PCS_BASE + 8'd2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_TX_CONTROL = ADDR_PCS_BASE + 8'd3; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_CONTROL = ADDR_PCS_BASE + 8'd4; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_WA_CONTROL = ADDR_PCS_BASE + 8'd5; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_WA_STATUS = ADDR_PCS_BASE + 8'd6; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_selector.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_selector.sv new file mode 100644 index 0000000000000000000000000000000000000000..2e843e4597c8292f41a2d244cf5bb2dae2d70abc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_csr_selector.sv @@ -0,0 +1,116 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Select a sub-group from a wide register +// Useful for indirection indexing +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module csr_mux #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1 +) +( + input wire [groups*grp_size-1:0] in_wide, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow +); +// lpm_mux #(.lpm_size(groups), .lpm_width(grp_size), .lpm_widths(sel_size)) +// mux (.data(in_wide), .sel(sel), .result(out_narrow)); + wire [grp_size-1:0] in_groups [groups-1:0]; + + // a synthesizable mux, with a parameterized number of inputs + genvar i; + assign in_groups[0] = in_wide[grp_size-1:0] & {grp_size{sel == 0}}; + generate for (i=1; i<groups; i = i+1) begin: mux + assign in_groups[i] = in_groups[i-1] | in_wide[i*grp_size +: grp_size] & {grp_size{sel == i}}; + end + endgenerate + assign out_narrow = in_groups[groups-1]; +endmodule + +// +// write to a sub-group of a wide register +// Useful for indirection indexing on write +// +module csr_indexed_write_mux #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1, + parameter init_value = 0 +) +( + input wire [grp_size-1:0] in_narrow, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow, // for read back to mgmt interface + input wire [groups*grp_size-1:0] in_wide, // full-width control reg state + output wire [groups*grp_size-1:0] out_wide // to write to full-width control reg +); + wire [groups*grp_size-1:0] wire_wide [groups-1:0]; + + // in_narrow is output in the group position indicated by .sel() input + genvar i; + assign wire_wide[0] = (in_wide & {grp_size{sel != 0}}) | (in_narrow & {grp_size{sel == 0}}); + generate for (i=1; i<groups; i = i+1) begin: mux + assign wire_wide[i] = wire_wide[i-1] + | (in_wide & {{grp_size{sel != i}}, {(grp_size*i){1'b0}}}) + | ({in_narrow & {grp_size{sel == i}}, {(grp_size*i){1'b0}}}); + end + endgenerate + assign out_wide = wire_wide[groups-1]; + + // generate out_narrow as ordinary mux of in_wide + csr_mux #(.groups(groups), .grp_size(grp_size), .sel_size(sel_size)) + o_narrow(.in_wide(in_wide), .sel(sel), .out_narrow(out_narrow)); + +endmodule + +// +// read from a sub-group of a wide, async status input +// Creates synchronization logic to sample in local clock domain +// Useful for indirection indexing on read-only status bits +// +module csr_indexed_read_only_reg #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1, + parameter sync_stages = 2 +) +( + input wire clk, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow, // for read back to mgmt interface + input wire [groups*grp_size-1:0] async_in_wide // full-width async status inputs +); + // read-only status registers are synchronized forms of async status signals + // async inputs go to sreg [sync_stages], and come out synchronized at sreg [1] + reg [groups*grp_size-1:0] sreg [sync_stages:1]; + integer stage; + always @(posedge clk) begin + sreg[sync_stages] <= async_in_wide; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sreg[stage-1] <= sreg[stage]; + end + end + + // generate out_narrow as ordinary mux of out_wide + csr_mux #(.groups(groups), .grp_size(grp_size), .sel_size(sel_size)) + o_narrow(.in_wide(sreg[1]), .sel(sel), .out_narrow(out_narrow)); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_m2s.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_m2s.sv new file mode 100644 index 0000000000000000000000000000000000000000..143f8260ab27069cf2eb8fd54be2d08f84f018ec --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_m2s.sv @@ -0,0 +1,61 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Master-to-slave access fabric +// +// Combined with external multi-master arbitration, this block gates +// master read, write, address, and writedata outputs, and generates +// a waitrequest when arbiter indicates access is not granted + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_m2s #( + parameter width_addr = 3, + parameter width_data = 32 +) ( + input wire clock, + output wire req, // request to arbiter for slave access + input wire grant, + + // signals from/to master + input wire m_read, + input wire m_write, + input wire [width_addr-1:0] m_address, + input wire [width_data-1:0] m_writedata, + output wire [width_data-1:0] m_readdata, + output wire m_waitrequest, + + // signals from/to slave + output wire s_read, + output wire s_write, + output wire [width_addr-1:0] s_address, + output wire [width_data-1:0] s_writedata, + input wire [width_data-1:0] s_readdata, + input wire s_waitrequest +); + + // If master is requesting access, generate waitreq until granted + assign req = m_read | m_write; // master access requests + assign m_waitrequest = grant ? s_waitrequest : req; + + // gate outputs to slave with grant signal + assign s_read = m_read & grant; + assign s_write = m_write & grant; + assign s_address = m_address & {width_addr{grant}}; + assign s_writedata = m_writedata & {width_data{grant}}; + + // slave data outputs pass through directly + assign m_readdata = s_readdata; +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec.sv new file mode 100644 index 0000000000000000000000000000000000000000..93ce24e6bb50518c47c242026699fb45dcee975a --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec.sv @@ -0,0 +1,90 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Management interface address decoder for Altera Transceiver PHY +// +// Addresses common case of 2 modules to be stitched together: +// - 'top' PHY channel blocks (includes reset control, CSR, ...) +// - dynamic reconfiguration block + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_mgmt2dec ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [8:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to 'top' csr block + output wire [7:0] topcsr_address, + output wire topcsr_read, + input wire [31:0] topcsr_readdata, + input wire topcsr_waitrequest, + output wire topcsr_write, + + // internal interface to 'top' csr block + output wire [7:0] reconf_address, + output wire reconf_read, + input wire [31:0] reconf_readdata, + input wire reconf_waitrequest, + output wire reconf_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for top.CSR and 1 for reconfig) + localparam dec_count = 2; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + localparam dec_topcsr = 0; // uses 2 128-word address blocks + localparam dec_reconf = 1; // uses 1 128-word address block + + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; + assign r_decode = + (mgmt_address[8:width_swa+1] == dec_topcsr) ? (({dec_count-dec_topcsr{1'b0}} | 1'b1) << dec_topcsr) + : (mgmt_address[8:width_swa] == 2'd2) ? (({dec_count-dec_reconf{1'b0}} | 1'b1) << dec_reconf) + : {dec_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + if (r_decode[dec_topcsr] == 1'b1) begin + mgmt_readdata = topcsr_readdata; + mgmt_waitrequest = topcsr_waitrequest; + end else if (r_decode[dec_reconf] == 1'b1) begin + mgmt_readdata = reconf_readdata; + mgmt_waitrequest = reconf_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to 'top' csr block + assign topcsr_address = mgmt_address[width_swa:0]; // top.csr uses 2 128-word blocks + assign topcsr_read = mgmt_read & r_decode[dec_topcsr]; + assign topcsr_write = mgmt_write & r_decode[dec_topcsr]; + + // internal interface to 'top' csr block + assign reconf_address = mgmt_address[width_swa-1:0]; // reconfig uses 1 128-word block + assign reconf_read = mgmt_read & r_decode[dec_reconf]; + assign reconf_write = mgmt_write & r_decode[dec_reconf]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec_phyreconfig.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec_phyreconfig.sv new file mode 100644 index 0000000000000000000000000000000000000000..3993651a7280e45e8ed8823d62ce37b8836861c2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec_phyreconfig.sv @@ -0,0 +1,110 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: Custom management interface address decoder for Altera +// transciever PHY. Addresses common case of 2 modules to be stitched together: +// - 'top' PHY channel blocks (includes reset control, CSR, ...) +// - dynamic reconfiguration block +// +// Authors: dunnikri 19-Aug-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +//`timescale 1 ns / 1 ns + +module alt_xcvr_mgmt2dec_phyreconfig ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [8:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to xaui phy block + output wire [7:0] sc_phy_address, + output wire sc_phy_read, + input wire [31:0] sc_phy_readdata, + input wire sc_phy_waitrequest, + output wire sc_phy_write, + + // internal interface to reconfig block + output wire [6:0] sc_reconf_address, + output wire sc_reconf_read, + input wire [31:0] sc_reconf_readdata, + input wire sc_reconf_waitrequest, + output wire sc_reconf_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for phy and 1 for reconfig) + localparam dec_count = 2; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + localparam dec_sc_phy = 0; // + localparam dec_reconf = 1; // + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; //1-hot encoding + + //-----------------------Memory Map Reference------------------------- + //-------------------------------------------------------------------- + //Block | Word Address | Byte Address | + //------------------------------------------------------------------- + //PHY Common | 0x0 | 0x0 | + //PMA Controller | 0x20 | 0x80 | + //Reset Controller | 0x40 | 0x100 | + //PMA Channel Controller | 0x60 | 0x180 | + //PCS | 0x80 | 0x200 | + //XCVR Reconfig | 0x100 | 0x400 | + //-------------------------------------------------------------------- + + //Decoding is based on memory map word address + assign r_decode = + (mgmt_address[8:width_swa+1] == dec_sc_phy) ? (({dec_count-dec_sc_phy{1'b0}} | 1'b1) << dec_sc_phy) + : (mgmt_address[8:width_swa] == 2'd2) ? (({dec_count-dec_reconf{1'b0}} | 1'b1) << dec_reconf) + : {dec_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + if (r_decode[dec_sc_phy] == 1'b1) begin + mgmt_readdata = sc_phy_readdata; + mgmt_waitrequest = sc_phy_waitrequest; + end else if (r_decode[dec_reconf] == 1'b1) begin + mgmt_readdata = sc_reconf_readdata; + mgmt_waitrequest = sc_reconf_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to 'top' phy block + assign sc_phy_address = mgmt_address[width_swa:0]; + assign sc_phy_read = mgmt_read & r_decode[dec_sc_phy]; + assign sc_phy_write = mgmt_write & r_decode[dec_sc_phy]; + + // internal interface to 'top' reconfig block + assign sc_reconf_address = mgmt_address[width_swa-1:0]; + assign sc_reconf_read = mgmt_read & r_decode[dec_reconf]; + assign sc_reconf_write = mgmt_write & r_decode[dec_reconf]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..5720dddc8ee64986827d0db95c96c90eec94cdf3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_mgmt2dec_xaui.sv @@ -0,0 +1,134 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: Custom management interface address decoder for Altera +// transciever PHY. Addresses common case of 3 modules to be stitched together: +// - CSR, Alt_PMA controller, Alt_PMA_Channel controller +// +// Authors: dunnikri 19-Aug-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module alt_xcvr_mgmt2dec_xaui ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [7:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to xaui pma channel controller + output wire [5:0] sc_pma_ch_controller_address, + output wire sc_pma_ch_controller_read, + input wire [31:0] sc_pma_ch_controller_readdata, + input wire sc_pma_ch_controller_waitrequest, + output wire sc_pma_ch_controller_write, + + // internal interface to pma controller block + output wire [1:0] sc_pma_controller_address, + output wire sc_pma_controller_read, + input wire [31:0] sc_pma_controller_readdata, + input wire sc_pma_controller_waitrequest, + output wire sc_pma_controller_write, + + // internal interface to hxaui csr block + output wire [4:0] sc_csr_address, + output wire sc_csr_read, + input wire [31:0] sc_csr_readdata, + input wire sc_csr_waitrequest, + output wire sc_csr_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for phy and 1 for reconfig) + localparam dec_count = 3; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + + localparam dec_pma_control = 0; + localparam dec_csr = 1; + localparam dec_pma_ch_control = 2; + + //-------------------------------------------------------------------- + //Block | Word Address | Byte Address | + //------------------------------------------------------------------- + //PHY Common | 0x0 | 0x0 | + //PMA Controller | 0x20 | 0x80 | + //Reset Controller | 0x40 | 0x100 | + //PMA Channel Controller | 0x60 | 0x180 | + //PCS | 0x80 | 0x200 | + //XCVR Reconfig | 0x100 | 0x400 | + //------------------------------------------------------------------- + + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; //1-hot encoding + + //PMA Controller - 0x20 (0010 0000) + //Reset Controller - 0x40 (0100 0000) + //Channel Controller - 0x60 (0110 0000) + //PCS - 0x80 (1000 0000) + //Consider first 3 MSBs for decoding + /*assign r_decode = + (mgmt_address[7:5] == 3'd1) ? (({dec_count-dec_pma_control{1'b0}} | 1'b1) << dec_pma_control) + : (mgmt_address[7:5] == 3'd4) ? (({dec_count-dec_csr{1'b0}} | 1'b1) << dec_csr) + : (mgmt_address[7:5] == 3'd3) ? (({dec_count-dec_pma_ch_control{1'b0}} | 1'b1) << dec_pma_ch_control) + : {dec_count{1'b0}};*/ + + assign r_decode[0] = (!mgmt_address[7]) & (!mgmt_address[6]) & (mgmt_address[5]); + assign r_decode[1] = (mgmt_address[7]) & (!mgmt_address[6]) & (!mgmt_address[5]); + assign r_decode[2] = (!mgmt_address[7]) & (mgmt_address[6]); + + + always @(*) begin + if (r_decode[dec_pma_ch_control] == 1'b1) begin + mgmt_readdata = sc_pma_ch_controller_readdata; + mgmt_waitrequest = sc_pma_ch_controller_waitrequest; + end else if (r_decode[dec_pma_control] == 1'b1) begin + mgmt_readdata = sc_pma_controller_readdata; + mgmt_waitrequest = sc_pma_controller_waitrequest; + end else if (r_decode[dec_csr] == 1'b1) begin + mgmt_readdata = sc_csr_readdata; + mgmt_waitrequest = sc_csr_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to alt_pma_ch_control block + assign sc_pma_ch_controller_address = mgmt_address[5:0]; //6 bit address + assign sc_pma_ch_controller_read = mgmt_read & r_decode[dec_pma_ch_control]; + assign sc_pma_ch_controller_write = mgmt_write & r_decode[dec_pma_ch_control]; + + // internal interface to pma_control block + assign sc_pma_controller_address = mgmt_address[1:0]; // 2 bit address + assign sc_pma_controller_read = mgmt_read & r_decode[dec_pma_control]; + assign sc_pma_controller_write = mgmt_write & r_decode[dec_pma_control]; + + // internal interface to csr block + assign sc_csr_address = mgmt_address[4:0]; // 5 bit address + assign sc_csr_read = mgmt_read & r_decode[dec_csr]; + assign sc_csr_write = mgmt_write & r_decode[dec_csr]; +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_analog.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_analog.sv new file mode 100644 index 0000000000000000000000000000000000000000..c11f7aa371601873a1d6fc77d0c67623f66bff56 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_analog.sv @@ -0,0 +1,146 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_analog #( + parameter device_family = "Stratix V" +) +( + +input wire reconfig_clk, // this will be the reconfig clk +input wire reset, + +//avalon MM slave +input wire [2:0] analog_reconfig_address, // MM address +input wire [31:0] analog_reconfig_writedata, +input wire analog_reconfig_write, +input wire analog_reconfig_read, + +//output MM slave +output wire [31:0] analog_reconfig_readdata, // from MM +output wire analog_reconfig_waitrequest, +//output wire analog_reconfig_error, +output wire analog_reconfig_done, + +// input from base_reconfig +input wire analog_reconfig_irq_from_base, +input wire analog_reconfig_waitrequest_from_base, + + +// output to base_reconfig +// Avalon MM Master +output wire [2:0] analog_reconfig_address_base, // 3 bit MM +output wire [31:0] analog_reconfig_writedata_base, +output wire analog_reconfig_write_base, // start write to GXB +output wire analog_reconfig_read_base, // start read from GXB + +// input from base reconfig +input wire [31:0] analog_reconfig_readdata_base, // data from read command + output wire arb_req, + input wire arb_grant +); + +//parameter device_family = "StratixIV"; // or ArriaII + + +generate + //Deepak - added namespace support for CIVGX and AII GX + if((device_family == "Stratix IV") || (device_family == "Arria II") || (device_family == "Cyclone IV GX") || (device_family == "Arria II GX") || (device_family == "Arria II GZ") || (device_family == "HardCopy IV")) + begin + wire [4:0] w_tgx_analog_reconfig_address_base; + alt_xcvr_reconfig_analog_tgx reconfig_analog_tgx( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base) + ); + assign analog_reconfig_address_base = w_tgx_analog_reconfig_address_base[4:2]; + assign arb_req = 1'b0 & arb_grant; // not currently used in S4 architecture + end + else if(device_family == "Stratix V") + begin + alt_xcvr_reconfig_analog_sv reconfig_analog_sv( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base), + .arb_req(arb_req), + .arb_grant(arb_grant) + + ); + end + else if(device_family == "Arria V") + begin + alt_xcvr_reconfig_analog_av reconfig_analog_av( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base), + .arb_req(arb_req), + .arb_grant(arb_grant) + + ); + end + else + begin + assign analog_reconfig_readdata = 32'd0; + assign analog_reconfig_waitrequest = 1'd0; + assign analog_reconfig_done = 1'd1; + assign analog_reconfig_address_base = 3'd0; + assign analog_reconfig_writedata_base = 32'd0; + assign analog_reconfig_write_base = 1'd0; + assign analog_reconfig_read_base = 1'd0; + assign arb_req = 1'd0; + end + +endgenerate + + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_analog_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_analog_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..b124cab8495ba45a26198a9b5ab25318441e6f01 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_analog_tgx.v @@ -0,0 +1,763 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_analog_tgx ( + +input wire reconfig_clk, // this will be the reconfig clk +input wire reset, + +//avalon MM slave +input wire [2:0] analog_reconfig_address, // MM address +input wire [31:0] analog_reconfig_writedata, +input wire analog_reconfig_write, +input wire analog_reconfig_read, + +//output MM slave +output reg [31:0] analog_reconfig_readdata, // from MM +output wire analog_reconfig_waitrequest, +//output wire analog_reconfig_error, +output reg analog_reconfig_done, + +// input from base_reconfig + input wire analog_reconfig_irq_from_base, + input wire analog_reconfig_waitrequest_from_base, + + +// output to base_reconfig +// Avalon MM Master +output wire [4:0] analog_reconfig_address_base, // 3 bit MM +output wire [31:0] analog_reconfig_writedata_base, +output wire analog_reconfig_write_base, // start write to GXB +output wire analog_reconfig_read_base, // start read from GXB + +// input from base reconfig +input wire [31:0] analog_reconfig_readdata_base // data from read command +); + +parameter device_family = "Stratix IV"; // or ArriaII + +// new memory map -- register indirection +//---------------------------------------------- +// address data[15:0] +// 0 wr/rd logical_channel_address +// 1 rd physical_chnl +// 2 wr/rd control (write, read) / status (error, datavalid, busy) +// 3 wr/rd addr_offset +// 4 wr/rd data +// +// addr_offset ( upto 16 bits) +// 0-15 TX parameters : vod, preemph_0t, preemph_1t, preemph_2t +// 16-31 RX parameters : dcgain, eqctrl + +//local parameters +localparam ADDR_WIDTH = 3; + +localparam IDLE = 4'b0000; +localparam READ_FROM_GXB = 4'b0001; +localparam WRITE_TO_GXB = 4'b0010; +localparam SET_INFO_ON_BASIC = 4'b0011; +localparam WRITE_DONE = 4'b0100; +localparam READ_FROM_BASIC = 4'b0101; +localparam READ_FROM_BASIC_DONE = 4'b0110; +localparam READ_IDLE = 4'b0111; +localparam GET_MUTEX = 4'b1000; +localparam WRITE_CHL_ADDR = 4'b1001; +localparam READ_OR_SET_INFO = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 12'b111111111111; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +//reg [3:0] prev_state; +genvar i; +integer j; +wire [(2**ADDR_WIDTH)-1:0] address_decode; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [4:0] master_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_writedata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] chnl_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] phys_chnl_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg logical_chnl_addr_updated; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] status_reg; +reg [1:0] control_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] data_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg needs_second_access; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [4:0] addr_offset; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg needs_second_write_access; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_read_before_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg have_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_read_phys_chnl; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_write_chnl_addr; + +wire mutex_grant; +wire mutex_acq_waitrequest; +wire [31:0] mutex_acq_readdata; +wire accessing_rxeqctrl; +wire accessing_rxdcgain; +wire accessing_vod; +wire accessing_preemph1t; +wire accessing_preemph0t; +wire accessing_preemph2t; + +// Implement waitrequest to match previous implementation +altera_wait_generate wait_gen( + .rst(reset), + .clk(reconfig_clk), + .launch_signal(analog_reconfig_read), + .wait_req(analog_reconfig_waitrequest) +); + +// synopsys translate_off +initial begin + state <= 3'b000; + master_write <= 1'b0; + master_read <= 1'b0; + master_writedata <= 16'b0; + master_addr <= 5'b0; + status_reg <= 2'b0; + control_reg <= 2'b0; + data_reg <= 16'b0; + needs_second_access <= 1'b0; + do_read_before_write <= 1'b0; + addr_offset <= 5'b0; + set_addr <= 1'b0; + needs_second_write_access <= 1'b0; + have_mutex <= 0; + req_and_use_mutex <= 0; + do_read_phys_chnl <= 0; + do_write_chnl_addr <= 0; + logical_chnl_addr_updated <= 0; +end +// synopsys translate_on + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + state <= IDLE; + analog_reconfig_readdata[31:0] <= 32'b0; + analog_reconfig_done <= 1'b0; + status_reg <= 2'b0; + control_reg <= 2'b0; + needs_second_access <= 1'b0; + needs_second_write_access <= 1'b0; + set_addr <= 1'b0; + do_read_before_write <= 1'b0; + data_reg <= 16'b0; + have_mutex <= 0; + req_and_use_mutex <= 0; + do_read_phys_chnl <= 0; + do_write_chnl_addr <= 0; + logical_chnl_addr_updated <= 0; + end + else begin + have_mutex <= mutex_grant; + if (analog_reconfig_read == 1'b1) // allow status read during GX read + begin + if (analog_reconfig_address == 3'b000) // logical channel address + analog_reconfig_readdata <= {{16{1'b0}}, chnl_addr_reg}; + else if (analog_reconfig_address == 3'b001) // physical channel address + analog_reconfig_readdata <= {{20{1'b0}}, phys_chnl_addr_reg}; + else if (analog_reconfig_address == 3'b010) // status + analog_reconfig_readdata <= {{22{1'b0}}, status_reg[1:0], {8{1'b0}}}; + else if (analog_reconfig_address == 3'b011) // TX/RX offset + analog_reconfig_readdata <= {{16{1'b0}}, addr_offset}; + else if (analog_reconfig_address == 3'b100) // previously read data + analog_reconfig_readdata <= {{16{1'b0}}, data_reg}; + else + analog_reconfig_readdata <= {32{1'b0}}; + end + case (state) + IDLE: begin + needs_second_access <= 1'b0; + if (analog_reconfig_address == 3'b0) + begin + if (analog_reconfig_write == 1'b1) + begin + chnl_addr_reg <= {{4{1'b0}},analog_reconfig_writedata[11:0]}; // write logical_ch_addr + logical_chnl_addr_updated <= 1'b1; + end + end + else if (analog_reconfig_write == 1'b1 && analog_reconfig_address == 3'b011) // addr_offset + begin + addr_offset <= analog_reconfig_writedata[4:0]; + end + else if (analog_reconfig_address == 3'b100) // DPRIO write data + begin + if (analog_reconfig_write == 1'b1) + data_reg[15:0] <= analog_reconfig_writedata[15:0]; + end + else if (analog_reconfig_read == 1'b1 && analog_reconfig_address == 3'b001) // physical chnl + begin + if (logical_chnl_addr_updated == 1'b1) // if logical chnl addr was updated from the last time we read the phys addr, go do the read again + begin + status_reg <= {1'b0, 1'b1}; //set busy bit + if (have_mutex) + begin + state <= WRITE_CHL_ADDR; + do_read_phys_chnl <= 1; + end + else begin + state <= READ_IDLE; + req_and_use_mutex <= 1'b1; + do_write_chnl_addr <= 1'b1; + do_read_phys_chnl <= 1; + end + logical_chnl_addr_updated <= 1'b0; + end + end + else if (analog_reconfig_address == 3'b010 && analog_reconfig_write == 1'b1) // control reg bit 1 rd, bit 0 wr + begin + status_reg <= {1'b0, 1'b1}; // set busy bit + control_reg <= analog_reconfig_writedata[1:0]; + if (analog_reconfig_writedata[0] == 1'b1) + do_read_before_write <= 1'b1; + if (have_mutex) + begin + state <= WRITE_CHL_ADDR; + end + else begin + state <= READ_IDLE; + req_and_use_mutex <= 1'b1; + do_write_chnl_addr <= 1'b1; + status_reg <= {1'b0, 1'b1}; // set busy bit + end + end + else if (analog_reconfig_address > 3'b100 && analog_reconfig_write == 1'b1) // + begin + // synopsys translate_off + $display ("Illegal operation to reserved address %h", analog_reconfig_address); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + end + else if (have_mutex == 1'b1) + begin + // holding the mutex in idle state, release it + req_and_use_mutex <= 1'b0; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + end + end + WRITE_CHL_ADDR: begin + master_addr <= 5'b00100; + master_write <= 1'b1; + master_writedata <= chnl_addr_reg; + state <= READ_OR_SET_INFO; + end + READ_OR_SET_INFO: begin + if (analog_reconfig_waitrequest_from_base == 1'b1) + state <= READ_OR_SET_INFO; + else + begin + master_write <= 1'b0; + if (do_read_phys_chnl) + begin + state <= READ_FROM_BASIC; + // do_read_phys_chnl = 0; + end + else begin + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + master_read <= 0; + end + end + end + GET_MUTEX: + begin + if (have_mutex == 1'b1) + begin + if (do_write_chnl_addr == 1'b1) + state <= WRITE_CHL_ADDR; + else + state <= READ_OR_SET_INFO; + end + else + begin + state <= GET_MUTEX; + end + end + READ_FROM_BASIC: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + master_write <= 1'b0; + master_read <= 1'b1; + if (analog_reconfig_irq_from_base == 1'b1) // start read access to data reg. of base_reconfig + begin + master_addr <= 5'b10100; + end + else begin + master_addr <= 5'b01000; + end + state <= READ_IDLE; + end + else + begin + state <= READ_FROM_BASIC; + end + end + READ_IDLE: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + if (have_mutex) + state <= READ_FROM_BASIC_DONE; + else + begin + state <= GET_MUTEX; + end + end + else + begin + state <= READ_IDLE; + end + end + READ_FROM_BASIC_DONE: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + master_read <= 1'b0; + if (do_read_phys_chnl) + begin + do_read_phys_chnl <= 0; + phys_chnl_addr_reg <= mutex_acq_readdata[11:0]; + if (mutex_acq_readdata[11:0] == ILLEGAL_PHYSICAL_CHNL) + begin + //set error bit + status_reg <= {1'b1, 1'b0}; + // error message for sim + //$display(); + //$display(); + end + else begin + // unset busy bit + status_reg <= 2'b0; + end + state <= IDLE; + end + else if (accessing_vod) + begin + + // This is for Stratix IV only + ////////////////////////////////////////////////// + //Port | DPRIO CRAM bit value + //2..0 | 2..0 + ////////////////////////////////////////////////// + //000 | 000 + //001 | 001 + //010 | 010 + //011 | 110 + //100 | 011 + //101 | 111 + //110 | 100 + //111 | 101 + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) // this was a write cycle to begin with + begin + master_writedata[31:16] <= {16{1'b0}}; + master_writedata[15:13] <= {(data_reg[2] & data_reg[1]) | (data_reg[2] & data_reg[0]) | (data_reg[1] & data_reg[0]), data_reg[2] ^ data_reg[1], (~data_reg[1] & data_reg[0]) | (data_reg[2] & data_reg[0]) | (data_reg[2] & ~data_reg[1])}; + master_writedata[12:0] <= mutex_acq_readdata[12:0]; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + data_reg <= {{13{1'b0}}, (mutex_acq_readdata[15] & ~mutex_acq_readdata[14]) | (mutex_acq_readdata[14] & mutex_acq_readdata[13]), (mutex_acq_readdata[15] & ~mutex_acq_readdata[14]) | (mutex_acq_readdata[14] & ~mutex_acq_readdata[13]), (~mutex_acq_readdata[14] & mutex_acq_readdata[13]) | (mutex_acq_readdata[15] & mutex_acq_readdata[14])}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_rxdcgain) + begin + // This is for Stratix IV only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //2..0 | 10..7 + ////////////////////////////////////////////////// + //000 | 0000 + //001 | 0001 + //010 | 0011 + //011 | 0111 + //100 | 1111 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + + // This is for Arria II only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //1..0 | 10..7 + ////////////////////////////////////////////////// + //00 | 0000 + //01 | 0001 + //10 | 0011 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + if ((device_family == "Stratix IV") || (device_family == "HardCopy IV")) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:11], data_reg[2], data_reg[1] & data_reg[0] | data_reg[2], data_reg[2] | data_reg[1], data_reg[2] | data_reg[1] | data_reg[0], mutex_acq_readdata[6:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:11], 1'b0, 1'b0, data_reg[1], data_reg[1] | data_reg[0], mutex_acq_readdata[6:0]}; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if ((device_family == "Stratix IV") || (device_family == "HardCopy IV")) + data_reg <= {{13{1'b0}}, mutex_acq_readdata[10], ~mutex_acq_readdata[10] & mutex_acq_readdata[8], mutex_acq_readdata[10] ^ mutex_acq_readdata[9] ^ mutex_acq_readdata[8] ^ mutex_acq_readdata[7]}; + else + data_reg <= {{14{1'b0}}, mutex_acq_readdata[8], mutex_acq_readdata[7] ^ mutex_acq_readdata[8]}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_rxeqctrl) // encode/decode rx_eqctrl + begin + //////////////////////////////////////// + // Wiz A B C D V + // 3210 EDC BA9 876 543 210 + // 0000 000 000 000 000 000 + // L0 0001 011 000 000 000 111 + // L1 0010 100 000 000 000 000 + // L2 0011 111 000 000 000 000 + // L3 0100 111 000 000 000 111 + // L4 0101 101 101 000 000 000 + // M0 0110 111 111 000 000 000 + // M1 0111 111 111 000 000 100 + // M2 1000 111 111 000 000 111 + // M3 1001 111 111 111 000 001 + // M4 1010 111 111 111 000 100 + // H0 1011 111 111 111 111 000 + // H1 1100 111 111 111 111 001 + // H2 1101 111 111 111 111 100 + // H3 1110 111 111 111 111 110 + // H4 1111 111 111 111 111 111 + //////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + master_writedata[31:16] <= 0; + master_writedata[15] <= mutex_acq_readdata[15]; + if (data_reg[3:0] > 4'b1010) + master_writedata[14:3] <= {12{1'b1}}; + else if (data_reg[3:0] > 4'b0110) + master_writedata[14:3] <= {{3{1'b0}}, {9{1'b1}}}; + else if (data_reg[3:0] > 4'b0011) + master_writedata[14:3] <= {{6{1'b0}}, {6{1'b1}}}; + else if (data_reg[3:0] > 4'b0) + master_writedata[14:3] <= {{9{1'b0}}, {3{1'b1}}}; + else + master_writedata[14:3] <= {12{1'b0}}; + master_writedata[2] <= (data_reg[1] & ~data_reg[0]) | (~data_reg[3] & ~data_reg[2] & data_reg[1]) | (data_reg[2] & ~data_reg[1] & data_reg[0] | (data_reg[3] & data_reg[2] & data_reg[0]) | (data_reg[3] & ~data_reg[2] & ~data_reg[1])); + master_writedata[1] <= ( data_reg[1] & (data_reg[0] ^ (data_reg[2] ^ data_reg[3]))) | (data_reg[3] & data_reg[2] & ~data_reg[1] & ~data_reg[0]); + master_writedata[0] <= (~(data_reg[3] ^ data_reg[2]) & data_reg[1] & data_reg[0]) | (data_reg[2] & data_reg[1] & ~data_reg[0]) | (data_reg[3] & data_reg[1] & ~data_reg[0]) | (data_reg[3] & data_reg[2] & ~data_reg[0]) | (data_reg[3] & ~data_reg[2] & ~data_reg[1] & data_reg[0]); + + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (mutex_acq_readdata[14:12] == 3'b111) // > 10 + data_reg <= {{12{1'b0}}, 1'b1, (mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1]), (mutex_acq_readdata[2] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[0] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[2]), (~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0])}; + else if (mutex_acq_readdata[11:9] == 3'b111) // > 6 only + data_reg <= {{12{1'b0}}, mutex_acq_readdata[2], ~mutex_acq_readdata[2], (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]), (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & mutex_acq_readdata[0])}; + else if (mutex_acq_readdata[8:6] == 3'b111) // > 3 only + data_reg <= {{12{1'b0}}, 1'b0, 1'b1, mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0], mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]}; + else if (mutex_acq_readdata[5:3] == 3'b111) // > 0 only + data_reg <= {{12{1'b0}}, {2{1'b0}}, (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]), (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) }; + else + data_reg <= {16{1'b0}}; + + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_preemph1t) + begin + // This is for Arria II only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //4..0 | 15..11 + ////////////////////////////////////////////////// + //00000 | 00000 + //00001 | 00001 + //00010 | 00101 + //00011 | 01001 + //00100 | 01101 + //00101 | 10001 + //00110 | 10101 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + if (device_family == "Stratix IV") + master_writedata <= {{16{1'b0}}, data_reg[4:0], mutex_acq_readdata[10:0]}; + else + master_writedata <= {{16{1'b0}}, data_reg[2] & data_reg[1] ^ data_reg[0], data_reg[2] & ~data_reg[1] & ~data_reg[0] | (data_reg[1] & data_reg[0]), (data_reg[2] | data_reg[1]) & ~data_reg[0], 1'b0, (data_reg[2] | data_reg[1] | data_reg[0]), mutex_acq_readdata[10:0]}; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (device_family == "Stratix IV") + data_reg <= {{11{1'b0}}, mutex_acq_readdata[15:11]}; + else + data_reg <= {{11{1'b0}}, 1'b0, 1'b0, mutex_acq_readdata[15] | (mutex_acq_readdata[14] & mutex_acq_readdata[13]), mutex_acq_readdata[14] ^ mutex_acq_readdata[13], mutex_acq_readdata[13] ^ mutex_acq_readdata[11]}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_preemph0t || accessing_preemph2t) + begin + if (control_reg[0] == 1'b1) + begin + if (accessing_preemph0t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:5], ~data_reg[4], mutex_acq_readdata[3:0]}; + end + else begin + if (data_reg[4] == 1'b0) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], 4'b0000 - data_reg[3:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], data_reg[3:0]}; + end + end + else if (accessing_preemph2t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], ~data_reg[4], mutex_acq_readdata[2:0]}; + end + else begin + if (data_reg[4] == 1'b0) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:8], 4'b0000 - data_reg[3:0], mutex_acq_readdata[3:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:8], data_reg[3:0], mutex_acq_readdata[3:0]}; + end + end + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (needs_second_access) + begin + data_reg <= mutex_acq_readdata[15:0]; + master_write <= 1'b1; // start access for INV bit + master_addr <= 5'b10000; + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; // DPRIO register address + state <= SET_INFO_ON_BASIC; + needs_second_access <= 1'b0; + end + else begin + if (accessing_preemph0t) + begin + if (mutex_acq_readdata[4] == 1'b1) + data_reg <= {{11{1'b0}}, 1'b0, 4'b0000 - data_reg[3:0]}; + else + data_reg <= {{11{1'b0}}, 1'b1, data_reg[3:0]}; + end + else begin + if (mutex_acq_readdata[3] == 1'b1) + data_reg <= {{11{1'b0}}, 1'b0, 4'b0000 - data_reg[7:4]}; + else + data_reg <= {{11{1'b0}}, 1'b1, data_reg[7:4]}; + end + // unset busy + status_reg <= 2'b0; + state <= IDLE; + end + end + end + else begin // dont know what the operation is + analog_reconfig_readdata <= mutex_acq_readdata; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else + begin + state <= READ_FROM_BASIC_DONE; + end + end + SET_INFO_ON_BASIC: begin + if (set_addr) + begin + master_addr <= 5'b10000; + master_write <= 1'b1; + if (accessing_vod) + begin + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {10{1'b0}}}; + end + else if (accessing_preemph1t) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b01}; + else if (accessing_rxdcgain) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b11, 10'b0}; + else if (accessing_rxeqctrl) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b11, 10'b0000001011}; + else if (accessing_preemph0t || accessing_preemph2t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; + end + else + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b10}; + if (control_reg[1] == 1'b1) // pure read cycle + needs_second_access <= 1'b1; + end + set_addr <= 1'b0; + end + else if (control_reg[1] == 1'b1 || (control_reg[0] == 1'b1 & do_read_before_write)) // read + begin + master_addr <= 5'b01100; + master_write <= 1'b1; + master_writedata <= {{16{1'b0}}, {14{1'b0}}, 2'b10}; // initiate read first + state <= READ_FROM_GXB; + do_read_before_write <= 1'b0; + end + else if (control_reg[0] == 1'b1) // actual write + begin + master_write <= 1'b1; + master_addr <= 5'b01100; + master_writedata <= {{16{1'b0}}, {14{1'b0}}, 2'b01}; // issue write to basic's control_reg to start WRITE + state <= WRITE_TO_GXB; + end + end + READ_FROM_GXB: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + state <= READ_FROM_BASIC; + end + WRITE_TO_GXB: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + begin + //start access to clear interrupt control reg. on slave + master_addr <= 5'b01100; + master_write <= 1'b1; + master_writedata <= {{16{1'b0}}, {13{1'b0}}, 3'b000}; + state <= WRITE_DONE; + end + end + WRITE_DONE: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + begin + if ((accessing_preemph0t || accessing_preemph2t) & (!needs_second_write_access)) + begin + needs_second_write_access <= 1'b1; + do_read_before_write <= 1'b1; + master_write <= 1'b1; + master_addr <= 5'b10000; + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; // DPRIO register address for INV + state <= SET_INFO_ON_BASIC; + end + else begin + needs_second_write_access <= 1'b0; + status_reg <= {1'b0, 1'b0}; // unset busy + analog_reconfig_done <= 1'b1; // send INTERRUPT + state <= IDLE; + end + end + end + default:begin + state <= IDLE; + end + endcase + end +// prev_state <= state; +end + +assign accessing_vod = (addr_offset[4:0] == 5'b0); +assign accessing_preemph0t = (addr_offset[4:0] == 5'b00001); +assign accessing_preemph1t = (addr_offset[4:0] == 5'b00010); +assign accessing_preemph2t = (addr_offset[4:0] == 5'b00011); +assign accessing_rxdcgain = (addr_offset[4] == 1'b1 && addr_offset[3:0] == 4'b0000); +assign accessing_rxeqctrl = (addr_offset[4] == 1'b1 && addr_offset[3:0] == 4'b0001); + +//assign analog_reconfig_address_base = master_addr; +//assign analog_reconfig_write_base = master_write; +//assign analog_reconfig_read_base = master_read; +//assign analog_reconfig_writedata_base = master_writedata; + + + alt_mutex_acq #( + .mutex_wait_time(101), + .addr_width(5), + .data_width(32) + ) + mutex_inst ( + .clk(reconfig_clk), + .reset(reset), + // inputs to the base that should be routed through the mutex + .address(master_addr), + .writedata(master_writedata), + .write(master_write), + .read(master_read), + // output from the mutex which is processed form of output from base + .waitrequest(mutex_acq_waitrequest), + .readdata(mutex_acq_readdata), + + + // outputs from mutex to be routed to the base + .master_address(analog_reconfig_address_base), + .master_writedata(analog_reconfig_writedata_base), + .master_write(analog_reconfig_write_base), + .master_read(analog_reconfig_read_base), + + // these ports are from the base routed to the mutex + .master_waitrequest(analog_reconfig_waitrequest_from_base), + .master_readdata(analog_reconfig_readdata_base), // from MM + + //request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), + // output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) + ); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_basic_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_basic_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..2c4f79b384db04a1ab6911dc33951886c61d0f08 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_basic_tgx.v @@ -0,0 +1,367 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +(* ALTERA_ATTRIBUTE = {"{-to address_pres_reg[11]} DPRIO_CHANNEL_NUM=11;{-to address_pres_reg[10]} DPRIO_CHANNEL_NUM=10;{-to address_pres_reg[9]} DPRIO_CHANNEL_NUM=9;{-to address_pres_reg[8]} DPRIO_CHANNEL_NUM=8;{-to address_pres_reg[7]} DPRIO_CHANNEL_NUM=7;{-to address_pres_reg[6]} DPRIO_CHANNEL_NUM=6;{-to address_pres_reg[5]} DPRIO_CHANNEL_NUM=5;{-to address_pres_reg[4]} DPRIO_CHANNEL_NUM=4;{-to address_pres_reg[3]} DPRIO_CHANNEL_NUM=3;{-to address_pres_reg[2]} DPRIO_CHANNEL_NUM=2;{-to address_pres_reg[1]} DPRIO_CHANNEL_NUM=1;{-to address_pres_reg[0]} DPRIO_CHANNEL_NUM=0; disable_da_rule=\"S102,R102\""} *) +module alt_xcvr_reconfig_basic_tgx +#( parameter number_of_reconfig_interfaces = 1 + ) +( + // avalon clock interface + input wire reconfig_clk, + input wire reset, + + // avalon MM + input wire basic_reconfig_write, + input wire basic_reconfig_read, + input wire [31:0] basic_reconfig_writedata, + input wire [2:0] basic_reconfig_address, // address to MM described below + + output reg [31:0] basic_reconfig_readdata, // reconfig_fromgxb_data + output wire basic_reconfig_waitrequest, + + // avalon MM +// output wire reconfig_write_base, +// output wire reconfig_read_base, +// output wire [31:0] reconfig_writedata_base, +// output wire [4:0] reconfig_address_base, // address to MM described below + +// input wire [31:0] reconfig_readdata_base, // reconfig_fromgxb_data +// input wire reconfig_waitrequest_from_base, + + + // avalon ST interface + input wire [number_of_reconfig_interfaces*17 - 1 : 0] basic_reconfig_fromgxb_data, // dprioout, testbus from altgx : (17+4 bits/quad) + input wire [number_of_reconfig_interfaces*8 - 1 : 0] aeq_fromgxb_data, // for ADCE dprio + output wire [3:0] basic_reconfig_togxb_data, // busy, dprioin, dprioload, dpriodisable and aeq to altgx + output reg [number_of_reconfig_interfaces*24 - 1 : 0] aeq_togxb_data, // for ADCE + output reg [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data, + + output reg basic_reconfig_irq // interrupt to Master +); + +//assign reconfig_write_base = 1'b0; +//assign reconfig_read_base = 1'b0; +//assign reconfig_writedata_base = 32'd0; +//assign reconfig_address_base = 5'd0; + + +// Memeory Map for register indirection +// addr wr/rd description +// ------------------------------------------------------ +// 0 wr/rd mutex : bit[0] +// 1 wr/rd logical_ch_addr (10 bits) +// 2 rd physical_chnl_map +// 3 rd/wr status/control -- bit 0 busy/bit 1 read, bit 0 write, bit 3 = absolute addressing +// 4 wr/rd DPRIO addr_offset +// 5 wr/rd DPRIO data +// 6 rd/wr adce_standby, adce_capture, testbussel : bit 7 -- adcedone, bit 6 -- adcetestbus, bits 5,4 -- adce, 3 to 0 -- bussel +// 7 rd/wr offset cancellation busy output to GX bit 0 + +//local parameters +localparam quad_address_width = 9; +localparam IDLE_STATE = 2'b00; +localparam WRITE_STATE = 2'b01; +localparam READ_STATE = 2'b10; + + +(* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON"} *) +reg [11:0] address_pres_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] logical_channel_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [14:0] dprio_table_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] pma_pcs_id_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg dprio_busy_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] data_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [5:0] testbussel; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] adce_testdata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] control_reg; +// mutex +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_value; +//reg [15:0] mutex_owner; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [10:0] mutex_timeout_cntr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg offset_cancellation_busy; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg basic_reconfig_absolute_addressing; + + +wire [quad_address_width-1:0] quad_address; +wire [quad_address_width-1:0] dprio_quad_address; + +wire busy_state; +wire [15:0] dprio_address; +wire dprio_busy; +wire [15:0] dprio_datain; +wire [15:0] dprio_dataout; +wire dprio_dprioout; +wire dprio_rden; +wire dprio_pulse; +wire rd_pulse; +wire read_done; +wire read_word_done; +wire reset_system; +wire dprio_wren; +wire write_done; +wire wr_pulse; +wire read_data_valid; +wire write_word_done; + +integer i,j; + + +assign basic_reconfig_waitrequest = 1'b0; + +// synopsys translate_off +initial +begin + state = 2'b00; + basic_reconfig_irq = 1'b0; + logical_channel_addr_reg = 12'b0; + testbussel = 0; + control_reg = 0; + mutex_value = 0; + mutex_timeout_cntr = 0; + offset_cancellation_busy = 0; + data_reg = 16'h0000; + dprio_table_addr_reg = 15'h0000; + pma_pcs_id_reg = 2'b00; + +end +// synopsys translate_on + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset === 1'b1) + adce_testdata <= 0; + else begin + for (i=0; i<=1; i=i+1) + begin + adce_testdata[i] <= aeq_fromgxb_data[(logical_channel_addr_reg) + i]; + end + end +end + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset === 1'b1) + begin + state <= IDLE_STATE; + basic_reconfig_readdata <= 16'b0; + basic_reconfig_irq <= 1'b0; + testbussel <= 0; + control_reg <= 0; + // mutex registers + mutex_value <= 0; + mutex_timeout_cntr <= 0; + end + else begin + // mutex + if (basic_reconfig_address == 3'b0) + begin + //read first only update the mutex_value + if (basic_reconfig_read == 1'b1) + begin + basic_reconfig_readdata <= {{31{1'b0}}, mutex_value}; + // if (basic_reconfig_waitrequest == 1'b0) + if (mutex_value == 1'b0) // mutex is free, so grant access + mutex_value <= 1'b1; + end + else if (basic_reconfig_write == 1'b1) + begin + mutex_value <= 0; + end + end + + case (state) + IDLE_STATE: begin + if (basic_reconfig_write == 1'b1) + begin + mutex_timeout_cntr <= 0; // reset the mutex_timeout counter + if (basic_reconfig_address == 3'b001) + begin + logical_channel_addr_reg <= basic_reconfig_writedata[11:0]; + address_pres_reg <= {basic_reconfig_writedata[10:2], 1'b0, basic_reconfig_writedata[1:0]}; //chestan + end + else if (basic_reconfig_address == 3'b100) + begin + dprio_table_addr_reg <= basic_reconfig_writedata[14:0]; + pma_pcs_id_reg <= basic_reconfig_writedata[11:10]; + end + else if (basic_reconfig_address == 3'b011) // control reg + begin + if (basic_reconfig_writedata[0] == 1'b1) // write + begin + state <= WRITE_STATE; + control_reg <= 2'b01; + end + else if (basic_reconfig_writedata[1] == 1'b1) // read + begin + state <= READ_STATE; + control_reg <= 2'b10; + end + if (basic_reconfig_writedata[2] == 1'b0) begin + basic_reconfig_irq <= 1'b0; // clear WRITE interrupt + end + basic_reconfig_absolute_addressing <= basic_reconfig_writedata[3]; // use the full address from dprio_addr, not the derived address + end + else if (basic_reconfig_address == 3'b101) // data + begin + data_reg <= basic_reconfig_writedata[15:0]; + end + else if (basic_reconfig_address == 3'b110) // testbussel + testbussel <= basic_reconfig_writedata[5:0]; + else if (basic_reconfig_address == 3'b111) + offset_cancellation_busy <= basic_reconfig_writedata[0]; + begin + end + end + else if (basic_reconfig_read == 1'b1) + begin + if (basic_reconfig_address == 3'b001) + basic_reconfig_readdata <= {{20{1'b0}}, logical_channel_addr_reg}; + else if (basic_reconfig_address == 3'b010) // physical chnl + basic_reconfig_readdata <= {{21{1'b0}}, address_pres_reg[11:3], address_pres_reg[1:0]}; // check this + else if (basic_reconfig_address == 3'b011) // status + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + else if (basic_reconfig_address == 3'b100) + basic_reconfig_readdata <= {{20{1'b0}}, pma_pcs_id_reg, dprio_table_addr_reg}; + else if (basic_reconfig_address == 3'b101) // DPRIO readdata + begin + basic_reconfig_readdata <= {{16{1'b0}}, data_reg}; + basic_reconfig_irq <= 1'b0; // clear READ interrupt + end + else if (basic_reconfig_address == 3'b110) + basic_reconfig_readdata <= {{24{1'b0}}, adce_testdata, testbussel}; + else if (basic_reconfig_address == 3'b111) // testbusdata + basic_reconfig_readdata <= {{31{1'b0}}, offset_cancellation_busy}; + if (basic_reconfig_address != 3'b0) // if not reading the mutex val + mutex_timeout_cntr <= 0; // reset the mutex counter + end + else if (mutex_value == 1'b1) // if mutex was granted to someone + if (mutex_timeout_cntr == 10'd1000) // and they didnt do anything for 1000 cycles + begin + mutex_value <= 1'b0; // reset the mutex and give it to the next person + mutex_timeout_cntr <= 0; + end + else + mutex_timeout_cntr <= mutex_timeout_cntr[9:0] + 10'b1; // count up the timer from the last access + end + WRITE_STATE: begin + if (write_done) + begin + state <= IDLE_STATE; + basic_reconfig_irq <= 1'b1; // send interrupt to master + end + // allow status_register read here + if (basic_reconfig_read == 1'b1 && basic_reconfig_address == 3'b011) + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + end + READ_STATE: begin + if (read_word_done == 1'b1) + begin + data_reg <= dprio_dataout; + basic_reconfig_irq <= 1'b1; // send interrupt + state <= IDLE_STATE; + end + // allow status_register read here + if (basic_reconfig_read == 1'b1 && basic_reconfig_address == 3'b011) + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + end + default: begin + state <= IDLE_STATE; + end + endcase + end +end + +// synopsys translate_off +initial address_pres_reg = 0; +// synopsys translate_on + +assign quad_address = logical_channel_addr_reg[10:2]; +assign dprio_address[15:0] = basic_reconfig_absolute_addressing ? {1'b0, dprio_table_addr_reg[14:0]} : {1'b0, address_pres_reg[2], address_pres_reg[1:0], pma_pcs_id_reg[1:0], dprio_table_addr_reg[9:0]}; +assign dprio_quad_address = address_pres_reg[11:3]; +assign dprio_dprioout = basic_reconfig_fromgxb_data[quad_address * 17]; +assign dprio_datain = data_reg; +assign dprio_rden = rd_pulse; +assign rd_pulse = (state == READ_STATE) & ~dprio_pulse & ~read_done; +assign read_done = read_word_done | reset_system; +assign read_word_done = (state == READ_STATE) & read_data_valid; +assign read_data_valid = (state == READ_STATE) & dprio_pulse; +assign dprio_wren = wr_pulse; +assign wr_pulse = (state == WRITE_STATE) & ~dprio_pulse & ~write_done; +assign write_done = write_word_done | reset_system; +assign write_word_done = (state == WRITE_STATE) & dprio_pulse; +assign reset_system = reset; +assign busy_state = (state == READ_STATE) | (state == WRITE_STATE); + +// synopsys translate_off +initial dprio_busy_reg = 0; +// synopsys translate_on + +always @(posedge reconfig_clk) dprio_busy_reg <= dprio_busy; +assign dprio_pulse = (dprio_busy_reg ^ dprio_busy) & (~dprio_busy); + +alt_dprio inst_alt_dprio (.dpclk(reconfig_clk), + .datain(dprio_datain), + .address(dprio_address), + .wren(dprio_wren), + .rden(dprio_rden), +// .rdinc(), + .wren_data(1'b0), + .dprioout(dprio_dprioout), // reconfig_fromgxb_data[0] + .quad_address(dprio_quad_address), + // outputs + .dprioin(basic_reconfig_togxb_data[0]), + .dpriodisable(basic_reconfig_togxb_data[1]), + .dprioload(basic_reconfig_togxb_data[2]), + .dataout(dprio_dataout), + .busy(dprio_busy) + ); + +//assign testbus_data = (testbussel == 3'b110) ? basic_reconfig_fromgxb_data : 'b0; + +assign basic_reconfig_togxb_data[3] = offset_cancellation_busy; + +always @(basic_reconfig_fromgxb_data) +begin + for (i=0; i<number_of_reconfig_interfaces; i=i+1) + begin + for (j=0; j<= 15; j=j+1) + begin + testbus_data[(i*16) + j] = basic_reconfig_fromgxb_data[(i*17) + j+1]; + end + end +end + +always @(logical_channel_addr_reg or testbussel) +begin + aeq_togxb_data = {number_of_reconfig_interfaces*24{1'b0}}; + for (i=0; i<=5; i=i+1) + begin + aeq_togxb_data[(logical_channel_addr_reg*6) + i] = testbussel[i]; + end +end +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_dfe_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_dfe_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..107fa27aa9d4e7684eaf3ff65891450a40db21ac --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_dfe_tgx.sv @@ -0,0 +1,502 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_dfe_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] dfe_address, // Check this! fix me! + input wire [31:0] dfe_writedata, + input wire dfe_write, + input wire dfe_read, + + //output MM slave + output wire [31:0] dfe_readdata, // from MM + output wire dfe_waitrequest, // from MM + + output reg dfe_irq, + + // input from base_reconfig + input wire dfe_irq_from_base, + input wire dfe_waitrequest_from_base, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] dfe_address_base, // 3 bit MM + output wire [31:0] dfe_writedata_base, + output wire dfe_write_base, // start write to GXB + output wire dfe_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] dfe_readdata_base // data from read command + +); + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; +wire [4:0] dfe_addr_all; // 5 bit MM + +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_dfe instantiation +wire alt_dfe_busy; +wire [15:0] alt_dfe_dprio_dataout; +wire alt_dfe_dprio_wren; +wire alt_dfe_dprio_rden; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_dfe_error; + +wire [15:0] alt_dfe_dprio_addr; +wire [8:0] alt_dfe_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_dfe_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_dfe_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_dfe_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_dfe_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// synopsys translate_off +initial begin + state = 3'b000; + alt_dfe_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + alt_dfe_dprio_datain = 16'h0000; + alt_dfe_dprio_busy = 1'b0; + alt_dfe_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + dfe_irq = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_dfe_dprio_busy_int<= 1'b0; + dfe_irq <= 1'b0; + end + else begin + if(alt_dfe_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + dfe_irq <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_dfe_remap_addr <= 12'h000; + + //alt_dfe will not assert wren and rden at the same time + if((alt_dfe_dprio_wren == 1'b1) || (alt_dfe_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_dfe_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_dfe_quad_addr, alt_dfe_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_dfe_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_dfe_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{20{1'b0}}, alt_dfe_dprio_addr[11:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_dfe_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio + master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_dfe_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(dfe_irq_from_base == 1'b1) + begin + alt_dfe_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_dfe_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(dfe_irq_from_base == 1'b1) + begin + if(alt_dfe_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_dfe_dprio_busy_int <= master_read_data[8]; + alt_dfe_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_dfe_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_dfe_dprio_busy <= 1'b0; + dfe_irq <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(dfe_irq_from_base == 1'b1) + begin + if(alt_dfe_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_dfe_dprio_busy_int <= master_read_data[8]; + alt_dfe_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_dfe_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + dfe_irq <= 1'b1; // read done + alt_dfe_dprio_datain <= master_read_data[15:0]; + alt_dfe_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + +alt_dfe #( + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)), + .avmm_slave_addr_width (3), + .avmm_slave_rdata_width ( 32), + .avmm_slave_wdata_width (32) +)alt_dfe_inst( + .i_resetn (~reset), + .i_avmm_clk (reconfig_clk), + .i_avmm_saddress (dfe_address), + .i_avmm_sread (dfe_read), + .i_avmm_swrite (dfe_write), + .i_avmm_swritedata (dfe_writedata), +//. i_avmm_sbegintransfer, + .o_avmm_sreaddata (dfe_readdata), + .o_avmm_swaitrequest (dfe_waitrequest), + + .i_remap_address (alt_dfe_remap_addr), + .o_quad_address (alt_dfe_quad_addr), + .o_reconfig_busy (alt_dfe_busy), + + .i_dprio_busy (alt_dfe_dprio_busy), + .i_dprio_in (alt_dfe_dprio_datain), + .o_dprio_wren (alt_dfe_dprio_wren), + .o_dprio_rden (alt_dfe_dprio_rden), + .o_dprio_addr (alt_dfe_dprio_addr), + .o_dprio_data (alt_dfe_dprio_dataout) +); +alt_mutex_acq #( + .mutex_wait_time(103), //analog uses 101, offset cancellation uses 102 + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address(dfe_addr_all), + .master_writedata(dfe_writedata_base), + .master_write(dfe_write_base), + .master_read(dfe_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(dfe_waitrequest_from_base), + .master_readdata(dfe_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); +assign dfe_address_base = dfe_addr_all[ 4:2]; +//wire assignment +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_eyemon_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_eyemon_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..cb0a8d147058a8522ece5c1f9b7c0eb17b8ec6bc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_eyemon_tgx.sv @@ -0,0 +1,504 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_eyemon_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] eyemon_address, // Check this! fix me! + input wire [31:0] eyemon_writedata, + input wire eyemon_write, + input wire eyemon_read, + + //output MM slave + output wire [31:0] eyemon_readdata, // from MM + output wire eyemon_waitrequest, // from MM + + output reg eyemon_irq, + + // input from base_reconfig + input wire eyemon_irq_from_base, + input wire eyemon_waitrequest_from_base, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] eyemon_address_base, // 3 bit MM + output wire [31:0] eyemon_writedata_base, + output wire eyemon_write_base, // start write to GXB + output wire eyemon_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] eyemon_readdata_base // data from read command + +); + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; + +wire [4:0] eyemon_address_all; // 5 bit MM +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_eyemon instantiation +wire alt_eyemon_busy; +wire [15:0] alt_eyemon_dprio_dataout; +wire alt_eyemon_dprio_wren; +wire alt_eyemon_dprio_rden; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_eyemon_error; + +wire [15:0] alt_eyemon_dprio_addr; +wire [8:0] alt_eyemon_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_eyemon_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_eyemon_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_eyemon_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_eyemon_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// synopsys translate_off +initial begin + state = 3'b000; + alt_eyemon_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + alt_eyemon_dprio_datain = 16'h0000; + alt_eyemon_dprio_busy = 1'b0; + alt_eyemon_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + eyemon_irq = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_eyemon_dprio_busy_int<= 1'b0; + eyemon_irq <= 1'b0; + end + else begin + if(alt_eyemon_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + eyemon_irq <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_eyemon_remap_addr <= 12'h000; + + //alt_eyemon will not assert wren and rden at the same time + if((alt_eyemon_dprio_wren == 1'b1) || (alt_eyemon_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_eyemon_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_eyemon_quad_addr, alt_eyemon_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_eyemon_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_eyemon_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{20{1'b0}}, alt_eyemon_dprio_addr[11:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_eyemon_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio + master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_eyemon_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(eyemon_irq_from_base == 1'b1) + begin + alt_eyemon_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_eyemon_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(eyemon_irq_from_base == 1'b1) + begin + if(alt_eyemon_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_eyemon_dprio_busy_int <= master_read_data[8]; + alt_eyemon_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_eyemon_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_eyemon_dprio_busy <= 1'b0; + eyemon_irq <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(eyemon_irq_from_base == 1'b1) + begin + if(alt_eyemon_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_eyemon_dprio_busy_int <= master_read_data[8]; + alt_eyemon_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_eyemon_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + eyemon_irq <= 1'b1; // read done + alt_eyemon_dprio_datain <= master_read_data[15:0]; + alt_eyemon_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + +alt_eyemon #( + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)), + .avmm_slave_addr_width (3), + .avmm_slave_rdata_width ( 32), + .avmm_slave_wdata_width (32) +)alt_eyemon_inst( + .i_resetn (~reset), + .i_avmm_clk (reconfig_clk), + .i_avmm_saddress (eyemon_address), + .i_avmm_sread (eyemon_read), + .i_avmm_swrite (eyemon_write), + .i_avmm_swritedata (eyemon_writedata), +//. i_avmm_sbegintransfer, + .o_avmm_sreaddata (eyemon_readdata), + .o_avmm_swaitrequest (eyemon_waitrequest), + + .i_remap_phase (1'b1), // need to figure out best way to control this - for now, always remap - fix me! + .i_remap_address (alt_eyemon_remap_addr), + .o_quad_address (alt_eyemon_quad_addr), + .o_reconfig_busy (alt_eyemon_busy), + + .i_dprio_busy (alt_eyemon_dprio_busy), + .i_dprio_in (alt_eyemon_dprio_datain), + .o_dprio_wren (alt_eyemon_dprio_wren), + .o_dprio_rden (alt_eyemon_dprio_rden), + .o_dprio_addr (alt_eyemon_dprio_addr), + .o_dprio_data (alt_eyemon_dprio_dataout) +); +alt_mutex_acq #( + .mutex_wait_time(103), //analog uses 101, offset cancellation uses 102 + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address({eyemon_address_all}), + .master_writedata(eyemon_writedata_base), + .master_write(eyemon_write_base), + .master_read(eyemon_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(eyemon_waitrequest_from_base), + .master_readdata(eyemon_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); + +//wire assignment +assign eyemon_address_base = eyemon_address_all[4:2]; +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..102856f4162133620df696f7e6ccb75bc2dca7f4 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_h.sv @@ -0,0 +1,266 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Top-level definitions for transceiver reconfig IP +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_xcvr_reconfig_h; + + //////////////////////////////////////////////////////// + // Top-level register map for transceiver reconfig IP + //////////////////////////////////////////////////////// + localparam W_XR_ADDR = 7; // address width on mgmt interface + localparam W_XR_FEATURE_LADDR = 3; // address width of standard feature block, and basic logical interface + typedef bit [W_XR_ADDR -1:0] t_xreconf_addr; + typedef bit [W_XR_FEATURE_LADDR-1:0] t_xr_feature_addr; + + // Feature block indices (used for address decoding for each block) + localparam INDEX_XR_OFFSET = 0; + localparam INDEX_XR_ANALOG = 1; + localparam INDEX_XR_EYEMON = 2; + localparam INDEX_XR_DFE = 3; + localparam INDEX_XR_DIRECT = 4; + localparam INDEX_XR_ADCE = 5; + localparam INDEX_XR_LC = 6; + localparam INDEX_XR_MIF = 7; + localparam INDEX_XR_PLL = 8; + localparam INDEX_XR_DCD = 9; + localparam INDEX_XR_END = 10; // must always mark end of address space + + // Each feature block is allocated an 8-word address range + localparam [W_XR_ADDR-1:0] ADDR_XR_OFFSET_BASE = t_xreconf_addr'(INDEX_XR_OFFSET << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_BASE = t_xreconf_addr'(INDEX_XR_ANALOG << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_BASE = t_xreconf_addr'(INDEX_XR_EYEMON << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_BASE = t_xreconf_addr'(INDEX_XR_DFE << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_BASE = t_xreconf_addr'(INDEX_XR_DIRECT << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_BASE = t_xreconf_addr'(INDEX_XR_ADCE << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_LC_BASE = t_xreconf_addr'(INDEX_XR_LC << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_BASE = t_xreconf_addr'(INDEX_XR_MIF << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_BASE = t_xreconf_addr'(INDEX_XR_PLL << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_BASE = t_xreconf_addr'(INDEX_XR_DCD << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_END_BASE = t_xreconf_addr'(INDEX_XR_END << W_XR_FEATURE_LADDR); // must always mark end of address space + + localparam [W_XR_FEATURE_LADDR-1:0] XR_STATUS_OFST = t_xr_feature_addr'(2); + localparam XR_STATUS_OFST_COMB_BUSY = 8; + + //////////////////////////////////////////////////////// + // Offset Cancellation block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_OFFSET_STATUS = t_xreconf_addr'(ADDR_XR_OFFSET_BASE + 2); + + + //////////////////////////////////////////////////////// + // Analog block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_LCH = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_PCH = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_STATUS = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_OFFSET = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_DATA = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 4); + + // Analog internal register offsets + // These are to be written to the analog offset address register, ADDR_XR_ANALOG_OFFSET + localparam XR_ANALOG_OFFSET_VOD = 0; + localparam XR_ANALOG_OFFSET_PREEMPH0T = 1; + localparam XR_ANALOG_OFFSET_PREEMPH1T = 2; + localparam XR_ANALOG_OFFSET_PREEMPH2T = 3; + localparam XR_ANALOG_OFFSET_RXDCGAIN = 16; + localparam XR_ANALOG_OFFSET_RXEQCTRL = 17; + localparam XR_ANALOG_OFFSET_PRECDRLPBK = 32; + localparam XR_ANALOG_OFFSET_POSTCDRLPBK = 33; + + //////////////////////////////////////////////////////// + // DFE block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_LCH = t_xreconf_addr'(ADDR_XR_DFE_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_PCH = t_xreconf_addr'(ADDR_XR_DFE_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_STATUS = t_xreconf_addr'(ADDR_XR_DFE_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_OFFSET = t_xreconf_addr'(ADDR_XR_DFE_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_DATA = t_xreconf_addr'(ADDR_XR_DFE_BASE + 4); + + // DFE internal register offsets + // These are to be written to the DFE offset address register, ADDR_XR_DFE_OFFSET + localparam XR_DFE_OFFSET_CTRL = 0; + localparam XR_DFE_OFFSET_TAP1 = 1; + localparam XR_DFE_OFFSET_TAP2 = 2; + localparam XR_DFE_OFFSET_TAP3 = 3; + localparam XR_DFE_OFFSET_TAP4 = 4; + localparam XR_DFE_OFFSET_TAP5 = 5; + localparam XR_DFE_OFFSET_REF = 6; + localparam XR_DFE_OFFSET_STEP = 7; + localparam XR_DFE_OFFSET_DFE12 = 18; + localparam XR_DFE_OFFSET_DFE13 = 19; + localparam XR_DFE_OFFSET_DFE14 = 20; + localparam XR_DFE_OFFSET_DFE15 = 21; + localparam XR_DFE_OFFSET_CAL_PLL = 22; + localparam XR_DFE_OFFSET_CAL_TBUS = 23; + localparam XR_DFE_OFFSET_CAL_SAMPL = 24; + //////////////////////////////////////////////////////// + // Eyemon block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_LCH = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_PCH = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_STATUS = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_OFFSET = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_DATA = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 4); + + // EYEMON internal register offsets + // These are to be written to the EYEMON offset address register, ADDR_XR_EYEMON_OFFSET + localparam XR_EYEMON_OFFSET_CTRL = 0; + localparam XR_EYEMON_OFFSET_HPHASE = 1; + localparam XR_EYEMON_OFFSET_VHEIGHT = 2; + localparam XR_EYEMON_OFFSET_EYEMON16 = 3; + localparam XR_EYEMON_OFFSET_EYEMON17 = 4; + + //////////////////////////////////////////////////////// + // ADCE block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_LCH = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 0); // Logical channel number + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_PCH = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 1); // Physical channel number + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_STATUS = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_OFFSET = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_DATA = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 4); + + // ADCE internal register offsets + // These are to be written to the ADCE offset address register, ADDR_XR_ADCE_OFFSET + localparam XR_ADCE_OFFSET_CTRL = 0; + localparam XR_ADCE_OFFSET_RESULTS = 1; // Manual setting equivalent to ADCE results + localparam XR_ADCE_OFFSET_RADCE_ATT_0 = 9; // radce_att[15: 0] + localparam XR_ADCE_OFFSET_RADCE_ATT_1 = 10; // radce_att[31:15] + localparam XR_ADCE_OFFSET_RADCE_ATT_2 = 11; // radce_att[47:32] + localparam XR_ADCE_OFFSET_RADCE_ATT_3 = 12; // radce_att[63:48] + localparam XR_ADCE_OFFSET_RADCE_ATT_4 = 13; // radce_att[79:64] + localparam XR_ADCE_OFFSET_RADCE_ATT_5 = 14; // radce_att[95:80] + localparam XR_ADCE_OFFSET_RADCE_ATT_6 = 15; // radce_att[111:96] + + //////////////////////////////////////////////////////// + // DCD block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_LCH = t_xreconf_addr'(ADDR_XR_DCD_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_PCH = t_xreconf_addr'(ADDR_XR_DCD_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_STATUS = t_xreconf_addr'(ADDR_XR_DCD_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_OFFSET = t_xreconf_addr'(ADDR_XR_DCD_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_DATA = t_xreconf_addr'(ADDR_XR_DCD_BASE + 4); + + // DCD internal register offsets + // These are to be written to the DFE offset address register, ADDR_XR_DCD_OFFSET + localparam XR_DCD_OFFSET_CTRL = 0; + + //////////////////////////////////////////////////////// + // MIF block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_LCH = t_xreconf_addr'(ADDR_XR_MIF_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_PCH = t_xreconf_addr'(ADDR_XR_MIF_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_STATUS = t_xreconf_addr'(ADDR_XR_MIF_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_OFFSET = t_xreconf_addr'(ADDR_XR_MIF_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_DATA = t_xreconf_addr'(ADDR_XR_MIF_BASE + 4); + + //////////////////////////////////////////////////////// + // PLL block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_LCH = t_xreconf_addr'(ADDR_XR_PLL_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_PCH = t_xreconf_addr'(ADDR_XR_PLL_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_STATUS = t_xreconf_addr'(ADDR_XR_PLL_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_OFFSET = t_xreconf_addr'(ADDR_XR_PLL_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_DATA = t_xreconf_addr'(ADDR_XR_PLL_BASE + 4); + + + + + //////////////////////////////////////////////////////// + // Basic block addresses (internal, private addresses) + //////////////////////////////////////////////////////// + // The 'basic' interface block is the switch that routes requests to + // an appropriate physical reconfiguration interface. A logical channel + // number acts as a channel ID, which allows the basic block to find + // the corresponding physical reconfiguration interface, and a physical + // channel index within a physical interface. + // + // The Basic (B) block features are also available via the direct access block. + // All users of the basic block, including the direct access block, must + // acquire appropriate semaphores before using an interface, and release + // the semaphores when done, to avoid locking out other feature blocks. + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_MUTEX = t_xr_feature_addr'(0); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_LOGICAL_CHANNEL = t_xr_feature_addr'(1); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_PHYSICAL_CHANNEL = t_xr_feature_addr'(2); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_CONTROL = t_xr_feature_addr'(3); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_OFFSET_ADDR = t_xr_feature_addr'(4); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_DATA = t_xr_feature_addr'(5); + + + //////////////////////////////////////////////////////// + // Direct Access & Basic block addresses + //////////////////////////////////////////////////////// + // + // The minimum steps to read & write a reconfiguration word are the following: + // Step 1 - acquire basic arbiter lock (write 1 to ADDR_XR_DIRECT_ARB_ACQ) + // Step 2 - write logical channel number to ADDR_XR_DIRECT_LCH + // Step 3 - acquire channel lock + // 3a - request channel lock (write XR_DIRECT_CONTROL_PHYS_LOCK_SET to ADDR_XR_DIRECT_CONTROL) + // 3b - confirm channel lock (read ADDR_XR_DIRECT_CONTROL, mask with XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_GRANTED) + // -- repeat step 3b until result after applying mask is != 0 + // Step 4 - write channel offset address to ADDR_XR_DIRECT_OFFSET + // Step 5 - read existing value from reconfig space, modify, then write back + // 5a (read cycle part 1) - write XR_DIRECT_CONTROL_RECONF_READ to ADDR_XR_DIRECT_CONTROL + // 5b (read cycle part 2) - read data from ADDR_XR_DIRECT_DATA + // 5c (write cycle part 1) - write modified value to ADDR_XR_DIRECT_DATA + // 5d (write cycle part 2) - write XR_DIRECT_CONTROL_RECONF_WRITE to ADDR_XR_DIRECT_CONTROL + // -- addtional read-modify-write cycles, repeat from step 2 or 4 (can skip step 3a) + // Step 6 - release channel lock (write XR_DIRECT_CONTROL_PHYS_LOCK_CLEAR to ADDR_XR_DIRECT_CONTROL) + // Step 7 - release basic arbiter lock (write 0 to ADDR_XR_DIRECT_ARB_ACQ) + // + // Direct/Basic register bitmap --------------------------------------------------------- + // word addr wr/rd description + // ------------------------------------------------------ + // 0 wr basic arbiter, 1 to request access, 0 to release lock + // 1 wr/rd logical channel number + // 2 rd physical channel number. When lower 3 bits are 3'b111, means ch is not present + // 3 wr/rd status/control -- see XR_DIRECT_CONTROL_* opcodes and XR_DIRECT_STATUS_* bitfield definitions + // 4 wr/rd offset_addr -- for opcode-based reads & writes + // 5 wr/rd data -- for opcode-based reads & writes + // 6 -- reserved + // 7 -- reserved + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_ARB_ACQ = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + 0); // write 1 to request B access, 0 to release + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_LCH = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_LOGICAL_CHANNEL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_PCH = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_PHYSICAL_CHANNEL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_CONTROL = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_CONTROL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_OFFSET = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_OFFSET_ADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_DATA = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_DATA); + + // Opcode values for writes to control word, ADDR_XR_DIRECT_CONTROL + localparam XR_DIRECT_CONTROL_RECONF_WRITE = 32'b0000; // reconfig space: write current DATA to OFFSET addr (as physical addr or ch offset addr) + localparam XR_DIRECT_CONTROL_RECONF_READ = 32'b0001; // reconfig space: read from OFFSET_ADDR, save result in DATA + localparam XR_DIRECT_CONTROL_LADDR_SET = 32'b0010; // interpret OFFSET_ADDR as logical addr, with automatic ch addr offset + localparam XR_DIRECT_CONTROL_PADDR_SET = 32'b0011; // interpret OFFSET_ADDR as physical addr, with no automatic addr offset + localparam XR_DIRECT_CONTROL_PHYS_LOCK_CLEAR = 32'b0100; // clear lock request for current channel + localparam XR_DIRECT_CONTROL_PHYS_LOCK_SET = 32'b0101; // set lock request for current channel + localparam XR_DIRECT_CONTROL_ADDR_AUTO_INCR_CLEAR = 32'b0110; // clear auto-write-and-address-increment mode for data writes + localparam XR_DIRECT_CONTROL_ADDR_AUTO_INCR_SET = 32'b0111; // set auto-write-and-address-increment mode for data writes + localparam XR_DIRECT_CONTROL_INTERNAL_WRITE = 32'b1000; // Internal registers, mainly testbus control + //localparam XR_DIRECT_CONTROL_INTERNAL_READ = 32'b1001; // internal reg space: read from OFFSET_ADDR, save result in DATA + localparam XR_DIRECT_CONTROL_TABLE_READ = 32'b1011; // ROM table lookup, especially for PLL and clock mux remapping + + // Read of control/status reg returns this bitfield data + localparam XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_GRANTED = 32'b0001; // on read, bit 0 is grant status + localparam XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_REQUESTED = 32'b0010; // on read, bit 1 is physical lock request flag + localparam XR_DIRECT_STATUS_BITMASK_USING_PHYS_ADDR = 32'b0100; // on read, bit 2 is physical addr mode indicator (0 mean logical addr) + localparam XR_DIRECT_STATUS_BITMASK_USING_ADDR_AUTO_INCR = 32'b1000; // on read, bit 3 is auto-write-and-addr-incr mode indicator + + // Internal register addresses, for read/write via these opcodes: XR_DIRECT_CONTROL_INTERNAL_* + localparam XR_DIRECT_OFFSET_TESTBUS_SEL = 2'd0; // internal register for testbus sel + + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_offset_cancellation.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_offset_cancellation.sv new file mode 100644 index 0000000000000000000000000000000000000000..0dd5517bb661acbd542861fa584fe89ae3aa336c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_offset_cancellation.sv @@ -0,0 +1,174 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_offset_cancellation +#( + parameter device_family = "StratixV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] offset_cancellation_address, // MM address + input wire [31:0] offset_cancellation_writedata, + input wire offset_cancellation_write, + input wire offset_cancellation_read, + + //output MM slave + output wire [31:0] offset_cancellation_readdata, // from MM + + output wire offset_cancellation_done, + + // input from base_reconfig + input wire offset_cancellation_irq_from_base, + input wire offset_cancellation_waitrequest_from_base, + output wire offset_cancellation_waitrequest, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] offset_cancellation_address_base, // 3 bit MM + output wire [31:0] offset_cancellation_writedata_base, + output wire offset_cancellation_write_base, // start write to GXB + output wire offset_cancellation_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] offset_cancellation_readdata_base, // data from read command + + // Avalon ST + input wire [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data, + + // external connect to switch fabric: request basic access from arbiter + output wire arb_req, + input wire arb_grant + +); + +import altera_xcvr_functions::*; +localparam is_s4 = has_s4_style_hssi(device_family); +localparam is_s5 = has_s5_style_hssi(device_family); +localparam is_a5 = has_a5_style_hssi(device_family); + + +generate + //Deepak - Namespace support for AII GX and CIVGX + if(is_s4) + begin + wire [4:0] w_tgx_offset_cancellation_address_base; + alt_xcvr_reconfig_offset_cancellation_tgx + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_tgx + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(w_tgx_offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data) + ); + assign offset_cancellation_address_base = w_tgx_offset_cancellation_address_base[4:2]; + assign arb_req = 1'b0 & arb_grant; // not currently used in S4 architecture + end + else if (is_s5) + begin + alt_xcvr_reconfig_offset_cancellation_sv + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_sv + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data[7:0]), // testbus data is now provided on a per-channel basis from the 'B' - only need the lower 8 bits + .arb_req(arb_req), + .arb_grant(arb_grant) + ); + end + else if (is_a5) + begin + alt_xcvr_reconfig_offset_cancellation_av + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_av + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data[7:0]), // testbus data is now provided on a per-channel basis from the 'B' - only need the lower 8 bits + .arb_req(arb_req), + .arb_grant(arb_grant) + ); + end + else + begin + assign offset_cancellation_readdata = 32'd0; + assign offset_cancellation_done = 1'd0; + assign offset_cancellation_waitrequest = 1'd0; + assign offset_cancellation_address_base = 3'd0; + assign offset_cancellation_writedata_base = 32'd0; + assign offset_cancellation_write_base = 1'd0; + assign offset_cancellation_read_base = 1'd0; + assign arb_req = 1'd0; + end + + + +endgenerate + +endmodule + + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_offset_cancellation_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_offset_cancellation_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..01ee1edc16393c8b5f525ce12734b754321f57b7 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_offset_cancellation_tgx.v @@ -0,0 +1,581 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_offset_cancellation_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire offset_cancellation_address, // MM address + input wire [31:0] offset_cancellation_writedata, + input wire offset_cancellation_write, + input wire offset_cancellation_read, + + //output MM slave + output reg [31:0] offset_cancellation_readdata, // from MM + + output reg offset_cancellation_done, + + // input from base_reconfig + input wire offset_cancellation_irq_from_base, + input wire offset_cancellation_waitrequest_from_base, + + output wire offset_cancellation_waitrequest, + + // output to base_reconfig + // Avalon MM Master + output wire [4:0] offset_cancellation_address_base, // 3 bit MM + output wire [31:0] offset_cancellation_writedata_base, + output wire offset_cancellation_write_base, // start write to GXB + output wire offset_cancellation_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] offset_cancellation_readdata_base, // data from read command + + // Avalon ST + input wire [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data +); + +/////////////////////////////////////////////////////////////////// +// Memory map | wr/rd | Description +//---------------------------------------------------------------- +// 0 | wr/rd | [31:10] Reserved +// | | [9] Error +// | | [8] Busy +// | | [7:1] Reserved +// | | [0] Start (hidden for QII 9.1) +/////////////////////////////////////////////////////////////////// + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; + +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_error_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_cal instantiation +wire alt_cal_busy; +wire [15:0] alt_cal_dprio_dataout; +wire alt_cal_dprio_wren; +wire alt_cal_dprio_rden; +wire alt_cal_retain_addr; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_cal_error; + +wire [number_of_reconfig_interfaces*16 - 1 : 0] alt_cal_testbuses; +wire [15:0] alt_cal_dprio_addr; +wire [8:0] alt_cal_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_cal_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_cal_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// Implement waitrequest to match previous implementation +altera_wait_generate wait_gen( + .rst(reset), + .clk(reconfig_clk), + .launch_signal(offset_cancellation_read), + .wait_req(offset_cancellation_waitrequest) +); + +// synopsys translate_off +initial begin + state = 3'b000; + alt_cal_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + // alt_cal_testbuses = 0; + alt_cal_dprio_datain = 16'h0000; + alt_cal_dprio_busy = 1'b0; + alt_cal_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + alt_cal_error_reg = 1'b0; + offset_cancellation_done = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + offset_cancellation_readdata[31:0] <= {32{1'b0}}; + alt_cal_error_reg <= 1'b0; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_cal_dprio_busy_int<= 1'b0; + offset_cancellation_done <= 1'b0; + end + else begin + if(offset_cancellation_read == 1'b1) + begin + if(offset_cancellation_address == 1'b0) + begin + offset_cancellation_readdata <= {{22{1'b0}}, alt_cal_error_reg, alt_cal_busy , {8{1'b0}}}; + end + end + + for(count=0; count < (number_of_reconfig_interfaces*4); count=count+1) + begin + alt_cal_error_reg = alt_cal_error[count] | alt_cal_error_reg; + end + + if(alt_cal_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + offset_cancellation_done <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_cal_remap_addr <= 12'h000; + + //al_cal will not assert wren and rden at the same time + if((alt_cal_dprio_wren == 1'b1) || (alt_cal_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_cal_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else if(alt_cal_retain_addr == 1'b1) + begin + if(mutex_grant == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_cal_quad_addr, alt_cal_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_cal_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_cal_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{17{1'b0}}, alt_cal_dprio_addr[14:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_cal_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio +// master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write_data <= {{27{1'b0}}, 1'b1, 1'b0, (~write_read_control), write_read_control}; // use absolute addressing + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_cal_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + alt_cal_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_cal_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + if(alt_cal_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_cal_dprio_busy_int <= master_read_data[8]; + alt_cal_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_cal_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_cal_dprio_busy <= 1'b0; + offset_cancellation_done <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + if(alt_cal_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_cal_dprio_busy_int <= master_read_data[8]; + alt_cal_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_cal_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + offset_cancellation_done <= 1'b1; // read done + alt_cal_dprio_datain <= master_read_data[15:0]; + alt_cal_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + + + +generate + +//Deepak Use alt_cal_mm for SIV and AIIGX +if((device_family=="Stratix IV") || (device_family=="Arria II GX") || (device_family=="Arria II GZ") || (device_family=="HardCopy IV")) +begin + alt_cal_mm #( + .number_of_channels (number_of_reconfig_interfaces*4), + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)) + )alt_cal_inst + ( + .clock(reconfig_clk), + .reset(reset), + .start(), + .busy(alt_cal_busy), + .dprio_addr(alt_cal_dprio_addr), // only extract the logical channel address + .quad_addr(alt_cal_quad_addr), + .dprio_dataout(alt_cal_dprio_dataout), + .dprio_datain(alt_cal_dprio_datain), + .dprio_wren(alt_cal_dprio_wren), + .dprio_rden(alt_cal_dprio_rden), + .dprio_busy(alt_cal_dprio_busy), + .retain_addr(alt_cal_retain_addr), + .remap_addr(alt_cal_remap_addr), + .testbuses(alt_cal_testbuses), + .cal_error(alt_cal_error) + ); +end +//Deepak: Use alt_cal_c3gxb for Cyclone IV GX +if(device_family=="Cyclone IV GX") +begin + alt_cal_c3gxb alt_cal_inst + ( + .clock(reconfig_clk), + .reset(reset), + .start(), + .busy(alt_cal_busy), + .dprio_addr(alt_cal_dprio_addr), // only extract the logical channel address + .quad_addr(alt_cal_quad_addr), + .dprio_dataout(alt_cal_dprio_dataout), + .dprio_datain(alt_cal_dprio_datain), + .dprio_wren(alt_cal_dprio_wren), + .dprio_rden(alt_cal_dprio_rden), + .dprio_busy(alt_cal_dprio_busy), + .retain_addr(alt_cal_retain_addr), + .remap_addr(alt_cal_remap_addr), + .testbuses(alt_cal_testbuses), + .cal_error(alt_cal_error) + ); +defparam + alt_cal_inst.number_of_channels = number_of_reconfig_interfaces*4, + alt_cal_inst.channel_address_width = CLogB2(number_of_reconfig_interfaces*4); +end +endgenerate + +alt_mutex_acq #( + .mutex_wait_time(102), ///Thia: pick a different mutex wait time to avoid collision. Say 102. (since analog is already using 101) + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address(offset_cancellation_address_base), + .master_writedata(offset_cancellation_writedata_base), + .master_write(offset_cancellation_write_base), + .master_read(offset_cancellation_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(offset_cancellation_waitrequest_from_base), + .master_readdata(offset_cancellation_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); + +//wire assignment +assign alt_cal_testbuses = testbus_data; +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_siv.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_siv.sv new file mode 100644 index 0000000000000000000000000000000000000000..727be748a008f29b2f9649fef902f9787b9b1f47 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_reconfig_siv.sv @@ -0,0 +1,441 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Transceiver Reconfiguration Module for Stratix IV architectures +// +// Includes many function-specific sub-modules, such as: +// - analog reconfig (alt_xcvr_reconfig_analog) +// - offset cancellation (alt_xcvr_reconfig_offset_cancellation) +// - ... + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_reconfig_siv #( + parameter number_of_reconfig_interfaces = 1 +) ( + input wire mgmt_clk_clk, // mgmt_clk.clk + input wire mgmt_rst_reset, // mgmt_rst.reset + + // user reconfiguration management interface + input wire [6:0] reconfig_mgmt_address, // reconfig_mgmt.address + output reg reconfig_mgmt_waitrequest = 0, // .waitrequest + input wire reconfig_mgmt_read, // .read + output reg [31:0] reconfig_mgmt_readdata = ~0, // .readdata + input wire reconfig_mgmt_write, // .write + input wire [31:0] reconfig_mgmt_writedata, // .writedata + output wire reconfig_done, // reconfig_done.export + + output wire [3:0] reconfig_togxb, // reconfig_togxb_data.data + input wire [number_of_reconfig_interfaces*17 - 1 : 0] reconfig_fromgxb // dprioout, testbus from altgx : (17+4 bits/quad) +); + + // master interface to basic reconfiguration block that interfaces to the transceiver channel + wire [2:0] basic_address; // basic.address // master interface must include 2 lower addr bits + wire basic_waitrequest; // .waitrequest + wire basic_irq; // .irq + wire basic_read; // .read + wire [31:0] basic_readdata; // .readdata + wire basic_write; // .write + wire [31:0] basic_writedata; // .writedata + + // native testbus input + wire [15:0] testbus_data; + + localparam l_dev_family = "Stratix IV"; + localparam width_awa = 3; // word address width of interface to analog reconfig block + localparam width_bwa = 3; // word address width of interface to basic reconfig block + localparam arb_count = 4; // count of the total number of sub-components that can act + // as slaves to the mgmt interface, and masters to the 'basic' block + localparam arb_offset = 0; + localparam arb_analog = 1; + localparam arb_eyemon = 2; + localparam arb_dfe = 3; + + // Analog controls output ports, mgmt facing + wire [31:0] sc_analog_readdata; + wire sc_analog_waitrequest; + + // Offset cancellation output ports, mgmt facing + wire [31:0] sc_offset_readdata; + wire sc_offset_waitrequest; + + // Eyemon output ports, mgmt facing + wire [31:0] sc_eyemon_readdata; + wire sc_eyemon_waitrequest; + + // DFE output ports, mgmt facing + wire [31:0] sc_dfe_readdata; + wire sc_dfe_waitrequest; + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [arb_count-1:0] r_decode; + assign r_decode = + (reconfig_mgmt_address[6:width_awa] == arb_offset) ? (({arb_count-arb_offset{1'b0}} | 1'b1) << arb_offset) + : (reconfig_mgmt_address[6:width_awa] == arb_analog) ? (({arb_count-arb_analog{1'b0}} | 1'b1) << arb_analog) + : (reconfig_mgmt_address[6:width_awa] == arb_eyemon) ? (({arb_count-arb_eyemon{1'b0}} | 1'b1) << arb_eyemon) + : (reconfig_mgmt_address[6:width_awa] == arb_dfe) ? (({arb_count-arb_dfe{1'b0}} | 1'b1) << arb_dfe) + : {arb_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + case (reconfig_mgmt_address[6:width_awa]) + arb_offset: begin + reconfig_mgmt_readdata = sc_offset_readdata; + reconfig_mgmt_waitrequest = sc_offset_waitrequest; + end + arb_analog: begin + reconfig_mgmt_readdata = sc_analog_readdata; + reconfig_mgmt_waitrequest = sc_analog_waitrequest; + end + arb_eyemon: begin + reconfig_mgmt_readdata = sc_eyemon_readdata; + reconfig_mgmt_waitrequest = sc_eyemon_waitrequest; + end + arb_dfe: begin + reconfig_mgmt_readdata = sc_dfe_readdata; + reconfig_mgmt_waitrequest = sc_dfe_waitrequest; + end + default: begin + reconfig_mgmt_readdata = -1; + reconfig_mgmt_waitrequest = 1'b0; + end + endcase + end + + /////////////////////////////////////////////////////////////////////// + // Arbiter for multiple masters accessing 'basic' reconfig slave port + /////////////////////////////////////////////////////////////////////// + wire [arb_count-1:0] req; // req[0] is highest priority when current grantee is done + wire [arb_count-1:0] grant; + + alt_xcvr_arbiter #( + .width(arb_count) // count total number of sub-components that act as masters to 'basic' + ) arbiter ( + .clock(mgmt_clk_clk), + .req(req), + .grant(grant) + ); + + //////////////////////////////////// + // Sub-component: analog controls + // word address offset: +0 + //////////////////////////////////// + + // Analog controls output ports, mgmt facing + wire analog_reconfig_done; + // Analog controls output ports, basic slave facing + wire [width_bwa+1:0] scs_analog_address; + wire [31:0] scs_analog_writedata; + wire scs_analog_write; + wire scs_analog_read; + + // Analog controls master-to-slave access fabric outputs + tri0 m2s_analog_read; + tri0 m2s_analog_write; + tri0 [width_bwa-1:0] m2s_analog_address; + tri0 [31:0] m2s_analog_writedata; + wire [31:0] m2s_analog_readdata; + wire m2s_analog_waitrequest; + + alt_xcvr_reconfig_analog_tgx sc_analog ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .analog_reconfig_address(reconfig_mgmt_address[width_awa-1:0]), + .analog_reconfig_writedata(reconfig_mgmt_writedata), + .analog_reconfig_write(reconfig_mgmt_write & r_decode[arb_analog]), + .analog_reconfig_read(reconfig_mgmt_read & r_decode[arb_analog]), + .analog_reconfig_readdata(sc_analog_readdata), + .analog_reconfig_waitrequest(sc_analog_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + // master-to-slave fabric facing, to basic reconfig + .analog_reconfig_irq_from_base(basic_irq), + .analog_reconfig_waitrequest_from_base(m2s_analog_waitrequest), + .analog_reconfig_readdata_base(m2s_analog_readdata), + .analog_reconfig_address_base(scs_analog_address), + .analog_reconfig_writedata_base(scs_analog_writedata), + .analog_reconfig_write_base(scs_analog_write), + .analog_reconfig_read_base(scs_analog_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_analog ( + .clock(mgmt_clk_clk), + .req(req[arb_analog]), + .grant(grant[arb_analog]), + // master ports, facing basic reconfig block + .m_read(scs_analog_read), + .m_write(scs_analog_write), + .m_address(scs_analog_address[2 +: width_bwa]), // drop 2 lower addr bits + .m_writedata(scs_analog_writedata), + .m_readdata(m2s_analog_readdata), + .m_waitrequest(m2s_analog_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_analog_read), + .s_write(m2s_analog_write), + .s_address(m2s_analog_address), + .s_writedata(m2s_analog_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: offset cancellation + // word address offset: +8 (0x20 in bytes) + /////////////////////////////////////////// + + // Offset cancellation output ports: + wire offset_cancellation_done; + wire [width_bwa-1:0] scs_offset_address; + wire [31:0] scs_offset_writedata; + wire scs_offset_write; + wire scs_offset_read; + + // Offset cancellation master-to-slave access fabric outputs + tri0 m2s_offset_read; + tri0 m2s_offset_write; + tri0 [width_bwa-1:0] m2s_offset_address; + tri0 [31:0] m2s_offset_writedata; + wire [31:0] m2s_offset_readdata; + wire m2s_offset_waitrequest; + + alt_xcvr_reconfig_offset_cancellation #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_offset ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .offset_cancellation_address(reconfig_mgmt_address[0]), // slave uses a single address bit + .offset_cancellation_writedata(reconfig_mgmt_writedata), + .offset_cancellation_write(reconfig_mgmt_write & r_decode[arb_offset]), + .offset_cancellation_read(reconfig_mgmt_read & r_decode[arb_offset]), + .offset_cancellation_readdata(sc_offset_readdata), + .offset_cancellation_waitrequest(sc_offset_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .offset_cancellation_irq_from_base(basic_irq), + .offset_cancellation_waitrequest_from_base(m2s_offset_waitrequest), + .offset_cancellation_readdata_base(m2s_offset_readdata), + .testbus_data(testbus_data), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_address_base(scs_offset_address), + .offset_cancellation_writedata_base(scs_offset_writedata), + .offset_cancellation_write_base(scs_offset_write), + .offset_cancellation_read_base(scs_offset_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_offset ( + .clock(mgmt_clk_clk), + .req(req[arb_offset]), + .grant(grant[arb_offset]), + // master ports, facing basic reconfig block + .m_read(scs_offset_read), + .m_write(scs_offset_write), + .m_address(scs_offset_address), // drop 2 lower addr bits + .m_writedata(scs_offset_writedata), + .m_readdata(m2s_offset_readdata), + .m_waitrequest(m2s_offset_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_offset_read), + .s_write(m2s_offset_write), + .s_address(m2s_offset_address), + .s_writedata(m2s_offset_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: "EyeQ" eye monitor + // word address offset: +16 (0x40 in bytes) + /////////////////////////////////////////// + + // Eyemon output ports: + wire eyemon_done; + wire [width_bwa+1:0] scs_eyemon_address; + wire [31:0] scs_eyemon_writedata; + wire scs_eyemon_write; + wire scs_eyemon_read; + + // eyemon master-to-slave access fabric outputs + tri0 m2s_eyemon_read; + tri0 m2s_eyemon_write; + tri0 [width_bwa-1:0] m2s_eyemon_address; + tri0 [31:0] m2s_eyemon_writedata; + wire [31:0] m2s_eyemon_readdata; + wire m2s_eyemon_waitrequest; + + alt_xcvr_reconfig_eyemon_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_eyemon ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .eyemon_address(reconfig_mgmt_address[width_awa-1:0]), // slave uses a 3-bit address + .eyemon_writedata(reconfig_mgmt_writedata), + .eyemon_write(reconfig_mgmt_write & r_decode[arb_eyemon]), + .eyemon_read(reconfig_mgmt_read & r_decode[arb_eyemon]), + .eyemon_readdata(sc_eyemon_readdata), + .eyemon_waitrequest(sc_eyemon_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .eyemon_irq_from_base(basic_irq), + .eyemon_waitrequest_from_base(m2s_eyemon_waitrequest), + .eyemon_readdata_base(m2s_eyemon_readdata), + .eyemon_irq(eyemon_done), + .eyemon_address_base(scs_eyemon_address), + .eyemon_writedata_base(scs_eyemon_writedata), + .eyemon_write_base(scs_eyemon_write), + .eyemon_read_base(scs_eyemon_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_eyemon ( + .clock(mgmt_clk_clk), + .req(req[arb_eyemon]), + .grant(grant[arb_eyemon]), + // master ports, facing basic reconfig block + .m_read(scs_eyemon_read), + .m_write(scs_eyemon_write), + .m_address(scs_eyemon_address[2 +: width_bwa]), // drop 2 lower addr bits + .m_writedata(scs_eyemon_writedata), + .m_readdata(m2s_eyemon_readdata), + .m_waitrequest(m2s_eyemon_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_eyemon_read), + .s_write(m2s_eyemon_write), + .s_address(m2s_eyemon_address), + .s_writedata(m2s_eyemon_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: DFE + // word address offset: +24 (0x60 in bytes) + /////////////////////////////////////////// + + // DFE output ports: + wire dfe_done; + wire [width_bwa-1:0] scs_dfe_address; + wire [31:0] scs_dfe_writedata; + wire scs_dfe_write; + wire scs_dfe_read; + + // dfe master-to-slave access fabric outputs + tri0 m2s_dfe_read; + tri0 m2s_dfe_write; + tri0 [width_bwa-1:0] m2s_dfe_address; + tri0 [31:0] m2s_dfe_writedata; + wire [31:0] m2s_dfe_readdata; + wire m2s_dfe_waitrequest; + + alt_xcvr_reconfig_dfe_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_dfe ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .dfe_address(reconfig_mgmt_address[width_awa-1:0]), // slave uses a 3-bit address + .dfe_writedata(reconfig_mgmt_writedata), + .dfe_write(reconfig_mgmt_write & r_decode[arb_dfe]), + .dfe_read(reconfig_mgmt_read & r_decode[arb_dfe]), + .dfe_readdata(sc_dfe_readdata), + .dfe_waitrequest(sc_dfe_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .dfe_irq_from_base(basic_irq), + .dfe_waitrequest_from_base(m2s_dfe_waitrequest), + .dfe_readdata_base(m2s_dfe_readdata), + .dfe_irq(dfe_done), + .dfe_address_base(scs_dfe_address), + .dfe_writedata_base(scs_dfe_writedata), + .dfe_write_base(scs_dfe_write), + .dfe_read_base(scs_dfe_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_dfe ( + .clock(mgmt_clk_clk), + .req(req[arb_dfe]), + .grant(grant[arb_dfe]), + // master ports, facing basic reconfig block + .m_read(scs_dfe_read), + .m_write(scs_dfe_write), + .m_address(scs_dfe_address), + .m_writedata(scs_dfe_writedata), + .m_readdata(m2s_dfe_readdata), + .m_waitrequest(m2s_dfe_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_dfe_read), + .s_write(m2s_dfe_write), + .s_address(m2s_dfe_address), + .s_writedata(m2s_dfe_writedata) + ); + + + /////////////////////////////////////////// + // Outputs to basic block + /////////////////////////////////////////// + assign basic_address = m2s_analog_address | m2s_offset_address | m2s_eyemon_address | m2s_dfe_address; + assign basic_read = m2s_analog_read | m2s_offset_read | m2s_eyemon_read | m2s_dfe_read; + assign basic_write = m2s_analog_write | m2s_offset_write | m2s_eyemon_write | m2s_dfe_write; + assign basic_writedata = m2s_analog_writedata | m2s_offset_writedata | m2s_eyemon_writedata | m2s_dfe_writedata; + + wire [number_of_reconfig_interfaces*8 - 1 : 0] aeq_fromgxb_data=0; + wire [number_of_reconfig_interfaces*24 - 1 : 0] aeq_togxb_data; + + alt_xcvr_reconfig_basic_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) sc_basic ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + .basic_reconfig_write(basic_write), + .basic_reconfig_read(basic_read), + .basic_reconfig_writedata(basic_writedata), + .basic_reconfig_address(basic_address), + .basic_reconfig_fromgxb_data(reconfig_fromgxb), + .aeq_fromgxb_data(aeq_fromgxb_data), + .basic_reconfig_readdata(basic_readdata), + .basic_reconfig_waitrequest(basic_waitrequest), + .basic_reconfig_togxb_data(reconfig_togxb), + .aeq_togxb_data(aeq_togxb_data), + .testbus_data(testbus_data), + .basic_reconfig_irq(basic_irq) + ); + + + /////////////////////////////////////////// + // Status to external mgmt interface + /////////////////////////////////////////// + assign reconfig_done = analog_reconfig_done | offset_cancellation_done | eyemon_done | dfe_done; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_resync.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_resync.sv new file mode 100644 index 0000000000000000000000000000000000000000..5c723372b22da2640f7283962c5acdd598a91dfa --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/alt_xcvr_resync.sv @@ -0,0 +1,98 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Module: alt_xcvr_resync +// +// Description: +// A general purpose resynchronization module. +// +// Parameters: +// SYNC_CHAIN_LENGTH +// - Specifies the length of the synchronizer chain for metastability +// retiming. +// WIDTH +// - Specifies the number of bits you want to synchronize. Controls the width of the +// d and q ports. +// SLOW_CLOCK - USE WITH CAUTION. +// - Leaving this setting at its default will create a standard resynch circuit that +// merely passes the input data through a chain of flip-flops. This setting assumes +// that the input data has a pulse width longer than one clock cycle sufficient to +// satisfy setup and hold requirements on at least one clock edge. +// - By setting this to 1 (USE CAUTION) you are creating an asynchronous +// circuit that will capture the input data regardless of the pulse width and +// its relationship to the clock. However it is more difficult to apply static +// timing constraints as it ties the data input to the clock input of the flop. +// This implementation assumes the data rate is slow enough +// INIT_VALUE +// - Specifies the initial values of the synchronization registers. +// +// Apply embedded false path timing constraint +(* altera_attribute = "-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_resync*sync_r[0]]\"" *) + +`timescale 1ps/1ps + +module alt_xcvr_resync #( + parameter SYNC_CHAIN_LENGTH = 2, // Number of flip-flops for retiming + parameter WIDTH = 1, // Number of bits to resync + parameter SLOW_CLOCK = 0, // See description above + parameter INIT_VALUE = 0 + ) ( + input wire clk, + input wire reset, + input wire [WIDTH-1:0] d, + output wire [WIDTH-1:0] q + ); + +localparam INT_LEN = (SYNC_CHAIN_LENGTH > 0) ? SYNC_CHAIN_LENGTH : 1; +localparam [INT_LEN-1:0] L_INIT_VALUE = (INIT_VALUE == 1) ? {INT_LEN{1'b1}} : {INT_LEN{1'b0}}; + +genvar ig; + +// Generate a synchronizer chain for each bit +generate begin + for(ig=0;ig<WIDTH;ig=ig+1) begin : resync_chains + wire d_in; // Input to sychronization chain. + reg [INT_LEN-1:0] sync_r = L_INIT_VALUE; + wire [INT_LEN :0] next_r; // One larger than real chain + + assign q[ig] = sync_r[INT_LEN-1]; // Output signal + assign next_r = {sync_r,d_in}; + + always @(posedge clk or posedge reset) + if(reset) + sync_r <= L_INIT_VALUE; + else + sync_r <= next_r[INT_LEN-1:0]; + + // Generate asynchronous capture circuit if specified. + if(SLOW_CLOCK == 0) begin + assign d_in = d[ig]; + end else begin + wire d_clk; + reg d_r = L_INIT_VALUE[0]; + wire clr_n; + + assign d_clk = d[ig]; + assign d_in = d_r; + assign clr_n = ~q[ig] | d_clk; // Clear when output is logic 1 and input is logic 0 + + // Asynchronously latch the input signal. + always @(posedge d_clk or negedge clr_n) + if(!clr_n) d_r <= 1'b0; + else if(d_clk) d_r <= 1'b1; + end // SLOW_CLOCK + end // for loop +end // generate +endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/altera_wait_generate.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/altera_wait_generate.v new file mode 100644 index 0000000000000000000000000000000000000000..d11ec7e154387185d9a1d14b39c0410608a6c6ed --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/altera_wait_generate.v @@ -0,0 +1,38 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module altera_wait_generate +//#( +//This code only works when wait_cycle =1, if need other case, you need to modify this code. +//please set wait_cycle default value as 1 to keep old design works. +// parameter wait_cycle =1 +// ) +( + input wire rst, + input wire clk, + input wire launch_signal, + output wire wait_req + ); +reg launch_reg = 0; +reg wait_reg = 0; +always @ (posedge clk, posedge rst) begin + if(rst) launch_reg <= 1'b0; + else launch_reg <= launch_signal; +end +always @ (posedge clk, posedge rst) begin + if(rst) wait_reg <= 1'b0; + else wait_reg <= launch_signal & launch_reg & (! wait_reg & !wait_req); +end +assign wait_req = (launch_signal & ~launch_reg) | (wait_reg & launch_signal ) ; +endmodule \ No newline at end of file diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/altera_xcvr_functions.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/altera_xcvr_functions.sv new file mode 100644 index 0000000000000000000000000000000000000000..5ef175a54cc3ce30e9dded8e494731600eb6ffda --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/altera_xcvr_functions.sv @@ -0,0 +1,746 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common functions for transceiver PHY IP +// +// $Header$ +// +// PACKAGE DECLARATION +package altera_xcvr_functions; + localparam integer MAX_CHARS = 32; + localparam integer MAX_STRS = 16; + localparam integer MAX_XCVR_CHANNELS = 64; + + // Reconfiguration bundle widths per family + localparam integer W_S5_RECONFIG_BUNDLE_TO_XCVR = 70; + localparam integer W_S5_RECONFIG_BUNDLE_FROM_XCVR = 46; + localparam integer W_A5_RECONFIG_BUNDLE_TO_XCVR = 70; + localparam integer W_A5_RECONFIG_BUNDLE_FROM_XCVR = 46; + localparam integer W_S4_RECONFIG_BUNDLE_TO_XCVR = 4; + localparam integer W_S4_RECONFIG_BUNDLE_FROM_XCVR = 17; + localparam integer W_C4_RECONFIG_BUNDLE_TO_XCVR = 4; + localparam integer W_C4_RECONFIG_BUNDLE_FROM_XCVR = 17; + + // Reconfiguration bundle widths per family + localparam integer W_S5_RECONFIG_BUNDLE_TO_GXB = W_S5_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_S5_RECONFIG_BUNDLE_FROM_GXB = W_S5_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_A5_RECONFIG_BUNDLE_TO_GXB = W_A5_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_A5_RECONFIG_BUNDLE_FROM_GXB = W_A5_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_S4_RECONFIG_BUNDLE_TO_GXB = W_S4_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_S4_RECONFIG_BUNDLE_FROM_GXB = W_S4_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_C4_RECONFIG_BUNDLE_TO_GXB = W_C4_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_C4_RECONFIG_BUNDLE_FROM_GXB = W_C4_RECONFIG_BUNDLE_FROM_XCVR; + + // convert frequency string into integer Hz. Fractional Hz are truncated + // Must remain a constant function - can't use string.atoi(). + function time str2hz ( + input [8*MAX_CHARS:1] s + ); + + integer i; + integer c; // temp char storage for frequency conversion + integer unit_tens; // assume already Hz + integer is_numeric; + integer saw_dot; + + reg [8:1] c_dot; // = "."; + reg [8:1] c_space; // = " "; + reg [8:1] c_a; // = 8'h61; //"a"; + reg [8:1] c_z; // = 8'h7a; //"z"; + reg [8*4:1] s_unit; + reg [8*MAX_CHARS:1] s_shift; + + begin + // frequency ratio calculations + str2hz = 0; + unit_tens = 0; // assume already Hz + is_numeric = 1; + saw_dot = 0; + s_unit = ""; + + // Modelsim optimizer bug forces us to initialize these non-statically + c_dot = "."; + c_space = " "; + c_a = "a"; + c_z = "z"; + for (i=(MAX_CHARS-1); i>=0; i=i-1) begin + s_shift = (s >> (i*8)); + c = s_shift[8:1] & 8'hff; + if (c > 0) begin + //$display("[%d] => '%1s',", i, c); + if (c >= 8'h30 && c <= 8'h39 && is_numeric) begin + str2hz = (str2hz * 10) + (c & 8'h0f); + if (saw_dot) unit_tens = unit_tens - 1; // count digits after decimal point + end else if (c == c_dot) saw_dot = 1; + else if (c != c_space) begin + is_numeric = 0; // stop accepting new numeric digits in value + // if it's a-z, convert to upper case A-Z + if (c >= c_a && c <= c_z) c = (c & 8'h5f); // convert a-z (lower) to A-Z (upper) + s_unit = (s_unit << 8) | c; + end + end + end + //$display("numeric = %d x 10**(%2d), unit = '%0s'", str2hz, unit_tens, s_unit); + + // account for frequency unit + if (s_unit == "GHZ" || s_unit == "GBPS") unit_tens = unit_tens + 9; // 10**9 + else if (s_unit == "MHZ" || s_unit == "MBPS") unit_tens = unit_tens + 6; // 10**6 + else if (s_unit == "KHZ" || s_unit == "KBPS") unit_tens = unit_tens + 3; // 10**3 + else if (s_unit != "HZ" && s_unit != "BPS") begin + $display("Invalid frequency unit '%0s', assuming %d x 10**(%2d) 'Hz'", s_unit, str2hz, unit_tens); + end + //$display("numeric in Hz = %d x 10**(%2d)", str2hz, unit_tens); + + // align numeric to Hz + if (unit_tens < 0) begin + //str2hz = str2hz / (10**(-unit_tens)); + for (i=0; i>unit_tens; i=i-1) begin + str2hz = str2hz / 10; + end + end else begin + //str2hz = str2hz * (10**unit_tens); + for (i=0; i<unit_tens; i=i+1) begin + str2hz = str2hz * 10; + end + end + //$display("%d Hz", str2hz); + end + endfunction + + // convert integer Hz to a frequency string + // integer Hz as type time, and the frequency string will use MHz units + // Must remain a constant function - can't use $sformat or string.itoa(). + function [MAX_CHARS*8-1:0] hz2str ( + input time hz + ); + integer pos; + integer f_unit; // 10**f_unit is offset from Hz for larger unit + time hz_mod_10; + begin + hz2str = "0.000000 MHz"; // minimum string value + f_unit = 6; // MHz offsets Hz value by 6 decimal digits + + // convert time back to string with frequency units + // char positions 3 to 0 are used by " MHz", so start with digits at pos 4 + for (pos = 4; pos < MAX_CHARS && hz > 0; pos = pos + 1) begin + if (f_unit == 0) begin + hz2str[pos*8 +: 8] = 8'h2e; // add "." character + pos = pos + 1; + end + f_unit = f_unit - 1; + hz_mod_10 = (hz % 10); + hz2str[pos*8 +: 8] = hz_mod_10[7:0] | 8'h30; + hz = hz / 10; + //$display("hz2str() => so far '%s', pos (%d), f_unit(%d) ", hz2str, pos, f_unit); + end + //$display("hz2str() returns '%s'", hz2str); + end + endfunction + + // Convert a string to an integer + // Uses pre-existing str2hz function + function integer str2int( + input [MAX_CHARS*8-1:0] instring + ); + time temp; + temp = str2hz({instring,"Hz"}); + str2int = temp[31:0]; + endfunction + + + // Convert an integer to a string + function [MAX_CHARS*8-1:0] int2str( + input integer in_int + ); + integer i; + integer this_char; + i = 0; + int2str = ""; + do + begin + this_char = (in_int % 10) + 48; + int2str[i*8+:8] = this_char[7:0]; + i=i+1; + in_int = in_int / 10; + end + while(in_int > 0); + endfunction + + // function to convert at most 40-bit long string to binary + function [39 : 0] m_str_to_bin; + input [40*8 : 1] s; + reg [40*8 : 1] reg_s; + reg [40:1] res; + + integer m; + begin + + reg_s = s; + for (m = 40; m > 0; m = m-1 ) + begin + res[m] = reg_s[313]; + reg_s = reg_s << 8; + end + + m_str_to_bin = res; + end + endfunction + + //////////////////////////////////////////////////////////////////// + // Verify that the string value is contained in the legal set. + // + // The 'set' can consist of a single string with no delimiters, e.g. "individual", + // or multiple values, separated by commas, and surrounded by parens, e.g. "(one,two,three,four,five)" + // + // Returns 1 if the value is in the set, and 0 otherwise + function integer is_in_legal_set( + input [MAX_CHARS*8-1:0] value, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + if (value == "<auto_any>") + is_in_legal_set = 1; + else if (value == "<auto_single>") + is_in_legal_set = (set[7:0] == 8'h29) ? 0 : 1; // 8'h29 is closing parenthesis char + else if (value == set) + is_in_legal_set = 1; // value matches single value in set + else begin + // check value against each in set + integer close_pos; // end of string marker can be comma or closing paren + integer open_pos; // open paren is start of set, if appropriate + reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr; + + is_in_legal_set = 0; + open_pos = MAX_STRS*MAX_CHARS-1; + // Remove closing parenthesis if exists + if(set[7:0] == 8'h29) begin + set = (set >> 8); + set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00; + end + // look for first non-null and non open paren character + while (open_pos > 0 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null + open_pos = open_pos - 1; + + while (is_in_legal_set == 0 && open_pos >= 0) begin + close_pos = open_pos; + while (close_pos > 0 + && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c) + close_pos = close_pos - 1; + if (close_pos >= 0) begin + close_pos = close_pos == 0 ? 0 : close_pos + 1; + legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8); + if (value == legalstr) + is_in_legal_set = 1; + end + open_pos = close_pos-2; // prepare to look for next legal string + end + end + //$display("is_in_legal_set(): returns %d", is_in_legal_set); + endfunction + + + // Accepts a string list of comma seperated numbers and returns a binary + // field where each bit indicates whether the index corresponding to that bit + // was found in the legal set. + // + // @param count - The number of integer indexes to check for in the set + // or the highest integer minus 1. + // @param set - The list containing the integer values to search for + // @return - A bitfield where each bit indicates whether the corresponding + // integer was found in the legal set. + function [MAX_XCVR_CHANNELS-1:0] map_numerical_is_in_legal_set( + input integer count, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + integer index; + reg [MAX_XCVR_CHANNELS-1:0] retval; + + // Validate count parameter + if(count > MAX_XCVR_CHANNELS) + $display("Error: [map_numerical_is_in_legal_set]: Invalid value for count: %0d",count); + + map_numerical_is_in_legal_set = {MAX_XCVR_CHANNELS{1'b0}}; + retval = {MAX_XCVR_CHANNELS{1'b0}}; + for(index = 0; index < count; index = index + 1) begin + if(is_in_legal_set(int2str(index),set)) + retval = retval | (({MAX_XCVR_CHANNELS{1'b0}} | 1'b1) << index); + end + map_numerical_is_in_legal_set = retval; + endfunction + + + // Accepts a string list of comma seperated numbers and returns a binary + // field where each byte contains the corresponding number found in the + // list. + // + // @param count - The number of elements in the list. + // @param set - The list containing the integer values. + // @return - A bitfield where each byte contains the corresponding number found + // at that location in the list. + function [MAX_XCVR_CHANNELS*8-1:0] map_numerical_legal_set( + input integer count, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + integer index; + reg [MAX_XCVR_CHANNELS-1:0] retval; + reg [MAX_CHARS*8-1:0] str_val; + reg [7:0] int_val; + + // Validate count parameter + if(count > MAX_XCVR_CHANNELS || count > 256) + $display("Error: [map_numerical_legal_set]: Invalid value for count: %0d",count); + + map_numerical_legal_set = {MAX_XCVR_CHANNELS{8'd0}}; + retval = {MAX_XCVR_CHANNELS{8'd0}}; + for(index = 0; index < count; index = index + 1) begin + str_val = get_value_at_index(index,set); + if(str_val != "NA") begin + int_val = str2int(str_val); + if(int_val > 255) + $display("Error: [map_numerical_legal_set]: Invalid string contains non-numerical item or value:%0d",int_val); + else begin + retval = retval | ( ( {MAX_XCVR_CHANNELS{8'd0}} | int_val ) << (index * 8)); + end + end + end + map_numerical_legal_set = retval; + endfunction + + + // Accepts a comma separated list of string values and returns the element + // found at the specified index. If the index is invalid, "NA" is returned + // + // @param index - The index of the value to return within "set" + // @param set - A comma separated list of string values. The entire list may + // be surrounded by parenthesis("(item0,item1,item2)") + function [MAX_CHARS*8-1:0] get_value_at_index( + input integer index, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + // check value against each in set + integer close_pos; // end of string marker can be comma or closing paren + integer open_pos; // open paren is start of set, if appropriate + reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr; + integer cur_index = 0; + + get_value_at_index = ""; + legalstr = "NA"; + cur_index = 0; + open_pos = MAX_STRS*MAX_CHARS-1; + // Remove closing parenthesis if exists + if(set[7:0] == 8'h29) begin + set = (set >> 8); + set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00; + end + // Find the start of the string + while (open_pos >= 1 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null + open_pos = open_pos - 1; + + // Iterate through list until the string is found or we've reached the end of the list + while (legalstr == "NA" && open_pos >= 0 && cur_index <= index) begin + close_pos = open_pos; + // Move the close iterator to the end of the current value (or end of string) + while (close_pos > 0 + && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c) + close_pos = close_pos - 1; + if (close_pos >= 0) begin + close_pos = close_pos == 0 ? 0 : close_pos + 1; + if(index == cur_index) begin + legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8); + end + open_pos = close_pos-2; // prepare to look for next legal string + end + cur_index = cur_index + 1; + end + + cur_index = 0; + while(legalstr[cur_index*8+:8] != 0) begin + get_value_at_index[cur_index*8+:8] = legalstr[cur_index*8+:8]; + cur_index = cur_index + 1; + end + + //$display("is_in_legal_set(): returns %d", is_in_legal_set); + endfunction + + + //////////////////////////////////////////////////////////////////////// + // Calculate the minimum number of bits needed to hold an integer value + // + // Returns ceil_log2() value + localparam integer MAX_PRECISION = 32; // VCS requires this declaration outside the function + function integer ceil_log2; + input [MAX_PRECISION-1:0] input_num; + integer i; + reg [MAX_PRECISION-1:0] try_result; + begin + i = 0; + try_result = 1; + while ((try_result << i) < input_num && i < MAX_PRECISION) + i = i + 1; + ceil_log2 = i; + end + endfunction + + //////////////////////////////////////////////////////////////////// + // Return current device family string for display purposes + `ifndef XCVR_DEV_FAM + `ifdef ALTERA_RESERVED_QIS_FAMILY + `define XCVR_DEV_FAM `ALTERA_RESERVED_QIS_FAMILY // synthesis: use QIS-defined value + `else + `define XCVR_DEV_FAM device_family // simulation: use passed-in value + `endif + `endif + function [MAX_CHARS*8-1:0] current_device_family ( + input [MAX_CHARS*8-1:0] device_family + ); + current_device_family = `XCVR_DEV_FAM; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_s4_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_s4_style_hssi = ( (`XCVR_DEV_FAM == "Stratix IV") + || (`XCVR_DEV_FAM == "Arria II") + || (`XCVR_DEV_FAM == "Cyclone IV GX") // not exact, but close enough + || (`XCVR_DEV_FAM == "Arria II GX") + || (`XCVR_DEV_FAM == "Arria II GZ") + || (`XCVR_DEV_FAM == "HardCopy IV") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_s5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_s5_style_hssi = ( (`XCVR_DEV_FAM == "Stratix V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_a5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_a5_style_hssi = ( (`XCVR_DEV_FAM == "Arria V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_c5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_c5_style_hssi = ( (`XCVR_DEV_FAM == "Cyclone V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_c4_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_c4_style_hssi = ( (`XCVR_DEV_FAM == "Cyclone IV GX") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_gxb bundle width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_to_gxb bundle for that family + function integer get_reconfig_to_gxb_width ( + input [MAX_CHARS*8-1:0] device_family + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_S5_RECONFIG_BUNDLE_TO_XCVR; + else if (has_s4_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_S4_RECONFIG_BUNDLE_TO_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_C4_RECONFIG_BUNDLE_TO_XCVR; + else + get_reconfig_to_gxb_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_gxb bundle width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_gxb bundle for that family + function integer get_reconfig_from_gxb_width ( + input [MAX_CHARS*8-1:0] device_family + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_S5_RECONFIG_BUNDLE_FROM_XCVR; + else if (has_s4_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_S4_RECONFIG_BUNDLE_FROM_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_C4_RECONFIG_BUNDLE_FROM_XCVR; + else + get_reconfig_from_gxb_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_to_xcvr port for that family + function integer get_reconfig_to_width ( + input [MAX_CHARS*8-1:0] device_family, + input integer reconfig_interfaces + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + else if (has_s4_style_hssi(device_family)) + get_reconfig_to_width = W_S4_RECONFIG_BUNDLE_TO_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_to_width = W_C4_RECONFIG_BUNDLE_TO_XCVR; + else + get_reconfig_to_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Stratix V device family + // + function integer get_s5_reconfig_to_width ( + input integer reconfig_interfaces + ); + get_s5_reconfig_to_width = reconfig_interfaces * get_reconfig_to_gxb_width("Stratix V"); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_reconfig_from_width ( + input [MAX_CHARS*8-1:0] device_family, + input integer reconfig_interfaces + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else if (has_s4_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else if (has_c4_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else + get_reconfig_from_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Stratix V device family + // + function integer get_s5_reconfig_from_width ( + input integer reconfig_interfaces + ); + get_s5_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width("Stratix V"); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get number of reconfig interfaces for Custom PHY + // NOTE - !!Has since been used by other PHY IP!! + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of channels + // @param plls - Number of TX plls (per channel) + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function by overloading for ATT support + // - Carry on the abuse + // + // @return 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_interfaces( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = 0; + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin + // ATT specific calculations + if( data_path_type == "ATT" ) begin + if((operation_mode == "RX_ONLY") || (operation_mode == "rx_only") || (operation_mode == "Rx") || (operation_mode == "RX") || (operation_mode == "rx")) begin + reconfig_interfaces = lanes; + end else if((operation_mode == "TX_ONLY") || (operation_mode == "tx_only") || (operation_mode == "Tx") || (operation_mode == "TX") || (operation_mode == "tx")) begin + reconfig_interfaces = 2*lanes; + end else begin + reconfig_interfaces = 3*lanes; + end + end else begin + // Custom PHY calculations + if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + reconfig_interfaces = lanes; + else begin + bonded_group_size = (bonded_mode == "fb_compensation") ? 1 : bonded_group_size; + reconfig_interfaces = lanes+(plls*(lanes/bonded_group_size)); + end + end + end + get_custom_reconfig_interfaces = reconfig_interfaces; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // @param plls - Number of plls per bonded group + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function to support ATT + // + // @return - 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_to_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode ); + get_custom_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // @param plls - Number of plls per bonded group + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function to support ATT + // + // @return - 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_from_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode); + get_custom_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces); + endfunction + + + //////////////////////////////////////////////////////////////////// + // Start Interlaken Specific functions for calculating reconfig interfaces + // and reconfig_to_gxb, reconfig_from_gxb widths + + //////////////////////////////////////////////////////////////////// + // Get number of reconfig interfaces for Interlaken PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_interfaces( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + integer xslices; + integer xremain; + integer totalplls; + + reconfig_interfaces = 0; + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin + if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + reconfig_interfaces = lanes; + else begin + xslices = lanes/bonded_group_size; + xremain = lanes % bonded_group_size; + + if (xremain >0) + totalplls = xslices +1; + else + totalplls = xslices; + reconfig_interfaces = lanes+totalplls; + end // else: !if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + end // if (has_s5_style_hssi(device_family)) + get_interlaken_reconfig_interfaces = reconfig_interfaces; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Interlaken PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_to_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes); + get_interlaken_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_from_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes); + get_interlaken_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces); + endfunction + + // End Interlaken specific functions + //////////////////////////////////////////////////////////////////// + + + + + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/altera_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/altera_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..f5731672ef25f5db829bfa5138a5ea5bee0aec5c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/altera_xcvr_xaui.sv @@ -0,0 +1,510 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: alt_xaui static verilog top level +// +// Authors: bauyeung 7-Sep-2010 +// Modified: ishimony 13-Dec-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +// have separate generate statements for each component + +`timescale 1 ps / 1 ps +import altera_xcvr_functions::*; +(* altera_attribute = "-name IP_TOOL_NAME __ACDS_IP_CORE_NAME__; -name IP_TOOL_VERSION __ACDS_VERSION_SHORT__" *) +module altera_xcvr_xaui #( + parameter device_family = "Stratix IV", // default Stratix IV + parameter starting_channel_number = 0, // only applies to SIV + parameter interface_type = "Hard XAUI", + parameter data_rate = "3125 Mbps", + parameter xaui_pll_type = "CMU", + parameter BASE_DATA_RATE = "3125 Mbps", + parameter use_control_and_status_ports = 0, + parameter external_pma_ctrl_reconf = 0, + parameter recovered_clk_out = 0, + parameter number_of_interfaces = 1, + parameter reconfig_interfaces = 1, + parameter use_rx_rate_match = 0, + parameter tx_termination = "OCT_150_OHMS", + parameter tx_vod_selection = 1, + parameter tx_preemp_pretap = 0, + parameter tx_preemp_pretap_inv = 0, + parameter tx_preemp_tap_1 = 5, + parameter tx_preemp_tap_2 = 0, + parameter tx_preemp_tap_2_inv = 0, + parameter rx_common_mode = "0.82v", + parameter rx_termination = "OCT_150_OHMS", + parameter rx_eq_dc_gain = 0, + parameter rx_eq_ctrl = 14, + parameter mgmt_clk_in_mhz = 50 +) ( + input wire pll_ref_clk, + input wire xgmii_tx_clk, + output wire xgmii_rx_clk, + output wire tx_clk312_5, // dxaui: pma tx out clock, 312.5Mhz + input wire phy_mgmt_clk, + input wire phy_mgmt_clk_reset, + input wire [8:0] phy_mgmt_address, + output wire phy_mgmt_waitrequest, + input wire phy_mgmt_read, + output wire [31:0] phy_mgmt_readdata, + input wire phy_mgmt_write, + input wire [31:0] phy_mgmt_writedata, + input wire [71:0] xgmii_tx_dc, + output wire [71:0] xgmii_rx_dc, + output wire [3:0] xaui_tx_serial_data, + input wire [3:0] xaui_rx_serial_data, + output wire rx_ready, + output wire tx_ready, + output wire [3:0] rx_recovered_clk, // rx recovered clock from cdr + +// only used if use_control_and_status_ports is set + input tri0 rx_analogreset, + input tri0 rx_digitalreset, + input tri0 tx_digitalreset, + output tri0 rx_channelaligned, + input tri0 [3:0] rx_invpolarity, + input tri0 [3:0] rx_set_locktodata, + input tri0 [3:0] rx_set_locktoref, + input tri0 [3:0] rx_seriallpbken, + input tri0 [3:0] tx_invpolarity, + output tri1 [3:0] rx_is_lockedtodata, + output tri0 [3:0] rx_phase_comp_fifo_error, + output tri1 [3:0] rx_is_lockedtoref, + output tri0 [3:0] rx_rlv, + output tri0 [3:0] rx_rmfifoempty, + output tri0 [3:0] rx_rmfifofull, + output tri0 [3:0] tx_phase_comp_fifo_error, + output tri0 [7:0] rx_disperr, + output tri0 [7:0] rx_errdetect, + output tri0 [7:0] rx_patterndetect, + output tri0 [7:0] rx_rmfifodatadeleted, + output tri0 [7:0] rx_rmfifodatainserted, + output tri0 [7:0] rx_runningdisp, + output tri0 [7:0] rx_syncstatus, + + +// only used if external_pma_ctrl_reconf is set + output tri0 [altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces)-1:0] reconfig_from_xcvr, + input tri0 [altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces) -1:0] reconfig_to_xcvr, + output tri0 pll_locked, + input tri0 cal_blk_powerdown, + input tri0 gxb_powerdown, + input tri0 pll_powerdown +// need to add all possible port/param combinations +// these should be set to tri0/1 where possible, so unused ports don't need to be terminated by the user +); + +import altera_xcvr_functions::*; + +localparam reconfig_out_width = altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces); +localparam reconfig_in_width = altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces); + + wire [reconfig_out_width -1:0] l_reconfig_from_xcvr; // local reconfig_from_xcvr + wire [reconfig_in_width -1:0] l_reconfig_to_xcvr; // local reconfig_to_xcvr + + wire [7:0] sc_phy_address; // mgmt.address + wire sc_phy_waitrequest; // .waitrequest + wire sc_phy_read; // .read + wire [31:0] sc_phy_readdata; // .readdata + wire sc_phy_write; // .write + + wire [6:0] sc_reconf_address; // mgmt.address + wire sc_reconf_waitrequest; // .waitrequest + wire sc_reconf_read; // .read + wire [31:0] sc_reconf_readdata; // .readdata + wire sc_reconf_write; // .write + +/////////////////////////////////////////////////////////////////////// +// Custom decoder for multiple slaves of phy-reconfig interface +/////////////////////////////////////////////////////////////////////// +// should be consistent across all device families + alt_xcvr_mgmt2dec_phyreconfig mgmtdec_phyreconfig ( + .mgmt_clk_reset (phy_mgmt_clk_reset), + .mgmt_clk (phy_mgmt_clk), + + .mgmt_address (phy_mgmt_address), + .mgmt_read (phy_mgmt_read), + .mgmt_write (phy_mgmt_write), + .mgmt_readdata (phy_mgmt_readdata), + .mgmt_waitrequest (phy_mgmt_waitrequest), + + // internal interface to 'top' phy block + .sc_phy_readdata (sc_phy_readdata), + .sc_phy_waitrequest (sc_phy_waitrequest), + .sc_phy_address (sc_phy_address), + .sc_phy_read (sc_phy_read), + .sc_phy_write (sc_phy_write), + + // internal interface to 'top' reconfig block + .sc_reconf_readdata (sc_reconf_readdata), + .sc_reconf_waitrequest (sc_reconf_waitrequest), + .sc_reconf_address (sc_reconf_address), + .sc_reconf_read (sc_reconf_read), + .sc_reconf_write (sc_reconf_write) + ); + + +/////////////////////////////////////////////////////////////////////// +// alt_xcvr_reconfig +/////////////////////////////////////////////////////////////////////// +// add generate statement for this +// need to account for external pma reconfig + generate + if (external_pma_ctrl_reconf == 1) begin + assign l_reconfig_to_xcvr = reconfig_to_xcvr; + assign reconfig_from_xcvr = l_reconfig_from_xcvr; + end else if ((interface_type == "Hard XAUI") && ((device_family == "Stratix IV") || (device_family == "HardCopy IV") || (device_family == "Arria II GX") || (device_family == "Arria II GX") || (device_family == "Arria II GZ"))) begin + alt_xcvr_reconfig_siv #( + .number_of_reconfig_interfaces (1) + ) alt_xcvr_reconfig_0 ( + .mgmt_clk_clk (phy_mgmt_clk), + .mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr[16:0]) + ); + end else if ((interface_type == "Soft XAUI") && ((device_family == "Stratix IV") || (device_family == "HardCopy IV"))) begin // Arria II GX/GZ don't support soft xaui + alt_xcvr_reconfig_siv #( + .number_of_reconfig_interfaces (4) + ) alt_xcvr_reconfig_0 ( + .mgmt_clk_clk (phy_mgmt_clk), + .mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr) + ); + end else if ((interface_type == "DDR XAUI") && (device_family == "Stratix IV")) begin + // stub for now - nothing here + end else if (device_family == "Stratix V") begin + // stub for now - nothing here + assign l_reconfig_to_xcvr = reconfig_to_xcvr; + assign reconfig_from_xcvr = l_reconfig_from_xcvr; + end else if (device_family == "Cyclone IV GX") begin + alt_xcvr_reconfig_civ #( + .number_of_reconfig_interfaces (1) + ) alt_xcvr_reconfig_0 ( + .reconfig_mgmt_clk_clk (phy_mgmt_clk), + .reconfig_mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr[16:0]) + ); + end + endgenerate + +/////////////////////////////////////////////////////////////////////// +// alt_xaui_phy - Integrates hxaui (i/f to hxaui_alt_c3gxb), csr, pma +// controller and pma channel controller +/////////////////////////////////////////////////////////////////////// + generate + if (device_family == "Stratix V") begin + sv_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .data_rate (data_rate), + .xaui_pll_type (xaui_pll_type), + .BASE_DATA_RATE (BASE_DATA_RATE), + .use_control_and_status_ports (use_control_and_status_ports), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode), + .bonded_group_size (4), /// allowed values 1=> non-bonded 4=> bonded + .bonded_mode ("xN") /// allowed values "xN" and "fb_compensation" + ) alt_xaui_phy ( + .pll_ref_clk (pll_ref_clk), // refclk.clk + .xgmii_tx_clk (xgmii_tx_clk), // xgmii_tx_clk.clk + .xgmii_rx_clk (xgmii_rx_clk), // xgmii_rx_clk.clk + .phy_mgmt_clk (phy_mgmt_clk), // mgmt_clk.clk + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), // mgmt_clk_rst.reset_n + .phy_mgmt_address (sc_phy_address), // phy_mgmt.address + .phy_mgmt_waitrequest (sc_phy_waitrequest), // .waitrequest + .phy_mgmt_read (sc_phy_read), // .read + .phy_mgmt_readdata (sc_phy_readdata), // .readdata + .phy_mgmt_write (sc_phy_write), // .write + .phy_mgmt_writedata (phy_mgmt_writedata), // .writedata + .xgmii_tx_dc (xgmii_tx_dc), // xgmii_tx_dc.data + .xgmii_rx_dc (xgmii_rx_dc), // xgmii_rx_dc.data + .xaui_tx_serial_data (xaui_tx_serial_data), // xaui_tx_serial.export + .xaui_rx_serial_data (xaui_rx_serial_data), // xaui_rx_serial.export + .rx_digitalreset (rx_digitalreset), // rx_digitalreset.data + .tx_digitalreset (tx_digitalreset), // tx_digitalreset.data + .rx_channelaligned (rx_channelaligned), // rx_channelaligned.data + .rx_syncstatus (rx_syncstatus), // rx_syncstatus.data + .rx_disperr (rx_disperr), // rx_disperr.data + .rx_errdetect (rx_errdetect), // rx_errdetect.data + .rx_ready (rx_ready), // rx_pma_ready.data + .tx_ready (tx_ready), // tx_pma_ready.data + .reconfig_to_xcvr (l_reconfig_to_xcvr), + .reconfig_from_xcvr (l_reconfig_from_xcvr), + .rx_recovered_clk (rx_recovered_clk) + ); + end else if ((interface_type == "DDR XAUI") && (device_family == "Stratix IV")) begin + dxaui_siv #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .tx_vod_selection (tx_vod_selection), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .rx_common_mode (rx_common_mode), + .rx_termination (rx_termination), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .use_rx_rate_match (use_rx_rate_match) +) dxaui_siv ( + .pll_ref_clk (pll_ref_clk), // i + .xgmii_tx_clk (xgmii_tx_clk), // i + .xgmii_rx_clk (xgmii_rx_clk), // o + .tx_clk312_5 (tx_clk312_5), // o + .phy_mgmt_clk (phy_mgmt_clk), // i + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), // i + .phy_mgmt_address (sc_phy_address), // i + .phy_mgmt_waitrequest (sc_phy_waitrequest), // o + .phy_mgmt_read (sc_phy_read), // i + .phy_mgmt_readdata (sc_phy_readdata), // o + .phy_mgmt_write (sc_phy_write), // i + .phy_mgmt_writedata (phy_mgmt_writedata), // i + .xgmii_tx_dc (xgmii_tx_dc), // i + .xgmii_rx_dc (xgmii_rx_dc), // o + .xaui_tx_serial_data (xaui_tx_serial_data), // o + .xaui_rx_serial_data (xaui_rx_serial_data), // i + .rx_ready (rx_ready), // o + .tx_ready (tx_ready), // o + .rx_recovered_clk (rx_recovered_clk), // o + .reconfig_from_xcvr (l_reconfig_from_xcvr), // o + .reconfig_to_xcvr (l_reconfig_to_xcvr), // i + .rx_analogreset (rx_analogreset), // i + .rx_digitalreset (rx_digitalreset), // i + .tx_digitalreset (tx_digitalreset), // i + .rx_channelaligned (rx_channelaligned), // o + .rx_invpolarity (rx_invpolarity), // i + .rx_set_locktodata (rx_set_locktodata), // i + .rx_set_locktoref (rx_set_locktoref), // i + .rx_seriallpbken (rx_seriallpbken), // i + .tx_invpolarity (tx_invpolarity), // i + .rx_is_lockedtodata (rx_is_lockedtodata), // o + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // o + .rx_is_lockedtoref (rx_is_lockedtoref), // o + .rx_rlv (rx_rlv), // o + .rx_rmfifoempty (rx_rmfifoempty), // o + .rx_rmfifofull (rx_rmfifofull), // o + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // o + .rx_disperr (rx_disperr), // o + .rx_errdetect (rx_errdetect), // o + .rx_patterndetect (rx_patterndetect), // o + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // o + .rx_rmfifodatainserted (rx_rmfifodatainserted), // o + .rx_runningdisp (rx_runningdisp), // o + .rx_syncstatus (rx_syncstatus), // o + .pll_locked (pll_locked), // o + .cal_blk_powerdown (cal_blk_powerdown), // i + .gxb_powerdown (gxb_powerdown), // i + .pll_powerdown (pll_powerdown) // i +); // module dxaui_siv + end else if ((device_family == "Stratix IV") || (device_family == "HardCopy IV") ||(device_family == "Arria II GX") || (device_family == "Arria II GZ")) begin + siv_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) xaui_phy ( + .pll_ref_clk (pll_ref_clk), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_tx_clk (xgmii_tx_clk), + .phy_mgmt_clk (phy_mgmt_clk), + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), + .phy_mgmt_address (sc_phy_address), + .phy_mgmt_read (sc_phy_read), + .phy_mgmt_readdata (sc_phy_readdata), + .phy_mgmt_waitrequest (sc_phy_waitrequest), + .phy_mgmt_write (sc_phy_write), + .phy_mgmt_writedata (phy_mgmt_writedata), + .xaui_rx_serial_data (xaui_rx_serial_data), + .xaui_tx_serial_data (xaui_tx_serial_data), + .xgmii_rx_dc (xgmii_rx_dc), + .xgmii_tx_dc (xgmii_tx_dc), + .rx_ready (rx_ready), + .tx_ready (tx_ready), + .rx_recovered_clk (rx_recovered_clk), //o + .reconfig_from_xcvr (l_reconfig_from_xcvr), + .reconfig_to_xcvr (l_reconfig_to_xcvr), + +// optional control and status ports - will be terminated by tri0 if unconnected + .rx_analogreset (rx_analogreset), // input wire + .rx_digitalreset (rx_digitalreset), // input wire [3:0] + .tx_digitalreset (tx_digitalreset), // input wire [3:0] + .rx_channelaligned (rx_channelaligned), // output wire + .rx_invpolarity (rx_invpolarity), // input wire [3:0] + .rx_set_locktodata (rx_set_locktodata), // input wire [3:0] + .rx_set_locktoref (rx_set_locktoref), // input wire [3:0] + .rx_seriallpbken (rx_seriallpbken), // input wire [3:0] + .tx_invpolarity (tx_invpolarity), // input wire [3:0] + .rx_is_lockedtodata (rx_is_lockedtodata), // output wire [3:0] + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // output wire [3:0] + .rx_is_lockedtoref (rx_is_lockedtoref), // output wire [3:0] + .rx_rlv (rx_rlv), // output wire [3:0] + .rx_rmfifoempty (rx_rmfifoempty), // output wire [3:0] + .rx_rmfifofull (rx_rmfifofull), // output wire [3:0] + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // output wire [3:0] + .rx_disperr (rx_disperr), // output wire [7:0] + .rx_errdetect (rx_errdetect), // output wire [7:0] + .rx_patterndetect (rx_patterndetect), // output wire [7:0] + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // output wire [7:0] + .rx_rmfifodatainserted (rx_rmfifodatainserted), // output wire [7:0] + .rx_runningdisp (rx_runningdisp), // output wire [7:0] + .rx_syncstatus (rx_syncstatus), // output wire [7:0] + +// external_pma_ctrl_reconf + .pll_locked (pll_locked), // output wire + .cal_blk_powerdown (cal_blk_powerdown), // input wire + .gxb_powerdown (gxb_powerdown), // input wire + .pll_powerdown (pll_powerdown) // input wire + ); + end else if (device_family == "Cyclone IV GX") begin +// need to add the extra optional ports for c&s and ext_pma + civ_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) xaui_phy ( + .pll_ref_clk (pll_ref_clk), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_tx_clk (xgmii_tx_clk), + .phy_mgmt_clk (phy_mgmt_clk), + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), + .phy_mgmt_address (sc_phy_address), + .phy_mgmt_read (sc_phy_read), + .phy_mgmt_readdata (sc_phy_readdata), + .phy_mgmt_waitrequest (sc_phy_waitrequest), + .phy_mgmt_write (sc_phy_write), + .phy_mgmt_writedata (phy_mgmt_writedata), + .xaui_rx_serial_data (xaui_rx_serial_data), + .xaui_tx_serial_data (xaui_tx_serial_data), + .xgmii_rx_dc (xgmii_rx_dc), + .xgmii_tx_dc (xgmii_tx_dc), + .rx_ready (rx_ready), + .tx_ready (tx_ready), + .rx_recovered_clk (rx_recovered_clk), //o + .reconfig_from_xcvr (l_reconfig_from_xcvr[16:0]), + .reconfig_to_xcvr (l_reconfig_to_xcvr), + +// optional control and status ports - will be terminated by tri0 if unconnected + .rx_analogreset (rx_analogreset), // input wire + .rx_digitalreset (rx_digitalreset), // input wire [3:0] + .tx_digitalreset (tx_digitalreset), // input wire [3:0] + .rx_channelaligned (rx_channelaligned), // output wire + .rx_invpolarity (rx_invpolarity), // input wire [3:0] + .rx_set_locktodata (rx_set_locktodata), // input wire [3:0] + .rx_set_locktoref (rx_set_locktoref), // input wire [3:0] + .rx_seriallpbken (rx_seriallpbken), // input wire [3:0] + .tx_invpolarity (tx_invpolarity), // input wire [3:0] + .rx_is_lockedtodata (rx_is_lockedtodata), // output wire [3:0] + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // output wire [3:0] + .rx_is_lockedtoref (rx_is_lockedtoref), // output wire [3:0] + .rx_rlv (rx_rlv), // output wire [3:0] + .rx_rmfifoempty (rx_rmfifoempty), // output wire [3:0] + .rx_rmfifofull (rx_rmfifofull), // output wire [3:0] + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // output wire [3:0] + .rx_disperr (rx_disperr), // output wire [7:0] + .rx_errdetect (rx_errdetect), // output wire [7:0] + .rx_patterndetect (rx_patterndetect), // output wire [7:0] + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // output wire [7:0] + .rx_rmfifodatainserted (rx_rmfifodatainserted), // output wire [7:0] + .rx_runningdisp (rx_runningdisp), // output wire [7:0] + .rx_syncstatus (rx_syncstatus), // output wire [7:0] + +// external_pma_ctrl_reconf + .pll_locked (pll_locked), // output wire + .cal_blk_powerdown (cal_blk_powerdown), // input wire + .gxb_powerdown (gxb_powerdown), // input wire + .pll_powerdown (pll_powerdown) // input wire + ); + end + endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/hxaui_csr.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/hxaui_csr.sv new file mode 100644 index 0000000000000000000000000000000000000000..5ad633285f019d30350c678e518974f6621093e3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/hxaui_csr.sv @@ -0,0 +1,415 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2010 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +/////////////////////////////////////////////////////////////////////////////// +// +// +// Description: Hard xaui control and status registers +// +// Authors: ishimony 12-Jun-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +/////////////////////////////////////////////////////////////////////////////// + +// +// TBD: unless synthesis is smart enough all synchronization flops will have +// to be manually instantiated (altera_std_synchronizer) +// + +`timescale 1 ps / 1 ps + +module hxaui_csr( + clk, reset, address, byteenable, read, readdata, write, writedata, + rx_patterndetect, rx_syncstatus, rx_runningdisp, rx_errdetect, + rx_disperr, rx_phase_comp_fifo_error, rx_rlv, rx_rmfifodatadeleted, + rx_rmfifodatainserted, rx_rmfifoempty, rx_rmfifofull, + tx_phase_comp_fifo_error, r_rx_invpolarity, r_tx_invpolarity, + r_rx_digitalreset, r_tx_digitalreset, simulation_flag +); // module hxaui_csr +import hxaui_csr_h::*; + +// ports ------------------------------------------------------------------- + +// Avalon MM slave +input clk; +input reset; + +input [4:0] address; +input [3:0] byteenable; + +input read; +output [31:0] readdata; + +input write; +input [31:0] writedata; + +// hard xaui control/status +input [7:0] rx_patterndetect; +input [7:0] rx_syncstatus; +input [7:0] rx_runningdisp; // nc + +input [7:0] rx_errdetect; +input [7:0] rx_disperr; + +input [3:0] rx_phase_comp_fifo_error; +input [3:0] rx_rlv; + +input [7:0] rx_rmfifodatadeleted; +input [7:0] rx_rmfifodatainserted; +input [3:0] rx_rmfifoempty; +input [3:0] rx_rmfifofull; + +input [3:0] tx_phase_comp_fifo_error; + +output [3:0] r_rx_invpolarity; +output [3:0] r_tx_invpolarity; +output r_rx_digitalreset; +output r_tx_digitalreset; + +output simulation_flag; // '1' shortens reset and loss_timer length + +// ports ------------------------------------------------------------------- +wire clk; +wire reset; +wire [4:0] address; +wire [6:0] addr; +wire [3:0] byteenable; +wire read; +reg [31:0] readdata; +wire write; +wire [31:0] writedata; +wire [3:0] rx_phase_comp_fifo_error; +wire [3:0] rx_rlv; +wire [3:0] rx_rmfifoempty; +wire [3:0] rx_rmfifofull; +wire [3:0] tx_phase_comp_fifo_error; +wire [7:0] rx_disperr; +wire [7:0] rx_errdetect; +wire [7:0] rx_patterndetect; +wire [7:0] rx_rmfifodatadeleted; +wire [7:0] rx_rmfifodatainserted; +wire [7:0] rx_runningdisp; +wire [7:0] rx_syncstatus; +wire [3:0] r_rx_invpolarity; +wire [3:0] r_tx_invpolarity; +wire r_rx_digitalreset; +wire r_tx_digitalreset; +wire simulation_flag; + +// locals ------------------------------------------------------------------ +reg [1:0] hxaui_csr_reset, hxaui_csr_reset0q; +reg [3:0] hxaui_csr_rx_cntrl, hxaui_csr_rx_cntrl0q; +reg [3:0] hxaui_csr_tx_cntrl, hxaui_csr_tx_cntrl0q; +wire [31:0] hxaui_csr_rx_status_0; +wire [31:0] hxaui_csr_rx_status_1; +wire [31:0] hxaui_csr_rx_status_2; +wire [31:0] hxaui_csr_rx_status_3; +wire [31:0] hxaui_csr_rx_status_4; +wire [31:0] hxaui_csr_tx_status_0; +reg hxaui_csr_simulation_flag, hxaui_csr_simulation_flag0q; + + +reg [7:0] rx_patterndetect_c; // rx_patterndetect synced to clk +reg [7:0] rx_syncstatus_c; // rx_syncstatus synced to clk +reg [7:0] rx_patterndetect_sr; // rx_patterndetect sr ff +reg [7:0] rx_syncstatus_sr; // rx_syncstatus sr ff +wire read_rx_status_0; + +reg [7:0] rx_errdetect_c; // rx_errdetect synced to clk +reg [7:0] rx_disperr_c; // rx_disperr synced to clk +reg [7:0] rx_errdetect_sr; // rx_errdetect sr ff +reg [7:0] rx_disperr_sr; // rx_disperr sr ff +wire read_rx_status_1; + +reg [3:0] rx_phase_comp_fifo_error_c; // rx_phase_comp_fifo_error synced +reg [3:0] rx_rlv_c; // rx_rlv synced to clk +reg [3:0] rx_phase_comp_fifo_error_sr; // rx_phase_comp_fifo_error sr ff +reg [3:0] rx_rlv_sr; // rx_rlv sr ff +wire read_rx_status_2; + +reg [7:0] rx_rmfifodatainserted_c; // rx_rmfifodatainserted synced to clk +reg [7:0] rx_rmfifodatadeleted_c; // rx_rmfifodatadeleted synced to clk +reg [7:0] rx_rmfifodatainserted_sr;// rx_rmfifodatainserted sr ff +reg [7:0] rx_rmfifodatadeleted_sr; // rx_rmfifodatadeleted sr ff +wire read_rx_status_3; + +reg [3:0] rx_rmfifofull_c; // rx_rmfifo_full synced +reg [3:0] rx_rmfifoempty_c; // rx_rmfifoempty synced to clk +reg [3:0] rx_rmfifofull_sr; // rx_rmfifo_full sr ff +reg [3:0] rx_rmfifoempty_sr; // rx_rmfifoempty sr ff +wire read_rx_status_4; + +wire read_rx_status_5; + +reg [3:0] tx_phase_comp_fifo_error_c; // tx_phase_comp_fifo_error synced +reg [3:0] tx_phase_comp_fifo_error_sr; // tx_phase_comp_fifo_error sr ff +wire read_tx_status_0; + +// body -------------------------------------------------------------------- + +//--- readdata output latch --- +// For easier address debug shift back 2 bits +assign addr = {address[4:0], 2'b00}; + +always @(*) begin + case (addr) + HXAUI_CSR_RESET_ADDR: + readdata <= hxaui_csr_reset0q; + HXAUI_CSR_RX_CNTRL_ADDR: + readdata <= hxaui_csr_rx_cntrl0q; + HXAUI_CSR_TX_CNTRL_ADDR: + readdata <= hxaui_csr_tx_cntrl0q; + HXAUI_CSR_RX_STATUS_0_ADDR: + readdata <= hxaui_csr_rx_status_0; + HXAUI_CSR_RX_STATUS_1_ADDR: + readdata <= hxaui_csr_rx_status_1; + HXAUI_CSR_RX_STATUS_2_ADDR: + readdata <= hxaui_csr_rx_status_2; + HXAUI_CSR_RX_STATUS_3_ADDR: + readdata <= hxaui_csr_rx_status_3; + HXAUI_CSR_RX_STATUS_4_ADDR: + readdata <= hxaui_csr_rx_status_4; + HXAUI_CSR_TX_STATUS_0_ADDR: + readdata <= hxaui_csr_tx_status_0; + HXAUI_CSR_SIMULATION_FLAG_ADDR: + readdata <= hxaui_csr_simulation_flag0q; + default: + readdata <= 32'h0; + endcase // case (addr) +end + +always @ (posedge clk or posedge reset) begin + if (reset) begin + hxaui_csr_reset0q <= 2'b0; + hxaui_csr_rx_cntrl0q <= 4'b0; + hxaui_csr_tx_cntrl0q <= 4'b0; + hxaui_csr_simulation_flag0q <= 1'b0; + end else begin + hxaui_csr_reset0q <= hxaui_csr_reset; + hxaui_csr_rx_cntrl0q <= hxaui_csr_rx_cntrl; + hxaui_csr_tx_cntrl0q <= hxaui_csr_tx_cntrl; + hxaui_csr_simulation_flag0q <= hxaui_csr_simulation_flag; + end +end + + +always @ (*) begin + hxaui_csr_reset = hxaui_csr_reset0q; + hxaui_csr_rx_cntrl = hxaui_csr_rx_cntrl0q; + hxaui_csr_tx_cntrl = hxaui_csr_tx_cntrl0q; + hxaui_csr_simulation_flag = hxaui_csr_simulation_flag0q; + if (write) begin + case (addr) + HXAUI_CSR_RESET_ADDR: + hxaui_csr_reset = writedata[1:0]; + HXAUI_CSR_RX_CNTRL_ADDR: + hxaui_csr_rx_cntrl = writedata[3:0]; + HXAUI_CSR_TX_CNTRL_ADDR: + hxaui_csr_tx_cntrl = writedata[3:0]; + HXAUI_CSR_SIMULATION_FLAG_ADDR: + hxaui_csr_simulation_flag = writedata[0]; + default:; + endcase // case (addr) + end +end + +assign {r_rx_digitalreset, r_tx_digitalreset} = hxaui_csr_reset0q[1:0]; +assign r_rx_invpolarity[3:0] = hxaui_csr_rx_cntrl0q[3:0]; +assign r_tx_invpolarity[3:0] = hxaui_csr_tx_cntrl0q[3:0]; +assign simulation_flag = hxaui_csr_simulation_flag0q; + +//--- rx_status_0 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_patterndetect_c <= rx_patterndetect; + rx_syncstatus_c <= rx_syncstatus; +end + +assign read_rx_status_0 = read && (addr == HXAUI_CSR_RX_STATUS_0_ADDR); + +// sticky bits implementation - +// set: status signal +// reset: read from register +// Set has precedence over reset +// +// s r q nq +// ------------ +// 0 0 x q +// 0 1 x 0 +// 1 x x 1 +// +// nq <= s + qr' +// + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_patterndetect_sr <= 8'h0; + rx_syncstatus_sr <= 8'h0; + end else begin + rx_patterndetect_sr <= rx_patterndetect_c | + (rx_patterndetect_sr & {8{read_rx_status_0}}); + rx_syncstatus_sr <= rx_syncstatus_c | + (rx_syncstatus_sr & {8{read_rx_status_0}}); + end +end + +assign hxaui_csr_rx_status_0 = {rx_patterndetect_sr, rx_syncstatus_sr}; + +//--- rx_status_1 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_errdetect_c <= rx_errdetect; + rx_disperr_c <= rx_disperr; +end + +assign read_rx_status_1 = read && (addr == HXAUI_CSR_RX_STATUS_1_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_errdetect_sr <= 8'h0; + rx_disperr_sr <= 8'h0; + end else begin + rx_errdetect_sr <= rx_errdetect_c | + (rx_errdetect_sr & {8{read_rx_status_1}}); + rx_disperr_sr <= rx_disperr_c | + (rx_disperr_sr & {8{read_rx_status_1}}); + end +end + +assign hxaui_csr_rx_status_1 = {rx_errdetect_sr, rx_disperr_sr}; + +//--- rx_status_2 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_phase_comp_fifo_error_c <= rx_phase_comp_fifo_error; + rx_rlv_c <= rx_rlv; +end + +assign read_rx_status_2 = read && (addr == HXAUI_CSR_RX_STATUS_2_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_phase_comp_fifo_error_sr <= 4'h0; + rx_rlv_sr <= 4'h0; + end else begin + rx_phase_comp_fifo_error_sr <= rx_phase_comp_fifo_error_c | + (rx_phase_comp_fifo_error_sr & {4{read_rx_status_2}}); + rx_rlv_sr <= rx_rlv_c | + (rx_rlv_sr & {4{read_rx_status_2}}); + end +end + +assign hxaui_csr_rx_status_2 = {rx_phase_comp_fifo_error_sr, rx_rlv_sr}; + +//--- rx_status_3 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_rmfifodatainserted_c <= rx_rmfifodatainserted; + rx_rmfifodatadeleted_c <= rx_rmfifodatadeleted; +end + +assign read_rx_status_3 = read && (addr == HXAUI_CSR_RX_STATUS_3_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_rmfifodatainserted_sr <= 8'h0; + rx_rmfifodatadeleted_sr <= 8'h0; + end else begin + rx_rmfifodatainserted_sr <= rx_rmfifodatainserted_c | + (rx_rmfifodatainserted_sr & {8{read_rx_status_3}}); + rx_rmfifodatadeleted_sr <= rx_rmfifodatadeleted_c | + (rx_rmfifodatadeleted_sr & {8{read_rx_status_3}}); + end +end + +assign hxaui_csr_rx_status_3 = {rx_rmfifodatainserted_sr, + rx_rmfifodatadeleted_sr}; + +//--- rx_status_4 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_rmfifoempty_c <= rx_rmfifoempty; + rx_rmfifofull_c <= rx_rmfifofull; +end + +assign read_rx_status_4 = read && (addr == HXAUI_CSR_RX_STATUS_4_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_rmfifoempty_sr <= 4'h0; + rx_rmfifofull_sr <= 4'h0; + end else begin + rx_rmfifoempty_sr <= rx_rmfifoempty_c | + (rx_rmfifoempty_sr & {4{read_rx_status_4}}); + rx_rmfifofull_sr <= rx_rmfifofull_c | + (rx_rmfifofull_sr & {4{read_rx_status_4}}); + end +end + +assign hxaui_csr_rx_status_4 = {rx_rmfifoempty_sr, rx_rmfifofull_sr}; + +//--- tx_status_0 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + tx_phase_comp_fifo_error_c <= tx_phase_comp_fifo_error; +end + +assign read_tx_status_0 = read && (addr == HXAUI_CSR_TX_STATUS_0_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + tx_phase_comp_fifo_error_sr <= 4'h0; + end else begin + tx_phase_comp_fifo_error_sr <= tx_phase_comp_fifo_error_c | + (tx_phase_comp_fifo_error_sr & {4{read_tx_status_0}}); + end +end + +assign hxaui_csr_tx_status_0 = tx_phase_comp_fifo_error_sr; + + +endmodule // hxaui_csr + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/hxaui_csr_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/hxaui_csr_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..b4856c0cf54dc1a394a545937fa14fa28b03a1ce --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/hxaui_csr_h.sv @@ -0,0 +1,155 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2011 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +/////////////////////////////////////////////////////////////////////////////// +// +// +// Description: Hard xaui control and status registers header file +// +// Authors: ishimony 12-Jun-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +/////////////////////////////////////////////////////////////////////////////// +`timescale 1 ps / 1 ps + +package hxaui_csr_h; + + +// address map +localparam [11:0]ALT_PMA_CONTROLLER_ADDR =12'h080; +localparam [11:0]ALT_PMA_CH_CONTROLLER_ADDR =12'h180; +//localparam ALT_PMA_ADDR 12'h080 +localparam [11:0]ALT_RECONFIG_ANALOG_ADDR =12'h400; +localparam [11:0]ALT_RECONFIG_OC_ADDR =12'h408; +localparam [11:0]HXAUI_CSR_ADDR =12'h200; + + +// registers address ------------------------------------------------------- +// preserve 7'h00 for indirection register (future implementation) +localparam [6:0]HXAUI_CSR_RESET_ADDR =7'h04; +localparam [6:0]HXAUI_CSR_RX_CNTRL_ADDR =7'h08; +localparam [6:0]HXAUI_CSR_TX_CNTRL_ADDR =7'h0C; +localparam [6:0]HXAUI_CSR_RX_STATUS_0_ADDR =7'h10; +localparam [6:0]HXAUI_CSR_RX_STATUS_1_ADDR =7'h14; +localparam [6:0]HXAUI_CSR_RX_STATUS_2_ADDR =7'h18; +localparam [6:0]HXAUI_CSR_RX_STATUS_3_ADDR =7'h1C; +localparam [6:0]HXAUI_CSR_RX_STATUS_4_ADDR =7'h20; +localparam [6:0]HXAUI_CSR_TX_STATUS_0_ADDR =7'h24; +localparam [6:0]HXAUI_CSR_SIMULATION_FLAG_ADDR =7'h28; + +// register bitmap --------------------------------------------------------- +localparam [32:0]HXAUI_CSR_RESET_RX_DIGITAL =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RESET_TX_DIGITAL =32'h0000_0002; + +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_0 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_1 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_2 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_3 =32'h0000_0080; + +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_0 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_1 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_2 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_3 =32'h0000_0080; + +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_SIMULATION_FLAG =32'h0000_0001; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/l_modules.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/l_modules.v new file mode 100644 index 0000000000000000000000000000000000000000..2b3bf6b04c6c180d058b1f656e638fcde12640fe Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/l_modules.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/plain_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/plain_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..435f8d1eb9137f58d00894a7cd9aa819c97a62a2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/plain_files.txt @@ -0,0 +1,58 @@ +./altera_xcvr_functions.sv +./alt_pma_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./alt_soft_xaui_pcs.v +./alt_soft_xaui_reset.v +./alt_soft_xaui_rx.v +./alt_soft_xaui_rx_8b10b_dec.v +./alt_soft_xaui_rx_channel_synch.v +./alt_soft_xaui_rx_deskew.v +./alt_soft_xaui_rx_deskew_channel.v +./alt_soft_xaui_rx_deskew_ram.v +./alt_soft_xaui_rx_invalid_code_det.v +./alt_soft_xaui_rx_parity.v +./alt_soft_xaui_rx_parity_4b.v +./alt_soft_xaui_rx_parity_6b.v +./alt_soft_xaui_rx_rate_match.v +./alt_soft_xaui_rx_rate_match_ram.v +./alt_soft_xaui_rx_rl_chk_6g.v +./alt_soft_xaui_rx_sm.v +./alt_soft_xaui_tx.v +./alt_soft_xaui_tx_8b10b_enc.v +./alt_soft_xaui_tx_idle_conv.v +./l_modules.v +./serdes_4_unit_lc_siv.v +./serdes_4_unit_siv.v +./serdes_4unit.v +./sxaui.v +./siv_xcvr_low_latency_phy_nr.sv +./siv_xcvr_xaui.sv +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/qencrypt_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/qencrypt_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..435f8d1eb9137f58d00894a7cd9aa819c97a62a2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/qencrypt_files.txt @@ -0,0 +1,58 @@ +./altera_xcvr_functions.sv +./alt_pma_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./alt_soft_xaui_pcs.v +./alt_soft_xaui_reset.v +./alt_soft_xaui_rx.v +./alt_soft_xaui_rx_8b10b_dec.v +./alt_soft_xaui_rx_channel_synch.v +./alt_soft_xaui_rx_deskew.v +./alt_soft_xaui_rx_deskew_channel.v +./alt_soft_xaui_rx_deskew_ram.v +./alt_soft_xaui_rx_invalid_code_det.v +./alt_soft_xaui_rx_parity.v +./alt_soft_xaui_rx_parity_4b.v +./alt_soft_xaui_rx_parity_6b.v +./alt_soft_xaui_rx_rate_match.v +./alt_soft_xaui_rx_rate_match_ram.v +./alt_soft_xaui_rx_rl_chk_6g.v +./alt_soft_xaui_rx_sm.v +./alt_soft_xaui_tx.v +./alt_soft_xaui_tx_8b10b_enc.v +./alt_soft_xaui_tx_idle_conv.v +./l_modules.v +./serdes_4_unit_lc_siv.v +./serdes_4_unit_siv.v +./serdes_4unit.v +./sxaui.v +./siv_xcvr_low_latency_phy_nr.sv +./siv_xcvr_xaui.sv +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/serdes_4_unit_lc_siv.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/serdes_4_unit_lc_siv.v new file mode 100644 index 0000000000000000000000000000000000000000..c302341339a0d9a87ed9200659060fce42b22100 Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/serdes_4_unit_lc_siv.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/serdes_4_unit_siv.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/serdes_4_unit_siv.v new file mode 100644 index 0000000000000000000000000000000000000000..9cc291483695bfeb8d07e6eb0de3ba607d6454cc Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/serdes_4_unit_siv.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/serdes_4unit.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/serdes_4unit.v new file mode 100644 index 0000000000000000000000000000000000000000..02dd3cda58d2839ae60c84515f0cd5f3fd2e1c1d Binary files /dev/null and b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/serdes_4unit.v differ diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/siv_xcvr_low_latency_phy_nr.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/siv_xcvr_low_latency_phy_nr.sv new file mode 100644 index 0000000000000000000000000000000000000000..de32c92263ec21c27299b5fa15160844b80dba64 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/siv_xcvr_low_latency_phy_nr.sv @@ -0,0 +1,352 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// PMA-direct component for TGX-style transceiver architectures +// +// $Header$ +// +`timescale 1 ps / 1 ps +module siv_xcvr_low_latency_phy_nr +#( + + //reserved parameter, don't change them. + parameter device_family="Stratix IV", + intended_device_variant ="ANY", + + //must have parameters + parameter number_of_channels = 1, + parameter number_of_reconfig_interface = 1,//it's number of quad for S4 + parameter operation_mode = "DUPLEX", //TX, RX, DUPLEX + parameter phase_comp_fifo_mode = "NONE",//EMBEDDED, NONE + parameter serialization_factor = 16,//8,10,16,20,32,40 + parameter data_rate = "3125 Mbps", + parameter pll_input_frequency = "156.25 MHz", + + //additonal system parameters + parameter number_pll_inclks = 1,//reconfig may need more than one reference clock + parameter pll_inclk_select = 0,//0-number_of_ref_clks + parameter pll_type = "CMU",//ATX|CMU + parameter bonded_mode = "TRUE", + parameter starting_channel_number = 0,//0,4,8,12 ... + parameter support_reconfig = 1, + parameter rx_use_cruclk = "FALSE", + + //analog parameters + parameter gx_analog_power = "AUTO",//AUTO|2.5v|3.0v|3.3v|3.9v + + parameter pll_lock_speed = "AUTO",//AUTO|LOW|MEDIUM|HIGH + parameter tx_analog_power = "AUTO",//AUTO|1.4V|1.5V + parameter tx_slew_rate = "LOW",//AUTO,LOW,MEDIUM,HIGH + parameter tx_termination = "OCT_100_OHMS",//OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter tx_use_external_termination = "FALSE", //FALSE|TRUE + parameter tx_common_mode = "0.65V", //"0.65V" + + parameter rx_pll_lock_speed = "AUTO",//AUTO|LOW|MEDIUM|HIGH + parameter rx_common_mode = "0.82v", //TRISTATE|0.82v|1.1v + parameter rx_signal_detect_threshold = 2, + parameter rx_ppmselect = 32, + parameter rx_termination = "OCT_100_OHMS", //OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter rx_use_external_termination = "FALSE", //FALSE|TRUE + + parameter tx_preemp_pretap = 0,//0-7 + parameter tx_preemp_pretap_inv = "FALSE",//TRUE” or FALSE”. Determine whether the pre-emphasis control signal for the pretap needed to be inverted or not.“true” – Invert the pre-emphasis control signal for the pre tap.“false” – Do not invert the pre-emphasis control signal for the pretap. + parameter tx_preemp_tap_1 = 5,//0-15 + parameter tx_preemp_tap_2 = 0,//0-7 + parameter tx_preemp_tap_2_inv = "FALSE",//TRUE” or FALSE”. + parameter tx_vod_selection = 1,//0-7 + + parameter rx_eq_dc_gain = 0, //0-4 + parameter rx_eq_ctrl = 14,//0-16 + + parameter sys_clk_in_mhz = 150, // used to calculate reset controller delays as system clock cycle counts + + parameter loopback_mode = "NONE"//NONE|SLB|PLB|PRECDR_RSLB|POSTCDR_RSLB|RPLB +) +( + + // user data (avalon-MM slave interface) //for all the channel rst, powerdown, rx serilize loopback enable + input wire rst, + input wire clk, + input wire [5:0] ch_mgmt_address, + input wire ch_mgmt_read, + output wire [31:0] ch_mgmt_readdata, + input wire ch_mgmt_write, + input wire [31:0] ch_mgmt_writedata, + output wire ch_mgmt_waitrequest, + + // avalon-ST interface with PMA controller + input wire cal_blk_clk, + input wire cal_blk_pdn, + input wire gx_pdn,//sync with clk + input wire tx_rst_digital, // digital reset + input wire rx_rst_digital, // digital reset + output wire tx_pma_ready, // pma tx pll_locked + output wire rx_pma_ready, // pma rx pll is locked to data + + input wire pll_pdn, //sync with clk + output wire pll_locked, //conduit + + // avalon-ST interface with reconfig controller + input wire reconfig_clk, + input wire [3:0] reconfig_to_gxb, //sync with reconfig_clk + output wire [17* number_of_reconfig_interface -1:0] reconfig_from_gxb, //sync with reconfig_clk. 17 bit per quad +// input wire [24 * number_of_reconfig_interface -1 : 0] aeq_to_gxb, //sync with reconfig_clk +// output wire [8*number_of_reconfig_interface -1:0] aeq_from_gxb, //sync with reconfig_clk. + + + //channel related avalon-clock interface + input wire [number_pll_inclks-1:0] pll_ref_clk, + input wire [number_of_channels-1:0] rx_cdr_ref_clk, + + //channel related avalon-ST interface, tx + input wire [number_of_channels * serialization_factor -1:0] tx_parallel_data,// sync with tx_clkout_clk + output wire [number_of_channels-1:0] tx_serial_data, // canduit + output wire [number_of_channels-1:0] tx_out_clk, + + //channel related AVALON-st INTERFACE, rx + input wire [number_of_channels-1:0] rx_serial_data,//canduit + output wire [number_of_channels * serialization_factor -1:0] rx_parallel_data,// sync with rx_clkout_clk + output wire [number_of_channels-1:0] rx_recovered_clk, + + output wire [number_of_channels-1:0] rx_is_lockedtodata,//conduit + output wire [number_of_channels-1:0] rx_is_lockedtoref,//conduit + + output wire [number_of_channels-1:0] tx_digital_rst, // output digital reset for soft PCS, this reset can be controlled in AVMM + output wire [number_of_channels-1:0] rx_digital_rst // output digital reset for soft PCS, this reset can be controlled in AVMM + +); + +// instantiate package with common functions +import alt_pma_functions::*; + +//derived parameters +localparam tx_inclk0_input_period_loc = freq2ps(pll_input_frequency) ; +localparam use6g= (((mega2k(data_rate) > 1000000) && ((serialization_factor == 32) || (serialization_factor == 40))) || ((serialization_factor != 8) && (serialization_factor != 10)))? "TRUE" : "FALSE"; +localparam pma_serialization_factor = (phase_comp_fifo_mode != "EMBEDDED") ? serialization_factor : + ((serialization_factor>20)? (serialization_factor/2): + ((serialization_factor<16)? serialization_factor : + ((use6g == "TRUE")? serialization_factor : serialization_factor /2))); +//localparam RX_INCLK_INPUT_PERIOD_LOC = ( RX_INCLK_INPUT_PERIOD == 0) ? freq2ps(RX_pll_input_frequency) : RX_INCLK_INPUT_PERIOD; +//localparam rx_inclk_input_frequency_loc = ( operation_mode == "DUPLEX") ? ((RX_INCLK_INPUT_FREQUENCY == 0) ? INCLK_INPUT_FREQUENCY : RX_INCLK_INPUT_FREQUENCY) :0; +localparam double_serialization_mode=(serialization_factor/pma_serialization_factor == 2) ? "TRUE":"FALSE"; +localparam rx_eqa_ctrl = (device_family=="Stratix IV") ? ((rx_eq_ctrl >10)? 7 :0) : ((rx_eq_ctrl >1)? 1:0); +localparam rx_eqb_ctrl = (device_family=="Stratix IV") ? ((rx_eq_ctrl >6)? 7 :0) : ((rx_eq_ctrl >3)? 1:0); +localparam rx_eqc_ctrl = (device_family=="Stratix IV") ? ((rx_eq_ctrl >3)? 7 :0) : 0; +localparam rx_eqd_ctrl = (device_family=="Stratix IV") ? ((rx_eq_ctrl >0)? 7 :0) : 0; +localparam rx_eqv_ctrl = (device_family=="Stratix IV") ? + ((rx_eq_ctrl==2 | rx_eq_ctrl==5 | rx_eq_ctrl==8 | rx_eq_ctrl==13)? 4 : + ((rx_eq_ctrl==3 | rx_eq_ctrl==6 | rx_eq_ctrl==10 | rx_eq_ctrl==15)? 7 : + ((rx_eq_ctrl==9 | rx_eq_ctrl==14 )? 5 : + (rx_eq_ctrl==12)? 3 : 0))) : + ((rx_eq_ctrl==0 | rx_eq_ctrl==2 | rx_eq_ctrl==4 )? 1 :0 ); +localparam number_of_ctrl_bus=(bonded_mode == "FALSE")? number_of_reconfig_interface : 1; + +localparam enable_lc_tx_pll_param = (pll_type == "CMU")? "FALSE" : "TRUE"; +localparam enable_pma_direct_param = (phase_comp_fifo_mode == "EMBEDDED")? "FALSE":"TRUE"; +localparam dwidth_factor = (use6g == "TRUE")? (double_serialization_mode == "TRUE" ? 4:2):(double_serialization_mode == "TRUE" ? 2:1); +localparam reconfig_dprio_mode = (support_reconfig == 0)? 0 : 1; + +localparam control_signal_width = (bonded_mode == "TRUE") ? 1: number_of_channels; +localparam pma_direct_xn = (phase_comp_fifo_mode == "NONE")? bonded_mode : "FALSE"; +localparam pma_bonding = (phase_comp_fifo_mode == "EMBEDDED")? bonded_mode : "FALSE"; + +wire [control_signal_width-1:0] gxb_pdn_loc; +generate +genvar i; +for (i=0; i<control_signal_width; i=i+1) +begin: gxb_pdn_bus +assign gxb_pdn_loc[i] = gx_pdn; +end +endgenerate + +wire [control_signal_width-1:0] pll_pdn_loc; +generate +genvar j; +for (j=0; j<control_signal_width; j=j+1) +begin: pll_pdn_bus +assign pll_pdn_loc[j] = pll_pdn; +end +endgenerate + +wire [number_of_channels-1:0] rx_set_locktodata; +wire [number_of_channels-1:0] rx_set_locktoref; + +wire [number_of_channels-1:0] rx_analog_rst; +wire [number_of_channels-1:0] rx_seriallpbken; + + + +alt4gxb #( + .cbx_hdl_language ("Verilog"), + .enable_pma_xn_bonding (pma_direct_xn), + .cmu_pll_inclk_log_index (pll_inclk_select), + .cmu_pll_log_index (0), + .device_family (device_family), + .intended_device_variant (intended_device_variant), + .effective_data_rate (data_rate), + .enable_lc_tx_pll (enable_lc_tx_pll_param), + .enable_pma_direct (enable_pma_direct_param), + .enable_pll_inclk_drive_rx_cru((rx_use_cruclk == "FALSE")? "TRUE" : "FALSE"), + .equalizer_ctrl_a_setting (rx_eqa_ctrl), + .equalizer_ctrl_b_setting (rx_eqb_ctrl), + .equalizer_ctrl_c_setting (rx_eqc_ctrl), + .equalizer_ctrl_d_setting (rx_eqd_ctrl), + .equalizer_ctrl_v_setting (rx_eqv_ctrl), + .equalizer_dcgain_setting (rx_eq_dc_gain), + .gen_reconfig_pll ("FALSE"), + .gx_channel_type ("AUTO"), + .gxb_analog_power (gx_analog_power), + .gxb_powerdown_width (control_signal_width), + .input_clock_frequency (pll_input_frequency), + .loopback_mode (loopback_mode), + .number_of_channels (number_of_channels), + .number_of_quads (number_of_reconfig_interface), + .operation_mode (operation_mode), + .pll_control_width (number_of_ctrl_bus), + .protocol ("BASIC"), + .use_global_clk_divider (pma_bonding), + .receiver_termination (rx_termination), + .rx_use_external_termination (rx_use_external_termination), + .reconfig_calibration ("TRUE"), + .reconfig_dprio_mode (reconfig_dprio_mode), + .reconfig_fromgxb_port_width (17*number_of_reconfig_interface ), + .reconfig_pll_inclk_width ((support_reconfig == 0)? 1: number_pll_inclks), + .reconfig_protocol ("BASIC"), + .reconfig_togxb_port_width (4), + .rx_use_cruclk (rx_use_cruclk), + .rx_channel_width (serialization_factor), + .rx_common_mode (rx_common_mode), + .rx_cru_bandwidth_type (rx_pll_lock_speed), + .rx_digitalreset_port_width (number_of_channels), + .rx_enable_lock_to_data_sig ("true"), + .rx_enable_lock_to_refclk_sig ("true"), + .rx_ppmselect (rx_ppmselect), + .rx_reconfig_clk_scheme ("indv_clk_source"), + .rx_signal_detect_threshold (rx_signal_detect_threshold), + .rx_use_clkout ("TRUE"), + .rx_use_coreclk ("false"), + .rx_use_deserializer_double_data_mode(use6g), + .rx_use_double_data_mode (double_serialization_mode), + .starting_channel_number (starting_channel_number), + .transmitter_termination (tx_termination), + .tx_use_external_termination (tx_use_external_termination), + .tx_dwidth_factor (dwidth_factor), + .tx_analog_power (tx_analog_power), + .tx_channel_width (serialization_factor), + .tx_clkout_width (number_of_channels), + .tx_common_mode (tx_common_mode), + .tx_pll_bandwidth_type (pll_lock_speed), + .tx_pll_inclk0_period (tx_inclk0_input_period_loc), + .tx_pll_type (pll_type), + .tx_reconfig_clk_scheme ("tx_ch0_clk_source"), + .tx_slew_rate (tx_slew_rate), + .tx_transmit_protocol ("basic"), + .tx_use_coreclk ("false"), + .tx_use_double_data_mode (double_serialization_mode), + .tx_use_serializer_double_data_mode (use6g), + .preemphasis_ctrl_pretap_setting (tx_preemp_pretap), + .preemphasis_ctrl_pretap_inv_setting (tx_preemp_pretap_inv), + .preemphasis_ctrl_1stposttap_setting (tx_preemp_tap_1), + .preemphasis_ctrl_2ndposttap_setting (tx_preemp_tap_2), + .preemphasis_ctrl_2ndposttap_inv_setting (tx_preemp_tap_2_inv), + .use_calibration_block ("TRUE"), + .vod_ctrl_setting (tx_vod_selection), + .tx_digitalreset_port_width (number_of_channels), + .rx_use_align_state_machine ("true"),//must have + .tx_datapath_low_latency_mode ("true"), + .rx_use_deskew_fifo ("false"), + .rx_word_aligner_num_byte (2), + .rx_rate_match_fifo_mode ("none"), + .tx_enable_bit_reversal ("false"), + .tx_enable_self_test_mode ("false"), + .tx_flip_tx_in ("false"), + .rx_dwidth_factor (dwidth_factor), + .rx_8b_10b_mode ("none"), + .rx_allow_align_polarity_inversion ("false"), + .rx_allow_pipe_polarity_inversion ("false"), + .tx_bitslip_enable ("false"), + .rx_bitslip_enable ("true"), + .rx_byte_ordering_mode ("none"), + .rx_enable_self_test_mode ("false"), + .rx_flip_rx_out ("false"), + .rx_force_signal_detect ("true"), + .rx_phfiforegmode ("false"), + .rx_datapath_low_latency_mode ("true"), + .rx_datapath_protocol ("basic"), + .rx_enable_bit_reversal ("false"), + .rx_enable_deep_align_byte_swap("false") + ) pma_direct ( + .cal_blk_clk (cal_blk_clk), + .cal_blk_powerdown (cal_blk_pdn), + .gxb_powerdown (gxb_pdn_loc), + .pll_inclk(pll_ref_clk), + //.pll_inclk_rx_cruclk((support_reconfig == 0)? 0 : pll_ref_clk), + .rx_cruclk ((rx_use_cruclk == "TRUE")? rx_cdr_ref_clk[number_of_channels - 1:0] : {number_of_channels{1'b0}}), + .pll_locked (pll_locked), + .pll_powerdown (pll_pdn_loc), + .reconfig_clk (reconfig_clk), + .reconfig_fromgxb (reconfig_from_gxb), + .reconfig_togxb (reconfig_to_gxb), + .rx_analogreset (rx_analog_rst[number_of_channels-1:0]), + .rx_seriallpbken ((loopback_mode == "SLB")? rx_seriallpbken[number_of_channels-1:0] : 0), + .tx_digitalreset ((phase_comp_fifo_mode == "EMBEDDED")? tx_digital_rst[number_of_channels-1:0] : {number_of_channels{1'b0}}), + .rx_digitalreset ((phase_comp_fifo_mode == "EMBEDDED")? rx_digital_rst[number_of_channels-1:0] : {number_of_channels{1'b0}}), + .rx_clkout (rx_recovered_clk[number_of_channels-1:0]), + .rx_datain (rx_serial_data[number_of_channels-1:0]), + .rx_dataout (rx_parallel_data[serialization_factor * number_of_channels-1:0]), + .rx_freqlocked (rx_is_lockedtodata[number_of_channels-1:0]), + .rx_locktodata (rx_set_locktodata[number_of_channels-1:0]), + .rx_locktorefclk (rx_set_locktoref[number_of_channels-1:0]), + .rx_pll_locked (rx_is_lockedtoref[number_of_channels-1:0]), + .tx_clkout (tx_out_clk[number_of_channels-1:0]), + .tx_datain (tx_parallel_data[serialization_factor * number_of_channels-1:0]), + .tx_dataout (tx_serial_data[number_of_channels-1:0]) + ); + +alt_pma_ch_controller_tgx #( + .number_of_channels (number_of_channels), + .sys_clk_in_mhz (sys_clk_in_mhz), + .sync_depth (2) +) channel_ctrl ( + .rst(rst), + .tx_rst_digital (tx_rst_digital), // tx_digitalreset + .rx_rst_digital (rx_rst_digital), // rx_digitalreset + .tx_pma_ready (tx_pma_ready), // pma tx pll_locked + .rx_pma_ready (rx_pma_ready), // pma rx pll is locked to data + .rx_cal_busy (reconfig_to_gxb[3]), // rx_ocilator busy + .tx_cal_busy (1'b0), // rx_ocilator busy + .pll_locked (pll_locked), // tx pll_is_locked + + .ch_mgmt_address (ch_mgmt_address), + .ch_mgmt_read (ch_mgmt_read), + .ch_mgmt_readdata (ch_mgmt_readdata), + .ch_mgmt_write (ch_mgmt_write), + .ch_mgmt_writedata (ch_mgmt_writedata), + .clk (clk), + .ch_mgmt_waitrequest(ch_mgmt_waitrequest), + + .rx_is_lockedtodata (rx_is_lockedtodata), + .rx_is_lockedtoref (rx_is_lockedtoref), + + .rx_set_locktodata (rx_set_locktodata), + .rx_set_locktoref (rx_set_locktoref), + + .rx_analog_rst (rx_analog_rst), + .rx_seriallpbken (rx_seriallpbken), + .tx_digital_rst (tx_digital_rst), + .rx_digital_rst (rx_digital_rst), + .pll_powerdown () +); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/siv_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/siv_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..16ad2c9b0c247529918db040a9c41da5f8639e0c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/siv_xcvr_xaui.sv @@ -0,0 +1,539 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: hxaui static verilog for Stratix IV +// +// Authors: bauyeung 7-Sep-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +//----------------------------------------------------------------------------- + /*ALTERA_ATTRIBUTE = "-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" " */ + +module siv_xcvr_xaui #( + parameter device_family = "Stratix IV", + parameter starting_channel_number = 0, + parameter interface_type = "Hard XAUI", + parameter number_of_interfaces = 1, + parameter sys_clk_in_mhz = 50, + parameter xaui_pll_type = "CMU", + parameter reconfig_interfaces = 1, + parameter use_control_and_status_ports = 0, + parameter external_pma_ctrl_reconf = 0, + parameter tx_termination = "OCT_150_OHMS", + parameter tx_vod_selection = 1, + parameter tx_preemp_pretap = 0, + parameter tx_preemp_pretap_inv = 0, + parameter tx_preemp_tap_1 = 5, + parameter tx_preemp_tap_2 = 0, + parameter tx_preemp_tap_2_inv = 0, + parameter rx_common_mode = "0.82v", + parameter rx_termination = "OCT_150_OHMS", + parameter rx_eq_dc_gain = 0, + parameter rx_eq_ctrl = 14 +) ( + input wire pll_ref_clk, // refclk.clk + input wire xgmii_tx_clk, // xgmii_tx_clk.clk + output wire xgmii_rx_clk, // xgmii_rx_clk.clk + input wire phy_mgmt_clk, // mgmt_clk.clk + input wire phy_mgmt_clk_reset, // mgmt_clk_rst.reset_n + input wire [7:0] phy_mgmt_address, // phy_mgmt.address + output wire phy_mgmt_waitrequest, // .waitrequest + input wire phy_mgmt_read, // .read + output wire [31:0] phy_mgmt_readdata, // .readdata + input wire phy_mgmt_write, // .write + input wire [31:0] phy_mgmt_writedata, // .writedata + input wire [71:0] xgmii_tx_dc, // xgmii_tx_dc.data + output wire [71:0] xgmii_rx_dc, // xgmii_rx_dc.data + output wire [3:0] xaui_tx_serial_data, // xaui_tx_serial.export + input wire [3:0] xaui_rx_serial_data, // xaui_rx_serial.export + output wire rx_ready, // rx_pma_ready.data + output wire tx_ready, // tx_pma_ready.data + output tri0 [altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces)-1:0] reconfig_from_xcvr, + input tri0 [altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces) -1:0] reconfig_to_xcvr, + + output wire [3:0] rx_recovered_clk, // rx recovered clock from cdr +// optional control and status ports + input wire rx_analogreset, + input wire rx_digitalreset, + input wire tx_digitalreset, + output wire rx_channelaligned, + input wire [3:0] rx_invpolarity, + input wire [3:0] rx_set_locktodata, + input wire [3:0] rx_set_locktoref, + input wire [3:0] rx_seriallpbken, + input wire [3:0] tx_invpolarity, + output wire [3:0] rx_is_lockedtodata, + output wire [3:0] rx_phase_comp_fifo_error, + output wire [3:0] rx_is_lockedtoref, + output wire [3:0] rx_rlv, + output wire [3:0] rx_rmfifoempty, + output wire [3:0] rx_rmfifofull, + output wire [3:0] tx_phase_comp_fifo_error, + output wire [7:0] rx_disperr, + output wire [7:0] rx_errdetect, + output wire [7:0] rx_patterndetect, + output wire [7:0] rx_rmfifodatadeleted, + output wire [7:0] rx_rmfifodatainserted, + output wire [7:0] rx_runningdisp, + output wire [7:0] rx_syncstatus, + +// external_pma_ctrl_reconf + output wire pll_locked, + input wire cal_blk_powerdown, + input wire gxb_powerdown, + input wire pll_powerdown +); + +import altera_xcvr_functions::*; + + wire alt_pma_controller_0_cal_blk_pdn_data; + wire alt_pma_controller_0_pll_pdn0_data; + wire alt_pma_controller_0_gx_pdn_data; + wire pll_locked_data; + wire [7:0] rx_disperr_data; + wire [7:0] rx_errdetect_data; + wire [7:0] rx_patterndetect_data; + wire [3:0] rx_phase_comp_fifo_error_data; + wire [3:0] rx_rlv_data; + wire [7:0] rx_rmfifodatadeleted_data; + wire [7:0] rx_rmfifodatainserted_data; + wire [3:0] rx_rmfifoempty_data; + wire [3:0] rx_rmfifofull_data; + wire [7:0] rx_runningdisp_data; + wire [7:0] rx_syncstatus_data; + wire [3:0] tx_phase_comp_fifo_error_data; + wire [3:0] alt_pma_ch_controller_0_rx_set_locktodata_data; + wire [3:0] alt_pma_ch_controller_0_rx_set_locktoref_data; + wire [3:0] alt_pma_ch_controller_0_rx_seriallpbken_data; + wire [3:0] alt_pma_ch_controller_0_rx_analog_rst_data; + wire [3:0] rx_is_lockedtodata_data; + wire [3:0] rx_is_lockedtoref_data; + wire [3:0] alt_pma_ch_controller_0_tx_digital_rst_data; + wire [3:0] alt_pma_ch_controller_0_rx_digital_rst_data; + wire hxaui_csr_r_rx_digitalreset_data; + wire [3:0] hxaui_csr_r_rx_invpolarity_data; + wire hxaui_csr_r_tx_digitalreset_data; + wire [3:0] hxaui_csr_r_tx_invpolarity_data; + wire hxaui_csr_simulation_flag_data; + wire alt_pma_controller_0_pll_pdn; + wire [3:0] alt_pma_0_tx_out_clk_clk; + wire [3:0] alt_pma_0_rx_recovered_clk_clk; + wire [79:0] sxaui_0_tx_parallel_data_data; + wire [79:0] alt_pma_0_rx_parallel_data_data; + wire [3:0] alt_pma_0_rx_is_lockedtodata_data; + wire rx_pma_ready; + wire sxaui_rst_done; + + + wire [5:0] sc_pma_ch_controller_address; + wire sc_pma_ch_controller_read; + wire [31:0] sc_pma_ch_controller_readdata; + wire sc_pma_ch_controller_waitrequest; + wire sc_pma_ch_controller_write; + + + wire [1:0] sc_pma_controller_address; + wire sc_pma_controller_read; + wire [31:0] sc_pma_controller_readdata; + wire sc_pma_controller_waitrequest; + wire sc_pma_controller_write; + + wire [4:0] sc_csr_address; + wire sc_csr_read; + wire [31:0] sc_csr_readdata; + wire sc_csr_write; + +// assign output wires for status ports - whether or not they are used will be decided by the top level + assign rx_is_lockedtodata = rx_is_lockedtodata_data; + assign rx_phase_comp_fifo_error = rx_phase_comp_fifo_error_data; + assign rx_is_lockedtoref = rx_is_lockedtoref_data; + assign rx_rlv = rx_rlv_data; + assign rx_rmfifoempty = rx_rmfifoempty_data; + assign rx_rmfifofull = rx_rmfifofull_data; + assign tx_phase_comp_fifo_error = tx_phase_comp_fifo_error_data; + assign rx_disperr = rx_disperr_data; + assign rx_errdetect = rx_errdetect_data; + assign rx_patterndetect = rx_patterndetect_data; + assign rx_rmfifodatadeleted = rx_rmfifodatadeleted_data; + assign rx_rmfifodatainserted = rx_rmfifodatainserted_data; + assign rx_runningdisp = rx_runningdisp_data; + assign rx_syncstatus = rx_syncstatus_data; + assign rx_recovered_clk = alt_pma_0_rx_recovered_clk_clk; + + // assign output wires for external pma_ctrl - whether or not they are used will be decided by the top level + assign pll_locked = pll_locked_data; + assign rx_ready = rx_pma_ready & sxaui_rst_done; // pma and PCS must be both ready + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of pma_ch_control,pma_control,hxaui i/f + /////////////////////////////////////////////////////////////////////// + alt_xcvr_mgmt2dec_xaui mgmtdec_xaui ( + .mgmt_clk_reset (phy_mgmt_clk_reset), + .mgmt_clk (phy_mgmt_clk), + .mgmt_address (phy_mgmt_address), + .mgmt_read (phy_mgmt_read), + .mgmt_write (phy_mgmt_write), + .mgmt_readdata (phy_mgmt_readdata), + .mgmt_waitrequest (phy_mgmt_waitrequest), + + // internal interface to 'top' pma ch controller block + .sc_pma_ch_controller_readdata (sc_pma_ch_controller_readdata), + .sc_pma_ch_controller_waitrequest (sc_pma_ch_controller_waitrequest), + .sc_pma_ch_controller_address (sc_pma_ch_controller_address), //6 bit wide + .sc_pma_ch_controller_read (sc_pma_ch_controller_read), + .sc_pma_ch_controller_write (sc_pma_ch_controller_write), + + // internal interface to 'top' pma controller block + .sc_pma_controller_readdata (sc_pma_controller_readdata), + .sc_pma_controller_waitrequest (sc_pma_controller_waitrequest), + .sc_pma_controller_address (sc_pma_controller_address), //2 bit wide + .sc_pma_controller_read (sc_pma_controller_read), + .sc_pma_controller_write (sc_pma_controller_write), + + // internal interface to 'top' hxaui csr block + .sc_csr_readdata (sc_csr_readdata), + .sc_csr_waitrequest (1'b0), // PCS CSR is always ready + .sc_csr_address (sc_csr_address), //5 bit wide + .sc_csr_read (sc_csr_read), + .sc_csr_write (sc_csr_write) + ); + + /////////////////////////////////////////////////////////////////////// + // PMA Channel Controller - only for HXAUI + /////////////////////////////////////////////////////////////////////// +// add generate for hxaui/sxaui - only use ch_controller for hxaui + generate + if (interface_type == "Hard XAUI") begin + alt_pma_ch_controller_tgx #( + .number_of_channels (4), + .sync_depth (2), + .sys_clk_in_mhz (sys_clk_in_mhz) + ) alt_pma_ch_controller_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .ch_mgmt_address (sc_pma_ch_controller_address), + .ch_mgmt_read (sc_pma_ch_controller_read), + .ch_mgmt_readdata (sc_pma_ch_controller_readdata), + .ch_mgmt_write (sc_pma_ch_controller_write), + .ch_mgmt_writedata (phy_mgmt_writedata), + .ch_mgmt_waitrequest (sc_pma_ch_controller_waitrequest), + .rx_pma_ready (rx_pma_ready), + .tx_pma_ready (tx_ready), + .rx_is_lockedtodata (rx_is_lockedtodata_data), + .rx_is_lockedtoref (rx_is_lockedtoref_data), + .rx_set_locktodata (alt_pma_ch_controller_0_rx_set_locktodata_data), + .rx_set_locktoref (alt_pma_ch_controller_0_rx_set_locktoref_data), + .rx_seriallpbken (alt_pma_ch_controller_0_rx_seriallpbken_data), + .rx_analog_rst (alt_pma_ch_controller_0_rx_analog_rst_data), + .tx_digital_rst (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_digital_rst (alt_pma_ch_controller_0_rx_digital_rst_data), + .rx_rst_digital (rx_digitalreset), // optional user triggered rx_digitalreset + .tx_rst_digital (tx_digitalreset), // optional user triggered tx_digitalreset + //reconfig_to_xcvr bit configuration + //Bit | Value + //------------------------------------------- + //3 | offset_cancellation_is_busy | + //2 | dprio_load | + //1 | dprio_disable | + //0 | dprio_in | + //------------------------------------------- + // .rx_oc_busy (reconfig_to_xcvr[3]), // rx_oc_busy.data + .rx_cal_busy (reconfig_to_xcvr[3]), // rx_oc_busy.data + .tx_cal_busy (1'b0), // rx_oc_busy.data + .pll_locked (pll_locked_data) + ); + end else if (interface_type == "Soft XAUI") begin + siv_xcvr_low_latency_phy_nr #( + .device_family (device_family), + .intended_device_variant ("ANY"), + .number_of_channels (4), + .number_of_reconfig_interface (reconfig_interfaces), + .operation_mode ("DUPLEX"), + .phase_comp_fifo_mode ("NONE"), + .serialization_factor (20), + .data_rate ("3125 Mbps"), + .pll_input_frequency ("156.25 MHz"), + .number_pll_inclks (1), + .pll_inclk_select (0), + .pll_type (xaui_pll_type), + .starting_channel_number (starting_channel_number), + .bonded_mode ("TRUE"), + .sys_clk_in_mhz (sys_clk_in_mhz), + //.rx_bitslip_en (0), + //.tx_bitslip_en (0), + //.select_10g_pcs (0), + //.tx_use_coreclk (0), + //.rx_use_coreclk (0), + .gx_analog_power ("AUTO"), + .pll_lock_speed ("AUTO"), + .tx_analog_power ("AUTO"), + .tx_slew_rate ("OFF"), + .tx_termination (tx_termination), + .tx_common_mode ("0.65V"), + .rx_pll_lock_speed ("AUTO"), + .rx_common_mode (rx_common_mode), + .rx_signal_detect_threshold (2), + .rx_ppmselect (32), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv ((tx_preemp_pretap_inv==1)? "TRUE" : "FALSE"), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv ((tx_preemp_tap_2_inv==1)? "TRUE" : "FALSE"), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_use_cruclk ("FALSE"), + .loopback_mode ("SLB") + ) alt_pma_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .gx_pdn (alt_pma_controller_0_gx_pdn_data), + .ch_mgmt_address (sc_pma_ch_controller_address), + .ch_mgmt_read (sc_pma_ch_controller_read), + .ch_mgmt_readdata (sc_pma_ch_controller_readdata), + .ch_mgmt_write (sc_pma_ch_controller_write), + .ch_mgmt_writedata (phy_mgmt_writedata), + .ch_mgmt_waitrequest (sc_pma_ch_controller_waitrequest), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_pdn (alt_pma_controller_0_cal_blk_pdn_data), + .pll_ref_clk (pll_ref_clk), + .tx_out_clk (alt_pma_0_tx_out_clk_clk), + .tx_parallel_data (sxaui_0_tx_parallel_data_data), + .tx_serial_data (xaui_tx_serial_data), + .tx_pma_ready (tx_ready), + .pll_pdn (alt_pma_controller_0_pll_pdn0_data), + .pll_locked (pll_locked_data), + .rx_is_lockedtodata (alt_pma_0_rx_is_lockedtodata_data), + .rx_is_lockedtoref (), + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), + .rx_serial_data (xaui_rx_serial_data), + .rx_parallel_data (alt_pma_0_rx_parallel_data_data), + .rx_cdr_ref_clk (), + .rx_pma_ready (rx_pma_ready), + .reconfig_clk (phy_mgmt_clk), + .reconfig_to_gxb (reconfig_to_xcvr), + .reconfig_from_gxb (reconfig_from_xcvr), + .rx_rst_digital (rx_digitalreset), //optional user triggered rx_digitalreset + .tx_rst_digital (tx_digitalreset), //optional user triggered tx_digitalreset + //.tx_bitslip (28'b0), + //.tx_coreclk (4'b0), + //.rx_offset_cancellation_done (1'b1), + //.rx_bitslip (4'b0), + //.rx_parallel_data_read (4'b0), + //.rx_coreclk (4'b0), + //.aeq_to_gxb (96'b0), + //.aeq_from_gxb (), + .tx_digital_rst (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_digital_rst (alt_pma_ch_controller_0_rx_digital_rst_data) + ); + end + // don't instantiate anything if the interface type is invalid + endgenerate + + /////////////////////////////////////////////////////////////////////// + // PMA Controller + /////////////////////////////////////////////////////////////////////// + generate + if (external_pma_ctrl_reconf == 0) begin + alt_pma_controller_tgx #( + .number_of_plls (1), + .sync_depth (2), + .tx_pll_reset_hold_time (20) + ) alt_pma_controller_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .pma_mgmt_address (sc_pma_controller_address), + .pma_mgmt_read (sc_pma_controller_read), + .pma_mgmt_readdata (sc_pma_controller_readdata), + .pma_mgmt_write (sc_pma_controller_write), + .pma_mgmt_writedata (phy_mgmt_writedata), + .pma_mgmt_waitrequest (sc_pma_controller_waitrequest), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_pdn (alt_pma_controller_0_cal_blk_pdn_data), + .tx_pll_ready (), + .gx_pdn (alt_pma_controller_0_gx_pdn_data), + .pll_pdn (alt_pma_controller_0_pll_pdn0_data), + .pll_locked (pll_locked_data) + ); + end else begin + assign alt_pma_controller_0_cal_blk_pdn_data = cal_blk_powerdown; + assign alt_pma_controller_0_gx_pdn_data = gxb_powerdown; + assign alt_pma_controller_0_pll_pdn0_data = pll_powerdown; + end + endgenerate + + + /////////////////////////////////////////////////////////////////////// + // HXAUI CSR + /////////////////////////////////////////////////////////////////////// +// should be consistent across all device families and interface types +// -might need a generate statement for some of the connections that don't exist between hxaui/sxaui + hxaui_csr hxaui_csr ( + .clk (phy_mgmt_clk), + .reset (phy_mgmt_clk_reset), + .address (sc_csr_address), + .byteenable (4'b1111), // .byteenable (Tie byteenable to all 1s) + .read (sc_csr_read), + .readdata (sc_csr_readdata), + .write (sc_csr_write), + .writedata (phy_mgmt_writedata), + .rx_patterndetect (rx_patterndetect_data), + .rx_syncstatus (rx_syncstatus_data), + .rx_runningdisp (rx_runningdisp_data), + .rx_errdetect (rx_errdetect_data), + .rx_disperr (rx_disperr_data), + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error_data), + .rx_rlv (rx_rlv_data), + .rx_rmfifodatadeleted (rx_rmfifodatadeleted_data), + .rx_rmfifodatainserted (rx_rmfifodatainserted_data), + .rx_rmfifoempty (rx_rmfifoempty_data), + .rx_rmfifofull (rx_rmfifofull_data), + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error_data), + .r_rx_invpolarity (hxaui_csr_r_rx_invpolarity_data), + .r_tx_invpolarity (hxaui_csr_r_tx_invpolarity_data), + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), + .simulation_flag (hxaui_csr_simulation_flag_data) // only for soft_xaui + ); + + + /////////////////////////////////////////////////////////////////////// + // HXAUI - Interface to alt4gxb megafunction block + /////////////////////////////////////////////////////////////////////// +// need to add generate to pick between sxaui and hxaui + generate + if (interface_type == "Hard XAUI") begin + (* ALTERA_ATTRIBUTE = {"-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*fifo*flow] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[*]}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*alt_pma_ch_controller_0*rc*}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\""} *) + hxaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) hxaui_0 ( + .xgmii_tx_clk (xgmii_tx_clk), + .xgmii_tx_dc (xgmii_tx_dc), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_rx_dc (xgmii_rx_dc), + .refclk (pll_ref_clk), + .xaui_tx_serial (xaui_tx_serial_data), + .xaui_rx_serial (xaui_rx_serial_data), + .rx_analogreset (rx_analogreset), // use_cs_ports, input + .rx_digitalreset (alt_pma_ch_controller_0_rx_digital_rst_data), + .tx_digitalreset (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_channelaligned (rx_channelaligned), // use_cs_ports, output + .rx_invpolarity (rx_invpolarity), // use_cs_ports, input + .rx_set_locktodata (rx_set_locktodata), // use_cs_ports, input + .rx_set_locktoref (rx_set_locktoref), // use_cs_ports, input + .rx_seriallpbken (rx_seriallpbken), // use_cs_ports, input + .tx_invpolarity (tx_invpolarity), // use_cs_ports, input + .rx_is_lockedtodata (rx_is_lockedtodata_data), // use_cs_ports, output + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error_data), // use_cs_ports, output + .rx_is_lockedtoref (rx_is_lockedtoref_data), // use_cs_ports, output + .rx_rlv (rx_rlv_data), // use_cs_ports, output + .rx_rmfifoempty (rx_rmfifoempty_data), // use_cs_ports, output + .rx_rmfifofull (rx_rmfifofull_data), // use_cs_ports, output + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error_data), // use_cs_ports, output + .rx_disperr (rx_disperr_data), // use_cs_ports, output + .rx_errdetect (rx_errdetect_data), // use_cs_ports, output + .rx_patterndetect (rx_patterndetect_data), // use_cs_ports, output + .rx_rmfifodatadeleted (rx_rmfifodatadeleted_data), // use_cs_ports, output + .rx_rmfifodatainserted (rx_rmfifodatainserted_data), // use_cs_ports, output + .rx_runningdisp (rx_runningdisp_data), // use_cs_ports, output + .rx_syncstatus (rx_syncstatus_data), // use_cs_ports, output + .reconfig_clk (phy_mgmt_clk), + .reconfig_togxb (reconfig_to_xcvr), // external_pma_ctrl_reconf + .reconfig_fromgxb (reconfig_from_xcvr[16:0]), // external_pma_ctrl_reconf + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_powerdown (1'b0), // not used, should remove + .gxb_powerdown (1'b0), // not used, should remove + .pll_powerdown (1'b0), // not used, should remove + .pll_locked (pll_locked_data), + .r_cal_blk_powerdown (alt_pma_controller_0_cal_blk_pdn_data), + .r_gxb_powerdown (alt_pma_controller_0_gx_pdn_data), + .r_pll_powerdown (alt_pma_controller_0_pll_pdn0_data), + .r_rx_set_locktodata (alt_pma_ch_controller_0_rx_set_locktodata_data), + .r_rx_set_locktoref (alt_pma_ch_controller_0_rx_set_locktoref_data), + .r_rx_seriallpbken (alt_pma_ch_controller_0_rx_seriallpbken_data), + .r_rx_analogreset (alt_pma_ch_controller_0_rx_analog_rst_data), + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), + .r_rx_invpolarity (hxaui_csr_r_rx_invpolarity_data), + .r_tx_invpolarity (hxaui_csr_r_tx_invpolarity_data) + ); + assign sxaui_rst_done = 1'b1; // if using hard xaui, assign this to 1 to always be ready + end else if (interface_type == "Soft XAUI") begin + (* ALTERA_ATTRIBUTE = {" -name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*disp_err_delay[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*pcs_rx_syncstatus[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*channel_align_synchclk[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[1]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*tx_reset*] \" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[1]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*rx_reset*] \" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*rd_ptr_latched_del[*]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*xaui_rx*rd_ptr_wr[*]]\""} *) + sxaui #( + .starting_channel_number (starting_channel_number), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports) + ) sxaui_0 ( + .xgmii_tx_clk (xgmii_tx_clk), // xgmii_tx_clk.clk + .xgmii_tx_dc (xgmii_tx_dc), // xgmii_tx_dc.data + .xgmii_rx_clk (xgmii_rx_clk), // xgmii_rx_clk.clk + .xgmii_rx_dc (xgmii_rx_dc), // xgmii_rx_dc.data + .refclk (pll_ref_clk), // refclk.clk + .mgmt_clk (phy_mgmt_clk), // mgmt_clk.clk + .tx_out_clk (alt_pma_0_tx_out_clk_clk), // tx_out_clk.clk + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), // rx_recovered_clk.clk + .tx_parallel_data (sxaui_0_tx_parallel_data_data), // tx_parallel_data.data + .rx_parallel_data (alt_pma_0_rx_parallel_data_data), // rx_parallel_data.data + .rx_is_lockedtodata (alt_pma_0_rx_is_lockedtodata_data), // rx_is_lockedtodata.data + .rx_digitalreset (alt_pma_ch_controller_0_rx_digital_rst_data[0] ), // rx_digitalreset from cahnnel controller in alt_pma + .tx_digitalreset (alt_pma_ch_controller_0_tx_digital_rst_data[0] ), // tx_digitalreset from cahnnel controller in alt_pma + .pll_locked (pll_locked_data), // pll_locked.data + .rx_syncstatus (rx_syncstatus_data), // rx_syncstatus.data + .rx_channelaligned (rx_channelaligned), // rx_channelaligned.data + .rx_disperr (rx_disperr_data), // rx_disperr.data + .rx_errdetect (rx_errdetect_data), // rx_errdetect.data + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), // r_rx_digitalreset.data + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), // r_tx_digitalreset.data + .pma_stat_rst_done (sxaui_rst_done), // soft reset done + .simulation_flag (hxaui_csr_simulation_flag_data) // simulation_flag.data + ); + assign rx_patterndetect_data = 8'b0; // not supported by soft PCS + assign rx_runningdisp_data = 8'b0; // not supported by soft PCS + assign rx_phase_comp_fifo_error_data = 4'b0; // not supported by soft PCS + assign rx_rlv_data = 4'b0; // not supported by soft PCS + assign rx_rmfifodatadeleted_data = 8'b0; // not supported by soft PCS + assign rx_rmfifodatainserted_data = 8'b0; // not supported by soft PCS + assign rx_rmfifoempty_data = 4'b0; // not supported by soft PCS + assign rx_rmfifofull_data = 4'b0; // not supported by soft PCS + assign tx_phase_comp_fifo_error_data = 4'b0; // not supported by soft PCS + assign hxaui_csr_r_rx_invpolarity_data = 4'b0; // not supported by soft PCS + assign hxaui_csr_r_tx_invpolarity_data = 4'b0; // not supported by soft PCS + assign rx_is_lockedtodata_data = 4'b0; // not supported by soft PCS + assign rx_is_lockedtoref_data = 4'b0; // not supported by soft PCS + end + // don't instantiate anything if the interface type is invalid + endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/sxaui.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/sxaui.v new file mode 100644 index 0000000000000000000000000000000000000000..d0832e15d12cf3ef8977dcce01ac2f75f7947cae --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft/sxaui.v @@ -0,0 +1,230 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: soft xaui pcs +// +// Authors: ishimony 14-Jan-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module sxaui( + xgmii_tx_clk, xgmii_tx_dc, xgmii_rx_clk, xgmii_rx_dc, refclk, mgmt_clk, tx_out_clk, + rx_recovered_clk, tx_parallel_data, rx_parallel_data, rx_is_lockedtodata, + rx_digitalreset, tx_digitalreset, pll_locked, + rx_syncstatus, rx_channelaligned, rx_disperr, rx_errdetect, + r_rx_digitalreset, r_tx_digitalreset, pma_stat_rst_done, simulation_flag +); // module sxaui + +// parameters -------------------------------------------------------------- +parameter starting_channel_number = 0; +parameter xaui_pll_type = "CMU"; // values: CMU/LCTANK +parameter use_control_and_status_ports = "true"; + +// ports ------------------------------------------------------------------- + +// xgmii +input xgmii_tx_clk; +input [71:0] xgmii_tx_dc; +output xgmii_rx_clk; +output [71:0] xgmii_rx_dc; + +// pma +input refclk; +input mgmt_clk; +input [3:0] tx_out_clk; +input [3:0] rx_recovered_clk; +output [79:0] tx_parallel_data; +input [79:0] rx_parallel_data; +input [3:0] rx_is_lockedtodata; + +// ctrl_stat: control and status +input rx_digitalreset; +input tx_digitalreset; //nc in sxaui +input pll_locked; +output [7:0] rx_syncstatus; +output rx_channelaligned; +output [7:0] rx_disperr; +output [7:0] rx_errdetect; + +// register file version +input r_rx_digitalreset; +input r_tx_digitalreset; +output pma_stat_rst_done; + +input simulation_flag; // '1' shortens reset and loss_timer length + +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + +// ports ------------------------------------------------------------------- +wire xgmii_tx_clk; +wire [71:0] xgmii_tx_dc; +wire [71:0] xgmii_rx_dc; +wire xgmii_rx_clk; +wire [79:0] tx_parallel_data; +wire [79:0] rx_parallel_data; +wire [3:0] tx_out_clk; +wire [3:0] rx_recovered_clk; +wire [3:0] rx_is_lockedtodata; +wire rx_digitalreset; +wire tx_digitalreset; +wire pll_locked; +wire [7:0] rx_syncstatus; +wire rx_channelaligned; +wire [7:0] rx_disperr; +wire [7:0] rx_errdetect; +wire simulation_flag; + +// locals ------------------------------------------------------------------ +wire [7:0] xgmii_tx_c; +wire [63:0] xgmii_tx_d; +wire [7:0] xgmii_rx_c; +wire [63:0] xgmii_rx_d; + +// local version +wire l_rx_digitalreset; + +// register file version +wire r_rx_digitalreset; +wire r_tx_digitalreset; + +// soft xaui signals ------------------------------------------------------- +wire [63:0] xgmii_tx_datain; // input +wire [7:0] xgmii_tx_ctrlin; // input +wire [63:0] xgmii_rx_dataout; // output +wire [7:0] xgmii_rx_ctrlout; // output +wire reset_n; // input +wire [3:0] pma_tx_dataout; // output +//wire pma_gxb_powerdown; // input +wire pma_pll_inclk; // input +wire pma_reconfig_clk; // input +wire [3:0] pma_reconfig_togxb; // input +wire [16:0] pma_reconfig_fromgxb; // output +wire pma_pll_locked; // output +wire pma_stat_rst_done; // output +wire [3:0] pma_rx_clkout; // output nc: /// +wire [3:0] pma_tx_clkout; // output nc: /// +wire pma_rx_analogreset; +wire [79:0] pma_pcs_tx_data; //Data from TX soft PCS to PMA +wire [79:0] pma_pcs_rx_data; //Data from PMA to RX soft PCS +wire [3:0] pma_rx_is_lockedtodata; + +// output: this is high per lane if the synchronization has been met. As we +// only have 4 lanes, we will double their value +wire [7:0] pcs_rx_syncstatus; +// output: this is high if the channel aligner (resynch) has aligned all +// the lanes and the correct number of align characters have been received +wire pcs_rx_channelaligned; +// output: this is high if a disparity error has occured +wire [7:0] pcs_rx_disperr; +// output: this is high if an invalid character has been detected. +wire [7:0] pcs_rx_errdetect; + +// body -------------------------------------------------------------------- + +// Convert to/from Avalon Streaming Interface single bus to data + control +genvar g; +generate + for (g = 0; g < 8; g = g + 1) begin : st_to_dc_b + assign xgmii_tx_d [g*8 +: 8] = xgmii_tx_dc[g*9 +: 8]; + assign xgmii_tx_c [g] = xgmii_tx_dc[g*9 + 8]; + assign xgmii_rx_dc[g*9 +: 8] = xgmii_rx_d [g*8 +: 8]; + assign xgmii_rx_dc[g*9 + 8] = xgmii_rx_c [g]; + end +endgenerate + +// Default values in case ports are not and without control/status registers +generate + if (use_control_and_status_ports == "true") begin: use_cs_ports_true + assign l_rx_digitalreset = rx_digitalreset | r_rx_digitalreset; + end else begin: use_cs_ports_false + assign l_rx_digitalreset = r_rx_digitalreset; + end +endgenerate + +// soft xaui --------------------------------------------------------------- + + // translate signal names +assign xgmii_tx_datain = xgmii_tx_d; +assign xgmii_tx_ctrlin = xgmii_tx_c; +assign xgmii_rx_d = xgmii_rx_dataout; +assign xgmii_rx_c = xgmii_rx_ctrlout; +assign pma_pll_inclk = refclk; + +assign tx_parallel_data = pma_pcs_tx_data; +assign pma_pcs_rx_data = rx_parallel_data; +assign pma_tx_clkout = tx_out_clk; +assign pma_rx_clkout = rx_recovered_clk; +assign pma_rx_is_lockedtodata = rx_is_lockedtodata; + +assign reset_n = ~l_rx_digitalreset; +assign pma_pll_locked = pll_locked; + +assign rx_syncstatus = pcs_rx_syncstatus; +assign rx_channelaligned = pcs_rx_channelaligned; +assign rx_disperr = pcs_rx_disperr; +assign rx_errdetect = pcs_rx_errdetect; + +//assign pma_gxb_powerdown = 1'b0; + +// soft pcs +alt_soft_xaui_pcs alt_soft_xaui_pcs( + .xgmii_tx_datain (xgmii_tx_datain), // i + .xgmii_tx_ctrlin (xgmii_tx_ctrlin), // i + .xgmii_rx_dataout (xgmii_rx_dataout), // o + .xgmii_rx_ctrlout (xgmii_rx_ctrlout), // o + .xgmii_tx_clk (xgmii_tx_clk), // i - must be tied to xgmii_rx_clk at top level, only for interface consistency does this exist + .sysclk (xgmii_rx_clk), // o + .reset_n (reset_n), // i +// .pma_rx_datain (pma_rx_datain), // i +// .pma_tx_dataout (pma_tx_dataout), // o +// .pma_cal_blk_clk (pma_cal_blk_clk), // i +// .pma_gxb_powerdown (pma_gxb_powerdown), // i + .pma_pll_inclk (pma_pll_inclk), // i +// .pma_reconfig_clk (pma_reconfig_clk), // i +// .pma_reconfig_togxb (pma_reconfig_togxb), // i +// .pma_reconfig_fromgxb (pma_reconfig_fromgxb), // o + .pma_pll_locked (pma_pll_locked), // i +// .pma_rx_pll_locked (pma_rx_is_lockedtoref), // i + .pma_rx_freqlocked (pma_rx_is_lockedtodata), // i + .pma_stat_rst_done (pma_stat_rst_done), // o + .pma_rx_clkout (pma_rx_clkout), // i + .pma_tx_clkout (pma_tx_clkout), // i + .pcs_rx_syncstatus (pcs_rx_syncstatus), // o + .pcs_rx_channelaligned (pcs_rx_channelaligned), // o + .pcs_rx_disperr (pcs_rx_disperr), // o + .pcs_rx_errdetect (pcs_rx_errdetect), // o + .pma_rx_analogreset (pma_rx_analogreset), // o + .pma_pcs_tx_data (pma_pcs_tx_data), // o + .pma_pcs_rx_data (pma_pcs_rx_data), // i + .simulation_flag (simulation_flag) // i +); // module alt_soft_xaui_pcs +defparam + alt_soft_xaui_pcs.p_family_id = "df_stratixIV", + alt_soft_xaui_pcs.p_USE_GXB_RECONFIG = 0, + alt_soft_xaui_pcs.starting_channel_number= starting_channel_number, + alt_soft_xaui_pcs.reconfig_togxb_port_size = 4, + alt_soft_xaui_pcs.reconfig_fromgxb_port_size = 17, + alt_soft_xaui_pcs.p_SOFTXAUI_PLL = xaui_pll_type; // CMU/LCTANK + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/aldec_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/aldec_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..4c25ca083f6ec1f6f001faabdca100f7abb426e2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/aldec_files.txt @@ -0,0 +1,59 @@ +./altera_xcvr_functions.sv +./alt_pma_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./aldec/alt_soft_xaui_pcs.v +./aldec/alt_soft_xaui_reset.v +./aldec/alt_soft_xaui_rx.v +./aldec/alt_soft_xaui_rx_8b10b_dec.v +./aldec/alt_soft_xaui_rx_channel_synch.v +./aldec/alt_soft_xaui_rx_deskew.v +./aldec/alt_soft_xaui_rx_deskew_channel.v +./aldec/alt_soft_xaui_rx_deskew_ram.v +./aldec/alt_soft_xaui_rx_invalid_code_det.v +./aldec/alt_soft_xaui_rx_parity.v +./aldec/alt_soft_xaui_rx_parity_4b.v +./aldec/alt_soft_xaui_rx_parity_6b.v +./aldec/alt_soft_xaui_rx_rate_match.v +./aldec/alt_soft_xaui_rx_rate_match_ram.v +./aldec/alt_soft_xaui_rx_rl_chk_6g.v +./aldec/alt_soft_xaui_rx_sm.v +./aldec/alt_soft_xaui_tx.v +./aldec/alt_soft_xaui_tx_8b10b_enc.v +./aldec/alt_soft_xaui_tx_idle_conv.v +./aldec/l_modules.v +./aldec/serdes_4_unit_lc_siv.v +./aldec/serdes_4_unit_siv.v +./aldec/serdes_4unit.v +./sxaui.v +./siv_xcvr_low_latency_phy_nr.sv +./siv_xcvr_xaui.sv +./alt4gxb_vo.v +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt4gxb_vo.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt4gxb_vo.v new file mode 100644 index 0000000000000000000000000000000000000000..18c78e857a3f7b1886bc4a1d693468380383c42a --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt4gxb_vo.v @@ -0,0 +1,23996 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Copyright (C) 1991-2010 Altera Corporation +// Your use of Altera Corporation's design tools, logic functions +// and other software and tools, and its AMPP partner logic +// functions, and any output files from any of the foregoing +// (including device programming or simulation files), and any +// associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License +// Subscription Agreement, Altera MegaCore Function License +// Agreement, or other applicable license agreement, including, +// without limitation, that your use is for the sole purpose of +// programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. + +// VENDOR "Altera" +// PROGRAM "Quartus II" +// VERSION "Version 9.1 Internal Build 294 12/28/2009 Service Pack 1 SJ Full Version" + +// DATE "12/30/2009 13:05:46" + +// +// Device: Altera EP4SGX530NF45C2 Package FBGA1932 +// + +// +// This Verilog file should be used for ModelSim-Altera (Verilog) only +// + +`timescale 1 ps/ 1 ps + +module alt4gxb #( + parameter + cbx_hdl_language = "Verilog", + enable_pma_xn_bonding = "TRUE", + cmu_pll_inclk_log_index = 0, + cmu_pll_log_index = 0, + device_family = "Stratix IV", + effective_data_rate = "3125 Mbps", + enable_lc_tx_pll = "TRUE", + enable_pma_direct = "TRUE", + enable_pll_inclk_drive_rx_cru = "TRUE", + equalizer_ctrl_a_setting = 0, + equalizer_ctrl_b_setting = 0, + equalizer_ctrl_c_setting = 0, + equalizer_ctrl_d_setting = 0, + equalizer_ctrl_v_setting = 0, + equalizer_dcgain_setting = 0, //0-4 + gen_reconfig_pll = "FALSE", + gx_channel_type = "AUTO", + gxb_analog_power = "AUTO", + gxb_powerdown_width = 1, + input_clock_frequency = "156.25 MHz", + intended_device_variant = "ANY", + loopback_mode = "NONE", + number_of_channels = 2, + number_of_quads = 1, + operation_mode = "DUPLEX", //TX, RX, DUPLEX + pll_control_width = 1, + protocol = "BASIC", + use_global_clk_divider = "TRUE", + receiver_termination = "OCT_100_OHMS", //OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + reconfig_calibration = "TRUE", + reconfig_dprio_mode = 19, + reconfig_fromgxb_port_width = 17, + reconfig_pll_inclk_width = 1,//reconfig may need more than one reference clock + reconfig_protocol = "BASIC", + reconfig_togxb_port_width = 4, + rx_use_clkout = "TRUE", + rx_use_cruclk = "TRUE", + rx_use_coreclk = "FALSE", + rx_channel_width = 16,//8,10,16,20,32,40 + rx_common_mode = "0.82v", //TRISTATE|0.82v|1.1v + rx_cru_bandwidth_type = "AUTO",//AUTO|LOW|MEDIUM|HIGH + rx_digitalreset_port_width = 2, + rx_enable_lock_to_data_sig = "true", + rx_enable_lock_to_refclk_sig = "true", + rx_ppmselect = 32, + rx_reconfig_clk_scheme = "indv_clk_source", + rx_signal_detect_threshold = 2, + rx_use_deserializer_double_data_mode = "TRUE", + rx_use_double_data_mode = "TRUE", + starting_channel_number = 0,//0,4,8,12 ... + transmitter_termination = "OCT_100_OHMS",//OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + tx_dwidth_factor = "TRUE", + tx_analog_power = "AUTO",//AUTO|1.4V|1.5V + tx_channel_width = 16,//8,10,16,20,32,40 + tx_clkout_width = 2, + tx_common_mode = "0.65V", //"0.65V" + tx_pll_bandwidth_type = "AUTO",//AUTO|LOW|MEDIUM|HIGH + tx_pll_inclk0_period = 100, + tx_pll_type = "CMU",//ATX|CMU + tx_reconfig_clk_scheme = "tx_ch0_clk_source", + tx_slew_rate = "LOW",//AUTO,LOW,MEDIUM,HIGH + tx_transmit_protocol = "basic", + tx_use_coreclk = "false", + tx_use_double_data_mode = "TRUE", + tx_use_serializer_double_data_mode = "TRUE", + preemphasis_ctrl_pretap_setting = 3, + preemphasis_ctrl_pretap_inv_setting = "false", + preemphasis_ctrl_1stposttap_setting = 2, + preemphasis_ctrl_2ndposttap_setting = 1, //?? + preemphasis_ctrl_2ndposttap_inv_setting = "false", + use_calibration_block = "TRUE", + vod_ctrl_setting = 1,//0-7 + tx_digitalreset_port_width = 2, + rx_use_align_state_machine = "true",//must have + tx_datapath_low_latency_mode = "true", + rx_use_deskew_fifo = "false", + rx_word_aligner_num_byte = 2, + rx_rate_match_fifo_mode = "none", + tx_enable_bit_reversal = "false", + tx_enable_self_test_mode = "false", + tx_flip_tx_in = "false", + rx_dwidth_factor = "TRUE", + rx_8b_10b_mode = "none", + rx_allow_align_polarity_inversion = "false", + rx_allow_pipe_polarity_inversion = "false", + rx_bitslip_enable = "true", + tx_bitslip_enable = "false", + rx_byte_ordering_mode = "none", + rx_enable_self_test_mode = "false", + rx_flip_rx_out = "false", + rx_force_signal_detect = "true", + rx_phfiforegmode = "false", + rx_datapath_low_latency_mode = "true", + rx_datapath_protocol = "basic", + rx_enable_bit_reversal = "false", + tx_use_external_termination= "false", + rx_use_external_termination= "false", + rx_enable_deep_align_byte_swap = "false" +) ( + rx_locktodata, + rx_analogreset, + rx_seriallpbken, + tx_digitalreset, + rx_digitalreset, + rx_locktorefclk, + rst, + clk, + ch_ctrl_address, + ch_ctrl_read, + ch_ctrl_readdata, + ch_ctrl_write, + ch_ctrl_writedata, + cal_blk_clk, + cal_blk_powerdown, + gxb_powerdown, + pll_powerdown, + pll_locked, + reconfig_clk, + reconfig_togxb, + reconfig_fromgxb, + aeq_to_gxb, + aeq_from_gxb, + pll_inclk, + rx_cruclk, + tx_datain, + tx_dataout, + tx_clkout, + rx_datain, + rx_dataout, + rx_clkout, + rx_freqlocked, + rx_pll_locked); +input [31:0] rx_locktodata; +input [31:0] rx_analogreset; +input [31:0] rx_seriallpbken; +input [31:0] tx_digitalreset; +input [31:0] rx_digitalreset; +input [31:0] rx_locktorefclk; +input rst; +input clk; +input [2:0] ch_ctrl_address; +input ch_ctrl_read; +output [31:0] ch_ctrl_readdata; +input ch_ctrl_write; +input [31:0] ch_ctrl_writedata; +input cal_blk_clk; +input cal_blk_powerdown; +input gxb_powerdown; +input pll_powerdown; +output pll_locked; +input reconfig_clk; +input [3:0] reconfig_togxb; +output [67:0] reconfig_fromgxb; +input [95:0] aeq_to_gxb; +output [31:0] aeq_from_gxb; +input [0:0] pll_inclk; +input [3:0] rx_cruclk; +input [79:0] tx_datain; +output [3:0] tx_dataout; +output [3:0] tx_clkout; +input [3:0] rx_datain; +output [79:0] rx_dataout; +output [3:0] rx_clkout; +output [3:0] rx_freqlocked; +output [3:0] rx_pll_locked; + +// Design Ports Information +// rx_locktodata[4] => Location: PIN_R13, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[5] => Location: PIN_F37, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[6] => Location: PIN_AH6, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[7] => Location: PIN_C14, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[8] => Location: PIN_W14, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[9] => Location: PIN_AT6, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[10] => Location: PIN_AC8, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[11] => Location: PIN_E13, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[12] => Location: PIN_AN11, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[13] => Location: PIN_G25, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[14] => Location: PIN_BB22, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[15] => Location: PIN_AU20, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[16] => Location: PIN_L11, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[17] => Location: PIN_R25, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[18] => Location: PIN_N34, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[19] => Location: PIN_L7, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[20] => Location: PIN_C25, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[21] => Location: PIN_BB23, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[22] => Location: PIN_AV18, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[23] => Location: PIN_T27, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[24] => Location: PIN_D20, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[25] => Location: PIN_AN14, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[26] => Location: PIN_AR13, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[27] => Location: PIN_AT11, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[28] => Location: PIN_Y12, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[29] => Location: PIN_J40, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[30] => Location: PIN_H38, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[31] => Location: PIN_AN24, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[4] => Location: PIN_N18, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[5] => Location: PIN_BC13, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[6] => Location: PIN_W31, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[7] => Location: PIN_D14, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[8] => Location: PIN_E32, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[9] => Location: PIN_H37, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[10] => Location: PIN_AT5, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[11] => Location: PIN_Y15, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[12] => Location: PIN_V9, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[13] => Location: PIN_E39, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[14] => Location: PIN_BC11, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[15] => Location: PIN_BA22, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[16] => Location: PIN_AM24, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[17] => Location: PIN_R28, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[18] => Location: PIN_K31, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[19] => Location: PIN_P22, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[20] => Location: PIN_AW15, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[21] => Location: PIN_K32, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[22] => Location: PIN_P8, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[23] => Location: PIN_H13, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[24] => Location: PIN_M9, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[25] => Location: PIN_F35, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[26] => Location: PIN_BD16, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[27] => Location: PIN_A23, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[28] => Location: PIN_T33, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[29] => Location: PIN_AB9, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[30] => Location: PIN_B14, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[31] => Location: PIN_AT13, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[0] => Location: PIN_J16, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[1] => Location: PIN_AK20, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[2] => Location: PIN_N40, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[3] => Location: PIN_AE8, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[4] => Location: PIN_AV13, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[5] => Location: PIN_E19, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[6] => Location: PIN_AD39, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[7] => Location: PIN_A25, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[8] => Location: PIN_BC19, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[9] => Location: PIN_AW17, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[10] => Location: PIN_C18, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[11] => Location: PIN_BD18, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[12] => Location: PIN_AK21, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[13] => Location: PIN_AV20, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[14] => Location: PIN_P9, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[15] => Location: PIN_R17, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[16] => Location: PIN_B23, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[17] => Location: PIN_AN26, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[18] => Location: PIN_AP6, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[19] => Location: PIN_U39, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[20] => Location: PIN_U14, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[21] => Location: PIN_AK24, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[22] => Location: PIN_E17, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[23] => Location: PIN_C26, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[24] => Location: PIN_M10, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[25] => Location: PIN_E7, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[26] => Location: PIN_AP17, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[27] => Location: PIN_K34, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[28] => Location: PIN_V36, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[29] => Location: PIN_AN20, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[30] => Location: PIN_M17, I/O Standard: 2.5 V, Current Strength: Default +// rx_seriallpbken[31] => Location: PIN_AJ13, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[0] => Location: PIN_BA12, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[1] => Location: PIN_AB11, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[2] => Location: PIN_AC6, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[3] => Location: PIN_V8, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[4] => Location: PIN_M12, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[5] => Location: PIN_BC23, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[6] => Location: PIN_AW21, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[7] => Location: PIN_AY20, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[8] => Location: PIN_E25, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[9] => Location: PIN_C28, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[10] => Location: PIN_AJ5, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[11] => Location: PIN_N22, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[12] => Location: PIN_BA13, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[13] => Location: PIN_G10, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[14] => Location: PIN_D19, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[15] => Location: PIN_L40, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[16] => Location: PIN_AD7, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[17] => Location: PIN_AA9, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[18] => Location: PIN_AJ8, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[19] => Location: PIN_N16, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[20] => Location: PIN_T23, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[21] => Location: PIN_P34, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[22] => Location: PIN_V37, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[23] => Location: PIN_C12, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[24] => Location: PIN_E22, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[25] => Location: PIN_AU22, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[26] => Location: PIN_P6, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[27] => Location: PIN_P35, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[28] => Location: PIN_R24, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[29] => Location: PIN_AN6, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[30] => Location: PIN_A17, I/O Standard: 2.5 V, Current Strength: Default +// tx_digitalreset[31] => Location: PIN_N5, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[0] => Location: PIN_D16, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[1] => Location: PIN_H40, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[2] => Location: PIN_F16, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[3] => Location: PIN_E34, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[4] => Location: PIN_K11, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[5] => Location: PIN_AN7, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[6] => Location: PIN_J25, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[7] => Location: PIN_AW14, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[8] => Location: PIN_AR19, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[9] => Location: PIN_G18, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[10] => Location: PIN_AL14, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[11] => Location: PIN_R31, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[12] => Location: PIN_V30, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[13] => Location: PIN_J33, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[14] => Location: PIN_AD15, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[15] => Location: PIN_F38, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[16] => Location: PIN_AA5, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[17] => Location: PIN_AC5, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[18] => Location: PIN_AP19, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[19] => Location: PIN_AR7, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[20] => Location: PIN_BB25, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[21] => Location: PIN_AL20, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[22] => Location: PIN_BD13, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[23] => Location: PIN_H9, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[24] => Location: PIN_J27, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[25] => Location: PIN_K26, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[26] => Location: PIN_AK11, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[27] => Location: PIN_AA15, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[28] => Location: PIN_N6, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[29] => Location: PIN_AT24, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[30] => Location: PIN_AW22, I/O Standard: 2.5 V, Current Strength: Default +// rx_digitalreset[31] => Location: PIN_W30, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[4] => Location: PIN_R37, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[5] => Location: PIN_L16, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[6] => Location: PIN_AV16, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[7] => Location: PIN_J15, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[8] => Location: PIN_AD6, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[9] => Location: PIN_AV24, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[10] => Location: PIN_A16, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[11] => Location: PIN_BA20, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[12] => Location: PIN_Y6, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[13] => Location: PIN_AP16, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[14] => Location: PIN_AA8, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[15] => Location: PIN_E11, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[16] => Location: PIN_AU16, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[17] => Location: PIN_AT7, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[18] => Location: PIN_P32, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[19] => Location: PIN_AM6, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[20] => Location: PIN_M40, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[21] => Location: PIN_M28, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[22] => Location: PIN_AK10, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[23] => Location: PIN_R6, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[24] => Location: PIN_R18, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[25] => Location: PIN_V32, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[26] => Location: PIN_AH14, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[27] => Location: PIN_BD17, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[28] => Location: PIN_Y14, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[29] => Location: PIN_T15, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[30] => Location: PIN_AG13, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[31] => Location: PIN_W6, I/O Standard: 2.5 V, Current Strength: Default +// rst => Location: PIN_F14, I/O Standard: 2.5 V, Current Strength: Default +// clk => Location: PIN_K40, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_address[0] => Location: PIN_N25, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_address[1] => Location: PIN_AF15, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_address[2] => Location: PIN_G12, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_read => Location: PIN_E8, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[0] => Location: PIN_J5, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[1] => Location: PIN_K20, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[2] => Location: PIN_P38, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[3] => Location: PIN_BA18, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[4] => Location: PIN_U5, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[5] => Location: PIN_B13, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[6] => Location: PIN_T17, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[7] => Location: PIN_H7, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[8] => Location: PIN_AW24, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[9] => Location: PIN_BA23, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[10] => Location: PIN_A26, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[11] => Location: PIN_H11, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[12] => Location: PIN_AJ7, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[13] => Location: PIN_AV8, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[14] => Location: PIN_N29, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[15] => Location: PIN_L35, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[16] => Location: PIN_G29, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[17] => Location: PIN_D30, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[18] => Location: PIN_C10, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[19] => Location: PIN_D23, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[20] => Location: PIN_AR16, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[21] => Location: PIN_T39, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[22] => Location: PIN_H19, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[23] => Location: PIN_AR5, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[24] => Location: PIN_F24, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[25] => Location: PIN_M39, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[26] => Location: PIN_AY17, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[27] => Location: PIN_M21, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[28] => Location: PIN_T25, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[29] => Location: PIN_BD10, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[30] => Location: PIN_AN15, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_readdata[31] => Location: PIN_N33, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_write => Location: PIN_BD21, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[0] => Location: PIN_BB12, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[1] => Location: PIN_G24, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[2] => Location: PIN_W5, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[3] => Location: PIN_AB10, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[4] => Location: PIN_D33, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[5] => Location: PIN_P13, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[6] => Location: PIN_D31, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[7] => Location: PIN_G31, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[8] => Location: PIN_AP11, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[9] => Location: PIN_F12, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[10] => Location: PIN_AN9, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[11] => Location: PIN_F5, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[12] => Location: PIN_U7, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[13] => Location: PIN_AL9, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[14] => Location: PIN_AF13, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[15] => Location: PIN_T19, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[16] => Location: PIN_AU17, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[17] => Location: PIN_AW25, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[18] => Location: PIN_AB8, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[19] => Location: PIN_N30, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[20] => Location: PIN_H28, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[21] => Location: PIN_AL13, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[22] => Location: PIN_AY14, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[23] => Location: PIN_J26, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[24] => Location: PIN_J36, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[25] => Location: PIN_J35, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[26] => Location: PIN_T30, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[27] => Location: PIN_K29, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[28] => Location: PIN_AU8, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[29] => Location: PIN_AL17, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[30] => Location: PIN_D32, I/O Standard: 2.5 V, Current Strength: Default +// ch_ctrl_writedata[31] => Location: PIN_T31, I/O Standard: 2.5 V, Current Strength: Default +// pll_locked => Location: PIN_AM28, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[0] => Location: PIN_H20, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[1] => Location: PIN_AR27, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[2] => Location: PIN_AH30, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[3] => Location: PIN_AT29, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[4] => Location: PIN_AY37, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[5] => Location: PIN_L9, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[6] => Location: PIN_B31, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[7] => Location: PIN_BC22, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[8] => Location: PIN_F19, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[9] => Location: PIN_AV10, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[10] => Location: PIN_F9, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[11] => Location: PIN_A12, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[12] => Location: PIN_M14, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[13] => Location: PIN_G14, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[14] => Location: PIN_N14, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[15] => Location: PIN_AG15, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[16] => Location: PIN_AF6, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[17] => Location: PIN_G20, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[18] => Location: PIN_AK30, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[19] => Location: PIN_AU35, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[20] => Location: PIN_AM31, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[21] => Location: PIN_AV38, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[22] => Location: PIN_R15, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[23] => Location: PIN_V12, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[24] => Location: PIN_BD19, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[25] => Location: PIN_AK16, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[26] => Location: PIN_F25, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[27] => Location: PIN_BA16, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[28] => Location: PIN_AM19, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[29] => Location: PIN_AR15, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[30] => Location: PIN_AD14, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[31] => Location: PIN_J37, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[32] => Location: PIN_L32, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[33] => Location: PIN_N19, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[34] => Location: PIN_G21, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[35] => Location: PIN_AY29, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[36] => Location: PIN_BB35, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[37] => Location: PIN_BD34, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[38] => Location: PIN_BC26, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[39] => Location: PIN_Y32, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[40] => Location: PIN_AW20, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[41] => Location: PIN_AK18, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[42] => Location: PIN_V14, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[43] => Location: PIN_F8, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[44] => Location: PIN_H32, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[45] => Location: PIN_F11, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[46] => Location: PIN_V6, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[47] => Location: PIN_AE5, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[48] => Location: PIN_AA30, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[49] => Location: PIN_P28, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[50] => Location: PIN_AA12, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[51] => Location: PIN_F20, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[52] => Location: PIN_AJ30, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[53] => Location: PIN_AY32, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[54] => Location: PIN_BD33, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[55] => Location: PIN_BC28, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[56] => Location: PIN_AU5, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[57] => Location: PIN_AE6, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[58] => Location: PIN_D24, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[59] => Location: PIN_AG7, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[60] => Location: PIN_G37, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[61] => Location: PIN_A13, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[62] => Location: PIN_BB15, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[63] => Location: PIN_D28, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[64] => Location: PIN_E29, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[65] => Location: PIN_H8, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[66] => Location: PIN_AT23, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_fromgxb[67] => Location: PIN_M33, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[0] => Location: PIN_G30, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[1] => Location: PIN_AM12, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[2] => Location: PIN_AM21, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[3] => Location: PIN_H5, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[4] => Location: PIN_V35, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[5] => Location: PIN_J28, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[6] => Location: PIN_AM17, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[7] => Location: PIN_G23, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[8] => Location: PIN_N39, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[9] => Location: PIN_T21, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[10] => Location: PIN_P16, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[11] => Location: PIN_K25, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[12] => Location: PIN_E6, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[13] => Location: PIN_R14, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[14] => Location: PIN_BA17, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[15] => Location: PIN_AA36, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[16] => Location: PIN_N20, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[17] => Location: PIN_AJ18, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[18] => Location: PIN_AK12, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[19] => Location: PIN_AE36, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[20] => Location: PIN_F7, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[21] => Location: PIN_G8, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[22] => Location: PIN_K5, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[23] => Location: PIN_AY6, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[24] => Location: PIN_AN23, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[25] => Location: PIN_A19, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[26] => Location: PIN_P20, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[27] => Location: PIN_V7, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[28] => Location: PIN_H36, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[29] => Location: PIN_AM15, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[30] => Location: PIN_AK8, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[31] => Location: PIN_F39, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[32] => Location: PIN_V15, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[33] => Location: PIN_B35, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[34] => Location: PIN_AE16, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[35] => Location: PIN_P25, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[36] => Location: PIN_AY5, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[37] => Location: PIN_AR11, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[38] => Location: PIN_J12, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[39] => Location: PIN_A27, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[40] => Location: PIN_H6, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[41] => Location: PIN_J22, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[42] => Location: PIN_AL11, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[43] => Location: PIN_G33, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[44] => Location: PIN_AY11, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[45] => Location: PIN_D21, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[46] => Location: PIN_N23, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[47] => Location: PIN_P29, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[48] => Location: PIN_U9, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[49] => Location: PIN_AT21, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[50] => Location: PIN_J8, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[51] => Location: PIN_B11, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[52] => Location: PIN_A31, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[53] => Location: PIN_J6, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[54] => Location: PIN_F27, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[55] => Location: PIN_G28, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[56] => Location: PIN_AD40, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[57] => Location: PIN_F22, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[58] => Location: PIN_T6, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[59] => Location: PIN_H22, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[60] => Location: PIN_G15, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[61] => Location: PIN_F31, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[62] => Location: PIN_BD22, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[63] => Location: PIN_H14, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[64] => Location: PIN_B29, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[65] => Location: PIN_AF12, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[66] => Location: PIN_M29, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[67] => Location: PIN_AL8, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[68] => Location: PIN_P31, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[69] => Location: PIN_AU14, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[70] => Location: PIN_P17, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[71] => Location: PIN_A15, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[72] => Location: PIN_A29, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[73] => Location: PIN_AF9, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[74] => Location: PIN_C34, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[75] => Location: PIN_U37, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[76] => Location: PIN_L29, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[77] => Location: PIN_BD20, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[78] => Location: PIN_AV6, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[79] => Location: PIN_L26, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[80] => Location: PIN_N26, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[81] => Location: PIN_BA10, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[82] => Location: PIN_E35, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[83] => Location: PIN_BB20, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[84] => Location: PIN_AM16, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[85] => Location: PIN_G6, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[86] => Location: PIN_A24, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[87] => Location: PIN_AW8, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[88] => Location: PIN_H31, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[89] => Location: PIN_C20, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[90] => Location: PIN_J14, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[91] => Location: PIN_J13, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[92] => Location: PIN_B26, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[93] => Location: PIN_E20, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[94] => Location: PIN_AF11, I/O Standard: 2.5 V, Current Strength: Default +// aeq_to_gxb[95] => Location: PIN_A11, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[0] => Location: PIN_W33, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[1] => Location: PIN_M35, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[2] => Location: PIN_D29, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[3] => Location: PIN_M25, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[4] => Location: PIN_AA14, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[5] => Location: PIN_K15, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[6] => Location: PIN_N27, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[7] => Location: PIN_L20, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[8] => Location: PIN_AK9, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[9] => Location: PIN_L36, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[10] => Location: PIN_F28, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[11] => Location: PIN_R27, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[12] => Location: PIN_K13, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[13] => Location: PIN_AW16, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[14] => Location: PIN_R40, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[15] => Location: PIN_AN8, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[16] => Location: PIN_E16, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[17] => Location: PIN_J18, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[18] => Location: PIN_AE9, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[19] => Location: PIN_AT17, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[20] => Location: PIN_A21, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[21] => Location: PIN_J21, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[22] => Location: PIN_P7, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[23] => Location: PIN_AU9, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[24] => Location: PIN_U12, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[25] => Location: PIN_B10, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[26] => Location: PIN_AY22, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[27] => Location: PIN_AY13, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[28] => Location: PIN_AN5, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[29] => Location: PIN_BC16, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[30] => Location: PIN_N12, I/O Standard: 2.5 V, Current Strength: Default +// aeq_from_gxb[31] => Location: PIN_R21, I/O Standard: 2.5 V, Current Strength: Default +// rx_cruclk[0] => Location: PIN_AL25, I/O Standard: 2.5 V, Current Strength: Default +// rx_cruclk[1] => Location: PIN_AG14, I/O Standard: 2.5 V, Current Strength: Default +// rx_cruclk[2] => Location: PIN_AB6, I/O Standard: 2.5 V, Current Strength: Default +// rx_cruclk[3] => Location: PIN_B34, I/O Standard: 2.5 V, Current Strength: Default +// tx_dataout[0] => Location: PIN_BA38, I/O Standard: 1.4-V PCML, Current Strength: Default +// tx_dataout[1] => Location: PIN_BA40, I/O Standard: 1.4-V PCML, Current Strength: Default +// tx_dataout[2] => Location: PIN_AU41, I/O Standard: 1.4-V PCML, Current Strength: Default +// tx_dataout[3] => Location: PIN_AR41, I/O Standard: 1.4-V PCML, Current Strength: Default +// tx_clkout[0] => Location: PIN_AT25, I/O Standard: 2.5 V, Current Strength: Default +// tx_clkout[1] => Location: PIN_BD24, I/O Standard: 2.5 V, Current Strength: Default +// tx_clkout[2] => Location: PIN_AY23, I/O Standard: 2.5 V, Current Strength: Default +// tx_clkout[3] => Location: PIN_AC39, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[0] => Location: PIN_AW31, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[1] => Location: PIN_BA26, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[2] => Location: PIN_BB30, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[3] => Location: PIN_AP34, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[4] => Location: PIN_BA28, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[5] => Location: PIN_BA30, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[6] => Location: PIN_BC25, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[7] => Location: PIN_AN31, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[8] => Location: PIN_BD29, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[9] => Location: PIN_BC32, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[10] => Location: PIN_AR31, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[11] => Location: PIN_AV33, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[12] => Location: PIN_AT33, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[13] => Location: PIN_AY28, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[14] => Location: PIN_BD25, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[15] => Location: PIN_AV27, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[16] => Location: PIN_AT32, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[17] => Location: PIN_BD30, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[18] => Location: PIN_AR26, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[19] => Location: PIN_AV26, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[20] => Location: PIN_AW33, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[21] => Location: PIN_AK27, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[22] => Location: PIN_BD35, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[23] => Location: PIN_AM30, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[24] => Location: PIN_AY26, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[25] => Location: PIN_AR32, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[26] => Location: PIN_AM26, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[27] => Location: PIN_BA29, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[28] => Location: PIN_BD32, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[29] => Location: PIN_AV31, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[30] => Location: PIN_AW29, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[31] => Location: PIN_BD27, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[32] => Location: PIN_BD28, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[33] => Location: PIN_AK26, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[34] => Location: PIN_AU29, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[35] => Location: PIN_AW26, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[36] => Location: PIN_AM27, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[37] => Location: PIN_AV34, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[38] => Location: PIN_AN30, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[39] => Location: PIN_AK28, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[40] => Location: PIN_AT37, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[41] => Location: PIN_AP36, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[42] => Location: PIN_AN33, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[43] => Location: PIN_W38, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[44] => Location: PIN_AN34, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[45] => Location: PIN_AN37, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[46] => Location: PIN_AP35, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[47] => Location: PIN_AG40, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[48] => Location: PIN_AK39, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[49] => Location: PIN_AR39, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[50] => Location: PIN_AA38, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[51] => Location: PIN_AF34, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[52] => Location: PIN_AB37, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[53] => Location: PIN_AA40, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[54] => Location: PIN_W37, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[55] => Location: PIN_AU39, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[56] => Location: PIN_AR35, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[57] => Location: PIN_AK38, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[58] => Location: PIN_AL36, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[59] => Location: PIN_AG32, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[60] => Location: PIN_AK34, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[61] => Location: PIN_AJ40, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[62] => Location: PIN_AN39, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[63] => Location: PIN_AT39, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[64] => Location: PIN_AR34, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[65] => Location: PIN_AL39, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[66] => Location: PIN_AM35, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[67] => Location: PIN_AT36, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[68] => Location: PIN_AP39, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[69] => Location: PIN_AM33, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[70] => Location: PIN_AN35, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[71] => Location: PIN_AJ36, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[72] => Location: PIN_AW37, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[73] => Location: PIN_AN40, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[74] => Location: PIN_AJ31, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[75] => Location: PIN_AR37, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[76] => Location: PIN_AL40, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[77] => Location: PIN_AW36, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[78] => Location: PIN_AN38, I/O Standard: 2.5 V, Current Strength: Default +// rx_dataout[79] => Location: PIN_AJ37, I/O Standard: 2.5 V, Current Strength: Default +// rx_clkout[0] => Location: PIN_AM25, I/O Standard: 2.5 V, Current Strength: Default +// rx_clkout[1] => Location: PIN_AK23, I/O Standard: 2.5 V, Current Strength: Default +// rx_clkout[2] => Location: PIN_AY25, I/O Standard: 2.5 V, Current Strength: Default +// rx_clkout[3] => Location: PIN_AP25, I/O Standard: 2.5 V, Current Strength: Default +// rx_freqlocked[0] => Location: PIN_AL32, I/O Standard: 2.5 V, Current Strength: Default +// rx_freqlocked[1] => Location: PIN_BA34, I/O Standard: 2.5 V, Current Strength: Default +// rx_freqlocked[2] => Location: PIN_BB31, I/O Standard: 2.5 V, Current Strength: Default +// rx_freqlocked[3] => Location: PIN_AN29, I/O Standard: 2.5 V, Current Strength: Default +// rx_pll_locked[0] => Location: PIN_AY38, I/O Standard: 2.5 V, Current Strength: Default +// rx_pll_locked[1] => Location: PIN_AW34, I/O Standard: 2.5 V, Current Strength: Default +// rx_pll_locked[2] => Location: PIN_AP10, I/O Standard: 2.5 V, Current Strength: Default +// rx_pll_locked[3] => Location: PIN_AT31, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[0] => Location: PIN_AY34, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[1] => Location: PIN_AT30, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[2] => Location: PIN_AM29, I/O Standard: 2.5 V, Current Strength: Default +// rx_analogreset[3] => Location: PIN_AK29, I/O Standard: 2.5 V, Current Strength: Default +// pll_inclk[0] => Location: PIN_W40, I/O Standard: 2.5 V, Current Strength: Default +// gxb_powerdown => Location: PIN_BC35, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_clk => Location: PIN_V39, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_togxb[0] => Location: PIN_R30, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_togxb[2] => Location: PIN_AV22, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_togxb[1] => Location: PIN_AR20, I/O Standard: 2.5 V, Current Strength: Default +// reconfig_togxb[3] => Location: PIN_AL28, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktodata[0] => Location: PIN_BB32, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[0] => Location: PIN_BC31, I/O Standard: 2.5 V, Current Strength: Default +// rx_datain[0] => Location: PIN_BC37, I/O Standard: 1.5-V PCML, Current Strength: Default +// rx_locktodata[1] => Location: PIN_AR30, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[1] => Location: PIN_AU31, I/O Standard: 2.5 V, Current Strength: Default +// rx_datain[1] => Location: PIN_BC39, I/O Standard: 1.5-V PCML, Current Strength: Default +// rx_locktodata[2] => Location: PIN_AV35, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[2] => Location: PIN_BD31, I/O Standard: 2.5 V, Current Strength: Default +// rx_datain[2] => Location: PIN_AV43, I/O Standard: 1.5-V PCML, Current Strength: Default +// rx_locktodata[3] => Location: PIN_BA33, I/O Standard: 2.5 V, Current Strength: Default +// rx_locktorefclk[3] => Location: PIN_AL29, I/O Standard: 2.5 V, Current Strength: Default +// rx_datain[3] => Location: PIN_AT43, I/O Standard: 1.5-V PCML, Current Strength: Default +// tx_datain[0] => Location: PIN_AV28, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[1] => Location: PIN_AL26, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[2] => Location: PIN_BD26, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[3] => Location: PIN_AT26, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[4] => Location: PIN_AW28, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[5] => Location: PIN_AN28, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[6] => Location: PIN_AV29, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[7] => Location: PIN_AP28, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[8] => Location: PIN_BD23, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[9] => Location: PIN_AW30, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[10] => Location: PIN_AU26, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[11] => Location: PIN_AV32, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[12] => Location: PIN_AR28, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[13] => Location: PIN_BA32, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[14] => Location: PIN_BB28, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[15] => Location: PIN_AU27, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[16] => Location: PIN_BB26, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[17] => Location: PIN_BC29, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[18] => Location: PIN_BA27, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[19] => Location: PIN_AU32, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[20] => Location: PIN_AD30, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[21] => Location: PIN_AE33, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[22] => Location: PIN_AD38, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[23] => Location: PIN_BA31, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[24] => Location: PIN_AV40, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[25] => Location: PIN_AU40, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[26] => Location: PIN_AE30, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[27] => Location: PIN_Y37, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[28] => Location: PIN_AF37, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[29] => Location: PIN_Y39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[30] => Location: PIN_AG33, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[31] => Location: PIN_AY40, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[32] => Location: PIN_AY31, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[33] => Location: PIN_AG31, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[34] => Location: PIN_AD29, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[35] => Location: PIN_AC37, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[36] => Location: PIN_AV37, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[37] => Location: PIN_AY39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[38] => Location: PIN_BB33, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[39] => Location: PIN_AM37, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[40] => Location: PIN_AF36, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[41] => Location: PIN_AE31, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[42] => Location: PIN_AF30, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[43] => Location: PIN_AF35, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[44] => Location: PIN_AU34, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[45] => Location: PIN_AH39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[46] => Location: PIN_AA37, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[47] => Location: PIN_Y38, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[48] => Location: PIN_AF33, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[49] => Location: PIN_AB39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[50] => Location: PIN_AL35, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[51] => Location: PIN_AJ32, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[52] => Location: PIN_AJ33, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[53] => Location: PIN_AF39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[54] => Location: PIN_AH37, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[55] => Location: PIN_AE39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[56] => Location: PIN_AG38, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[57] => Location: PIN_AP37, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[58] => Location: PIN_AJ39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[59] => Location: PIN_AR40, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[60] => Location: PIN_AT40, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[61] => Location: PIN_AF38, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[62] => Location: PIN_AU36, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[63] => Location: PIN_AK31, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[64] => Location: PIN_AK33, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[65] => Location: PIN_AK35, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[66] => Location: PIN_AU37, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[67] => Location: PIN_AT38, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[68] => Location: PIN_AK36, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[69] => Location: PIN_AM39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[70] => Location: PIN_AG39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[71] => Location: PIN_AK32, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[72] => Location: PIN_AM38, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[73] => Location: PIN_AL34, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[74] => Location: PIN_AT34, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[75] => Location: PIN_AC38, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[76] => Location: PIN_AH31, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[77] => Location: PIN_AE40, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[78] => Location: PIN_AA39, I/O Standard: 2.5 V, Current Strength: Default +// tx_datain[79] => Location: PIN_AF32, I/O Standard: 2.5 V, Current Strength: Default +// pll_powerdown => Location: PIN_BC34, I/O Standard: 2.5 V, Current Strength: Default +// cal_blk_clk => Location: PIN_AU28, I/O Standard: 2.5 V, Current Strength: Default +// cal_blk_powerdown => Location: PIN_AR29, I/O Standard: 2.5 V, Current Strength: Default +// ~ALTERA_DATA0~ => Location: PIN_AA33, I/O Standard: 1.8 V, Current Strength: Default +// tx_dataout[0](n) => Location: PIN_BB38, I/O Standard: 1.4-V PCML, Current Strength: Default +// tx_dataout[1](n) => Location: PIN_BB40, I/O Standard: 1.4-V PCML, Current Strength: Default +// tx_dataout[2](n) => Location: PIN_AU42, I/O Standard: 1.4-V PCML, Current Strength: Default +// tx_dataout[3](n) => Location: PIN_AR42, I/O Standard: 1.4-V PCML, Current Strength: Default +// rx_datain[0](n) => Location: PIN_BD37, I/O Standard: 1.5-V PCML, Current Strength: Default +// rx_datain[1](n) => Location: PIN_BD39, I/O Standard: 1.5-V PCML, Current Strength: Default +// rx_datain[2](n) => Location: PIN_AV44, I/O Standard: 1.5-V PCML, Current Strength: Default +// rx_datain[3](n) => Location: PIN_AT44, I/O Standard: 1.5-V PCML, Current Strength: Default + +wire gnd; +wire vcc; +wire unknown; + +assign gnd = 1'b0; +assign vcc = 1'b1; +assign unknown = 1'bx; + +tri1 devclrn; +tri1 devpor; +tri1 devoe; +// synopsys translate_off +// initial $sdf_annotate("alt4gxb_simgen_v.sdo"); +// synopsys translate_on + +wire \rx_datain[0](n)~padout ; +wire \rx_datain[1](n)~padout ; +wire \rx_datain[2](n)~padout ; +wire \rx_datain[3](n)~padout ; +wire \pma_direct|auto_generated|tx_pll_edge0~DATAOUT0 ; +wire \pma_direct|auto_generated|tx_pll_edge0~DATAOUT1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~DPRIOOUT ; +wire \pma_direct|auto_generated|cal_blk0~calibrationstatus ; +wire \pma_direct|auto_generated|cal_blk0~CALIBRATIONSTATUS1 ; +wire \pma_direct|auto_generated|cal_blk0~CALIBRATIONSTATUS2 ; +wire \pma_direct|auto_generated|cal_blk0~CALIBRATIONSTATUS3 ; +wire \pma_direct|auto_generated|cal_blk0~CALIBRATIONSTATUS4 ; +wire \pma_direct|auto_generated|central_clk_div0~coreclkout ; +wire \rx_locktodata[4]~input_o ; +wire \rx_locktodata[5]~input_o ; +wire \rx_locktodata[6]~input_o ; +wire \rx_locktodata[7]~input_o ; +wire \rx_locktodata[8]~input_o ; +wire \rx_locktodata[9]~input_o ; +wire \rx_locktodata[10]~input_o ; +wire \rx_locktodata[11]~input_o ; +wire \rx_locktodata[12]~input_o ; +wire \rx_locktodata[13]~input_o ; +wire \rx_locktodata[14]~input_o ; +wire \rx_locktodata[15]~input_o ; +wire \rx_locktodata[16]~input_o ; +wire \rx_locktodata[17]~input_o ; +wire \rx_locktodata[18]~input_o ; +wire \rx_locktodata[19]~input_o ; +wire \rx_locktodata[20]~input_o ; +wire \rx_locktodata[21]~input_o ; +wire \rx_locktodata[22]~input_o ; +wire \rx_locktodata[23]~input_o ; +wire \rx_locktodata[24]~input_o ; +wire \rx_locktodata[25]~input_o ; +wire \rx_locktodata[26]~input_o ; +wire \rx_locktodata[27]~input_o ; +wire \rx_locktodata[28]~input_o ; +wire \rx_locktodata[29]~input_o ; +wire \rx_locktodata[30]~input_o ; +wire \rx_locktodata[31]~input_o ; +wire \rx_analogreset[4]~input_o ; +wire \rx_analogreset[5]~input_o ; +wire \rx_analogreset[6]~input_o ; +wire \rx_analogreset[7]~input_o ; +wire \rx_analogreset[8]~input_o ; +wire \rx_analogreset[9]~input_o ; +wire \rx_analogreset[10]~input_o ; +wire \rx_analogreset[11]~input_o ; +wire \rx_analogreset[12]~input_o ; +wire \rx_analogreset[13]~input_o ; +wire \rx_analogreset[14]~input_o ; +wire \rx_analogreset[15]~input_o ; +wire \rx_analogreset[16]~input_o ; +wire \rx_analogreset[17]~input_o ; +wire \rx_analogreset[18]~input_o ; +wire \rx_analogreset[19]~input_o ; +wire \rx_analogreset[20]~input_o ; +wire \rx_analogreset[21]~input_o ; +wire \rx_analogreset[22]~input_o ; +wire \rx_analogreset[23]~input_o ; +wire \rx_analogreset[24]~input_o ; +wire \rx_analogreset[25]~input_o ; +wire \rx_analogreset[26]~input_o ; +wire \rx_analogreset[27]~input_o ; +wire \rx_analogreset[28]~input_o ; +wire \rx_analogreset[29]~input_o ; +wire \rx_analogreset[30]~input_o ; +wire \rx_analogreset[31]~input_o ; +wire \rx_seriallpbken[0]~input_o ; +wire \rx_seriallpbken[1]~input_o ; +wire \rx_seriallpbken[2]~input_o ; +wire \rx_seriallpbken[3]~input_o ; +wire \rx_seriallpbken[4]~input_o ; +wire \rx_seriallpbken[5]~input_o ; +wire \rx_seriallpbken[6]~input_o ; +wire \rx_seriallpbken[7]~input_o ; +wire \rx_seriallpbken[8]~input_o ; +wire \rx_seriallpbken[9]~input_o ; +wire \rx_seriallpbken[10]~input_o ; +wire \rx_seriallpbken[11]~input_o ; +wire \rx_seriallpbken[12]~input_o ; +wire \rx_seriallpbken[13]~input_o ; +wire \rx_seriallpbken[14]~input_o ; +wire \rx_seriallpbken[15]~input_o ; +wire \rx_seriallpbken[16]~input_o ; +wire \rx_seriallpbken[17]~input_o ; +wire \rx_seriallpbken[18]~input_o ; +wire \rx_seriallpbken[19]~input_o ; +wire \rx_seriallpbken[20]~input_o ; +wire \rx_seriallpbken[21]~input_o ; +wire \rx_seriallpbken[22]~input_o ; +wire \rx_seriallpbken[23]~input_o ; +wire \rx_seriallpbken[24]~input_o ; +wire \rx_seriallpbken[25]~input_o ; +wire \rx_seriallpbken[26]~input_o ; +wire \rx_seriallpbken[27]~input_o ; +wire \rx_seriallpbken[28]~input_o ; +wire \rx_seriallpbken[29]~input_o ; +wire \rx_seriallpbken[30]~input_o ; +wire \rx_seriallpbken[31]~input_o ; +wire \tx_digitalreset[0]~input_o ; +wire \tx_digitalreset[1]~input_o ; +wire \tx_digitalreset[2]~input_o ; +wire \tx_digitalreset[3]~input_o ; +wire \tx_digitalreset[4]~input_o ; +wire \tx_digitalreset[5]~input_o ; +wire \tx_digitalreset[6]~input_o ; +wire \tx_digitalreset[7]~input_o ; +wire \tx_digitalreset[8]~input_o ; +wire \tx_digitalreset[9]~input_o ; +wire \tx_digitalreset[10]~input_o ; +wire \tx_digitalreset[11]~input_o ; +wire \tx_digitalreset[12]~input_o ; +wire \tx_digitalreset[13]~input_o ; +wire \tx_digitalreset[14]~input_o ; +wire \tx_digitalreset[15]~input_o ; +wire \tx_digitalreset[16]~input_o ; +wire \tx_digitalreset[17]~input_o ; +wire \tx_digitalreset[18]~input_o ; +wire \tx_digitalreset[19]~input_o ; +wire \tx_digitalreset[20]~input_o ; +wire \tx_digitalreset[21]~input_o ; +wire \tx_digitalreset[22]~input_o ; +wire \tx_digitalreset[23]~input_o ; +wire \tx_digitalreset[24]~input_o ; +wire \tx_digitalreset[25]~input_o ; +wire \tx_digitalreset[26]~input_o ; +wire \tx_digitalreset[27]~input_o ; +wire \tx_digitalreset[28]~input_o ; +wire \tx_digitalreset[29]~input_o ; +wire \tx_digitalreset[30]~input_o ; +wire \tx_digitalreset[31]~input_o ; +wire \rx_digitalreset[0]~input_o ; +wire \rx_digitalreset[1]~input_o ; +wire \rx_digitalreset[2]~input_o ; +wire \rx_digitalreset[3]~input_o ; +wire \rx_digitalreset[4]~input_o ; +wire \rx_digitalreset[5]~input_o ; +wire \rx_digitalreset[6]~input_o ; +wire \rx_digitalreset[7]~input_o ; +wire \rx_digitalreset[8]~input_o ; +wire \rx_digitalreset[9]~input_o ; +wire \rx_digitalreset[10]~input_o ; +wire \rx_digitalreset[11]~input_o ; +wire \rx_digitalreset[12]~input_o ; +wire \rx_digitalreset[13]~input_o ; +wire \rx_digitalreset[14]~input_o ; +wire \rx_digitalreset[15]~input_o ; +wire \rx_digitalreset[16]~input_o ; +wire \rx_digitalreset[17]~input_o ; +wire \rx_digitalreset[18]~input_o ; +wire \rx_digitalreset[19]~input_o ; +wire \rx_digitalreset[20]~input_o ; +wire \rx_digitalreset[21]~input_o ; +wire \rx_digitalreset[22]~input_o ; +wire \rx_digitalreset[23]~input_o ; +wire \rx_digitalreset[24]~input_o ; +wire \rx_digitalreset[25]~input_o ; +wire \rx_digitalreset[26]~input_o ; +wire \rx_digitalreset[27]~input_o ; +wire \rx_digitalreset[28]~input_o ; +wire \rx_digitalreset[29]~input_o ; +wire \rx_digitalreset[30]~input_o ; +wire \rx_digitalreset[31]~input_o ; +wire \rx_locktorefclk[4]~input_o ; +wire \rx_locktorefclk[5]~input_o ; +wire \rx_locktorefclk[6]~input_o ; +wire \rx_locktorefclk[7]~input_o ; +wire \rx_locktorefclk[8]~input_o ; +wire \rx_locktorefclk[9]~input_o ; +wire \rx_locktorefclk[10]~input_o ; +wire \rx_locktorefclk[11]~input_o ; +wire \rx_locktorefclk[12]~input_o ; +wire \rx_locktorefclk[13]~input_o ; +wire \rx_locktorefclk[14]~input_o ; +wire \rx_locktorefclk[15]~input_o ; +wire \rx_locktorefclk[16]~input_o ; +wire \rx_locktorefclk[17]~input_o ; +wire \rx_locktorefclk[18]~input_o ; +wire \rx_locktorefclk[19]~input_o ; +wire \rx_locktorefclk[20]~input_o ; +wire \rx_locktorefclk[21]~input_o ; +wire \rx_locktorefclk[22]~input_o ; +wire \rx_locktorefclk[23]~input_o ; +wire \rx_locktorefclk[24]~input_o ; +wire \rx_locktorefclk[25]~input_o ; +wire \rx_locktorefclk[26]~input_o ; +wire \rx_locktorefclk[27]~input_o ; +wire \rx_locktorefclk[28]~input_o ; +wire \rx_locktorefclk[29]~input_o ; +wire \rx_locktorefclk[30]~input_o ; +wire \rx_locktorefclk[31]~input_o ; +wire \rst~input_o ; +wire \clk~input_o ; +wire \ch_ctrl_address[0]~input_o ; +wire \ch_ctrl_address[1]~input_o ; +wire \ch_ctrl_address[2]~input_o ; +wire \ch_ctrl_read~input_o ; +wire \ch_ctrl_write~input_o ; +wire \ch_ctrl_writedata[0]~input_o ; +wire \ch_ctrl_writedata[1]~input_o ; +wire \ch_ctrl_writedata[2]~input_o ; +wire \ch_ctrl_writedata[3]~input_o ; +wire \ch_ctrl_writedata[4]~input_o ; +wire \ch_ctrl_writedata[5]~input_o ; +wire \ch_ctrl_writedata[6]~input_o ; +wire \ch_ctrl_writedata[7]~input_o ; +wire \ch_ctrl_writedata[8]~input_o ; +wire \ch_ctrl_writedata[9]~input_o ; +wire \ch_ctrl_writedata[10]~input_o ; +wire \ch_ctrl_writedata[11]~input_o ; +wire \ch_ctrl_writedata[12]~input_o ; +wire \ch_ctrl_writedata[13]~input_o ; +wire \ch_ctrl_writedata[14]~input_o ; +wire \ch_ctrl_writedata[15]~input_o ; +wire \ch_ctrl_writedata[16]~input_o ; +wire \ch_ctrl_writedata[17]~input_o ; +wire \ch_ctrl_writedata[18]~input_o ; +wire \ch_ctrl_writedata[19]~input_o ; +wire \ch_ctrl_writedata[20]~input_o ; +wire \ch_ctrl_writedata[21]~input_o ; +wire \ch_ctrl_writedata[22]~input_o ; +wire \ch_ctrl_writedata[23]~input_o ; +wire \ch_ctrl_writedata[24]~input_o ; +wire \ch_ctrl_writedata[25]~input_o ; +wire \ch_ctrl_writedata[26]~input_o ; +wire \ch_ctrl_writedata[27]~input_o ; +wire \ch_ctrl_writedata[28]~input_o ; +wire \ch_ctrl_writedata[29]~input_o ; +wire \ch_ctrl_writedata[30]~input_o ; +wire \ch_ctrl_writedata[31]~input_o ; +wire \aeq_to_gxb[0]~input_o ; +wire \aeq_to_gxb[1]~input_o ; +wire \aeq_to_gxb[2]~input_o ; +wire \aeq_to_gxb[3]~input_o ; +wire \aeq_to_gxb[4]~input_o ; +wire \aeq_to_gxb[5]~input_o ; +wire \aeq_to_gxb[6]~input_o ; +wire \aeq_to_gxb[7]~input_o ; +wire \aeq_to_gxb[8]~input_o ; +wire \aeq_to_gxb[9]~input_o ; +wire \aeq_to_gxb[10]~input_o ; +wire \aeq_to_gxb[11]~input_o ; +wire \aeq_to_gxb[12]~input_o ; +wire \aeq_to_gxb[13]~input_o ; +wire \aeq_to_gxb[14]~input_o ; +wire \aeq_to_gxb[15]~input_o ; +wire \aeq_to_gxb[16]~input_o ; +wire \aeq_to_gxb[17]~input_o ; +wire \aeq_to_gxb[18]~input_o ; +wire \aeq_to_gxb[19]~input_o ; +wire \aeq_to_gxb[20]~input_o ; +wire \aeq_to_gxb[21]~input_o ; +wire \aeq_to_gxb[22]~input_o ; +wire \aeq_to_gxb[23]~input_o ; +wire \aeq_to_gxb[24]~input_o ; +wire \aeq_to_gxb[25]~input_o ; +wire \aeq_to_gxb[26]~input_o ; +wire \aeq_to_gxb[27]~input_o ; +wire \aeq_to_gxb[28]~input_o ; +wire \aeq_to_gxb[29]~input_o ; +wire \aeq_to_gxb[30]~input_o ; +wire \aeq_to_gxb[31]~input_o ; +wire \aeq_to_gxb[32]~input_o ; +wire \aeq_to_gxb[33]~input_o ; +wire \aeq_to_gxb[34]~input_o ; +wire \aeq_to_gxb[35]~input_o ; +wire \aeq_to_gxb[36]~input_o ; +wire \aeq_to_gxb[37]~input_o ; +wire \aeq_to_gxb[38]~input_o ; +wire \aeq_to_gxb[39]~input_o ; +wire \aeq_to_gxb[40]~input_o ; +wire \aeq_to_gxb[41]~input_o ; +wire \aeq_to_gxb[42]~input_o ; +wire \aeq_to_gxb[43]~input_o ; +wire \aeq_to_gxb[44]~input_o ; +wire \aeq_to_gxb[45]~input_o ; +wire \aeq_to_gxb[46]~input_o ; +wire \aeq_to_gxb[47]~input_o ; +wire \aeq_to_gxb[48]~input_o ; +wire \aeq_to_gxb[49]~input_o ; +wire \aeq_to_gxb[50]~input_o ; +wire \aeq_to_gxb[51]~input_o ; +wire \aeq_to_gxb[52]~input_o ; +wire \aeq_to_gxb[53]~input_o ; +wire \aeq_to_gxb[54]~input_o ; +wire \aeq_to_gxb[55]~input_o ; +wire \aeq_to_gxb[56]~input_o ; +wire \aeq_to_gxb[57]~input_o ; +wire \aeq_to_gxb[58]~input_o ; +wire \aeq_to_gxb[59]~input_o ; +wire \aeq_to_gxb[60]~input_o ; +wire \aeq_to_gxb[61]~input_o ; +wire \aeq_to_gxb[62]~input_o ; +wire \aeq_to_gxb[63]~input_o ; +wire \aeq_to_gxb[64]~input_o ; +wire \aeq_to_gxb[65]~input_o ; +wire \aeq_to_gxb[66]~input_o ; +wire \aeq_to_gxb[67]~input_o ; +wire \aeq_to_gxb[68]~input_o ; +wire \aeq_to_gxb[69]~input_o ; +wire \aeq_to_gxb[70]~input_o ; +wire \aeq_to_gxb[71]~input_o ; +wire \aeq_to_gxb[72]~input_o ; +wire \aeq_to_gxb[73]~input_o ; +wire \aeq_to_gxb[74]~input_o ; +wire \aeq_to_gxb[75]~input_o ; +wire \aeq_to_gxb[76]~input_o ; +wire \aeq_to_gxb[77]~input_o ; +wire \aeq_to_gxb[78]~input_o ; +wire \aeq_to_gxb[79]~input_o ; +wire \aeq_to_gxb[80]~input_o ; +wire \aeq_to_gxb[81]~input_o ; +wire \aeq_to_gxb[82]~input_o ; +wire \aeq_to_gxb[83]~input_o ; +wire \aeq_to_gxb[84]~input_o ; +wire \aeq_to_gxb[85]~input_o ; +wire \aeq_to_gxb[86]~input_o ; +wire \aeq_to_gxb[87]~input_o ; +wire \aeq_to_gxb[88]~input_o ; +wire \aeq_to_gxb[89]~input_o ; +wire \aeq_to_gxb[90]~input_o ; +wire \aeq_to_gxb[91]~input_o ; +wire \aeq_to_gxb[92]~input_o ; +wire \aeq_to_gxb[93]~input_o ; +wire \aeq_to_gxb[94]~input_o ; +wire \aeq_to_gxb[95]~input_o ; +wire \rx_cruclk[0]~input_o ; +wire \rx_cruclk[1]~input_o ; +wire \rx_cruclk[2]~input_o ; +wire \rx_cruclk[3]~input_o ; +wire \pll_inclk[0]~input_o ; +wire \reconfig_clk~input_o ; +wire \reconfig_togxb[0]~input_o ; +wire \reconfig_togxb[2]~input_o ; +wire \reconfig_togxb[1]~input_o ; +wire \reconfig_togxb[3]~input_o ; +wire \cal_blk_clk~input_o ; +wire \ch_ctrl_readdata[0]~output_o ; +wire \ch_ctrl_readdata[1]~output_o ; +wire \ch_ctrl_readdata[2]~output_o ; +wire \ch_ctrl_readdata[3]~output_o ; +wire \ch_ctrl_readdata[4]~output_o ; +wire \ch_ctrl_readdata[5]~output_o ; +wire \ch_ctrl_readdata[6]~output_o ; +wire \ch_ctrl_readdata[7]~output_o ; +wire \ch_ctrl_readdata[8]~output_o ; +wire \ch_ctrl_readdata[9]~output_o ; +wire \ch_ctrl_readdata[10]~output_o ; +wire \ch_ctrl_readdata[11]~output_o ; +wire \ch_ctrl_readdata[12]~output_o ; +wire \ch_ctrl_readdata[13]~output_o ; +wire \ch_ctrl_readdata[14]~output_o ; +wire \ch_ctrl_readdata[15]~output_o ; +wire \ch_ctrl_readdata[16]~output_o ; +wire \ch_ctrl_readdata[17]~output_o ; +wire \ch_ctrl_readdata[18]~output_o ; +wire \ch_ctrl_readdata[19]~output_o ; +wire \ch_ctrl_readdata[20]~output_o ; +wire \ch_ctrl_readdata[21]~output_o ; +wire \ch_ctrl_readdata[22]~output_o ; +wire \ch_ctrl_readdata[23]~output_o ; +wire \ch_ctrl_readdata[24]~output_o ; +wire \ch_ctrl_readdata[25]~output_o ; +wire \ch_ctrl_readdata[26]~output_o ; +wire \ch_ctrl_readdata[27]~output_o ; +wire \ch_ctrl_readdata[28]~output_o ; +wire \ch_ctrl_readdata[29]~output_o ; +wire \ch_ctrl_readdata[30]~output_o ; +wire \ch_ctrl_readdata[31]~output_o ; +wire \pll_locked~output_o ; +wire \reconfig_fromgxb[0]~output_o ; +wire \reconfig_fromgxb[1]~output_o ; +wire \reconfig_fromgxb[2]~output_o ; +wire \reconfig_fromgxb[3]~output_o ; +wire \reconfig_fromgxb[4]~output_o ; +wire \reconfig_fromgxb[5]~output_o ; +wire \reconfig_fromgxb[6]~output_o ; +wire \reconfig_fromgxb[7]~output_o ; +wire \reconfig_fromgxb[8]~output_o ; +wire \reconfig_fromgxb[9]~output_o ; +wire \reconfig_fromgxb[10]~output_o ; +wire \reconfig_fromgxb[11]~output_o ; +wire \reconfig_fromgxb[12]~output_o ; +wire \reconfig_fromgxb[13]~output_o ; +wire \reconfig_fromgxb[14]~output_o ; +wire \reconfig_fromgxb[15]~output_o ; +wire \reconfig_fromgxb[16]~output_o ; +wire \reconfig_fromgxb[17]~output_o ; +wire \reconfig_fromgxb[18]~output_o ; +wire \reconfig_fromgxb[19]~output_o ; +wire \reconfig_fromgxb[20]~output_o ; +wire \reconfig_fromgxb[21]~output_o ; +wire \reconfig_fromgxb[22]~output_o ; +wire \reconfig_fromgxb[23]~output_o ; +wire \reconfig_fromgxb[24]~output_o ; +wire \reconfig_fromgxb[25]~output_o ; +wire \reconfig_fromgxb[26]~output_o ; +wire \reconfig_fromgxb[27]~output_o ; +wire \reconfig_fromgxb[28]~output_o ; +wire \reconfig_fromgxb[29]~output_o ; +wire \reconfig_fromgxb[30]~output_o ; +wire \reconfig_fromgxb[31]~output_o ; +wire \reconfig_fromgxb[32]~output_o ; +wire \reconfig_fromgxb[33]~output_o ; +wire \reconfig_fromgxb[34]~output_o ; +wire \reconfig_fromgxb[35]~output_o ; +wire \reconfig_fromgxb[36]~output_o ; +wire \reconfig_fromgxb[37]~output_o ; +wire \reconfig_fromgxb[38]~output_o ; +wire \reconfig_fromgxb[39]~output_o ; +wire \reconfig_fromgxb[40]~output_o ; +wire \reconfig_fromgxb[41]~output_o ; +wire \reconfig_fromgxb[42]~output_o ; +wire \reconfig_fromgxb[43]~output_o ; +wire \reconfig_fromgxb[44]~output_o ; +wire \reconfig_fromgxb[45]~output_o ; +wire \reconfig_fromgxb[46]~output_o ; +wire \reconfig_fromgxb[47]~output_o ; +wire \reconfig_fromgxb[48]~output_o ; +wire \reconfig_fromgxb[49]~output_o ; +wire \reconfig_fromgxb[50]~output_o ; +wire \reconfig_fromgxb[51]~output_o ; +wire \reconfig_fromgxb[52]~output_o ; +wire \reconfig_fromgxb[53]~output_o ; +wire \reconfig_fromgxb[54]~output_o ; +wire \reconfig_fromgxb[55]~output_o ; +wire \reconfig_fromgxb[56]~output_o ; +wire \reconfig_fromgxb[57]~output_o ; +wire \reconfig_fromgxb[58]~output_o ; +wire \reconfig_fromgxb[59]~output_o ; +wire \reconfig_fromgxb[60]~output_o ; +wire \reconfig_fromgxb[61]~output_o ; +wire \reconfig_fromgxb[62]~output_o ; +wire \reconfig_fromgxb[63]~output_o ; +wire \reconfig_fromgxb[64]~output_o ; +wire \reconfig_fromgxb[65]~output_o ; +wire \reconfig_fromgxb[66]~output_o ; +wire \reconfig_fromgxb[67]~output_o ; +wire \aeq_from_gxb[0]~output_o ; +wire \aeq_from_gxb[1]~output_o ; +wire \aeq_from_gxb[2]~output_o ; +wire \aeq_from_gxb[3]~output_o ; +wire \aeq_from_gxb[4]~output_o ; +wire \aeq_from_gxb[5]~output_o ; +wire \aeq_from_gxb[6]~output_o ; +wire \aeq_from_gxb[7]~output_o ; +wire \aeq_from_gxb[8]~output_o ; +wire \aeq_from_gxb[9]~output_o ; +wire \aeq_from_gxb[10]~output_o ; +wire \aeq_from_gxb[11]~output_o ; +wire \aeq_from_gxb[12]~output_o ; +wire \aeq_from_gxb[13]~output_o ; +wire \aeq_from_gxb[14]~output_o ; +wire \aeq_from_gxb[15]~output_o ; +wire \aeq_from_gxb[16]~output_o ; +wire \aeq_from_gxb[17]~output_o ; +wire \aeq_from_gxb[18]~output_o ; +wire \aeq_from_gxb[19]~output_o ; +wire \aeq_from_gxb[20]~output_o ; +wire \aeq_from_gxb[21]~output_o ; +wire \aeq_from_gxb[22]~output_o ; +wire \aeq_from_gxb[23]~output_o ; +wire \aeq_from_gxb[24]~output_o ; +wire \aeq_from_gxb[25]~output_o ; +wire \aeq_from_gxb[26]~output_o ; +wire \aeq_from_gxb[27]~output_o ; +wire \aeq_from_gxb[28]~output_o ; +wire \aeq_from_gxb[29]~output_o ; +wire \aeq_from_gxb[30]~output_o ; +wire \aeq_from_gxb[31]~output_o ; +wire \tx_dataout[0]~output_o ; +wire \tx_dataout[0]~0 ; +wire \tx_dataout[1]~output_o ; +wire \tx_dataout[1]~1 ; +wire \tx_dataout[2]~output_o ; +wire \tx_dataout[2]~2 ; +wire \tx_dataout[3]~output_o ; +wire \tx_dataout[3]~3 ; +wire \tx_clkout[0]~output_o ; +wire \tx_clkout[1]~output_o ; +wire \tx_clkout[2]~output_o ; +wire \tx_clkout[3]~output_o ; +wire \rx_dataout[0]~output_o ; +wire \rx_dataout[1]~output_o ; +wire \rx_dataout[2]~output_o ; +wire \rx_dataout[3]~output_o ; +wire \rx_dataout[4]~output_o ; +wire \rx_dataout[5]~output_o ; +wire \rx_dataout[6]~output_o ; +wire \rx_dataout[7]~output_o ; +wire \rx_dataout[8]~output_o ; +wire \rx_dataout[9]~output_o ; +wire \rx_dataout[10]~output_o ; +wire \rx_dataout[11]~output_o ; +wire \rx_dataout[12]~output_o ; +wire \rx_dataout[13]~output_o ; +wire \rx_dataout[14]~output_o ; +wire \rx_dataout[15]~output_o ; +wire \rx_dataout[16]~output_o ; +wire \rx_dataout[17]~output_o ; +wire \rx_dataout[18]~output_o ; +wire \rx_dataout[19]~output_o ; +wire \rx_dataout[20]~output_o ; +wire \rx_dataout[21]~output_o ; +wire \rx_dataout[22]~output_o ; +wire \rx_dataout[23]~output_o ; +wire \rx_dataout[24]~output_o ; +wire \rx_dataout[25]~output_o ; +wire \rx_dataout[26]~output_o ; +wire \rx_dataout[27]~output_o ; +wire \rx_dataout[28]~output_o ; +wire \rx_dataout[29]~output_o ; +wire \rx_dataout[30]~output_o ; +wire \rx_dataout[31]~output_o ; +wire \rx_dataout[32]~output_o ; +wire \rx_dataout[33]~output_o ; +wire \rx_dataout[34]~output_o ; +wire \rx_dataout[35]~output_o ; +wire \rx_dataout[36]~output_o ; +wire \rx_dataout[37]~output_o ; +wire \rx_dataout[38]~output_o ; +wire \rx_dataout[39]~output_o ; +wire \rx_dataout[40]~output_o ; +wire \rx_dataout[41]~output_o ; +wire \rx_dataout[42]~output_o ; +wire \rx_dataout[43]~output_o ; +wire \rx_dataout[44]~output_o ; +wire \rx_dataout[45]~output_o ; +wire \rx_dataout[46]~output_o ; +wire \rx_dataout[47]~output_o ; +wire \rx_dataout[48]~output_o ; +wire \rx_dataout[49]~output_o ; +wire \rx_dataout[50]~output_o ; +wire \rx_dataout[51]~output_o ; +wire \rx_dataout[52]~output_o ; +wire \rx_dataout[53]~output_o ; +wire \rx_dataout[54]~output_o ; +wire \rx_dataout[55]~output_o ; +wire \rx_dataout[56]~output_o ; +wire \rx_dataout[57]~output_o ; +wire \rx_dataout[58]~output_o ; +wire \rx_dataout[59]~output_o ; +wire \rx_dataout[60]~output_o ; +wire \rx_dataout[61]~output_o ; +wire \rx_dataout[62]~output_o ; +wire \rx_dataout[63]~output_o ; +wire \rx_dataout[64]~output_o ; +wire \rx_dataout[65]~output_o ; +wire \rx_dataout[66]~output_o ; +wire \rx_dataout[67]~output_o ; +wire \rx_dataout[68]~output_o ; +wire \rx_dataout[69]~output_o ; +wire \rx_dataout[70]~output_o ; +wire \rx_dataout[71]~output_o ; +wire \rx_dataout[72]~output_o ; +wire \rx_dataout[73]~output_o ; +wire \rx_dataout[74]~output_o ; +wire \rx_dataout[75]~output_o ; +wire \rx_dataout[76]~output_o ; +wire \rx_dataout[77]~output_o ; +wire \rx_dataout[78]~output_o ; +wire \rx_dataout[79]~output_o ; +wire \rx_clkout[0]~output_o ; +wire \rx_clkout[1]~output_o ; +wire \rx_clkout[2]~output_o ; +wire \rx_clkout[3]~output_o ; +wire \rx_freqlocked[0]~output_o ; +wire \rx_freqlocked[1]~output_o ; +wire \rx_freqlocked[2]~output_o ; +wire \rx_freqlocked[3]~output_o ; +wire \rx_pll_locked[0]~output_o ; +wire \rx_pll_locked[1]~output_o ; +wire \rx_pll_locked[2]~output_o ; +wire \rx_pll_locked[3]~output_o ; +wire \gxb_powerdown~input_o ; +wire \reconfig_clk~inputclkctrl_outclk ; +wire \cal_blk_powerdown~input_o ; +wire \pll_inclk[0]~inputclkctrl_outclk ; +wire \pll_powerdown~input_o ; +wire \rx_analogreset[0]~input_o ; +wire \rx_analogreset[1]~input_o ; +wire \rx_analogreset[2]~input_o ; +wire \rx_analogreset[3]~input_o ; +wire \rx_locktodata[0]~input_o ; +wire \rx_locktorefclk[0]~input_o ; +wire \pma_direct|auto_generated|comb~0_combout ; +wire \rx_datain[0]~input_o ; +wire \rx_locktodata[1]~input_o ; +wire \rx_locktorefclk[1]~input_o ; +wire \pma_direct|auto_generated|comb~1_combout ; +wire \rx_datain[1]~input_o ; +wire \rx_locktodata[2]~input_o ; +wire \rx_locktorefclk[2]~input_o ; +wire \pma_direct|auto_generated|comb~2_combout ; +wire \rx_datain[2]~input_o ; +wire \rx_locktodata[3]~input_o ; +wire \rx_locktorefclk[3]~input_o ; +wire \pma_direct|auto_generated|comb~3_combout ; +wire \rx_datain[3]~input_o ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1196 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1197 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1198 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1199 ; +wire \tx_datain[60]~input_o ; +wire \tx_datain[61]~input_o ; +wire \tx_datain[62]~input_o ; +wire \tx_datain[63]~input_o ; +wire \tx_datain[64]~input_o ; +wire \tx_datain[65]~input_o ; +wire \tx_datain[66]~input_o ; +wire \tx_datain[67]~input_o ; +wire \tx_datain[68]~input_o ; +wire \tx_datain[69]~input_o ; +wire \tx_datain[70]~input_o ; +wire \tx_datain[71]~input_o ; +wire \tx_datain[72]~input_o ; +wire \tx_datain[73]~input_o ; +wire \tx_datain[74]~input_o ; +wire \tx_datain[75]~input_o ; +wire \tx_datain[76]~input_o ; +wire \tx_datain[77]~input_o ; +wire \tx_datain[78]~input_o ; +wire \tx_datain[79]~input_o ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1195 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1194 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1193 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1192 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1191 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1190 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1189 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1188 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1187 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1186 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1185 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1184 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1183 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1182 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1181 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1180 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1179 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1178 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1177 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1176 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1175 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1174 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1173 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1172 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1171 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1170 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1169 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1168 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1167 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1166 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1165 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1164 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1163 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1162 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1161 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1160 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1159 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1158 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1157 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1156 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1155 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1154 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1153 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1152 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1151 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1150 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1149 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1148 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1147 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1146 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1145 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1144 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1143 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1142 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1141 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1140 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1139 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1138 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1137 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1136 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1135 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1134 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1133 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1132 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1131 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1130 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1129 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1128 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1127 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1126 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1125 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1124 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1123 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1122 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1121 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1120 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1119 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1118 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1117 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1116 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1115 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1114 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1113 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1112 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1111 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1110 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1109 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1108 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1107 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1106 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1105 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1104 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1103 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1102 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1101 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1100 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1099 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1098 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1097 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1096 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1095 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1094 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1093 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1092 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1091 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1090 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1089 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1088 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1087 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1086 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1085 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1084 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1083 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1082 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1081 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1080 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1079 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1078 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1077 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1076 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1075 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1074 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1073 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1072 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1071 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1070 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1069 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1068 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1067 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1066 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1065 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1064 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1063 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1062 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1061 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1060 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1059 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1058 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1057 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1056 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1055 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1054 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1053 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1052 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1051 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1050 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1049 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1048 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1047 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1046 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1045 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1044 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1043 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1042 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1041 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1040 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1039 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1038 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1037 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1036 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1035 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1034 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1033 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1032 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1031 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1030 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1029 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1028 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1027 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1026 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1025 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1024 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1023 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1022 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1021 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1020 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1019 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1018 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1017 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1016 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1015 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1014 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1013 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1012 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1011 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1010 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1009 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1008 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1007 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1006 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1005 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1004 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1003 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1002 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1001 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1000 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT999 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT998 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT997 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT996 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT995 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT994 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT993 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT992 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT991 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT990 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT989 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT988 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT987 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT986 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT985 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT984 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT983 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT982 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT981 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT980 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT979 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT978 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT977 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT976 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT975 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT974 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT973 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT972 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT971 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT970 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT969 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT968 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT967 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT966 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT965 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT964 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT963 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT962 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT961 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT960 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT959 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT958 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT957 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT956 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT955 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT954 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT953 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT952 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT951 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT950 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT949 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT948 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT947 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT946 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT945 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT944 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT943 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT942 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT941 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT940 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT939 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT938 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT937 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT936 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT935 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT934 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT933 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT932 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT931 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT930 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT929 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT928 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT927 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT926 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT925 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT924 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT923 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT922 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT921 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT920 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT919 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT918 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT917 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT916 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT915 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT914 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT913 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT912 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT911 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT910 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT909 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT908 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT907 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT906 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT905 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT904 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT903 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT902 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT901 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT900 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN3 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN3 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT3 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT896 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT897 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT898 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT899 ; +wire \tx_datain[40]~input_o ; +wire \tx_datain[41]~input_o ; +wire \tx_datain[42]~input_o ; +wire \tx_datain[43]~input_o ; +wire \tx_datain[44]~input_o ; +wire \tx_datain[45]~input_o ; +wire \tx_datain[46]~input_o ; +wire \tx_datain[47]~input_o ; +wire \tx_datain[48]~input_o ; +wire \tx_datain[49]~input_o ; +wire \tx_datain[50]~input_o ; +wire \tx_datain[51]~input_o ; +wire \tx_datain[52]~input_o ; +wire \tx_datain[53]~input_o ; +wire \tx_datain[54]~input_o ; +wire \tx_datain[55]~input_o ; +wire \tx_datain[56]~input_o ; +wire \tx_datain[57]~input_o ; +wire \tx_datain[58]~input_o ; +wire \tx_datain[59]~input_o ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT895 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT894 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT893 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT892 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT891 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT890 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT889 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT888 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT887 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT886 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT885 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT884 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT883 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT882 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT881 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT880 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT879 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT878 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT877 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT876 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT875 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT874 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT873 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT872 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT871 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT870 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT869 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT868 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT867 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT866 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT865 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT864 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT863 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT862 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT861 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT860 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT859 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT858 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT857 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT856 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT855 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT854 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT853 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT852 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT851 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT850 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT849 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT848 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT847 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT846 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT845 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT844 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT843 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT842 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT841 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT840 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT839 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT838 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT837 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT836 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT835 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT834 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT833 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT832 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT831 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT830 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT829 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT828 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT827 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT826 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT825 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT824 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT823 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT822 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT821 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT820 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT819 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT818 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT817 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT816 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT815 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT814 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT813 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT812 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT811 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT810 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT809 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT808 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT807 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT806 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT805 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT804 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT803 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT802 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT801 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT800 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT799 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT798 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT797 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT796 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT795 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT794 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT793 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT792 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT791 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT790 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT789 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT788 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT787 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT786 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT785 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT784 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT783 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT782 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT781 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT780 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT779 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT778 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT777 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT776 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT775 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT774 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT773 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT772 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT771 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT770 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT769 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT768 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT767 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT766 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT765 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT764 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT763 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT762 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT761 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT760 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT759 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT758 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT757 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT756 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT755 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT754 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT753 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT752 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT751 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT750 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT749 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT748 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT747 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT746 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT745 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT744 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT743 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT742 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT741 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT740 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT739 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT738 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT737 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT736 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT735 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT734 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT733 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT732 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT731 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT730 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT729 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT728 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT727 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT726 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT725 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT724 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT723 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT722 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT721 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT720 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT719 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT718 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT717 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT716 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT715 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT714 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT713 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT712 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT711 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT710 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT709 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT708 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT707 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT706 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT705 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT704 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT703 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT702 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT701 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT700 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT699 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT698 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT697 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT696 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT695 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT694 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT693 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT692 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT691 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT690 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT689 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT688 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT687 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT686 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT685 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT684 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT683 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT682 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT681 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT680 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT679 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT678 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT677 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT676 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT675 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT674 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT673 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT672 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT671 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT670 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT669 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT668 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT667 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT666 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT665 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT664 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT663 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT662 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT661 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT660 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT659 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT658 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT657 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT656 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT655 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT654 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT653 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT652 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT651 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT650 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT649 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT648 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT647 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT646 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT645 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT644 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT643 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT642 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT641 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT640 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT639 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT638 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT637 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT636 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT635 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT634 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT633 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT632 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT631 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT630 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT629 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT628 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT627 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT626 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT625 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT624 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT623 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT622 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT621 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT620 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT619 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT618 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT617 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT616 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT615 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT614 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT613 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT612 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT611 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT610 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT609 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT608 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT607 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT606 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT605 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT604 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT603 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT602 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT601 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT600 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN2 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN2 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT2 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT596 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT597 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT598 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT599 ; +wire \tx_datain[20]~input_o ; +wire \tx_datain[21]~input_o ; +wire \tx_datain[22]~input_o ; +wire \tx_datain[23]~input_o ; +wire \tx_datain[24]~input_o ; +wire \tx_datain[25]~input_o ; +wire \tx_datain[26]~input_o ; +wire \tx_datain[27]~input_o ; +wire \tx_datain[28]~input_o ; +wire \tx_datain[29]~input_o ; +wire \tx_datain[30]~input_o ; +wire \tx_datain[31]~input_o ; +wire \tx_datain[32]~input_o ; +wire \tx_datain[33]~input_o ; +wire \tx_datain[34]~input_o ; +wire \tx_datain[35]~input_o ; +wire \tx_datain[36]~input_o ; +wire \tx_datain[37]~input_o ; +wire \tx_datain[38]~input_o ; +wire \tx_datain[39]~input_o ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT595 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT594 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT593 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT592 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT591 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT590 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT589 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT588 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT587 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT586 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT585 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT584 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT583 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT582 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT581 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT580 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT579 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT578 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT577 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT576 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT575 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT574 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT573 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT572 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT571 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT570 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT569 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT568 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT567 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT566 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT565 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT564 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT563 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT562 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT561 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT560 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT559 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT558 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT557 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT556 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT555 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT554 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT553 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT552 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT551 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT550 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT549 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT548 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT547 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT546 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT545 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT544 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT543 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT542 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT541 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT540 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT539 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT538 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT537 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT536 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT535 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT534 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT533 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT532 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT531 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT530 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT529 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT528 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT527 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT526 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT525 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT524 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT523 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT522 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT521 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT520 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT519 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT518 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT517 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT516 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT515 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT514 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT513 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT512 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT511 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT510 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT509 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT508 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT507 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT506 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT505 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT504 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT503 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT502 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT501 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT500 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT499 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT498 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT497 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT496 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT495 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT494 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT493 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT492 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT491 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT490 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT489 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT488 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT487 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT486 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT485 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT484 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT483 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT482 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT481 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT480 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT479 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT478 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT477 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT476 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT475 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT474 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT473 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT472 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT471 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT470 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT469 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT468 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT467 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT466 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT465 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT464 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT463 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT462 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT461 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT460 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT459 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT458 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT457 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT456 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT455 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT454 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT453 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT452 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT451 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT450 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT449 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT448 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT447 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT446 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT445 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT444 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT443 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT442 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT441 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT440 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT439 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT438 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT437 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT436 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT435 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT434 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT433 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT432 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT431 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT430 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT429 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT428 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT427 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT426 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT425 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT424 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT423 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT422 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT421 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT420 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT419 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT418 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT417 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT416 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT415 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT414 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT413 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT412 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT411 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT410 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT409 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT408 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT407 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT406 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT405 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT404 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT403 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT402 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT401 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT400 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT399 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT398 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT397 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT396 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT395 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT394 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT393 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT392 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT391 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT390 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT389 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT388 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT387 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT386 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT385 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT384 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT383 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT382 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT381 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT380 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT379 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT378 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT377 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT376 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT375 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT374 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT373 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT372 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT371 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT370 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT369 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT368 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT367 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT366 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT365 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT364 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT363 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT362 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT361 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT360 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT359 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT358 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT357 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT356 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT355 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT354 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT353 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT352 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT351 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT350 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT349 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT348 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT347 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT346 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT345 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT344 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT343 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT342 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT341 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT340 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT339 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT338 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT337 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT336 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT335 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT334 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT333 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT332 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT331 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT330 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT329 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT328 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT327 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT326 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT325 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT324 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT323 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT322 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT321 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT320 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT319 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT318 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT317 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT316 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT315 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT314 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT313 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT312 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT311 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT310 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT309 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT308 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT307 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT306 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT305 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT304 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT303 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT302 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT301 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT300 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT295 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT296 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT297 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT298 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT299 ; +wire \tx_datain[0]~input_o ; +wire \tx_datain[1]~input_o ; +wire \tx_datain[2]~input_o ; +wire \tx_datain[3]~input_o ; +wire \tx_datain[4]~input_o ; +wire \tx_datain[5]~input_o ; +wire \tx_datain[6]~input_o ; +wire \tx_datain[7]~input_o ; +wire \tx_datain[8]~input_o ; +wire \tx_datain[9]~input_o ; +wire \tx_datain[10]~input_o ; +wire \tx_datain[11]~input_o ; +wire \tx_datain[12]~input_o ; +wire \tx_datain[13]~input_o ; +wire \tx_datain[14]~input_o ; +wire \tx_datain[15]~input_o ; +wire \tx_datain[16]~input_o ; +wire \tx_datain[17]~input_o ; +wire \tx_datain[18]~input_o ; +wire \tx_datain[19]~input_o ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT294 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT293 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT292 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT291 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT290 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT289 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT288 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT287 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT286 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT285 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT284 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT283 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT282 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT281 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT280 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT279 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT278 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT277 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT276 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT275 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT274 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT273 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT272 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT271 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT270 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT269 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT268 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT267 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT266 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT265 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT264 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT263 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT262 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT261 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT260 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT259 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT258 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT257 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT256 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT255 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT254 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT253 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT252 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT251 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT250 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT249 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT248 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT247 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT246 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT245 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT244 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT243 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT242 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT241 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT240 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT239 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT238 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT237 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT236 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT235 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT234 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT233 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT232 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT231 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT230 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT229 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT228 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT227 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT226 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT225 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT224 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT223 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT222 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT221 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT220 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT219 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT218 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT217 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT216 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT215 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT214 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT213 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT212 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT211 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT210 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT209 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT208 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT207 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT206 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT205 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT204 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT203 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT202 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT201 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT200 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT199 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT198 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT197 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT196 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT195 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT194 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT193 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT192 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT191 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT190 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT189 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT188 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT187 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT186 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT185 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT184 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT183 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT182 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT181 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT180 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT179 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT178 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT177 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT176 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT175 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT174 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT173 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT172 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT171 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT170 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT169 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT168 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT167 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT166 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT165 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT164 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT163 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT162 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT161 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT160 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT159 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT158 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT157 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT156 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT155 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT154 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT153 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT152 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT151 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT150 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT149 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT148 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT147 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT146 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT145 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT144 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT143 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT142 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT141 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT140 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT139 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT138 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT137 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT136 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT135 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT134 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT133 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT132 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT131 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT130 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT129 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT128 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT127 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT126 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT125 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT124 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT123 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT122 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT121 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT120 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT119 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT118 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT117 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT116 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT115 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT114 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT113 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT112 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT111 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT110 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT109 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT108 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT107 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT106 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT105 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT104 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT103 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT102 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT101 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT100 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT99 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT98 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT97 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT96 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT95 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT94 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT93 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT92 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT91 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT90 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT89 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT88 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT87 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT86 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT85 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT84 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT83 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT82 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT81 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT80 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT79 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT78 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT77 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT76 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT75 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT74 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT73 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT72 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT71 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT70 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT69 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT68 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT67 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT66 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT65 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT64 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT63 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT62 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT61 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT60 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT59 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT58 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT57 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT56 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT55 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT54 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT53 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT52 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT51 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT50 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT49 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT48 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT47 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT46 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT45 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT44 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT43 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT42 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT41 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT40 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT39 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT38 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT37 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT36 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT35 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT34 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT33 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT32 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT31 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT30 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT29 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT28 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT27 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT26 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT25 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT24 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT23 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT22 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT21 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT20 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT19 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT18 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT17 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT16 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT15 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT14 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT13 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT12 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT11 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT10 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT9 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT8 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT7 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT6 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT5 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT4 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT3 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT2 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT0 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN0 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN0 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT0 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1197 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1198 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1199 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1196 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1195 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1194 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1193 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1192 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1191 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1190 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1189 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1188 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1187 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1186 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1185 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1184 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1183 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1182 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1181 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1180 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1179 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1178 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1177 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1176 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1175 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1174 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1173 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1172 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1171 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1170 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1169 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1168 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1167 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1166 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1165 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1164 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1163 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1162 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1161 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1160 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1159 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1158 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1157 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1156 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1155 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1154 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1153 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1152 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1151 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1150 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1149 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1148 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1147 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1146 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1145 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1144 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1143 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1142 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1141 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1140 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1139 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1138 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1137 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1136 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1135 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1134 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1133 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1132 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1131 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1130 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1129 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1128 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1127 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1126 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1125 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1124 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1123 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1122 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1121 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1120 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1119 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1118 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1117 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1116 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1115 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1114 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1113 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1112 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1111 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1110 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1109 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1108 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1107 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1106 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1105 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1104 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1103 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1102 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1101 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1100 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1099 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1098 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1097 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1096 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1095 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1094 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1093 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1092 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1091 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1090 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1089 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1088 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1087 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1086 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1085 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1084 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1083 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1082 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1081 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1080 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1079 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1078 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1077 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1076 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1075 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1074 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1073 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1072 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1071 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1070 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1069 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1068 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1067 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1066 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1065 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1064 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1063 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1062 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1061 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1060 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1059 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1058 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1057 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1056 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1055 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1054 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1053 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1052 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1051 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1050 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1049 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1048 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1047 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1046 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1045 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1044 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1043 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1042 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1041 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1040 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1039 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1038 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1037 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1036 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1035 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1034 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1033 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1032 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1031 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1030 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1029 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1028 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1027 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1026 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1025 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1024 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1023 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1022 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1021 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1020 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1019 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1018 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1017 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1016 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1015 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1014 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1013 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1012 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1011 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1010 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1009 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1008 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1007 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1006 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1005 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1004 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1003 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1002 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1001 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1000 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT999 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT998 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT997 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT996 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT995 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT994 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT993 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT992 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT991 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT990 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT989 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT988 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT987 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT986 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT985 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT984 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT983 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT982 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT981 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT980 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT979 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT978 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT977 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT976 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT975 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT974 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT973 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT972 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT971 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT970 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT969 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT968 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT967 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT966 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT965 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT964 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT963 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT962 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT961 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT960 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT959 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT958 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT957 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT956 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT955 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT954 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT953 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT952 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT951 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT950 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT949 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT948 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT947 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT946 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT945 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT944 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT943 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT942 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT941 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT940 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT939 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT938 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT937 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT936 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT935 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT934 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT933 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT932 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT931 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT930 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT929 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT928 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT927 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT926 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT925 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT924 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT923 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT922 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT921 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT920 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT919 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT918 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT917 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT916 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT915 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT914 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT913 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT912 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT911 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT910 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT909 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT908 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT907 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT906 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT905 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT904 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT903 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT902 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT901 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT900 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT897 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT898 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT899 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN2 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT2 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT896 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT895 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT894 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT893 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT892 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT891 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT890 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT889 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT888 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT887 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT886 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT885 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT884 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT883 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT882 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT881 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT880 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT879 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT878 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT877 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT876 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT875 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT874 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT873 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT872 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT871 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT870 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT869 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT868 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT867 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT866 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT865 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT864 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT863 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT862 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT861 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT860 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT859 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT858 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT857 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT856 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT855 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT854 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT853 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT852 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT851 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT850 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT849 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT848 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT847 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT846 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT845 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT844 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT843 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT842 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT841 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT840 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT839 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT838 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT837 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT836 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT835 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT834 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT833 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT832 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT831 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT830 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT829 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT828 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT827 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT826 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT825 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT824 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT823 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT822 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT821 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT820 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT819 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT818 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT817 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT816 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT815 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT814 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT813 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT812 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT811 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT810 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT809 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT808 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT807 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT806 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT805 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT804 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT803 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT802 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT801 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT800 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT799 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT798 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT797 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT796 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT795 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT794 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT793 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT792 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT791 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT790 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT789 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT788 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT787 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT786 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT785 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT784 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT783 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT782 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT781 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT780 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT779 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT778 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT777 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT776 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT775 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT774 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT773 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT772 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT771 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT770 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT769 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT768 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT767 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT766 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT765 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT764 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT763 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT762 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT761 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT760 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT759 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT758 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT757 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT756 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT755 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT754 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT753 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT752 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT751 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT750 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT749 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT748 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT747 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT746 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT745 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT744 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT743 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT742 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT741 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT740 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT739 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT738 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT737 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT736 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT735 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT734 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT733 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT732 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT731 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT730 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT729 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT728 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT727 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT726 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT725 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT724 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT723 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT722 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT721 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT720 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT719 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT718 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT717 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT716 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT715 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT714 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT713 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT712 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT711 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT710 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT709 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT708 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT707 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT706 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT705 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT704 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT703 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT702 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT701 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT700 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT699 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT698 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT697 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT696 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT695 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT694 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT693 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT692 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT691 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT690 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT689 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT688 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT687 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT686 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT685 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT684 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT683 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT682 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT681 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT680 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT679 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT678 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT677 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT676 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT675 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT674 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT673 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT672 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT671 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT670 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT669 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT668 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT667 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT666 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT665 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT664 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT663 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT662 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT661 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT660 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT659 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT658 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT657 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT656 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT655 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT654 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT653 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT652 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT651 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT650 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT649 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT648 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT647 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT646 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT645 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT644 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT643 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT642 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT641 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT640 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT639 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT638 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT637 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT636 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT635 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT634 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT633 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT632 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT631 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT630 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT629 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT628 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT627 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT626 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT625 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT624 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT623 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT622 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT621 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT620 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT619 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT618 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT617 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT616 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT615 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT614 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT613 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT612 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT611 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT610 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT609 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT608 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT607 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT606 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT605 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT604 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT603 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT602 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT601 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT600 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT2 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN2 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT597 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT598 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT599 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT596 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT595 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT594 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT593 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT592 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT591 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT590 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT589 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT588 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT587 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT586 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT585 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT584 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT583 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT582 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT581 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT580 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT579 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT578 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT577 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT576 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT575 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT574 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT573 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT572 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT571 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT570 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT569 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT568 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT567 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT566 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT565 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT564 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT563 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT562 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT561 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT560 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT559 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT558 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT557 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT556 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT555 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT554 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT553 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT552 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT551 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT550 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT549 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT548 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT547 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT546 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT545 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT544 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT543 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT542 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT541 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT540 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT539 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT538 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT537 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT536 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT535 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT534 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT533 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT532 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT531 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT530 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT529 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT528 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT527 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT526 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT525 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT524 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT523 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT522 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT521 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT520 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT519 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT518 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT517 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT516 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT515 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT514 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT513 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT512 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT511 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT510 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT509 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT508 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT507 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT506 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT505 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT504 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT503 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT502 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT501 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT500 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT499 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT498 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT497 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT496 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT495 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT494 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT493 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT492 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT491 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT490 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT489 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT488 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT487 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT486 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT485 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT484 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT483 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT482 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT481 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT480 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT479 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT478 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT477 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT476 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT475 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT474 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT473 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT472 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT471 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT470 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT469 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT468 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT467 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT466 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT465 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT464 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT463 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT462 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT461 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT460 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT459 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT458 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT457 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT456 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT455 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT454 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT453 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT452 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT451 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT450 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT449 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT448 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT447 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT446 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT445 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT444 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT443 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT442 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT441 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT440 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT439 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT438 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT437 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT436 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT435 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT434 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT433 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT432 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT431 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT430 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT429 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT428 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT427 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT426 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT425 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT424 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT423 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT422 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT421 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT420 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT419 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT418 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT417 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT416 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT415 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT414 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT413 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT412 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT411 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT410 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT409 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT408 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT407 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT406 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT405 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT404 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT403 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT402 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT401 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT400 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT399 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT398 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT397 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT396 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT395 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT394 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT393 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT392 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT391 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT390 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT389 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT388 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT387 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT386 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT385 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT384 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT383 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT382 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT381 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT380 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT379 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT378 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT377 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT376 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT375 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT374 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT373 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT372 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT371 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT370 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT369 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT368 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT367 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT366 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT365 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT364 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT363 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT362 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT361 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT360 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT359 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT358 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT357 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT356 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT355 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT354 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT353 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT352 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT351 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT350 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT349 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT348 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT347 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT346 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT345 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT344 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT343 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT342 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT341 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT340 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT339 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT338 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT337 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT336 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT335 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT334 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT333 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT332 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT331 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT330 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT329 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT328 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT327 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT326 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT325 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT324 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT323 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT322 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT321 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT320 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT319 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT318 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT317 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT316 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT315 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT314 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT313 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT312 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT311 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT310 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT309 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT308 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT307 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT306 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT305 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT304 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT303 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT302 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT301 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT300 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT297 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT298 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT299 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN0 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT0 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT296 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT295 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT294 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT293 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT292 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT291 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT290 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT289 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT288 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT287 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT286 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT285 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT284 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT283 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT282 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT281 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT280 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT279 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT278 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT277 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT276 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT275 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT274 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT273 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT272 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT271 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT270 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT269 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT268 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT267 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT266 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT265 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT264 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT263 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT262 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT261 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT260 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT259 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT258 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT257 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT256 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT255 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT254 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT253 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT252 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT251 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT250 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT249 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT248 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT247 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT246 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT245 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT244 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT243 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT242 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT241 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT240 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT239 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT238 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT237 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT236 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT235 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT234 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT233 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT232 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT231 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT230 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT229 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT228 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT227 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT226 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT225 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT224 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT223 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT222 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT221 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT220 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT219 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT218 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT217 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT216 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT215 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT214 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT213 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT212 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT211 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT210 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT209 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT208 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT207 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT206 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT205 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT204 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT203 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT202 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT201 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT200 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT199 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT198 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT197 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT196 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT195 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT194 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT193 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT192 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT191 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT190 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT189 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT188 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT187 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT186 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT185 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT184 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT183 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT182 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT181 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT180 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT179 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT178 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT177 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT176 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT175 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT174 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT173 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT172 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT171 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT170 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT169 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT168 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT167 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT166 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT165 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT164 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT163 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT162 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT161 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT160 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT159 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT158 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT157 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT156 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT155 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT154 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT153 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT152 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT151 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT150 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT149 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT148 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT147 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT146 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT145 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT144 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT143 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT142 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT141 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT140 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT139 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT138 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT137 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT136 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT135 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT134 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT133 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT132 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT131 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT130 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT129 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT128 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT127 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT126 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT125 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT124 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT123 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT122 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT121 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT120 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT119 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT118 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT117 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT116 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT115 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT114 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT113 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT112 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT111 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT110 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT109 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT108 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT107 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT106 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT105 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT104 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT103 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT102 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT101 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT100 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT99 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT98 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT97 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT96 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT95 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT94 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT93 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT92 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT91 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT90 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT89 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT88 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT87 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT86 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT85 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT84 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT83 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT82 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT81 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT80 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT79 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT78 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT77 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT76 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT75 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT74 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT73 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT72 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT71 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT70 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT69 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT68 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT67 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT66 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT65 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT64 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT63 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT62 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT61 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT60 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT59 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT58 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT57 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT56 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT55 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT54 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT53 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT52 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT51 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT50 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT49 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT48 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT47 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT46 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT45 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT44 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT43 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT42 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT41 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT40 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT39 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT38 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT37 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT36 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT35 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT34 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT33 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT32 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT31 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT30 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT29 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT28 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT27 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT26 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT25 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT24 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT23 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT22 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT21 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT20 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT19 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT18 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT17 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT16 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT15 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT14 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT13 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT12 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT11 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT10 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT9 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT8 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT7 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT6 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT5 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT4 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT3 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT2 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT0 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT0 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN0 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT3 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN3 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT3 ; +wire \pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN3 ; +wire \~QUARTUS_CREATED_GND~I_combout ; +wire \pma_direct|auto_generated|wire_transmit_pma0_dataout ; +wire \pma_direct|auto_generated|wire_transmit_pma1_dataout ; +wire \pma_direct|auto_generated|wire_transmit_pma2_dataout ; +wire \pma_direct|auto_generated|wire_transmit_pma3_dataout ; +wire \pma_direct|auto_generated|wire_transmit_pma0_clockout ; +wire \pma_direct|auto_generated|wire_transmit_pma0_clockout~clkctrl_outclk ; +wire \pma_direct|auto_generated|wire_transmit_pma1_clockout ; +wire \pma_direct|auto_generated|wire_transmit_pma1_clockout~clkctrl_outclk ; +wire \pma_direct|auto_generated|wire_transmit_pma2_clockout ; +wire \pma_direct|auto_generated|wire_transmit_pma2_clockout~clkctrl_outclk ; +wire \pma_direct|auto_generated|wire_transmit_pma3_clockout ; +wire \pma_direct|auto_generated|wire_transmit_pma3_clockout~clkctrl_outclk ; +wire \pma_direct|auto_generated|wire_receive_pma0_clockout ; +wire \pma_direct|auto_generated|wire_receive_pma0_clockout~clkctrl_outclk ; +wire \pma_direct|auto_generated|wire_receive_pma1_clockout ; +wire \pma_direct|auto_generated|wire_receive_pma1_clockout~clkctrl_outclk ; +wire \pma_direct|auto_generated|wire_receive_pma2_clockout ; +wire \pma_direct|auto_generated|wire_receive_pma2_clockout~clkctrl_outclk ; +wire \pma_direct|auto_generated|wire_receive_pma3_clockout ; +wire \pma_direct|auto_generated|wire_receive_pma3_clockout~clkctrl_outclk ; +wire \pma_direct|auto_generated|wire_rx_cdr_pll0_freqlocked ; +wire \pma_direct|auto_generated|wire_rx_cdr_pll1_freqlocked ; +wire \pma_direct|auto_generated|wire_rx_cdr_pll2_freqlocked ; +wire \pma_direct|auto_generated|wire_rx_cdr_pll3_freqlocked ; +wire [1199:0] \pma_direct|auto_generated|cent_unit_txpmadprioin ; +wire [0:0] \pma_direct|auto_generated|edge_cmu_clkdivpowerdn ; +wire [0:0] \pma_direct|auto_generated|edge_pll_analogrefclkpulse ; +wire [299:0] \pma_direct|auto_generated|edge_pll_cmuplldprioout ; +wire [299:0] \pma_direct|auto_generated|edge_pll_dprioin ; +wire [0:0] \pma_direct|auto_generated|edge_pllpowerdn_in ; +wire [7199:0] \pma_direct|auto_generated|pll_cmuplldprioout ; +wire [63:0] \pma_direct|auto_generated|wire_receive_pma0_recoverdataout ; +wire [7:0] \pma_direct|auto_generated|wire_receive_pma1_analogtestbus ; +wire [0:0] \pma_direct|auto_generated|edge_cmu_dpriodisableout ; +wire [0:0] \pma_direct|auto_generated|edge_cmu_quadresetout ; +wire [1:0] \pma_direct|auto_generated|edge_pll_analogfastrefclkout ; +wire [1:0] \pma_direct|auto_generated|edge_pll_analogrefclkout ; +wire [0:0] \pma_direct|auto_generated|edge_pllreset_in ; +wire [3:0] \pma_direct|auto_generated|nonusertocmu_out ; +wire [7:0] \pma_direct|auto_generated|pll_ch_dataout_wire ; +wire [3:0] \pma_direct|auto_generated|rx_locktodata_wire ; +wire [3:0] \pma_direct|auto_generated|rx_pll_locked ; +wire [3:0] \pma_direct|auto_generated|rx_pll_pfdrefclkout_wire ; +wire [3:0] \pma_direct|auto_generated|rx_plllocked_wire ; +wire [3:0] \pma_direct|auto_generated|rx_pma_dataout ; +wire [3:0] \pma_direct|auto_generated|rx_pma_locktorefout ; +wire [63:0] \pma_direct|auto_generated|wire_receive_pma1_recoverdataout ; +wire [63:0] \pma_direct|auto_generated|wire_receive_pma2_recoverdataout ; +wire [7:0] \pma_direct|auto_generated|wire_receive_pma3_analogtestbus ; +wire [63:0] \pma_direct|auto_generated|wire_receive_pma3_recoverdataout ; +wire [1799:0] \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout ; +wire [1199:0] \pma_direct|auto_generated|cent_unit_rxpmadprioin ; +wire [3:0] \pma_direct|auto_generated|clock_divider_clk0in ; +wire [599:0] \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin ; +wire [99:0] \pma_direct|auto_generated|edge_cmu_cmudividerdprioout ; +wire [0:0] \pma_direct|auto_generated|pll_locked ; +wire [0:0] \pma_direct|auto_generated|refclk_pma_wire ; +wire [23:0] \pma_direct|auto_generated|rx_analogreset_in ; +wire [15:0] \pma_direct|auto_generated|rx_deserclock_in ; +wire [3:0] \pma_direct|auto_generated|rx_freqlocked ; +wire [7:0] \pma_direct|auto_generated|wire_receive_pma0_analogtestbus ; +wire [7:0] \pma_direct|auto_generated|wire_receive_pma2_analogtestbus ; + +wire [1:0] \pma_direct|auto_generated|tx_pll_edge0_DATAOUT_bus ; +wire [3:0] \pma_direct|auto_generated|tx_pll_edge0_CLK_bus ; +wire [299:0] \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus ; +wire [299:0] \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus ; +wire [7:0] \pma_direct|auto_generated|receive_pma0_ANALOGTESTBUS_bus ; +wire [63:0] \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus ; +wire [299:0] \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus ; +wire [7:0] \pma_direct|auto_generated|receive_pma1_ANALOGTESTBUS_bus ; +wire [63:0] \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus ; +wire [299:0] \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus ; +wire [7:0] \pma_direct|auto_generated|receive_pma2_ANALOGTESTBUS_bus ; +wire [63:0] \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus ; +wire [299:0] \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus ; +wire [7:0] \pma_direct|auto_generated|receive_pma3_ANALOGTESTBUS_bus ; +wire [63:0] \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus ; +wire [299:0] \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus ; +wire [299:0] \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus ; +wire [299:0] \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus ; +wire [299:0] \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus ; +wire [1:0] \pma_direct|auto_generated|rx_cdr_pll0_DATAOUT_bus ; +wire [3:0] \pma_direct|auto_generated|rx_cdr_pll0_CLK_bus ; +wire [1:0] \pma_direct|auto_generated|rx_cdr_pll1_DATAOUT_bus ; +wire [3:0] \pma_direct|auto_generated|rx_cdr_pll1_CLK_bus ; +wire [1:0] \pma_direct|auto_generated|rx_cdr_pll2_DATAOUT_bus ; +wire [3:0] \pma_direct|auto_generated|rx_cdr_pll2_CLK_bus ; +wire [1:0] \pma_direct|auto_generated|rx_cdr_pll3_DATAOUT_bus ; +wire [3:0] \pma_direct|auto_generated|rx_cdr_pll3_CLK_bus ; +wire [299:0] \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus ; +wire [1:0] \pma_direct|auto_generated|tx_pll_cent_unit0_PLLRESETOUT_bus ; +wire [5:0] \pma_direct|auto_generated|tx_pll_cent_unit0_TXANALOGRESETOUT_bus ; +wire [5:0] \pma_direct|auto_generated|tx_pll_cent_unit0_RXANALOGRESETOUT_bus ; +wire [1799:0] \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus ; +wire [599:0] \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus ; +wire [1799:0] \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus ; +wire [1799:0] \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus ; +wire [5:0] \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRURESETOUT_bus ; +wire [5:0] \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRUPOWERDOWN_bus ; +wire [5:0] \pma_direct|auto_generated|tx_pll_cent_unit0_RXIBPOWERDOWN_bus ; +wire [5:0] \pma_direct|auto_generated|tx_pll_cent_unit0_TXOBPOWERDOWN_bus ; +wire [5:0] \pma_direct|auto_generated|tx_pll_cent_unit0_TXDETECTRXPOWERDOWN_bus ; +wire [1:0] \pma_direct|auto_generated|tx_pll_cent_unit0_CLKDIVPOWERDN_bus ; +wire [1:0] \pma_direct|auto_generated|tx_pll_cent_unit0_PLLPOWERDN_bus ; +wire [4:0] \pma_direct|auto_generated|cal_blk0_CALIBRATIONSTATUS_bus ; +wire [99:0] \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus ; +wire [1:0] \pma_direct|auto_generated|central_clk_div0_ANALOGREFCLKOUT_bus ; +wire [1:0] \pma_direct|auto_generated|central_clk_div0_ANALOGFASTREFCLKOUT_bus ; + +assign \pma_direct|auto_generated|tx_pll_edge0~DATAOUT0 = \pma_direct|auto_generated|tx_pll_edge0_DATAOUT_bus [0]; +assign \pma_direct|auto_generated|tx_pll_edge0~DATAOUT1 = \pma_direct|auto_generated|tx_pll_edge0_DATAOUT_bus [1]; + +assign \pma_direct|auto_generated|clock_divider_clk0in [0] = \pma_direct|auto_generated|tx_pll_edge0_CLK_bus [0]; +assign \pma_direct|auto_generated|clock_divider_clk0in [1] = \pma_direct|auto_generated|tx_pll_edge0_CLK_bus [1]; +assign \pma_direct|auto_generated|clock_divider_clk0in [2] = \pma_direct|auto_generated|tx_pll_edge0_CLK_bus [2]; +assign \pma_direct|auto_generated|clock_divider_clk0in [3] = \pma_direct|auto_generated|tx_pll_edge0_CLK_bus [3]; + +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [0] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [1] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [2] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [3] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [4] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [5] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [6] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [7] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [8] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [9] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [10] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [11] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [12] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [13] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [14] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [15] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [16] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [17] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [18] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [19] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [20] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [21] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [22] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [23] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [24] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [25] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [26] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [27] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [28] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [29] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [30] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [31] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [32] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [33] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [34] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [35] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [36] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [37] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [38] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [39] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [40] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [41] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [42] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [43] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [44] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [45] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [46] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [47] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [48] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [49] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [50] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [51] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [52] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [53] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [54] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [55] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [56] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [57] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [58] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [59] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [60] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [61] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [62] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [63] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [64] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [65] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [66] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [67] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [68] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [69] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [70] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [71] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [72] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [73] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [74] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [75] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [76] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [77] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [78] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [79] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [80] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [81] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [82] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [83] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [84] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [85] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [86] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [87] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [88] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [89] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [90] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [91] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [92] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [93] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [94] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [95] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [96] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [97] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [98] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [99] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [100] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [101] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [102] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [103] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [104] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [105] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [106] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [107] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [108] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [109] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [110] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [111] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [112] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [113] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [114] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [115] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [116] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [117] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [118] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [119] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [120] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [121] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [122] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [123] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [124] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [125] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [126] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [127] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [128] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [129] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [130] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [131] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [132] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [133] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [134] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [135] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [136] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [137] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [138] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [139] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [140] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [141] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [142] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [143] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [144] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [145] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [146] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [147] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [148] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [149] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [150] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [151] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [152] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [153] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [154] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [155] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [156] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [157] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [158] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [159] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [160] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [161] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [162] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [163] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [164] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [165] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [166] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [167] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [168] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [169] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [170] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [171] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [172] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [173] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [174] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [175] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [176] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [177] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [178] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [179] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [180] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [181] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [182] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [183] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [184] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [185] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [186] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [187] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [188] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [189] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [190] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [191] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [192] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [193] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [194] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [195] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [196] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [197] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [198] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [199] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [200] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [201] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [202] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [203] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [204] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [205] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [206] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [207] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [208] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [209] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [210] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [211] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [212] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [213] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [214] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [215] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [216] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [217] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [218] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [219] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [220] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [221] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [222] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [223] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [224] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [225] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [226] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [227] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [228] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [229] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [230] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [231] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [232] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [233] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [234] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [235] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [236] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [237] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [238] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [239] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [240] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [241] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [242] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [243] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [244] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [245] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [246] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [247] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [248] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [249] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [250] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [251] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [252] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [253] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [254] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [255] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [256] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [257] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [258] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [259] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [260] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [261] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [262] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [263] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [264] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [265] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [266] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [267] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [268] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [269] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [270] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [271] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [272] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [273] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [274] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [275] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [276] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [277] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [278] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [279] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [280] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [281] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [282] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [283] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [284] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [285] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [286] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [287] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [288] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [289] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [290] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [291] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [292] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [293] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [294] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [295] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [296] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [297] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [298] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|edge_pll_cmuplldprioout [299] = \pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [0] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [2] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [3] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [4] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [5] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [6] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [7] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [8] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [9] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [10] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [11] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [12] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [13] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [14] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [15] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [16] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [17] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [18] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [19] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [20] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [21] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [22] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [23] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [24] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [25] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [26] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [27] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [28] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [29] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [30] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [31] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [32] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [33] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [34] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [35] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [36] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [37] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [38] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [39] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [40] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [41] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [42] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [43] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [44] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [45] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [46] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [47] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [48] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [49] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [50] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [51] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [52] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [53] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [54] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [55] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [56] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [57] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [58] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [59] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [60] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [61] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [62] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [63] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [64] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [65] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [66] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [67] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [68] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [69] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [70] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [71] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [72] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [73] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [74] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [75] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [76] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [77] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [78] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [79] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [80] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [81] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [82] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [83] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [84] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [85] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [86] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [87] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [88] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [89] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [90] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [91] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [92] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [93] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [94] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [95] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [96] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [97] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [98] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [99] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [100] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [101] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [102] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [103] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [104] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [105] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [106] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [107] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [108] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [109] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [110] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [111] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [112] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [113] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [114] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [115] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [116] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [117] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [118] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [119] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [120] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [121] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [122] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [123] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [124] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [125] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [126] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [127] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [128] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [129] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [130] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [131] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [132] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [133] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [134] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [135] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [136] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [137] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [138] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [139] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [140] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [141] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [142] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [143] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [144] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [145] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [146] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [147] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [148] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [149] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [150] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [151] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [152] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [153] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [154] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [155] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [156] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [157] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [158] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [159] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [160] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [161] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [162] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [163] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [164] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [165] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [166] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [167] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [168] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [169] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [170] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [171] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [172] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [173] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [174] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [175] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [176] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [177] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [178] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [179] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [180] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [181] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [182] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [183] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [184] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [185] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [186] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [187] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [188] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [189] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [190] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [191] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [192] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [193] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [194] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [195] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [196] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [197] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [198] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [199] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [200] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [201] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [202] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [203] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [204] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [205] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [206] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [207] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [208] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [209] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [210] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [211] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [212] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [213] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [214] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [215] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [216] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [217] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [218] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [219] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [220] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [221] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [222] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [223] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [224] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [225] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [226] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [227] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [228] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [229] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [230] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [231] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [232] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [233] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [234] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [235] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [236] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [237] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [238] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [239] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [240] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [241] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [242] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [243] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [244] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [245] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [246] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [247] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [248] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [249] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [250] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [251] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [252] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [253] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [254] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [255] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [256] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [257] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [258] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [259] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [260] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [261] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [262] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [263] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [264] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [265] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [266] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [267] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [268] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [269] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [270] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [271] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [272] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [273] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [274] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [275] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [276] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [277] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [278] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [279] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [280] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [281] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [282] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [283] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [284] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [285] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [286] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [287] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [288] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [289] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [290] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [291] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [292] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [293] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [294] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [295] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [296] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [297] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [298] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [299] = \pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|wire_receive_pma0_analogtestbus [2] = \pma_direct|auto_generated|receive_pma0_ANALOGTESTBUS_bus [2]; +assign \pma_direct|auto_generated|wire_receive_pma0_analogtestbus [3] = \pma_direct|auto_generated|receive_pma0_ANALOGTESTBUS_bus [3]; +assign \pma_direct|auto_generated|wire_receive_pma0_analogtestbus [4] = \pma_direct|auto_generated|receive_pma0_ANALOGTESTBUS_bus [4]; +assign \pma_direct|auto_generated|wire_receive_pma0_analogtestbus [5] = \pma_direct|auto_generated|receive_pma0_ANALOGTESTBUS_bus [5]; + +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [0] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [0]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [1] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [1]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [2] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [2]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [3] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [3]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [4] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [4]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [5] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [5]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [6] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [6]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [7] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [7]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [8] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [8]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [9] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [9]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [10] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [10]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [11] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [11]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [12] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [12]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [13] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [13]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [14] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [14]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [15] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [15]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [16] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [16]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [17] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [17]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [18] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [18]; +assign \pma_direct|auto_generated|wire_receive_pma0_recoverdataout [19] = \pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus [19]; + +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [300] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [301] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [302] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [303] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [304] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [305] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [306] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [307] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [308] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [309] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [310] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [311] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [312] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [313] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [314] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [315] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [316] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [317] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [318] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [319] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [320] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [321] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [322] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [323] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [324] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [325] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [326] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [327] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [328] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [329] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [330] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [331] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [332] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [333] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [334] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [335] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [336] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [337] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [338] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [339] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [340] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [341] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [342] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [343] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [344] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [345] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [346] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [347] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [348] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [349] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [350] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [351] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [352] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [353] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [354] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [355] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [356] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [357] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [358] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [359] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [360] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [361] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [362] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [363] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [364] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [365] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [366] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [367] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [368] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [369] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [370] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [371] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [372] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [373] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [374] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [375] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [376] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [377] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [378] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [379] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [380] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [381] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [382] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [383] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [384] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [385] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [386] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [387] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [388] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [389] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [390] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [391] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [392] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [393] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [394] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [395] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [396] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [397] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [398] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [399] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [400] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [401] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [402] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [403] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [404] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [405] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [406] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [407] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [408] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [409] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [410] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [411] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [412] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [413] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [414] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [415] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [416] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [417] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [418] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [419] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [420] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [421] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [422] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [423] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [424] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [425] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [426] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [427] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [428] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [429] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [430] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [431] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [432] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [433] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [434] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [435] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [436] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [437] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [438] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [439] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [440] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [441] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [442] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [443] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [444] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [445] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [446] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [447] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [448] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [449] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [450] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [451] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [452] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [453] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [454] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [455] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [456] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [457] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [458] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [459] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [460] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [461] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [462] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [463] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [464] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [465] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [466] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [467] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [468] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [469] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [470] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [471] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [472] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [473] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [474] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [475] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [476] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [477] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [478] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [479] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [480] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [481] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [482] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [483] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [484] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [485] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [486] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [487] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [488] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [489] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [490] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [491] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [492] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [493] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [494] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [495] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [496] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [497] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [498] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [499] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [500] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [501] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [502] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [503] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [504] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [505] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [506] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [507] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [508] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [509] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [510] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [511] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [512] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [513] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [514] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [515] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [516] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [517] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [518] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [519] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [520] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [521] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [522] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [523] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [524] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [525] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [526] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [527] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [528] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [529] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [530] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [531] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [532] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [533] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [534] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [535] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [536] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [537] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [538] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [539] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [540] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [541] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [542] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [543] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [544] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [545] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [546] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [547] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [548] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [549] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [550] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [551] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [552] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [553] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [554] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [555] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [556] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [557] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [558] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [559] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [560] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [561] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [562] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [563] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [564] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [565] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [566] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [567] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [568] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [569] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [570] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [571] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [572] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [573] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [574] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [575] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [576] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [577] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [578] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [579] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [580] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [581] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [582] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [583] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [584] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [585] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [586] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [587] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [588] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [589] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [590] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [591] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [592] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [593] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [594] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [595] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [596] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [597] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [598] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [599] = \pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|wire_receive_pma1_analogtestbus [2] = \pma_direct|auto_generated|receive_pma1_ANALOGTESTBUS_bus [2]; +assign \pma_direct|auto_generated|wire_receive_pma1_analogtestbus [3] = \pma_direct|auto_generated|receive_pma1_ANALOGTESTBUS_bus [3]; +assign \pma_direct|auto_generated|wire_receive_pma1_analogtestbus [4] = \pma_direct|auto_generated|receive_pma1_ANALOGTESTBUS_bus [4]; +assign \pma_direct|auto_generated|wire_receive_pma1_analogtestbus [5] = \pma_direct|auto_generated|receive_pma1_ANALOGTESTBUS_bus [5]; + +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [0] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [0]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [1] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [1]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [2] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [2]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [3] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [3]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [4] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [4]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [5] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [5]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [6] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [6]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [7] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [7]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [8] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [8]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [9] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [9]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [10] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [10]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [11] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [11]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [12] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [12]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [13] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [13]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [14] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [14]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [15] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [15]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [16] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [16]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [17] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [17]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [18] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [18]; +assign \pma_direct|auto_generated|wire_receive_pma1_recoverdataout [19] = \pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus [19]; + +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [600] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [601] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [602] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [603] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [604] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [605] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [606] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [607] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [608] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [609] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [610] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [611] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [612] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [613] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [614] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [615] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [616] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [617] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [618] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [619] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [620] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [621] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [622] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [623] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [624] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [625] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [626] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [627] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [628] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [629] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [630] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [631] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [632] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [633] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [634] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [635] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [636] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [637] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [638] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [639] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [640] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [641] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [642] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [643] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [644] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [645] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [646] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [647] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [648] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [649] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [650] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [651] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [652] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [653] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [654] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [655] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [656] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [657] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [658] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [659] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [660] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [661] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [662] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [663] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [664] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [665] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [666] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [667] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [668] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [669] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [670] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [671] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [672] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [673] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [674] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [675] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [676] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [677] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [678] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [679] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [680] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [681] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [682] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [683] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [684] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [685] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [686] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [687] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [688] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [689] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [690] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [691] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [692] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [693] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [694] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [695] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [696] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [697] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [698] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [699] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [700] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [701] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [702] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [703] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [704] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [705] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [706] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [707] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [708] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [709] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [710] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [711] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [712] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [713] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [714] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [715] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [716] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [717] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [718] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [719] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [720] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [721] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [722] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [723] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [724] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [725] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [726] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [727] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [728] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [729] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [730] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [731] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [732] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [733] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [734] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [735] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [736] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [737] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [738] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [739] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [740] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [741] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [742] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [743] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [744] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [745] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [746] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [747] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [748] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [749] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [750] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [751] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [752] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [753] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [754] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [755] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [756] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [757] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [758] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [759] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [760] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [761] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [762] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [763] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [764] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [765] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [766] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [767] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [768] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [769] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [770] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [771] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [772] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [773] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [774] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [775] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [776] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [777] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [778] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [779] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [780] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [781] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [782] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [783] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [784] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [785] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [786] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [787] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [788] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [789] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [790] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [791] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [792] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [793] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [794] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [795] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [796] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [797] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [798] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [799] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [800] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [801] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [802] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [803] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [804] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [805] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [806] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [807] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [808] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [809] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [810] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [811] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [812] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [813] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [814] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [815] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [816] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [817] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [818] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [819] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [820] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [821] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [822] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [823] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [824] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [825] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [826] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [827] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [828] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [829] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [830] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [831] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [832] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [833] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [834] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [835] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [836] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [837] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [838] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [839] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [840] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [841] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [842] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [843] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [844] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [845] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [846] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [847] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [848] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [849] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [850] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [851] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [852] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [853] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [854] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [855] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [856] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [857] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [858] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [859] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [860] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [861] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [862] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [863] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [864] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [865] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [866] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [867] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [868] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [869] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [870] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [871] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [872] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [873] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [874] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [875] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [876] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [877] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [878] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [879] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [880] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [881] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [882] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [883] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [884] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [885] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [886] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [887] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [888] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [889] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [890] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [891] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [892] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [893] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [894] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [895] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [896] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [897] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [898] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [899] = \pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|wire_receive_pma2_analogtestbus [2] = \pma_direct|auto_generated|receive_pma2_ANALOGTESTBUS_bus [2]; +assign \pma_direct|auto_generated|wire_receive_pma2_analogtestbus [3] = \pma_direct|auto_generated|receive_pma2_ANALOGTESTBUS_bus [3]; +assign \pma_direct|auto_generated|wire_receive_pma2_analogtestbus [4] = \pma_direct|auto_generated|receive_pma2_ANALOGTESTBUS_bus [4]; +assign \pma_direct|auto_generated|wire_receive_pma2_analogtestbus [5] = \pma_direct|auto_generated|receive_pma2_ANALOGTESTBUS_bus [5]; + +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [0] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [0]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [1] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [1]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [2] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [2]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [3] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [3]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [4] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [4]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [5] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [5]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [6] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [6]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [7] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [7]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [8] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [8]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [9] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [9]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [10] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [10]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [11] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [11]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [12] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [12]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [13] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [13]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [14] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [14]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [15] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [15]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [16] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [16]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [17] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [17]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [18] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [18]; +assign \pma_direct|auto_generated|wire_receive_pma2_recoverdataout [19] = \pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus [19]; + +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [900] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [901] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [902] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [903] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [904] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [905] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [906] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [907] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [908] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [909] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [910] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [911] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [912] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [913] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [914] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [915] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [916] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [917] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [918] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [919] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [920] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [921] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [922] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [923] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [924] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [925] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [926] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [927] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [928] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [929] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [930] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [931] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [932] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [933] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [934] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [935] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [936] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [937] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [938] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [939] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [940] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [941] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [942] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [943] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [944] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [945] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [946] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [947] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [948] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [949] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [950] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [951] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [952] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [953] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [954] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [955] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [956] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [957] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [958] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [959] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [960] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [961] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [962] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [963] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [964] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [965] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [966] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [967] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [968] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [969] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [970] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [971] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [972] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [973] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [974] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [975] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [976] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [977] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [978] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [979] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [980] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [981] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [982] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [983] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [984] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [985] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [986] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [987] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [988] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [989] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [990] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [991] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [992] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [993] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [994] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [995] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [996] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [997] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [998] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [999] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1000] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1001] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1002] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1003] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1004] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1005] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1006] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1007] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1008] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1009] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1010] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1011] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1012] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1013] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1014] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1015] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1016] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1017] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1018] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1019] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1020] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1021] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1022] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1023] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1024] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1025] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1026] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1027] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1028] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1029] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1030] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1031] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1032] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1033] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1034] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1035] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1036] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1037] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1038] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1039] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1040] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1041] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1042] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1043] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1044] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1045] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1046] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1047] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1048] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1049] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1050] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1051] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1052] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1053] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1054] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1055] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1056] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1057] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1058] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1059] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1060] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1061] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1062] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1063] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1064] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1065] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1066] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1067] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1068] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1069] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1070] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1071] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1072] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1073] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1074] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1075] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1076] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1077] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1078] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1079] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1080] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1081] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1082] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1083] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1084] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1085] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1086] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1087] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1088] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1089] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1090] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1091] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1092] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1093] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1094] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1095] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1096] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1097] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1098] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1099] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1100] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1101] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1102] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1103] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1104] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1105] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1106] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1107] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1108] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1109] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1110] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1111] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1112] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1113] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1114] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1115] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1116] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1117] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1118] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1119] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1120] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1121] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1122] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1123] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1124] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1125] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1126] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1127] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1128] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1129] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1130] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1131] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1132] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1133] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1134] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1135] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1136] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1137] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1138] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1139] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1140] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1141] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1142] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1143] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1144] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1145] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1146] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1147] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1148] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1149] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1150] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1151] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1152] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1153] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1154] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1155] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1156] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1157] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1158] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1159] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1160] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1161] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1162] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1163] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1164] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1165] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1166] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1167] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1168] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1169] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1170] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1171] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1172] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1173] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1174] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1175] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1176] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1177] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1178] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1179] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1180] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1181] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1182] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1183] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1184] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1185] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1186] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1187] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1188] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1189] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1190] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1191] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1192] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1193] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1194] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1195] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1196] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1197] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1198] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|cent_unit_rxpmadprioin [1199] = \pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|wire_receive_pma3_analogtestbus [2] = \pma_direct|auto_generated|receive_pma3_ANALOGTESTBUS_bus [2]; +assign \pma_direct|auto_generated|wire_receive_pma3_analogtestbus [3] = \pma_direct|auto_generated|receive_pma3_ANALOGTESTBUS_bus [3]; +assign \pma_direct|auto_generated|wire_receive_pma3_analogtestbus [4] = \pma_direct|auto_generated|receive_pma3_ANALOGTESTBUS_bus [4]; +assign \pma_direct|auto_generated|wire_receive_pma3_analogtestbus [5] = \pma_direct|auto_generated|receive_pma3_ANALOGTESTBUS_bus [5]; + +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [0] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [0]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [1] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [1]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [2] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [2]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [3] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [3]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [4] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [4]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [5] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [5]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [6] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [6]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [7] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [7]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [8] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [8]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [9] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [9]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [10] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [10]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [11] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [11]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [12] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [12]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [13] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [13]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [14] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [14]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [15] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [15]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [16] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [16]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [17] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [17]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [18] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [18]; +assign \pma_direct|auto_generated|wire_receive_pma3_recoverdataout [19] = \pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus [19]; + +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [0] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [2] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [3] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [4] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [5] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [6] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [7] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [8] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [9] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [10] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [11] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [12] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [13] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [14] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [15] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [16] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [17] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [18] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [19] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [20] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [21] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [22] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [23] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [24] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [25] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [26] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [27] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [28] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [29] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [30] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [31] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [32] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [33] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [34] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [35] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [36] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [37] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [38] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [39] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [40] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [41] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [42] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [43] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [44] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [45] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [46] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [47] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [48] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [49] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [50] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [51] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [52] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [53] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [54] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [55] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [56] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [57] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [58] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [59] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [60] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [61] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [62] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [63] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [64] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [65] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [66] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [67] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [68] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [69] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [70] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [71] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [72] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [73] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [74] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [75] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [76] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [77] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [78] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [79] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [80] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [81] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [82] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [83] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [84] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [85] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [86] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [87] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [88] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [89] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [90] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [91] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [92] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [93] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [94] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [95] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [96] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [97] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [98] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [99] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [100] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [101] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [102] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [103] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [104] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [105] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [106] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [107] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [108] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [109] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [110] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [111] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [112] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [113] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [114] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [115] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [116] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [117] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [118] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [119] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [120] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [121] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [122] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [123] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [124] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [125] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [126] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [127] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [128] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [129] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [130] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [131] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [132] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [133] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [134] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [135] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [136] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [137] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [138] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [139] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [140] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [141] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [142] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [143] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [144] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [145] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [146] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [147] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [148] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [149] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [150] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [151] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [152] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [153] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [154] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [155] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [156] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [157] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [158] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [159] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [160] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [161] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [162] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [163] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [164] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [165] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [166] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [167] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [168] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [169] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [170] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [171] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [172] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [173] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [174] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [175] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [176] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [177] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [178] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [179] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [180] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [181] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [182] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [183] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [184] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [185] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [186] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [187] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [188] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [189] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [190] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [191] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [192] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [193] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [194] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [195] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [196] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [197] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [198] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [199] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [200] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [201] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [202] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [203] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [204] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [205] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [206] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [207] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [208] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [209] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [210] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [211] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [212] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [213] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [214] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [215] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [216] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [217] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [218] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [219] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [220] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [221] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [222] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [223] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [224] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [225] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [226] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [227] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [228] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [229] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [230] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [231] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [232] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [233] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [234] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [235] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [236] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [237] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [238] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [239] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [240] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [241] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [242] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [243] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [244] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [245] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [246] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [247] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [248] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [249] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [250] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [251] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [252] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [253] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [254] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [255] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [256] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [257] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [258] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [259] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [260] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [261] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [262] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [263] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [264] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [265] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [266] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [267] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [268] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [269] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [270] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [271] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [272] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [273] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [274] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [275] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [276] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [277] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [278] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [279] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [280] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [281] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [282] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [283] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [284] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [285] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [286] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [287] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [288] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [289] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [290] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [291] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [292] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [293] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [294] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [295] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [296] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [297] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [298] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [299] = \pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [300] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [301] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [302] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [303] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [304] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [305] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [306] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [307] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [308] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [309] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [310] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [311] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [312] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [313] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [314] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [315] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [316] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [317] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [318] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [319] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [320] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [321] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [322] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [323] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [324] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [325] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [326] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [327] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [328] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [329] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [330] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [331] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [332] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [333] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [334] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [335] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [336] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [337] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [338] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [339] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [340] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [341] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [342] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [343] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [344] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [345] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [346] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [347] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [348] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [349] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [350] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [351] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [352] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [353] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [354] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [355] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [356] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [357] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [358] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [359] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [360] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [361] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [362] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [363] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [364] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [365] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [366] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [367] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [368] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [369] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [370] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [371] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [372] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [373] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [374] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [375] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [376] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [377] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [378] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [379] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [380] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [381] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [382] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [383] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [384] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [385] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [386] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [387] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [388] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [389] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [390] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [391] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [392] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [393] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [394] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [395] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [396] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [397] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [398] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [399] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [400] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [401] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [402] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [403] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [404] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [405] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [406] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [407] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [408] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [409] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [410] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [411] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [412] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [413] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [414] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [415] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [416] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [417] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [418] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [419] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [420] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [421] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [422] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [423] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [424] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [425] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [426] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [427] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [428] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [429] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [430] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [431] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [432] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [433] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [434] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [435] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [436] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [437] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [438] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [439] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [440] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [441] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [442] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [443] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [444] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [445] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [446] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [447] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [448] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [449] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [450] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [451] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [452] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [453] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [454] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [455] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [456] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [457] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [458] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [459] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [460] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [461] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [462] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [463] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [464] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [465] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [466] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [467] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [468] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [469] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [470] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [471] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [472] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [473] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [474] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [475] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [476] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [477] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [478] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [479] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [480] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [481] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [482] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [483] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [484] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [485] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [486] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [487] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [488] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [489] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [490] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [491] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [492] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [493] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [494] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [495] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [496] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [497] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [498] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [499] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [500] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [501] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [502] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [503] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [504] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [505] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [506] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [507] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [508] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [509] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [510] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [511] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [512] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [513] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [514] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [515] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [516] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [517] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [518] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [519] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [520] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [521] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [522] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [523] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [524] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [525] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [526] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [527] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [528] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [529] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [530] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [531] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [532] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [533] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [534] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [535] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [536] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [537] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [538] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [539] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [540] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [541] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [542] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [543] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [544] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [545] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [546] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [547] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [548] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [549] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [550] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [551] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [552] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [553] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [554] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [555] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [556] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [557] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [558] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [559] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [560] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [561] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [562] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [563] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [564] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [565] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [566] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [567] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [568] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [569] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [570] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [571] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [572] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [573] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [574] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [575] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [576] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [577] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [578] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [579] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [580] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [581] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [582] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [583] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [584] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [585] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [586] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [587] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [588] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [589] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [590] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [591] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [592] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [593] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [594] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [595] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [596] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [597] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [598] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [599] = \pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [600] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [601] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [602] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [603] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [604] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [605] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [606] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [607] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [608] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [609] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [610] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [611] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [612] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [613] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [614] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [615] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [616] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [617] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [618] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [619] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [620] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [621] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [622] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [623] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [624] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [625] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [626] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [627] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [628] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [629] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [630] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [631] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [632] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [633] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [634] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [635] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [636] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [637] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [638] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [639] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [640] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [641] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [642] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [643] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [644] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [645] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [646] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [647] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [648] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [649] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [650] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [651] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [652] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [653] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [654] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [655] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [656] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [657] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [658] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [659] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [660] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [661] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [662] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [663] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [664] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [665] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [666] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [667] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [668] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [669] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [670] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [671] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [672] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [673] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [674] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [675] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [676] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [677] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [678] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [679] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [680] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [681] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [682] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [683] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [684] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [685] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [686] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [687] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [688] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [689] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [690] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [691] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [692] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [693] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [694] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [695] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [696] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [697] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [698] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [699] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [700] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [701] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [702] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [703] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [704] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [705] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [706] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [707] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [708] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [709] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [710] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [711] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [712] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [713] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [714] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [715] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [716] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [717] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [718] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [719] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [720] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [721] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [722] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [723] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [724] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [725] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [726] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [727] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [728] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [729] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [730] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [731] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [732] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [733] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [734] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [735] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [736] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [737] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [738] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [739] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [740] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [741] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [742] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [743] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [744] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [745] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [746] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [747] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [748] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [749] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [750] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [751] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [752] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [753] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [754] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [755] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [756] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [757] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [758] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [759] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [760] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [761] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [762] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [763] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [764] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [765] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [766] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [767] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [768] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [769] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [770] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [771] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [772] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [773] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [774] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [775] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [776] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [777] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [778] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [779] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [780] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [781] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [782] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [783] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [784] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [785] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [786] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [787] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [788] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [789] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [790] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [791] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [792] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [793] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [794] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [795] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [796] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [797] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [798] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [799] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [800] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [801] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [802] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [803] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [804] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [805] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [806] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [807] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [808] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [809] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [810] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [811] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [812] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [813] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [814] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [815] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [816] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [817] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [818] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [819] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [820] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [821] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [822] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [823] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [824] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [825] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [826] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [827] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [828] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [829] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [830] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [831] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [832] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [833] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [834] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [835] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [836] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [837] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [838] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [839] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [840] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [841] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [842] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [843] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [844] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [845] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [846] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [847] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [848] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [849] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [850] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [851] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [852] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [853] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [854] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [855] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [856] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [857] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [858] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [859] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [860] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [861] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [862] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [863] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [864] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [865] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [866] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [867] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [868] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [869] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [870] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [871] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [872] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [873] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [874] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [875] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [876] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [877] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [878] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [879] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [880] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [881] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [882] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [883] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [884] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [885] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [886] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [887] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [888] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [889] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [890] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [891] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [892] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [893] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [894] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [895] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [896] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [897] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [898] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [899] = \pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [900] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [901] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [902] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [903] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [904] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [905] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [906] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [907] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [908] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [909] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [910] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [911] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [912] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [913] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [914] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [915] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [916] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [917] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [918] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [919] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [920] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [921] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [922] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [923] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [924] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [925] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [926] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [927] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [928] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [929] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [930] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [931] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [932] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [933] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [934] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [935] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [936] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [937] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [938] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [939] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [940] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [941] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [942] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [943] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [944] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [945] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [946] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [947] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [948] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [949] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [950] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [951] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [952] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [953] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [954] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [955] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [956] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [957] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [958] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [959] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [960] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [961] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [962] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [963] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [964] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [965] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [966] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [967] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [968] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [969] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [970] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [971] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [972] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [973] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [974] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [975] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [976] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [977] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [978] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [979] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [980] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [981] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [982] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [983] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [984] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [985] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [986] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [987] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [988] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [989] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [990] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [991] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [992] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [993] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [994] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [995] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [996] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [997] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [998] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [999] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1000] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1001] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1002] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1003] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1004] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1005] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1006] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1007] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1008] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1009] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1010] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1011] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1012] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1013] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1014] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1015] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1016] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1017] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1018] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1019] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1020] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1021] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1022] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1023] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1024] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1025] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1026] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1027] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1028] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1029] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1030] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1031] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1032] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1033] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1034] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1035] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1036] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1037] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1038] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1039] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1040] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1041] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1042] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1043] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1044] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1045] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1046] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1047] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1048] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1049] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1050] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1051] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1052] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1053] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1054] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1055] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1056] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1057] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1058] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1059] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1060] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1061] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1062] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1063] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1064] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1065] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1066] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1067] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1068] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1069] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1070] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1071] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1072] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1073] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1074] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1075] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1076] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1077] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1078] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1079] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1080] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1081] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1082] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1083] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1084] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1085] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1086] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1087] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1088] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1089] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1090] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1091] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1092] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1093] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1094] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1095] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1096] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1097] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1098] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1099] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1100] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1101] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1102] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1103] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1104] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1105] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1106] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1107] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1108] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1109] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1110] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1111] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1112] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1113] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1114] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1115] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1116] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1117] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1118] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1119] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1120] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1121] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1122] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1123] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1124] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1125] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1126] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1127] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1128] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1129] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1130] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1131] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1132] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1133] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1134] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1135] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1136] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1137] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1138] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1139] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1140] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1141] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1142] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1143] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1144] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1145] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1146] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1147] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1148] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1149] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1150] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1151] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1152] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1153] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1154] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1155] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1156] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1157] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1158] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1159] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1160] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1161] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1162] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1163] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1164] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1165] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1166] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1167] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1168] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1169] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1170] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1171] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1172] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1173] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1174] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1175] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1176] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1177] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1178] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1179] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1180] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1181] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1182] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1183] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1184] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1185] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1186] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1187] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1188] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1189] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1190] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1191] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1192] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1193] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1194] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1195] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1196] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1197] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1198] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|cent_unit_txpmadprioin [1199] = \pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|pll_ch_dataout_wire [0] = \pma_direct|auto_generated|rx_cdr_pll0_DATAOUT_bus [0]; +assign \pma_direct|auto_generated|pll_ch_dataout_wire [1] = \pma_direct|auto_generated|rx_cdr_pll0_DATAOUT_bus [1]; + +assign \pma_direct|auto_generated|rx_deserclock_in [0] = \pma_direct|auto_generated|rx_cdr_pll0_CLK_bus [0]; +assign \pma_direct|auto_generated|rx_deserclock_in [1] = \pma_direct|auto_generated|rx_cdr_pll0_CLK_bus [1]; +assign \pma_direct|auto_generated|rx_deserclock_in [2] = \pma_direct|auto_generated|rx_cdr_pll0_CLK_bus [2]; +assign \pma_direct|auto_generated|rx_deserclock_in [3] = \pma_direct|auto_generated|rx_cdr_pll0_CLK_bus [3]; + +assign \pma_direct|auto_generated|pll_ch_dataout_wire [2] = \pma_direct|auto_generated|rx_cdr_pll1_DATAOUT_bus [0]; +assign \pma_direct|auto_generated|pll_ch_dataout_wire [3] = \pma_direct|auto_generated|rx_cdr_pll1_DATAOUT_bus [1]; + +assign \pma_direct|auto_generated|rx_deserclock_in [4] = \pma_direct|auto_generated|rx_cdr_pll1_CLK_bus [0]; +assign \pma_direct|auto_generated|rx_deserclock_in [5] = \pma_direct|auto_generated|rx_cdr_pll1_CLK_bus [1]; +assign \pma_direct|auto_generated|rx_deserclock_in [6] = \pma_direct|auto_generated|rx_cdr_pll1_CLK_bus [2]; +assign \pma_direct|auto_generated|rx_deserclock_in [7] = \pma_direct|auto_generated|rx_cdr_pll1_CLK_bus [3]; + +assign \pma_direct|auto_generated|pll_ch_dataout_wire [4] = \pma_direct|auto_generated|rx_cdr_pll2_DATAOUT_bus [0]; +assign \pma_direct|auto_generated|pll_ch_dataout_wire [5] = \pma_direct|auto_generated|rx_cdr_pll2_DATAOUT_bus [1]; + +assign \pma_direct|auto_generated|rx_deserclock_in [8] = \pma_direct|auto_generated|rx_cdr_pll2_CLK_bus [0]; +assign \pma_direct|auto_generated|rx_deserclock_in [9] = \pma_direct|auto_generated|rx_cdr_pll2_CLK_bus [1]; +assign \pma_direct|auto_generated|rx_deserclock_in [10] = \pma_direct|auto_generated|rx_cdr_pll2_CLK_bus [2]; +assign \pma_direct|auto_generated|rx_deserclock_in [11] = \pma_direct|auto_generated|rx_cdr_pll2_CLK_bus [3]; + +assign \pma_direct|auto_generated|pll_ch_dataout_wire [6] = \pma_direct|auto_generated|rx_cdr_pll3_DATAOUT_bus [0]; +assign \pma_direct|auto_generated|pll_ch_dataout_wire [7] = \pma_direct|auto_generated|rx_cdr_pll3_DATAOUT_bus [1]; + +assign \pma_direct|auto_generated|rx_deserclock_in [12] = \pma_direct|auto_generated|rx_cdr_pll3_CLK_bus [0]; +assign \pma_direct|auto_generated|rx_deserclock_in [13] = \pma_direct|auto_generated|rx_cdr_pll3_CLK_bus [1]; +assign \pma_direct|auto_generated|rx_deserclock_in [14] = \pma_direct|auto_generated|rx_cdr_pll3_CLK_bus [2]; +assign \pma_direct|auto_generated|rx_deserclock_in [15] = \pma_direct|auto_generated|rx_cdr_pll3_CLK_bus [3]; + +assign \pma_direct|auto_generated|pll_cmuplldprioout [5400] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5401] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5402] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5403] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5404] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5405] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5406] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5407] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5408] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5409] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5410] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5411] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5412] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5413] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5414] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5415] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5416] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5417] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5418] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5419] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5420] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5421] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5422] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5423] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5424] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5425] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5426] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5427] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5428] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5429] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5430] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5431] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5432] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5433] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5434] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5435] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5436] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5437] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5438] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5439] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5440] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5441] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5442] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5443] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5444] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5445] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5446] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5447] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5448] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5449] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5450] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5451] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5452] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5453] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5454] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5455] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5456] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5457] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5458] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5459] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5460] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5461] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5462] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5463] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5464] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5465] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5466] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5467] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5468] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5469] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5470] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5471] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5472] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5473] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5474] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5475] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5476] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5477] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5478] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5479] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5480] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5481] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5482] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5483] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5484] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5485] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5486] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5487] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5488] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5489] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5490] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5491] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5492] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5493] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5494] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5495] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5496] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5497] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5498] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5499] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5500] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5501] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5502] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5503] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5504] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5505] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5506] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5507] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5508] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5509] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5510] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5511] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5512] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5513] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5514] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5515] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5516] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5517] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5518] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5519] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5520] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5521] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5522] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5523] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5524] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5525] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5526] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5527] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5528] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5529] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5530] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5531] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5532] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5533] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5534] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5535] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5536] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5537] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5538] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5539] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5540] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5541] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5542] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5543] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5544] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5545] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5546] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5547] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5548] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5549] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5550] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5551] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5552] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5553] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5554] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5555] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5556] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5557] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5558] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5559] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5560] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5561] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5562] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5563] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5564] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5565] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5566] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5567] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5568] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5569] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5570] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5571] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5572] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5573] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5574] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5575] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5576] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5577] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5578] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5579] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5580] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5581] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5582] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5583] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5584] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5585] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5586] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5587] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5588] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5589] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5590] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5591] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5592] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5593] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5594] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5595] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5596] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5597] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5598] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5599] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5600] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5601] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5602] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5603] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5604] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5605] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5606] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5607] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5608] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5609] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5610] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5611] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5612] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5613] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5614] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5615] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5616] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5617] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5618] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5619] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5620] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5621] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5622] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5623] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5624] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5625] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5626] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5627] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5628] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5629] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5630] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5631] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5632] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5633] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5634] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5635] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5636] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5637] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5638] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5639] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5640] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5641] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5642] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5643] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5644] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5645] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5646] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5647] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5648] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5649] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5650] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5651] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5652] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5653] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5654] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5655] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5656] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5657] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5658] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5659] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5660] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5661] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5662] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5663] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5664] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5665] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5666] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5667] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5668] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5669] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5670] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5671] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5672] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5673] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5674] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5675] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5676] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5677] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5678] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5679] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5680] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5681] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5682] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5683] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5684] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5685] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5686] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5687] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5688] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5689] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5690] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5691] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5692] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5693] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5694] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5695] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5696] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5697] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5698] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|pll_cmuplldprioout [5699] = \pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus [299]; + +assign \pma_direct|auto_generated|edge_pllreset_in [0] = \pma_direct|auto_generated|tx_pll_cent_unit0_PLLRESETOUT_bus [0]; + +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT0 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXANALOGRESETOUT_bus [0]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT1 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXANALOGRESETOUT_bus [1]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT2 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXANALOGRESETOUT_bus [2]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT3 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXANALOGRESETOUT_bus [3]; + +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT0 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXANALOGRESETOUT_bus [0]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT1 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXANALOGRESETOUT_bus [1]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT2 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXANALOGRESETOUT_bus [2]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT3 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXANALOGRESETOUT_bus [3]; + +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [0] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [2] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [3] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [4] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [5] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [6] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [7] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [8] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [9] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [10] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [11] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [12] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [13] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [14] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [15] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [16] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [17] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [18] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [19] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [20] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [21] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [22] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [23] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [24] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [25] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [26] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [27] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [28] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [29] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [30] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [31] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [32] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [33] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [34] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [35] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [36] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [37] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [38] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [39] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [40] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [41] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [42] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [43] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [44] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [45] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [46] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [47] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [48] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [49] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [50] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [51] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [52] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [53] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [54] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [55] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [56] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [57] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [58] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [59] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [60] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [61] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [62] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [63] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [64] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [65] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [66] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [67] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [68] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [69] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [70] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [71] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [72] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [73] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [74] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [75] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [76] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [77] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [78] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [79] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [80] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [81] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [82] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [83] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [84] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [85] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [86] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [87] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [88] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [89] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [90] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [91] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [92] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [93] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [94] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [95] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [96] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [97] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [98] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [99] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [100] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [101] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [102] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [103] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [104] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [105] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [106] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [107] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [108] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [109] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [110] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [111] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [112] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [113] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [114] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [115] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [116] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [117] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [118] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [119] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [120] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [121] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [122] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [123] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [124] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [125] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [126] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [127] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [128] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [129] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [130] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [131] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [132] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [133] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [134] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [135] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [136] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [137] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [138] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [139] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [140] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [141] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [142] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [143] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [144] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [145] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [146] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [147] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [148] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [149] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [150] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [151] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [152] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [153] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [154] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [155] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [156] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [157] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [158] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [159] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [160] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [161] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [162] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [163] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [164] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [165] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [166] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [167] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [168] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [169] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [170] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [171] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [172] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [173] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [174] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [175] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [176] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [177] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [178] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [179] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [180] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [181] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [182] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [183] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [184] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [185] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [186] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [187] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [188] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [189] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [190] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [191] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [192] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [193] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [194] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [195] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [196] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [197] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [198] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [199] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [200] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [201] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [202] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [203] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [204] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [205] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [206] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [207] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [208] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [209] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [210] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [211] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [212] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [213] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [214] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [215] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [216] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [217] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [218] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [219] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [220] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [221] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [222] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [223] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [224] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [225] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [226] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [227] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [228] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [229] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [230] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [231] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [232] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [233] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [234] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [235] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [236] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [237] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [238] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [239] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [240] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [241] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [242] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [243] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [244] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [245] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [246] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [247] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [248] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [249] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [250] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [251] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [252] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [253] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [254] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [255] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [256] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [257] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [258] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [259] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [260] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [261] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [262] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [263] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [264] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [265] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [266] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [267] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [268] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [269] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [270] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [271] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [272] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [273] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [274] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [275] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [276] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [277] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [278] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [279] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [280] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [281] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [282] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [283] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [284] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [285] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [286] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [287] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [288] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [289] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [290] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [291] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [292] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [293] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [294] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [295] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [296] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [297] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [298] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [299] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [299]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [300] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [300]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [301] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [301]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [302] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [302]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [303] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [303]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [304] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [304]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [305] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [305]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [306] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [306]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [307] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [307]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [308] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [308]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [309] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [309]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [310] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [310]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [311] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [311]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [312] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [312]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [313] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [313]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [314] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [314]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [315] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [315]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [316] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [316]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [317] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [317]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [318] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [318]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [319] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [319]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [320] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [320]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [321] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [321]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [322] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [322]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [323] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [323]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [324] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [324]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [325] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [325]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [326] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [326]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [327] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [327]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [328] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [328]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [329] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [329]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [330] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [330]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [331] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [331]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [332] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [332]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [333] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [333]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [334] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [334]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [335] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [335]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [336] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [336]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [337] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [337]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [338] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [338]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [339] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [339]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [340] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [340]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [341] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [341]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [342] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [342]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [343] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [343]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [344] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [344]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [345] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [345]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [346] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [346]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [347] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [347]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [348] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [348]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [349] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [349]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [350] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [350]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [351] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [351]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [352] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [352]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [353] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [353]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [354] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [354]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [355] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [355]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [356] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [356]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [357] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [357]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [358] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [358]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [359] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [359]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [360] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [360]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [361] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [361]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [362] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [362]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [363] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [363]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [364] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [364]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [365] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [365]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [366] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [366]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [367] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [367]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [368] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [368]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [369] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [369]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [370] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [370]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [371] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [371]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [372] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [372]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [373] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [373]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [374] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [374]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [375] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [375]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [376] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [376]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [377] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [377]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [378] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [378]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [379] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [379]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [380] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [380]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [381] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [381]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [382] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [382]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [383] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [383]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [384] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [384]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [385] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [385]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [386] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [386]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [387] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [387]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [388] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [388]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [389] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [389]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [390] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [390]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [391] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [391]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [392] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [392]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [393] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [393]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [394] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [394]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [395] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [395]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [396] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [396]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [397] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [397]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [398] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [398]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [399] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [399]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [400] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [400]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [401] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [401]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [402] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [402]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [403] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [403]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [404] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [404]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [405] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [405]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [406] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [406]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [407] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [407]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [408] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [408]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [409] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [409]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [410] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [410]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [411] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [411]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [412] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [412]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [413] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [413]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [414] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [414]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [415] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [415]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [416] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [416]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [417] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [417]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [418] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [418]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [419] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [419]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [420] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [420]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [421] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [421]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [422] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [422]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [423] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [423]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [424] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [424]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [425] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [425]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [426] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [426]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [427] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [427]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [428] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [428]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [429] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [429]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [430] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [430]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [431] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [431]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [432] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [432]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [433] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [433]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [434] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [434]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [435] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [435]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [436] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [436]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [437] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [437]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [438] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [438]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [439] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [439]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [440] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [440]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [441] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [441]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [442] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [442]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [443] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [443]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [444] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [444]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [445] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [445]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [446] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [446]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [447] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [447]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [448] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [448]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [449] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [449]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [450] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [450]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [451] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [451]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [452] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [452]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [453] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [453]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [454] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [454]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [455] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [455]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [456] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [456]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [457] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [457]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [458] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [458]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [459] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [459]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [460] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [460]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [461] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [461]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [462] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [462]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [463] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [463]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [464] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [464]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [465] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [465]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [466] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [466]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [467] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [467]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [468] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [468]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [469] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [469]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [470] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [470]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [471] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [471]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [472] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [472]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [473] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [473]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [474] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [474]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [475] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [475]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [476] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [476]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [477] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [477]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [478] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [478]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [479] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [479]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [480] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [480]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [481] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [481]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [482] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [482]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [483] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [483]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [484] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [484]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [485] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [485]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [486] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [486]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [487] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [487]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [488] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [488]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [489] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [489]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [490] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [490]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [491] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [491]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [492] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [492]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [493] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [493]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [494] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [494]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [495] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [495]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [496] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [496]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [497] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [497]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [498] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [498]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [499] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [499]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [500] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [500]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [501] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [501]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [502] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [502]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [503] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [503]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [504] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [504]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [505] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [505]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [506] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [506]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [507] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [507]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [508] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [508]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [509] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [509]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [510] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [510]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [511] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [511]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [512] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [512]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [513] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [513]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [514] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [514]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [515] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [515]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [516] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [516]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [517] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [517]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [518] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [518]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [519] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [519]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [520] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [520]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [521] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [521]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [522] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [522]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [523] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [523]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [524] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [524]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [525] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [525]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [526] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [526]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [527] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [527]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [528] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [528]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [529] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [529]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [530] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [530]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [531] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [531]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [532] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [532]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [533] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [533]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [534] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [534]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [535] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [535]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [536] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [536]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [537] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [537]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [538] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [538]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [539] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [539]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [540] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [540]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [541] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [541]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [542] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [542]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [543] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [543]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [544] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [544]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [545] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [545]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [546] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [546]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [547] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [547]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [548] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [548]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [549] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [549]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [550] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [550]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [551] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [551]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [552] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [552]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [553] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [553]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [554] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [554]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [555] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [555]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [556] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [556]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [557] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [557]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [558] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [558]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [559] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [559]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [560] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [560]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [561] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [561]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [562] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [562]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [563] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [563]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [564] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [564]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [565] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [565]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [566] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [566]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [567] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [567]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [568] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [568]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [569] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [569]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [570] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [570]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [571] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [571]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [572] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [572]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [573] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [573]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [574] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [574]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [575] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [575]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [576] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [576]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [577] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [577]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [578] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [578]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [579] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [579]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [580] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [580]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [581] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [581]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [582] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [582]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [583] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [583]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [584] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [584]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [585] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [585]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [586] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [586]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [587] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [587]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [588] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [588]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [589] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [589]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [590] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [590]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [591] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [591]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [592] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [592]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [593] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [593]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [594] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [594]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [595] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [595]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [596] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [596]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [597] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [597]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [598] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [598]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [599] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [599]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [600] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [600]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [601] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [601]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [602] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [602]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [603] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [603]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [604] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [604]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [605] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [605]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [606] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [606]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [607] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [607]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [608] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [608]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [609] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [609]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [610] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [610]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [611] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [611]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [612] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [612]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [613] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [613]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [614] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [614]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [615] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [615]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [616] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [616]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [617] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [617]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [618] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [618]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [619] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [619]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [620] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [620]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [621] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [621]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [622] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [622]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [623] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [623]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [624] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [624]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [625] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [625]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [626] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [626]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [627] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [627]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [628] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [628]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [629] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [629]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [630] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [630]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [631] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [631]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [632] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [632]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [633] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [633]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [634] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [634]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [635] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [635]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [636] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [636]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [637] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [637]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [638] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [638]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [639] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [639]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [640] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [640]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [641] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [641]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [642] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [642]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [643] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [643]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [644] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [644]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [645] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [645]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [646] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [646]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [647] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [647]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [648] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [648]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [649] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [649]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [650] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [650]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [651] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [651]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [652] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [652]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [653] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [653]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [654] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [654]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [655] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [655]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [656] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [656]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [657] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [657]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [658] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [658]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [659] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [659]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [660] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [660]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [661] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [661]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [662] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [662]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [663] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [663]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [664] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [664]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [665] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [665]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [666] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [666]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [667] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [667]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [668] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [668]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [669] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [669]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [670] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [670]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [671] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [671]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [672] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [672]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [673] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [673]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [674] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [674]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [675] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [675]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [676] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [676]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [677] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [677]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [678] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [678]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [679] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [679]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [680] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [680]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [681] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [681]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [682] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [682]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [683] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [683]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [684] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [684]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [685] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [685]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [686] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [686]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [687] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [687]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [688] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [688]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [689] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [689]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [690] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [690]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [691] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [691]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [692] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [692]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [693] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [693]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [694] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [694]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [695] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [695]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [696] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [696]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [697] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [697]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [698] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [698]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [699] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [699]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [700] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [700]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [701] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [701]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [702] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [702]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [703] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [703]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [704] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [704]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [705] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [705]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [706] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [706]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [707] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [707]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [708] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [708]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [709] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [709]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [710] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [710]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [711] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [711]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [712] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [712]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [713] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [713]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [714] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [714]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [715] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [715]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [716] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [716]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [717] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [717]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [718] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [718]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [719] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [719]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [720] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [720]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [721] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [721]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [722] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [722]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [723] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [723]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [724] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [724]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [725] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [725]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [726] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [726]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [727] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [727]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [728] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [728]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [729] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [729]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [730] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [730]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [731] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [731]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [732] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [732]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [733] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [733]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [734] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [734]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [735] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [735]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [736] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [736]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [737] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [737]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [738] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [738]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [739] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [739]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [740] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [740]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [741] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [741]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [742] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [742]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [743] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [743]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [744] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [744]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [745] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [745]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [746] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [746]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [747] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [747]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [748] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [748]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [749] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [749]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [750] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [750]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [751] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [751]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [752] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [752]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [753] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [753]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [754] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [754]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [755] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [755]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [756] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [756]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [757] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [757]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [758] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [758]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [759] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [759]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [760] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [760]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [761] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [761]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [762] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [762]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [763] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [763]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [764] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [764]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [765] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [765]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [766] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [766]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [767] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [767]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [768] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [768]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [769] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [769]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [770] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [770]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [771] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [771]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [772] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [772]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [773] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [773]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [774] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [774]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [775] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [775]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [776] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [776]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [777] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [777]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [778] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [778]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [779] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [779]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [780] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [780]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [781] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [781]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [782] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [782]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [783] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [783]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [784] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [784]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [785] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [785]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [786] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [786]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [787] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [787]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [788] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [788]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [789] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [789]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [790] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [790]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [791] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [791]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [792] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [792]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [793] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [793]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [794] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [794]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [795] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [795]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [796] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [796]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [797] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [797]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [798] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [798]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [799] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [799]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [800] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [800]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [801] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [801]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [802] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [802]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [803] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [803]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [804] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [804]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [805] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [805]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [806] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [806]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [807] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [807]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [808] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [808]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [809] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [809]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [810] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [810]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [811] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [811]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [812] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [812]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [813] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [813]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [814] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [814]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [815] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [815]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [816] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [816]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [817] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [817]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [818] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [818]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [819] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [819]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [820] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [820]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [821] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [821]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [822] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [822]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [823] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [823]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [824] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [824]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [825] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [825]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [826] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [826]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [827] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [827]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [828] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [828]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [829] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [829]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [830] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [830]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [831] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [831]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [832] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [832]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [833] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [833]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [834] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [834]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [835] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [835]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [836] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [836]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [837] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [837]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [838] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [838]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [839] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [839]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [840] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [840]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [841] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [841]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [842] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [842]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [843] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [843]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [844] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [844]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [845] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [845]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [846] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [846]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [847] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [847]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [848] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [848]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [849] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [849]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [850] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [850]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [851] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [851]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [852] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [852]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [853] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [853]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [854] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [854]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [855] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [855]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [856] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [856]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [857] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [857]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [858] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [858]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [859] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [859]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [860] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [860]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [861] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [861]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [862] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [862]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [863] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [863]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [864] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [864]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [865] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [865]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [866] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [866]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [867] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [867]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [868] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [868]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [869] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [869]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [870] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [870]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [871] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [871]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [872] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [872]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [873] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [873]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [874] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [874]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [875] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [875]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [876] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [876]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [877] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [877]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [878] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [878]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [879] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [879]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [880] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [880]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [881] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [881]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [882] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [882]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [883] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [883]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [884] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [884]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [885] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [885]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [886] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [886]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [887] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [887]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [888] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [888]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [889] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [889]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [890] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [890]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [891] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [891]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [892] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [892]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [893] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [893]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [894] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [894]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [895] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [895]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [896] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [896]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [897] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [897]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [898] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [898]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [899] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [899]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [900] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [900]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [901] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [901]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [902] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [902]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [903] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [903]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [904] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [904]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [905] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [905]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [906] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [906]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [907] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [907]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [908] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [908]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [909] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [909]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [910] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [910]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [911] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [911]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [912] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [912]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [913] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [913]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [914] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [914]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [915] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [915]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [916] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [916]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [917] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [917]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [918] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [918]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [919] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [919]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [920] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [920]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [921] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [921]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [922] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [922]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [923] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [923]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [924] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [924]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [925] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [925]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [926] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [926]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [927] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [927]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [928] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [928]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [929] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [929]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [930] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [930]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [931] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [931]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [932] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [932]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [933] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [933]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [934] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [934]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [935] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [935]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [936] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [936]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [937] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [937]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [938] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [938]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [939] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [939]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [940] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [940]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [941] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [941]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [942] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [942]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [943] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [943]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [944] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [944]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [945] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [945]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [946] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [946]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [947] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [947]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [948] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [948]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [949] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [949]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [950] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [950]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [951] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [951]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [952] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [952]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [953] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [953]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [954] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [954]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [955] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [955]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [956] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [956]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [957] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [957]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [958] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [958]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [959] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [959]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [960] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [960]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [961] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [961]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [962] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [962]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [963] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [963]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [964] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [964]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [965] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [965]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [966] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [966]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [967] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [967]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [968] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [968]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [969] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [969]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [970] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [970]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [971] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [971]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [972] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [972]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [973] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [973]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [974] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [974]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [975] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [975]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [976] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [976]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [977] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [977]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [978] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [978]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [979] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [979]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [980] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [980]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [981] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [981]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [982] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [982]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [983] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [983]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [984] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [984]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [985] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [985]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [986] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [986]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [987] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [987]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [988] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [988]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [989] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [989]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [990] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [990]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [991] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [991]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [992] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [992]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [993] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [993]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [994] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [994]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [995] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [995]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [996] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [996]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [997] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [997]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [998] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [998]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [999] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [999]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1000] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1000]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1001] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1001]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1002] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1002]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1003] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1003]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1004] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1004]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1005] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1005]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1006] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1006]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1007] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1007]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1008] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1008]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1009] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1009]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1010] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1010]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1011] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1011]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1012] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1012]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1013] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1013]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1014] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1014]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1015] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1015]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1016] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1016]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1017] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1017]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1018] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1018]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1019] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1019]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1020] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1020]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1021] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1021]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1022] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1022]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1023] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1023]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1024] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1024]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1025] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1025]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1026] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1026]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1027] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1027]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1028] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1028]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1029] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1029]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1030] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1030]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1031] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1031]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1032] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1032]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1033] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1033]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1034] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1034]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1035] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1035]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1036] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1036]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1037] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1037]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1038] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1038]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1039] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1039]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1040] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1040]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1041] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1041]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1042] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1042]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1043] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1043]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1044] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1044]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1045] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1045]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1046] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1046]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1047] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1047]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1048] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1048]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1049] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1049]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1050] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1050]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1051] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1051]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1052] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1052]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1053] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1053]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1054] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1054]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1055] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1055]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1056] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1056]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1057] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1057]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1058] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1058]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1059] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1059]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1060] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1060]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1061] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1061]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1062] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1062]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1063] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1063]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1064] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1064]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1065] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1065]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1066] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1066]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1067] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1067]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1068] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1068]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1069] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1069]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1070] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1070]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1071] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1071]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1072] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1072]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1073] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1073]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1074] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1074]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1075] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1075]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1076] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1076]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1077] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1077]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1078] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1078]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1079] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1079]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1080] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1080]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1081] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1081]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1082] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1082]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1083] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1083]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1084] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1084]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1085] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1085]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1086] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1086]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1087] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1087]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1088] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1088]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1089] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1089]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1090] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1090]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1091] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1091]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1092] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1092]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1093] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1093]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1094] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1094]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1095] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1095]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1096] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1096]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1097] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1097]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1098] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1098]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1099] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1099]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1100] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1100]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1101] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1101]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1102] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1102]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1103] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1103]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1104] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1104]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1105] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1105]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1106] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1106]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1107] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1107]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1108] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1108]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1109] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1109]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1110] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1110]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1111] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1111]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1112] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1112]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1113] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1113]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1114] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1114]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1115] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1115]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1116] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1116]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1117] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1117]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1118] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1118]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1119] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1119]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1120] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1120]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1121] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1121]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1122] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1122]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1123] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1123]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1124] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1124]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1125] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1125]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1126] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1126]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1127] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1127]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1128] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1128]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1129] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1129]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1130] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1130]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1131] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1131]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1132] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1132]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1133] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1133]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1134] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1134]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1135] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1135]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1136] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1136]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1137] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1137]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1138] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1138]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1139] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1139]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1140] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1140]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1141] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1141]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1142] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1142]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1143] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1143]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1144] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1144]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1145] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1145]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1146] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1146]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1147] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1147]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1148] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1148]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1149] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1149]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1150] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1150]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1151] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1151]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1152] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1152]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1153] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1153]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1154] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1154]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1155] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1155]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1156] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1156]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1157] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1157]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1158] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1158]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1159] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1159]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1160] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1160]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1161] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1161]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1162] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1162]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1163] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1163]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1164] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1164]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1165] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1165]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1166] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1166]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1167] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1167]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1168] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1168]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1169] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1169]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1170] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1170]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1171] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1171]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1172] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1172]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1173] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1173]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1174] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1174]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1175] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1175]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1176] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1176]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1177] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1177]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1178] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1178]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1179] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1179]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1180] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1180]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1181] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1181]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1182] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1182]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1183] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1183]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1184] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1184]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1185] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1185]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1186] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1186]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1187] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1187]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1188] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1188]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1189] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1189]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1190] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1190]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1191] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1191]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1192] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1192]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1193] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1193]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1194] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1194]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1195] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1195]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1196] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1196]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1197] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1197]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1198] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1198]; +assign \pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1199] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1199]; +assign \pma_direct|auto_generated|edge_pll_dprioin [0] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1200]; +assign \pma_direct|auto_generated|edge_pll_dprioin [1] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1201]; +assign \pma_direct|auto_generated|edge_pll_dprioin [2] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1202]; +assign \pma_direct|auto_generated|edge_pll_dprioin [3] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1203]; +assign \pma_direct|auto_generated|edge_pll_dprioin [4] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1204]; +assign \pma_direct|auto_generated|edge_pll_dprioin [5] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1205]; +assign \pma_direct|auto_generated|edge_pll_dprioin [6] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1206]; +assign \pma_direct|auto_generated|edge_pll_dprioin [7] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1207]; +assign \pma_direct|auto_generated|edge_pll_dprioin [8] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1208]; +assign \pma_direct|auto_generated|edge_pll_dprioin [9] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1209]; +assign \pma_direct|auto_generated|edge_pll_dprioin [10] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1210]; +assign \pma_direct|auto_generated|edge_pll_dprioin [11] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1211]; +assign \pma_direct|auto_generated|edge_pll_dprioin [12] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1212]; +assign \pma_direct|auto_generated|edge_pll_dprioin [13] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1213]; +assign \pma_direct|auto_generated|edge_pll_dprioin [14] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1214]; +assign \pma_direct|auto_generated|edge_pll_dprioin [15] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1215]; +assign \pma_direct|auto_generated|edge_pll_dprioin [16] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1216]; +assign \pma_direct|auto_generated|edge_pll_dprioin [17] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1217]; +assign \pma_direct|auto_generated|edge_pll_dprioin [18] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1218]; +assign \pma_direct|auto_generated|edge_pll_dprioin [19] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1219]; +assign \pma_direct|auto_generated|edge_pll_dprioin [20] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1220]; +assign \pma_direct|auto_generated|edge_pll_dprioin [21] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1221]; +assign \pma_direct|auto_generated|edge_pll_dprioin [22] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1222]; +assign \pma_direct|auto_generated|edge_pll_dprioin [23] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1223]; +assign \pma_direct|auto_generated|edge_pll_dprioin [24] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1224]; +assign \pma_direct|auto_generated|edge_pll_dprioin [25] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1225]; +assign \pma_direct|auto_generated|edge_pll_dprioin [26] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1226]; +assign \pma_direct|auto_generated|edge_pll_dprioin [27] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1227]; +assign \pma_direct|auto_generated|edge_pll_dprioin [28] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1228]; +assign \pma_direct|auto_generated|edge_pll_dprioin [29] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1229]; +assign \pma_direct|auto_generated|edge_pll_dprioin [30] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1230]; +assign \pma_direct|auto_generated|edge_pll_dprioin [31] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1231]; +assign \pma_direct|auto_generated|edge_pll_dprioin [32] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1232]; +assign \pma_direct|auto_generated|edge_pll_dprioin [33] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1233]; +assign \pma_direct|auto_generated|edge_pll_dprioin [34] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1234]; +assign \pma_direct|auto_generated|edge_pll_dprioin [35] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1235]; +assign \pma_direct|auto_generated|edge_pll_dprioin [36] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1236]; +assign \pma_direct|auto_generated|edge_pll_dprioin [37] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1237]; +assign \pma_direct|auto_generated|edge_pll_dprioin [38] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1238]; +assign \pma_direct|auto_generated|edge_pll_dprioin [39] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1239]; +assign \pma_direct|auto_generated|edge_pll_dprioin [40] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1240]; +assign \pma_direct|auto_generated|edge_pll_dprioin [41] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1241]; +assign \pma_direct|auto_generated|edge_pll_dprioin [42] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1242]; +assign \pma_direct|auto_generated|edge_pll_dprioin [43] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1243]; +assign \pma_direct|auto_generated|edge_pll_dprioin [44] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1244]; +assign \pma_direct|auto_generated|edge_pll_dprioin [45] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1245]; +assign \pma_direct|auto_generated|edge_pll_dprioin [46] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1246]; +assign \pma_direct|auto_generated|edge_pll_dprioin [47] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1247]; +assign \pma_direct|auto_generated|edge_pll_dprioin [48] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1248]; +assign \pma_direct|auto_generated|edge_pll_dprioin [49] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1249]; +assign \pma_direct|auto_generated|edge_pll_dprioin [50] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1250]; +assign \pma_direct|auto_generated|edge_pll_dprioin [51] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1251]; +assign \pma_direct|auto_generated|edge_pll_dprioin [52] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1252]; +assign \pma_direct|auto_generated|edge_pll_dprioin [53] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1253]; +assign \pma_direct|auto_generated|edge_pll_dprioin [54] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1254]; +assign \pma_direct|auto_generated|edge_pll_dprioin [55] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1255]; +assign \pma_direct|auto_generated|edge_pll_dprioin [56] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1256]; +assign \pma_direct|auto_generated|edge_pll_dprioin [57] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1257]; +assign \pma_direct|auto_generated|edge_pll_dprioin [58] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1258]; +assign \pma_direct|auto_generated|edge_pll_dprioin [59] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1259]; +assign \pma_direct|auto_generated|edge_pll_dprioin [60] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1260]; +assign \pma_direct|auto_generated|edge_pll_dprioin [61] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1261]; +assign \pma_direct|auto_generated|edge_pll_dprioin [62] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1262]; +assign \pma_direct|auto_generated|edge_pll_dprioin [63] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1263]; +assign \pma_direct|auto_generated|edge_pll_dprioin [64] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1264]; +assign \pma_direct|auto_generated|edge_pll_dprioin [65] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1265]; +assign \pma_direct|auto_generated|edge_pll_dprioin [66] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1266]; +assign \pma_direct|auto_generated|edge_pll_dprioin [67] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1267]; +assign \pma_direct|auto_generated|edge_pll_dprioin [68] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1268]; +assign \pma_direct|auto_generated|edge_pll_dprioin [69] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1269]; +assign \pma_direct|auto_generated|edge_pll_dprioin [70] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1270]; +assign \pma_direct|auto_generated|edge_pll_dprioin [71] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1271]; +assign \pma_direct|auto_generated|edge_pll_dprioin [72] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1272]; +assign \pma_direct|auto_generated|edge_pll_dprioin [73] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1273]; +assign \pma_direct|auto_generated|edge_pll_dprioin [74] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1274]; +assign \pma_direct|auto_generated|edge_pll_dprioin [75] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1275]; +assign \pma_direct|auto_generated|edge_pll_dprioin [76] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1276]; +assign \pma_direct|auto_generated|edge_pll_dprioin [77] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1277]; +assign \pma_direct|auto_generated|edge_pll_dprioin [78] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1278]; +assign \pma_direct|auto_generated|edge_pll_dprioin [79] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1279]; +assign \pma_direct|auto_generated|edge_pll_dprioin [80] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1280]; +assign \pma_direct|auto_generated|edge_pll_dprioin [81] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1281]; +assign \pma_direct|auto_generated|edge_pll_dprioin [82] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1282]; +assign \pma_direct|auto_generated|edge_pll_dprioin [83] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1283]; +assign \pma_direct|auto_generated|edge_pll_dprioin [84] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1284]; +assign \pma_direct|auto_generated|edge_pll_dprioin [85] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1285]; +assign \pma_direct|auto_generated|edge_pll_dprioin [86] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1286]; +assign \pma_direct|auto_generated|edge_pll_dprioin [87] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1287]; +assign \pma_direct|auto_generated|edge_pll_dprioin [88] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1288]; +assign \pma_direct|auto_generated|edge_pll_dprioin [89] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1289]; +assign \pma_direct|auto_generated|edge_pll_dprioin [90] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1290]; +assign \pma_direct|auto_generated|edge_pll_dprioin [91] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1291]; +assign \pma_direct|auto_generated|edge_pll_dprioin [92] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1292]; +assign \pma_direct|auto_generated|edge_pll_dprioin [93] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1293]; +assign \pma_direct|auto_generated|edge_pll_dprioin [94] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1294]; +assign \pma_direct|auto_generated|edge_pll_dprioin [95] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1295]; +assign \pma_direct|auto_generated|edge_pll_dprioin [96] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1296]; +assign \pma_direct|auto_generated|edge_pll_dprioin [97] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1297]; +assign \pma_direct|auto_generated|edge_pll_dprioin [98] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1298]; +assign \pma_direct|auto_generated|edge_pll_dprioin [99] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1299]; +assign \pma_direct|auto_generated|edge_pll_dprioin [100] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1300]; +assign \pma_direct|auto_generated|edge_pll_dprioin [101] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1301]; +assign \pma_direct|auto_generated|edge_pll_dprioin [102] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1302]; +assign \pma_direct|auto_generated|edge_pll_dprioin [103] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1303]; +assign \pma_direct|auto_generated|edge_pll_dprioin [104] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1304]; +assign \pma_direct|auto_generated|edge_pll_dprioin [105] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1305]; +assign \pma_direct|auto_generated|edge_pll_dprioin [106] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1306]; +assign \pma_direct|auto_generated|edge_pll_dprioin [107] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1307]; +assign \pma_direct|auto_generated|edge_pll_dprioin [108] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1308]; +assign \pma_direct|auto_generated|edge_pll_dprioin [109] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1309]; +assign \pma_direct|auto_generated|edge_pll_dprioin [110] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1310]; +assign \pma_direct|auto_generated|edge_pll_dprioin [111] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1311]; +assign \pma_direct|auto_generated|edge_pll_dprioin [112] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1312]; +assign \pma_direct|auto_generated|edge_pll_dprioin [113] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1313]; +assign \pma_direct|auto_generated|edge_pll_dprioin [114] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1314]; +assign \pma_direct|auto_generated|edge_pll_dprioin [115] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1315]; +assign \pma_direct|auto_generated|edge_pll_dprioin [116] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1316]; +assign \pma_direct|auto_generated|edge_pll_dprioin [117] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1317]; +assign \pma_direct|auto_generated|edge_pll_dprioin [118] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1318]; +assign \pma_direct|auto_generated|edge_pll_dprioin [119] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1319]; +assign \pma_direct|auto_generated|edge_pll_dprioin [120] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1320]; +assign \pma_direct|auto_generated|edge_pll_dprioin [121] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1321]; +assign \pma_direct|auto_generated|edge_pll_dprioin [122] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1322]; +assign \pma_direct|auto_generated|edge_pll_dprioin [123] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1323]; +assign \pma_direct|auto_generated|edge_pll_dprioin [124] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1324]; +assign \pma_direct|auto_generated|edge_pll_dprioin [125] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1325]; +assign \pma_direct|auto_generated|edge_pll_dprioin [126] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1326]; +assign \pma_direct|auto_generated|edge_pll_dprioin [127] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1327]; +assign \pma_direct|auto_generated|edge_pll_dprioin [128] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1328]; +assign \pma_direct|auto_generated|edge_pll_dprioin [129] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1329]; +assign \pma_direct|auto_generated|edge_pll_dprioin [130] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1330]; +assign \pma_direct|auto_generated|edge_pll_dprioin [131] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1331]; +assign \pma_direct|auto_generated|edge_pll_dprioin [132] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1332]; +assign \pma_direct|auto_generated|edge_pll_dprioin [133] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1333]; +assign \pma_direct|auto_generated|edge_pll_dprioin [134] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1334]; +assign \pma_direct|auto_generated|edge_pll_dprioin [135] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1335]; +assign \pma_direct|auto_generated|edge_pll_dprioin [136] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1336]; +assign \pma_direct|auto_generated|edge_pll_dprioin [137] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1337]; +assign \pma_direct|auto_generated|edge_pll_dprioin [138] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1338]; +assign \pma_direct|auto_generated|edge_pll_dprioin [139] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1339]; +assign \pma_direct|auto_generated|edge_pll_dprioin [140] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1340]; +assign \pma_direct|auto_generated|edge_pll_dprioin [141] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1341]; +assign \pma_direct|auto_generated|edge_pll_dprioin [142] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1342]; +assign \pma_direct|auto_generated|edge_pll_dprioin [143] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1343]; +assign \pma_direct|auto_generated|edge_pll_dprioin [144] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1344]; +assign \pma_direct|auto_generated|edge_pll_dprioin [145] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1345]; +assign \pma_direct|auto_generated|edge_pll_dprioin [146] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1346]; +assign \pma_direct|auto_generated|edge_pll_dprioin [147] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1347]; +assign \pma_direct|auto_generated|edge_pll_dprioin [148] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1348]; +assign \pma_direct|auto_generated|edge_pll_dprioin [149] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1349]; +assign \pma_direct|auto_generated|edge_pll_dprioin [150] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1350]; +assign \pma_direct|auto_generated|edge_pll_dprioin [151] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1351]; +assign \pma_direct|auto_generated|edge_pll_dprioin [152] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1352]; +assign \pma_direct|auto_generated|edge_pll_dprioin [153] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1353]; +assign \pma_direct|auto_generated|edge_pll_dprioin [154] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1354]; +assign \pma_direct|auto_generated|edge_pll_dprioin [155] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1355]; +assign \pma_direct|auto_generated|edge_pll_dprioin [156] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1356]; +assign \pma_direct|auto_generated|edge_pll_dprioin [157] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1357]; +assign \pma_direct|auto_generated|edge_pll_dprioin [158] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1358]; +assign \pma_direct|auto_generated|edge_pll_dprioin [159] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1359]; +assign \pma_direct|auto_generated|edge_pll_dprioin [160] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1360]; +assign \pma_direct|auto_generated|edge_pll_dprioin [161] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1361]; +assign \pma_direct|auto_generated|edge_pll_dprioin [162] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1362]; +assign \pma_direct|auto_generated|edge_pll_dprioin [163] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1363]; +assign \pma_direct|auto_generated|edge_pll_dprioin [164] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1364]; +assign \pma_direct|auto_generated|edge_pll_dprioin [165] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1365]; +assign \pma_direct|auto_generated|edge_pll_dprioin [166] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1366]; +assign \pma_direct|auto_generated|edge_pll_dprioin [167] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1367]; +assign \pma_direct|auto_generated|edge_pll_dprioin [168] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1368]; +assign \pma_direct|auto_generated|edge_pll_dprioin [169] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1369]; +assign \pma_direct|auto_generated|edge_pll_dprioin [170] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1370]; +assign \pma_direct|auto_generated|edge_pll_dprioin [171] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1371]; +assign \pma_direct|auto_generated|edge_pll_dprioin [172] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1372]; +assign \pma_direct|auto_generated|edge_pll_dprioin [173] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1373]; +assign \pma_direct|auto_generated|edge_pll_dprioin [174] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1374]; +assign \pma_direct|auto_generated|edge_pll_dprioin [175] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1375]; +assign \pma_direct|auto_generated|edge_pll_dprioin [176] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1376]; +assign \pma_direct|auto_generated|edge_pll_dprioin [177] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1377]; +assign \pma_direct|auto_generated|edge_pll_dprioin [178] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1378]; +assign \pma_direct|auto_generated|edge_pll_dprioin [179] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1379]; +assign \pma_direct|auto_generated|edge_pll_dprioin [180] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1380]; +assign \pma_direct|auto_generated|edge_pll_dprioin [181] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1381]; +assign \pma_direct|auto_generated|edge_pll_dprioin [182] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1382]; +assign \pma_direct|auto_generated|edge_pll_dprioin [183] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1383]; +assign \pma_direct|auto_generated|edge_pll_dprioin [184] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1384]; +assign \pma_direct|auto_generated|edge_pll_dprioin [185] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1385]; +assign \pma_direct|auto_generated|edge_pll_dprioin [186] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1386]; +assign \pma_direct|auto_generated|edge_pll_dprioin [187] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1387]; +assign \pma_direct|auto_generated|edge_pll_dprioin [188] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1388]; +assign \pma_direct|auto_generated|edge_pll_dprioin [189] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1389]; +assign \pma_direct|auto_generated|edge_pll_dprioin [190] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1390]; +assign \pma_direct|auto_generated|edge_pll_dprioin [191] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1391]; +assign \pma_direct|auto_generated|edge_pll_dprioin [192] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1392]; +assign \pma_direct|auto_generated|edge_pll_dprioin [193] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1393]; +assign \pma_direct|auto_generated|edge_pll_dprioin [194] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1394]; +assign \pma_direct|auto_generated|edge_pll_dprioin [195] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1395]; +assign \pma_direct|auto_generated|edge_pll_dprioin [196] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1396]; +assign \pma_direct|auto_generated|edge_pll_dprioin [197] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1397]; +assign \pma_direct|auto_generated|edge_pll_dprioin [198] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1398]; +assign \pma_direct|auto_generated|edge_pll_dprioin [199] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1399]; +assign \pma_direct|auto_generated|edge_pll_dprioin [200] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1400]; +assign \pma_direct|auto_generated|edge_pll_dprioin [201] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1401]; +assign \pma_direct|auto_generated|edge_pll_dprioin [202] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1402]; +assign \pma_direct|auto_generated|edge_pll_dprioin [203] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1403]; +assign \pma_direct|auto_generated|edge_pll_dprioin [204] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1404]; +assign \pma_direct|auto_generated|edge_pll_dprioin [205] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1405]; +assign \pma_direct|auto_generated|edge_pll_dprioin [206] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1406]; +assign \pma_direct|auto_generated|edge_pll_dprioin [207] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1407]; +assign \pma_direct|auto_generated|edge_pll_dprioin [208] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1408]; +assign \pma_direct|auto_generated|edge_pll_dprioin [209] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1409]; +assign \pma_direct|auto_generated|edge_pll_dprioin [210] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1410]; +assign \pma_direct|auto_generated|edge_pll_dprioin [211] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1411]; +assign \pma_direct|auto_generated|edge_pll_dprioin [212] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1412]; +assign \pma_direct|auto_generated|edge_pll_dprioin [213] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1413]; +assign \pma_direct|auto_generated|edge_pll_dprioin [214] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1414]; +assign \pma_direct|auto_generated|edge_pll_dprioin [215] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1415]; +assign \pma_direct|auto_generated|edge_pll_dprioin [216] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1416]; +assign \pma_direct|auto_generated|edge_pll_dprioin [217] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1417]; +assign \pma_direct|auto_generated|edge_pll_dprioin [218] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1418]; +assign \pma_direct|auto_generated|edge_pll_dprioin [219] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1419]; +assign \pma_direct|auto_generated|edge_pll_dprioin [220] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1420]; +assign \pma_direct|auto_generated|edge_pll_dprioin [221] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1421]; +assign \pma_direct|auto_generated|edge_pll_dprioin [222] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1422]; +assign \pma_direct|auto_generated|edge_pll_dprioin [223] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1423]; +assign \pma_direct|auto_generated|edge_pll_dprioin [224] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1424]; +assign \pma_direct|auto_generated|edge_pll_dprioin [225] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1425]; +assign \pma_direct|auto_generated|edge_pll_dprioin [226] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1426]; +assign \pma_direct|auto_generated|edge_pll_dprioin [227] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1427]; +assign \pma_direct|auto_generated|edge_pll_dprioin [228] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1428]; +assign \pma_direct|auto_generated|edge_pll_dprioin [229] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1429]; +assign \pma_direct|auto_generated|edge_pll_dprioin [230] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1430]; +assign \pma_direct|auto_generated|edge_pll_dprioin [231] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1431]; +assign \pma_direct|auto_generated|edge_pll_dprioin [232] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1432]; +assign \pma_direct|auto_generated|edge_pll_dprioin [233] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1433]; +assign \pma_direct|auto_generated|edge_pll_dprioin [234] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1434]; +assign \pma_direct|auto_generated|edge_pll_dprioin [235] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1435]; +assign \pma_direct|auto_generated|edge_pll_dprioin [236] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1436]; +assign \pma_direct|auto_generated|edge_pll_dprioin [237] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1437]; +assign \pma_direct|auto_generated|edge_pll_dprioin [238] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1438]; +assign \pma_direct|auto_generated|edge_pll_dprioin [239] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1439]; +assign \pma_direct|auto_generated|edge_pll_dprioin [240] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1440]; +assign \pma_direct|auto_generated|edge_pll_dprioin [241] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1441]; +assign \pma_direct|auto_generated|edge_pll_dprioin [242] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1442]; +assign \pma_direct|auto_generated|edge_pll_dprioin [243] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1443]; +assign \pma_direct|auto_generated|edge_pll_dprioin [244] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1444]; +assign \pma_direct|auto_generated|edge_pll_dprioin [245] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1445]; +assign \pma_direct|auto_generated|edge_pll_dprioin [246] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1446]; +assign \pma_direct|auto_generated|edge_pll_dprioin [247] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1447]; +assign \pma_direct|auto_generated|edge_pll_dprioin [248] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1448]; +assign \pma_direct|auto_generated|edge_pll_dprioin [249] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1449]; +assign \pma_direct|auto_generated|edge_pll_dprioin [250] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1450]; +assign \pma_direct|auto_generated|edge_pll_dprioin [251] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1451]; +assign \pma_direct|auto_generated|edge_pll_dprioin [252] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1452]; +assign \pma_direct|auto_generated|edge_pll_dprioin [253] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1453]; +assign \pma_direct|auto_generated|edge_pll_dprioin [254] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1454]; +assign \pma_direct|auto_generated|edge_pll_dprioin [255] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1455]; +assign \pma_direct|auto_generated|edge_pll_dprioin [256] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1456]; +assign \pma_direct|auto_generated|edge_pll_dprioin [257] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1457]; +assign \pma_direct|auto_generated|edge_pll_dprioin [258] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1458]; +assign \pma_direct|auto_generated|edge_pll_dprioin [259] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1459]; +assign \pma_direct|auto_generated|edge_pll_dprioin [260] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1460]; +assign \pma_direct|auto_generated|edge_pll_dprioin [261] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1461]; +assign \pma_direct|auto_generated|edge_pll_dprioin [262] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1462]; +assign \pma_direct|auto_generated|edge_pll_dprioin [263] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1463]; +assign \pma_direct|auto_generated|edge_pll_dprioin [264] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1464]; +assign \pma_direct|auto_generated|edge_pll_dprioin [265] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1465]; +assign \pma_direct|auto_generated|edge_pll_dprioin [266] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1466]; +assign \pma_direct|auto_generated|edge_pll_dprioin [267] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1467]; +assign \pma_direct|auto_generated|edge_pll_dprioin [268] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1468]; +assign \pma_direct|auto_generated|edge_pll_dprioin [269] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1469]; +assign \pma_direct|auto_generated|edge_pll_dprioin [270] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1470]; +assign \pma_direct|auto_generated|edge_pll_dprioin [271] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1471]; +assign \pma_direct|auto_generated|edge_pll_dprioin [272] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1472]; +assign \pma_direct|auto_generated|edge_pll_dprioin [273] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1473]; +assign \pma_direct|auto_generated|edge_pll_dprioin [274] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1474]; +assign \pma_direct|auto_generated|edge_pll_dprioin [275] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1475]; +assign \pma_direct|auto_generated|edge_pll_dprioin [276] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1476]; +assign \pma_direct|auto_generated|edge_pll_dprioin [277] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1477]; +assign \pma_direct|auto_generated|edge_pll_dprioin [278] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1478]; +assign \pma_direct|auto_generated|edge_pll_dprioin [279] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1479]; +assign \pma_direct|auto_generated|edge_pll_dprioin [280] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1480]; +assign \pma_direct|auto_generated|edge_pll_dprioin [281] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1481]; +assign \pma_direct|auto_generated|edge_pll_dprioin [282] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1482]; +assign \pma_direct|auto_generated|edge_pll_dprioin [283] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1483]; +assign \pma_direct|auto_generated|edge_pll_dprioin [284] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1484]; +assign \pma_direct|auto_generated|edge_pll_dprioin [285] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1485]; +assign \pma_direct|auto_generated|edge_pll_dprioin [286] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1486]; +assign \pma_direct|auto_generated|edge_pll_dprioin [287] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1487]; +assign \pma_direct|auto_generated|edge_pll_dprioin [288] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1488]; +assign \pma_direct|auto_generated|edge_pll_dprioin [289] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1489]; +assign \pma_direct|auto_generated|edge_pll_dprioin [290] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1490]; +assign \pma_direct|auto_generated|edge_pll_dprioin [291] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1491]; +assign \pma_direct|auto_generated|edge_pll_dprioin [292] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1492]; +assign \pma_direct|auto_generated|edge_pll_dprioin [293] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1493]; +assign \pma_direct|auto_generated|edge_pll_dprioin [294] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1494]; +assign \pma_direct|auto_generated|edge_pll_dprioin [295] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1495]; +assign \pma_direct|auto_generated|edge_pll_dprioin [296] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1496]; +assign \pma_direct|auto_generated|edge_pll_dprioin [297] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1497]; +assign \pma_direct|auto_generated|edge_pll_dprioin [298] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1498]; +assign \pma_direct|auto_generated|edge_pll_dprioin [299] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus [1499]; + +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [0] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [400]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [1] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [401]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [2] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [402]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [3] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [403]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [4] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [404]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [5] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [405]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [6] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [406]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [7] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [407]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [8] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [408]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [9] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [409]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [10] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [410]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [11] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [411]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [12] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [412]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [13] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [413]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [14] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [414]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [15] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [415]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [16] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [416]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [17] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [417]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [18] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [418]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [19] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [419]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [20] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [420]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [21] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [421]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [22] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [422]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [23] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [423]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [24] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [424]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [25] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [425]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [26] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [426]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [27] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [427]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [28] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [428]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [29] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [429]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [30] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [430]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [31] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [431]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [32] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [432]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [33] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [433]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [34] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [434]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [35] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [435]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [36] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [436]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [37] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [437]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [38] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [438]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [39] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [439]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [40] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [440]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [41] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [441]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [42] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [442]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [43] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [443]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [44] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [444]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [45] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [445]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [46] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [446]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [47] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [447]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [48] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [448]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [49] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [449]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [50] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [450]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [51] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [451]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [52] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [452]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [53] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [453]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [54] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [454]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [55] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [455]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [56] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [456]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [57] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [457]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [58] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [458]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [59] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [459]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [60] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [460]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [61] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [461]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [62] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [462]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [63] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [463]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [64] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [464]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [65] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [465]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [66] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [466]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [67] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [467]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [68] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [468]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [69] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [469]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [70] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [470]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [71] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [471]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [72] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [472]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [73] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [473]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [74] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [474]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [75] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [475]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [76] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [476]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [77] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [477]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [78] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [478]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [79] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [479]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [80] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [480]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [81] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [481]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [82] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [482]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [83] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [483]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [84] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [484]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [85] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [485]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [86] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [486]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [87] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [487]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [88] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [488]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [89] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [489]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [90] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [490]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [91] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [491]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [92] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [492]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [93] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [493]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [94] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [494]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [95] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [495]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [96] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [496]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [97] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [497]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [98] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [498]; +assign \pma_direct|auto_generated|edge_cmu_cmudividerdprioout [99] = \pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus [499]; + +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT0 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT2 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT3 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT4 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT5 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT6 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT7 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT8 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT9 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT10 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT11 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT12 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT13 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT14 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT15 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT16 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT17 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT18 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT19 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT20 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT21 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT22 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT23 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT24 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT25 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT26 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT27 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT28 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT29 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT30 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT31 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT32 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT33 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT34 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT35 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT36 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT37 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT38 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT39 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT40 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT41 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT42 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT43 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT44 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT45 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT46 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT47 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT48 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT49 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT50 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT51 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT52 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT53 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT54 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT55 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT56 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT57 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT58 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT59 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT60 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT61 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT62 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT63 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT64 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT65 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT66 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT67 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT68 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT69 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT70 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT71 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT72 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT73 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT74 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT75 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT76 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT77 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT78 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT79 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT80 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT81 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT82 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT83 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT84 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT85 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT86 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT87 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT88 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT89 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT90 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT91 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT92 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT93 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT94 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT95 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT96 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT97 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT98 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT99 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT100 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT101 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT102 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT103 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT104 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT105 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT106 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT107 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT108 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT109 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT110 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT111 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT112 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT113 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT114 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT115 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT116 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT117 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT118 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT119 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT120 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT121 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT122 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT123 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT124 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT125 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT126 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT127 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT128 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT129 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT130 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT131 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT132 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT133 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT134 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT135 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT136 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT137 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT138 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT139 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT140 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT141 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT142 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT143 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT144 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT145 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT146 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT147 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT148 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT149 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT150 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT151 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT152 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT153 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT154 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT155 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT156 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT157 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT158 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT159 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT160 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT161 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT162 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT163 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT164 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT165 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT166 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT167 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT168 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT169 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT170 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT171 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT172 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT173 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT174 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT175 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT176 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT177 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT178 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT179 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT180 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT181 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT182 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT183 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT184 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT185 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT186 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT187 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT188 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT189 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT190 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT191 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT192 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT193 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT194 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT195 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT196 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT197 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT198 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT199 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT200 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT201 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT202 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT203 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT204 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT205 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT206 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT207 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT208 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT209 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT210 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT211 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT212 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT213 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT214 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT215 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT216 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT217 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT218 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT219 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT220 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT221 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT222 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT223 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT224 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT225 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT226 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT227 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT228 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT229 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT230 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT231 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT232 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT233 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT234 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT235 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT236 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT237 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT238 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT239 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT240 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT241 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT242 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT243 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT244 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT245 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT246 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT247 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT248 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT249 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT250 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT251 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT252 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT253 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT254 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT255 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT256 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT257 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT258 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT259 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT260 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT261 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT262 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT263 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT264 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT265 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT266 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT267 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT268 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT269 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT270 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT271 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT272 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT273 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT274 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT275 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT276 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT277 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT278 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT279 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT280 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT281 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT282 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT283 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT284 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT285 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT286 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT287 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT288 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT289 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT290 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT291 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT292 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT293 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT294 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT295 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT296 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT297 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT298 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT299 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [299]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT300 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [300]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT301 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [301]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT302 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [302]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT303 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [303]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT304 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [304]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT305 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [305]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT306 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [306]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT307 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [307]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT308 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [308]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT309 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [309]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT310 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [310]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT311 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [311]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT312 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [312]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT313 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [313]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT314 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [314]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT315 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [315]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT316 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [316]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT317 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [317]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT318 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [318]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT319 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [319]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT320 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [320]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT321 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [321]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT322 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [322]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT323 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [323]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT324 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [324]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT325 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [325]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT326 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [326]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT327 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [327]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT328 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [328]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT329 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [329]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT330 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [330]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT331 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [331]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT332 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [332]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT333 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [333]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT334 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [334]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT335 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [335]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT336 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [336]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT337 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [337]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT338 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [338]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT339 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [339]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT340 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [340]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT341 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [341]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT342 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [342]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT343 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [343]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT344 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [344]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT345 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [345]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT346 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [346]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT347 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [347]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT348 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [348]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT349 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [349]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT350 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [350]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT351 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [351]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT352 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [352]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT353 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [353]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT354 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [354]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT355 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [355]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT356 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [356]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT357 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [357]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT358 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [358]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT359 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [359]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT360 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [360]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT361 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [361]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT362 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [362]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT363 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [363]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT364 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [364]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT365 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [365]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT366 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [366]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT367 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [367]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT368 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [368]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT369 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [369]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT370 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [370]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT371 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [371]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT372 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [372]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT373 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [373]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT374 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [374]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT375 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [375]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT376 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [376]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT377 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [377]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT378 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [378]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT379 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [379]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT380 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [380]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT381 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [381]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT382 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [382]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT383 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [383]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT384 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [384]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT385 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [385]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT386 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [386]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT387 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [387]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT388 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [388]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT389 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [389]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT390 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [390]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT391 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [391]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT392 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [392]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT393 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [393]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT394 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [394]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT395 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [395]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT396 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [396]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT397 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [397]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT398 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [398]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT399 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [399]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT400 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [400]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT401 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [401]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT402 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [402]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT403 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [403]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT404 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [404]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT405 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [405]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT406 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [406]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT407 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [407]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT408 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [408]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT409 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [409]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT410 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [410]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT411 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [411]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT412 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [412]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT413 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [413]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT414 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [414]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT415 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [415]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT416 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [416]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT417 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [417]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT418 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [418]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT419 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [419]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT420 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [420]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT421 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [421]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT422 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [422]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT423 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [423]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT424 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [424]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT425 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [425]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT426 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [426]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT427 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [427]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT428 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [428]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT429 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [429]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT430 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [430]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT431 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [431]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT432 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [432]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT433 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [433]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT434 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [434]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT435 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [435]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT436 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [436]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT437 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [437]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT438 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [438]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT439 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [439]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT440 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [440]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT441 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [441]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT442 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [442]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT443 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [443]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT444 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [444]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT445 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [445]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT446 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [446]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT447 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [447]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT448 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [448]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT449 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [449]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT450 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [450]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT451 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [451]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT452 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [452]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT453 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [453]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT454 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [454]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT455 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [455]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT456 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [456]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT457 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [457]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT458 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [458]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT459 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [459]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT460 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [460]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT461 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [461]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT462 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [462]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT463 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [463]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT464 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [464]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT465 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [465]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT466 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [466]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT467 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [467]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT468 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [468]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT469 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [469]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT470 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [470]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT471 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [471]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT472 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [472]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT473 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [473]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT474 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [474]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT475 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [475]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT476 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [476]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT477 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [477]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT478 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [478]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT479 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [479]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT480 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [480]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT481 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [481]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT482 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [482]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT483 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [483]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT484 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [484]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT485 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [485]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT486 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [486]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT487 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [487]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT488 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [488]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT489 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [489]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT490 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [490]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT491 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [491]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT492 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [492]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT493 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [493]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT494 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [494]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT495 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [495]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT496 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [496]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT497 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [497]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT498 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [498]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT499 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [499]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT500 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [500]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT501 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [501]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT502 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [502]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT503 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [503]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT504 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [504]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT505 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [505]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT506 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [506]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT507 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [507]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT508 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [508]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT509 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [509]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT510 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [510]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT511 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [511]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT512 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [512]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT513 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [513]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT514 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [514]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT515 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [515]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT516 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [516]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT517 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [517]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT518 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [518]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT519 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [519]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT520 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [520]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT521 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [521]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT522 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [522]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT523 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [523]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT524 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [524]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT525 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [525]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT526 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [526]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT527 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [527]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT528 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [528]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT529 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [529]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT530 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [530]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT531 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [531]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT532 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [532]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT533 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [533]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT534 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [534]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT535 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [535]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT536 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [536]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT537 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [537]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT538 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [538]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT539 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [539]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT540 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [540]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT541 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [541]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT542 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [542]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT543 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [543]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT544 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [544]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT545 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [545]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT546 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [546]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT547 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [547]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT548 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [548]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT549 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [549]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT550 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [550]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT551 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [551]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT552 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [552]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT553 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [553]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT554 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [554]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT555 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [555]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT556 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [556]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT557 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [557]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT558 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [558]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT559 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [559]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT560 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [560]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT561 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [561]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT562 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [562]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT563 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [563]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT564 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [564]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT565 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [565]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT566 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [566]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT567 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [567]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT568 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [568]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT569 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [569]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT570 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [570]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT571 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [571]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT572 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [572]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT573 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [573]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT574 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [574]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT575 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [575]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT576 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [576]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT577 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [577]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT578 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [578]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT579 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [579]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT580 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [580]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT581 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [581]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT582 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [582]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT583 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [583]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT584 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [584]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT585 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [585]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT586 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [586]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT587 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [587]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT588 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [588]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT589 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [589]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT590 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [590]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT591 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [591]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT592 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [592]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT593 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [593]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT594 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [594]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT595 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [595]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT596 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [596]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT597 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [597]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT598 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [598]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT599 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [599]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT600 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [600]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT601 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [601]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT602 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [602]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT603 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [603]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT604 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [604]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT605 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [605]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT606 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [606]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT607 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [607]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT608 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [608]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT609 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [609]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT610 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [610]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT611 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [611]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT612 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [612]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT613 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [613]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT614 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [614]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT615 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [615]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT616 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [616]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT617 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [617]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT618 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [618]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT619 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [619]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT620 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [620]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT621 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [621]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT622 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [622]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT623 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [623]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT624 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [624]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT625 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [625]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT626 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [626]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT627 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [627]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT628 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [628]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT629 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [629]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT630 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [630]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT631 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [631]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT632 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [632]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT633 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [633]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT634 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [634]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT635 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [635]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT636 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [636]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT637 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [637]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT638 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [638]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT639 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [639]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT640 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [640]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT641 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [641]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT642 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [642]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT643 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [643]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT644 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [644]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT645 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [645]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT646 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [646]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT647 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [647]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT648 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [648]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT649 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [649]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT650 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [650]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT651 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [651]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT652 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [652]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT653 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [653]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT654 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [654]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT655 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [655]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT656 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [656]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT657 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [657]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT658 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [658]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT659 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [659]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT660 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [660]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT661 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [661]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT662 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [662]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT663 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [663]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT664 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [664]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT665 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [665]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT666 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [666]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT667 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [667]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT668 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [668]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT669 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [669]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT670 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [670]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT671 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [671]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT672 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [672]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT673 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [673]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT674 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [674]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT675 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [675]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT676 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [676]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT677 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [677]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT678 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [678]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT679 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [679]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT680 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [680]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT681 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [681]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT682 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [682]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT683 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [683]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT684 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [684]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT685 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [685]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT686 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [686]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT687 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [687]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT688 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [688]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT689 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [689]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT690 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [690]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT691 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [691]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT692 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [692]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT693 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [693]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT694 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [694]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT695 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [695]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT696 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [696]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT697 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [697]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT698 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [698]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT699 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [699]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT700 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [700]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT701 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [701]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT702 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [702]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT703 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [703]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT704 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [704]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT705 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [705]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT706 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [706]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT707 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [707]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT708 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [708]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT709 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [709]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT710 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [710]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT711 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [711]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT712 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [712]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT713 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [713]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT714 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [714]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT715 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [715]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT716 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [716]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT717 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [717]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT718 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [718]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT719 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [719]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT720 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [720]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT721 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [721]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT722 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [722]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT723 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [723]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT724 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [724]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT725 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [725]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT726 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [726]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT727 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [727]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT728 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [728]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT729 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [729]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT730 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [730]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT731 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [731]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT732 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [732]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT733 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [733]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT734 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [734]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT735 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [735]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT736 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [736]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT737 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [737]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT738 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [738]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT739 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [739]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT740 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [740]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT741 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [741]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT742 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [742]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT743 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [743]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT744 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [744]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT745 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [745]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT746 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [746]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT747 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [747]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT748 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [748]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT749 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [749]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT750 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [750]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT751 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [751]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT752 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [752]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT753 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [753]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT754 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [754]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT755 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [755]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT756 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [756]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT757 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [757]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT758 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [758]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT759 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [759]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT760 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [760]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT761 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [761]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT762 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [762]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT763 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [763]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT764 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [764]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT765 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [765]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT766 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [766]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT767 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [767]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT768 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [768]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT769 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [769]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT770 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [770]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT771 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [771]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT772 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [772]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT773 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [773]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT774 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [774]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT775 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [775]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT776 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [776]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT777 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [777]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT778 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [778]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT779 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [779]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT780 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [780]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT781 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [781]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT782 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [782]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT783 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [783]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT784 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [784]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT785 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [785]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT786 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [786]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT787 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [787]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT788 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [788]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT789 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [789]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT790 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [790]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT791 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [791]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT792 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [792]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT793 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [793]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT794 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [794]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT795 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [795]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT796 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [796]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT797 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [797]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT798 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [798]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT799 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [799]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT800 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [800]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT801 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [801]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT802 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [802]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT803 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [803]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT804 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [804]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT805 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [805]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT806 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [806]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT807 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [807]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT808 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [808]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT809 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [809]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT810 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [810]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT811 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [811]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT812 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [812]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT813 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [813]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT814 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [814]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT815 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [815]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT816 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [816]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT817 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [817]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT818 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [818]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT819 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [819]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT820 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [820]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT821 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [821]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT822 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [822]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT823 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [823]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT824 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [824]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT825 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [825]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT826 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [826]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT827 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [827]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT828 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [828]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT829 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [829]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT830 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [830]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT831 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [831]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT832 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [832]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT833 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [833]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT834 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [834]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT835 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [835]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT836 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [836]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT837 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [837]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT838 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [838]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT839 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [839]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT840 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [840]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT841 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [841]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT842 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [842]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT843 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [843]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT844 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [844]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT845 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [845]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT846 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [846]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT847 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [847]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT848 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [848]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT849 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [849]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT850 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [850]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT851 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [851]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT852 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [852]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT853 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [853]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT854 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [854]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT855 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [855]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT856 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [856]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT857 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [857]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT858 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [858]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT859 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [859]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT860 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [860]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT861 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [861]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT862 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [862]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT863 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [863]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT864 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [864]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT865 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [865]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT866 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [866]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT867 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [867]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT868 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [868]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT869 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [869]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT870 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [870]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT871 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [871]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT872 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [872]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT873 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [873]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT874 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [874]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT875 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [875]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT876 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [876]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT877 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [877]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT878 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [878]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT879 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [879]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT880 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [880]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT881 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [881]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT882 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [882]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT883 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [883]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT884 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [884]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT885 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [885]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT886 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [886]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT887 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [887]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT888 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [888]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT889 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [889]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT890 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [890]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT891 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [891]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT892 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [892]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT893 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [893]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT894 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [894]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT895 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [895]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT896 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [896]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT897 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [897]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT898 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [898]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT899 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [899]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT900 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [900]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT901 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [901]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT902 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [902]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT903 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [903]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT904 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [904]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT905 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [905]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT906 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [906]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT907 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [907]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT908 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [908]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT909 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [909]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT910 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [910]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT911 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [911]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT912 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [912]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT913 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [913]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT914 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [914]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT915 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [915]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT916 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [916]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT917 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [917]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT918 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [918]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT919 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [919]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT920 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [920]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT921 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [921]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT922 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [922]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT923 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [923]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT924 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [924]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT925 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [925]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT926 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [926]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT927 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [927]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT928 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [928]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT929 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [929]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT930 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [930]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT931 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [931]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT932 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [932]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT933 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [933]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT934 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [934]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT935 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [935]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT936 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [936]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT937 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [937]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT938 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [938]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT939 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [939]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT940 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [940]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT941 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [941]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT942 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [942]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT943 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [943]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT944 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [944]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT945 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [945]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT946 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [946]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT947 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [947]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT948 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [948]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT949 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [949]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT950 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [950]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT951 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [951]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT952 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [952]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT953 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [953]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT954 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [954]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT955 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [955]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT956 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [956]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT957 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [957]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT958 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [958]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT959 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [959]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT960 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [960]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT961 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [961]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT962 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [962]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT963 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [963]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT964 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [964]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT965 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [965]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT966 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [966]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT967 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [967]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT968 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [968]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT969 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [969]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT970 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [970]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT971 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [971]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT972 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [972]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT973 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [973]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT974 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [974]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT975 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [975]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT976 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [976]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT977 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [977]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT978 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [978]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT979 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [979]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT980 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [980]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT981 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [981]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT982 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [982]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT983 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [983]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT984 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [984]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT985 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [985]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT986 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [986]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT987 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [987]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT988 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [988]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT989 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [989]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT990 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [990]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT991 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [991]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT992 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [992]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT993 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [993]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT994 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [994]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT995 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [995]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT996 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [996]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT997 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [997]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT998 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [998]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT999 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [999]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1000 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1000]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1001 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1001]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1002 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1002]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1003 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1003]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1004 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1004]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1005 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1005]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1006 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1006]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1007 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1007]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1008 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1008]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1009 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1009]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1010 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1010]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1011 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1011]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1012 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1012]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1013 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1013]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1014 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1014]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1015 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1015]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1016 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1016]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1017 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1017]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1018 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1018]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1019 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1019]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1020 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1020]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1021 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1021]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1022 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1022]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1023 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1023]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1024 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1024]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1025 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1025]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1026 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1026]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1027 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1027]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1028 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1028]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1029 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1029]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1030 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1030]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1031 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1031]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1032 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1032]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1033 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1033]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1034 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1034]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1035 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1035]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1036 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1036]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1037 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1037]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1038 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1038]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1039 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1039]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1040 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1040]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1041 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1041]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1042 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1042]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1043 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1043]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1044 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1044]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1045 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1045]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1046 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1046]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1047 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1047]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1048 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1048]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1049 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1049]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1050 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1050]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1051 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1051]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1052 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1052]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1053 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1053]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1054 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1054]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1055 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1055]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1056 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1056]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1057 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1057]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1058 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1058]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1059 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1059]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1060 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1060]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1061 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1061]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1062 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1062]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1063 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1063]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1064 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1064]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1065 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1065]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1066 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1066]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1067 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1067]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1068 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1068]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1069 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1069]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1070 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1070]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1071 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1071]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1072 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1072]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1073 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1073]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1074 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1074]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1075 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1075]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1076 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1076]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1077 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1077]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1078 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1078]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1079 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1079]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1080 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1080]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1081 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1081]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1082 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1082]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1083 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1083]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1084 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1084]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1085 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1085]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1086 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1086]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1087 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1087]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1088 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1088]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1089 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1089]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1090 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1090]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1091 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1091]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1092 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1092]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1093 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1093]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1094 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1094]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1095 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1095]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1096 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1096]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1097 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1097]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1098 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1098]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1099 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1099]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1100 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1100]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1101 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1101]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1102 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1102]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1103 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1103]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1104 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1104]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1105 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1105]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1106 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1106]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1107 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1107]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1108 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1108]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1109 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1109]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1110 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1110]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1111 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1111]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1112 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1112]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1113 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1113]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1114 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1114]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1115 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1115]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1116 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1116]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1117 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1117]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1118 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1118]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1119 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1119]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1120 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1120]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1121 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1121]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1122 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1122]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1123 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1123]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1124 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1124]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1125 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1125]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1126 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1126]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1127 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1127]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1128 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1128]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1129 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1129]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1130 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1130]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1131 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1131]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1132 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1132]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1133 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1133]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1134 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1134]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1135 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1135]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1136 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1136]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1137 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1137]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1138 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1138]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1139 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1139]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1140 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1140]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1141 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1141]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1142 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1142]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1143 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1143]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1144 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1144]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1145 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1145]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1146 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1146]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1147 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1147]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1148 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1148]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1149 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1149]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1150 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1150]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1151 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1151]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1152 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1152]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1153 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1153]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1154 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1154]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1155 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1155]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1156 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1156]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1157 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1157]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1158 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1158]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1159 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1159]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1160 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1160]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1161 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1161]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1162 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1162]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1163 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1163]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1164 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1164]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1165 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1165]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1166 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1166]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1167 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1167]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1168 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1168]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1169 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1169]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1170 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1170]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1171 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1171]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1172 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1172]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1173 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1173]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1174 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1174]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1175 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1175]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1176 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1176]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1177 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1177]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1178 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1178]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1179 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1179]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1180 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1180]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1181 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1181]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1182 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1182]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1183 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1183]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1184 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1184]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1185 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1185]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1186 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1186]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1187 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1187]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1188 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1188]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1189 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1189]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1190 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1190]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1191 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1191]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1192 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1192]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1193 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1193]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1194 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1194]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1195 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1195]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1196 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1196]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1197 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1197]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1198 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1198]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1199 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus [1199]; + +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT0 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT2 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT3 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT4 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT5 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT6 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT7 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT8 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT9 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT10 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT11 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT12 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT13 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT14 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT15 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT16 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT17 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT18 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT19 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT20 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT21 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT22 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT23 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT24 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT25 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT26 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT27 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT28 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT29 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT30 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT31 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT32 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT33 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT34 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT35 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT36 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT37 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT38 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT39 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT40 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT41 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT42 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT43 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT44 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT45 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT46 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT47 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT48 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT49 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT50 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT51 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT52 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT53 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT54 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT55 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT56 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT57 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT58 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT59 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT60 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT61 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT62 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT63 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT64 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT65 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT66 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT67 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT68 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT69 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT70 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT71 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT72 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT73 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT74 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT75 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT76 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT77 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT78 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT79 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT80 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT81 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT82 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT83 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT84 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT85 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT86 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT87 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT88 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT89 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT90 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT91 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT92 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT93 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT94 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT95 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT96 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT97 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT98 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT99 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [99]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT100 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [100]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT101 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [101]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT102 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [102]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT103 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [103]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT104 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [104]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT105 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [105]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT106 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [106]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT107 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [107]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT108 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [108]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT109 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [109]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT110 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [110]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT111 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [111]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT112 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [112]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT113 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [113]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT114 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [114]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT115 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [115]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT116 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [116]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT117 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [117]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT118 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [118]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT119 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [119]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT120 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [120]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT121 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [121]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT122 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [122]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT123 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [123]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT124 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [124]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT125 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [125]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT126 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [126]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT127 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [127]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT128 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [128]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT129 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [129]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT130 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [130]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT131 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [131]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT132 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [132]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT133 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [133]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT134 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [134]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT135 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [135]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT136 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [136]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT137 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [137]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT138 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [138]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT139 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [139]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT140 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [140]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT141 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [141]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT142 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [142]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT143 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [143]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT144 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [144]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT145 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [145]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT146 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [146]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT147 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [147]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT148 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [148]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT149 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [149]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT150 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [150]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT151 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [151]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT152 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [152]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT153 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [153]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT154 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [154]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT155 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [155]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT156 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [156]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT157 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [157]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT158 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [158]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT159 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [159]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT160 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [160]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT161 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [161]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT162 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [162]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT163 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [163]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT164 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [164]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT165 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [165]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT166 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [166]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT167 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [167]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT168 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [168]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT169 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [169]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT170 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [170]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT171 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [171]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT172 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [172]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT173 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [173]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT174 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [174]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT175 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [175]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT176 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [176]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT177 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [177]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT178 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [178]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT179 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [179]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT180 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [180]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT181 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [181]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT182 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [182]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT183 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [183]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT184 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [184]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT185 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [185]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT186 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [186]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT187 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [187]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT188 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [188]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT189 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [189]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT190 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [190]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT191 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [191]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT192 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [192]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT193 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [193]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT194 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [194]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT195 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [195]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT196 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [196]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT197 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [197]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT198 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [198]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT199 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [199]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT200 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [200]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT201 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [201]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT202 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [202]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT203 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [203]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT204 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [204]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT205 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [205]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT206 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [206]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT207 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [207]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT208 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [208]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT209 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [209]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT210 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [210]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT211 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [211]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT212 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [212]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT213 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [213]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT214 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [214]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT215 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [215]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT216 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [216]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT217 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [217]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT218 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [218]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT219 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [219]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT220 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [220]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT221 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [221]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT222 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [222]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT223 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [223]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT224 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [224]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT225 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [225]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT226 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [226]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT227 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [227]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT228 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [228]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT229 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [229]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT230 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [230]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT231 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [231]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT232 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [232]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT233 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [233]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT234 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [234]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT235 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [235]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT236 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [236]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT237 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [237]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT238 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [238]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT239 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [239]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT240 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [240]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT241 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [241]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT242 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [242]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT243 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [243]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT244 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [244]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT245 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [245]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT246 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [246]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT247 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [247]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT248 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [248]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT249 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [249]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT250 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [250]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT251 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [251]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT252 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [252]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT253 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [253]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT254 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [254]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT255 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [255]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT256 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [256]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT257 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [257]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT258 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [258]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT259 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [259]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT260 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [260]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT261 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [261]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT262 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [262]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT263 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [263]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT264 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [264]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT265 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [265]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT266 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [266]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT267 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [267]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT268 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [268]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT269 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [269]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT270 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [270]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT271 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [271]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT272 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [272]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT273 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [273]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT274 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [274]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT275 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [275]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT276 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [276]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT277 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [277]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT278 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [278]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT279 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [279]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT280 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [280]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT281 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [281]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT282 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [282]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT283 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [283]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT284 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [284]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT285 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [285]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT286 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [286]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT287 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [287]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT288 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [288]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT289 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [289]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT290 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [290]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT291 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [291]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT292 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [292]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT293 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [293]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT294 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [294]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT295 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [295]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT296 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [296]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT297 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [297]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT298 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [298]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT299 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [299]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT300 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [300]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT301 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [301]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT302 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [302]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT303 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [303]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT304 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [304]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT305 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [305]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT306 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [306]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT307 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [307]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT308 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [308]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT309 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [309]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT310 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [310]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT311 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [311]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT312 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [312]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT313 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [313]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT314 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [314]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT315 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [315]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT316 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [316]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT317 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [317]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT318 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [318]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT319 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [319]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT320 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [320]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT321 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [321]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT322 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [322]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT323 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [323]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT324 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [324]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT325 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [325]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT326 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [326]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT327 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [327]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT328 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [328]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT329 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [329]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT330 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [330]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT331 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [331]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT332 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [332]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT333 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [333]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT334 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [334]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT335 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [335]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT336 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [336]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT337 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [337]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT338 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [338]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT339 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [339]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT340 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [340]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT341 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [341]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT342 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [342]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT343 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [343]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT344 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [344]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT345 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [345]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT346 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [346]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT347 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [347]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT348 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [348]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT349 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [349]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT350 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [350]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT351 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [351]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT352 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [352]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT353 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [353]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT354 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [354]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT355 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [355]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT356 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [356]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT357 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [357]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT358 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [358]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT359 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [359]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT360 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [360]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT361 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [361]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT362 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [362]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT363 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [363]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT364 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [364]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT365 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [365]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT366 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [366]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT367 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [367]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT368 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [368]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT369 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [369]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT370 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [370]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT371 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [371]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT372 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [372]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT373 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [373]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT374 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [374]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT375 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [375]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT376 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [376]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT377 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [377]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT378 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [378]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT379 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [379]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT380 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [380]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT381 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [381]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT382 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [382]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT383 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [383]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT384 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [384]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT385 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [385]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT386 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [386]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT387 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [387]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT388 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [388]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT389 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [389]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT390 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [390]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT391 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [391]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT392 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [392]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT393 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [393]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT394 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [394]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT395 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [395]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT396 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [396]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT397 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [397]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT398 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [398]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT399 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [399]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT400 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [400]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT401 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [401]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT402 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [402]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT403 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [403]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT404 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [404]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT405 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [405]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT406 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [406]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT407 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [407]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT408 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [408]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT409 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [409]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT410 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [410]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT411 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [411]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT412 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [412]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT413 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [413]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT414 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [414]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT415 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [415]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT416 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [416]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT417 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [417]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT418 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [418]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT419 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [419]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT420 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [420]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT421 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [421]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT422 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [422]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT423 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [423]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT424 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [424]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT425 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [425]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT426 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [426]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT427 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [427]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT428 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [428]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT429 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [429]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT430 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [430]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT431 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [431]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT432 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [432]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT433 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [433]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT434 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [434]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT435 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [435]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT436 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [436]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT437 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [437]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT438 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [438]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT439 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [439]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT440 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [440]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT441 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [441]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT442 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [442]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT443 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [443]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT444 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [444]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT445 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [445]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT446 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [446]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT447 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [447]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT448 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [448]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT449 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [449]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT450 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [450]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT451 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [451]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT452 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [452]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT453 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [453]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT454 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [454]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT455 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [455]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT456 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [456]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT457 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [457]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT458 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [458]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT459 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [459]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT460 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [460]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT461 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [461]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT462 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [462]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT463 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [463]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT464 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [464]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT465 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [465]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT466 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [466]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT467 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [467]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT468 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [468]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT469 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [469]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT470 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [470]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT471 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [471]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT472 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [472]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT473 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [473]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT474 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [474]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT475 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [475]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT476 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [476]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT477 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [477]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT478 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [478]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT479 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [479]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT480 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [480]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT481 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [481]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT482 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [482]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT483 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [483]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT484 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [484]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT485 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [485]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT486 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [486]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT487 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [487]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT488 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [488]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT489 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [489]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT490 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [490]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT491 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [491]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT492 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [492]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT493 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [493]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT494 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [494]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT495 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [495]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT496 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [496]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT497 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [497]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT498 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [498]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT499 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [499]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT500 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [500]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT501 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [501]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT502 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [502]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT503 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [503]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT504 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [504]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT505 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [505]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT506 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [506]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT507 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [507]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT508 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [508]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT509 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [509]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT510 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [510]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT511 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [511]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT512 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [512]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT513 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [513]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT514 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [514]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT515 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [515]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT516 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [516]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT517 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [517]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT518 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [518]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT519 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [519]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT520 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [520]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT521 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [521]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT522 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [522]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT523 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [523]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT524 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [524]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT525 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [525]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT526 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [526]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT527 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [527]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT528 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [528]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT529 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [529]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT530 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [530]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT531 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [531]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT532 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [532]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT533 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [533]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT534 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [534]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT535 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [535]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT536 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [536]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT537 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [537]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT538 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [538]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT539 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [539]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT540 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [540]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT541 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [541]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT542 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [542]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT543 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [543]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT544 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [544]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT545 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [545]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT546 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [546]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT547 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [547]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT548 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [548]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT549 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [549]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT550 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [550]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT551 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [551]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT552 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [552]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT553 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [553]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT554 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [554]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT555 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [555]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT556 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [556]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT557 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [557]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT558 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [558]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT559 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [559]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT560 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [560]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT561 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [561]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT562 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [562]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT563 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [563]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT564 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [564]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT565 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [565]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT566 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [566]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT567 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [567]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT568 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [568]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT569 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [569]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT570 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [570]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT571 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [571]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT572 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [572]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT573 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [573]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT574 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [574]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT575 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [575]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT576 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [576]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT577 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [577]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT578 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [578]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT579 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [579]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT580 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [580]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT581 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [581]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT582 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [582]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT583 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [583]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT584 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [584]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT585 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [585]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT586 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [586]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT587 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [587]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT588 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [588]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT589 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [589]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT590 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [590]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT591 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [591]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT592 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [592]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT593 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [593]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT594 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [594]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT595 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [595]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT596 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [596]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT597 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [597]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT598 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [598]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT599 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [599]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT600 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [600]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT601 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [601]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT602 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [602]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT603 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [603]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT604 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [604]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT605 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [605]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT606 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [606]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT607 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [607]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT608 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [608]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT609 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [609]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT610 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [610]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT611 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [611]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT612 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [612]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT613 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [613]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT614 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [614]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT615 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [615]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT616 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [616]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT617 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [617]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT618 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [618]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT619 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [619]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT620 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [620]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT621 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [621]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT622 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [622]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT623 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [623]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT624 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [624]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT625 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [625]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT626 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [626]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT627 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [627]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT628 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [628]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT629 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [629]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT630 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [630]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT631 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [631]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT632 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [632]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT633 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [633]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT634 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [634]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT635 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [635]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT636 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [636]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT637 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [637]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT638 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [638]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT639 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [639]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT640 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [640]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT641 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [641]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT642 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [642]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT643 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [643]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT644 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [644]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT645 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [645]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT646 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [646]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT647 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [647]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT648 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [648]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT649 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [649]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT650 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [650]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT651 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [651]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT652 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [652]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT653 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [653]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT654 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [654]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT655 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [655]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT656 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [656]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT657 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [657]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT658 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [658]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT659 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [659]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT660 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [660]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT661 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [661]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT662 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [662]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT663 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [663]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT664 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [664]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT665 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [665]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT666 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [666]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT667 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [667]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT668 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [668]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT669 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [669]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT670 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [670]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT671 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [671]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT672 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [672]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT673 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [673]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT674 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [674]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT675 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [675]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT676 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [676]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT677 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [677]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT678 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [678]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT679 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [679]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT680 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [680]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT681 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [681]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT682 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [682]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT683 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [683]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT684 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [684]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT685 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [685]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT686 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [686]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT687 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [687]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT688 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [688]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT689 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [689]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT690 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [690]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT691 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [691]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT692 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [692]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT693 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [693]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT694 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [694]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT695 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [695]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT696 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [696]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT697 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [697]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT698 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [698]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT699 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [699]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT700 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [700]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT701 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [701]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT702 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [702]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT703 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [703]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT704 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [704]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT705 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [705]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT706 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [706]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT707 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [707]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT708 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [708]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT709 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [709]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT710 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [710]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT711 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [711]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT712 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [712]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT713 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [713]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT714 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [714]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT715 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [715]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT716 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [716]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT717 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [717]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT718 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [718]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT719 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [719]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT720 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [720]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT721 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [721]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT722 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [722]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT723 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [723]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT724 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [724]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT725 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [725]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT726 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [726]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT727 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [727]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT728 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [728]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT729 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [729]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT730 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [730]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT731 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [731]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT732 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [732]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT733 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [733]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT734 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [734]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT735 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [735]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT736 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [736]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT737 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [737]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT738 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [738]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT739 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [739]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT740 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [740]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT741 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [741]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT742 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [742]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT743 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [743]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT744 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [744]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT745 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [745]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT746 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [746]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT747 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [747]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT748 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [748]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT749 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [749]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT750 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [750]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT751 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [751]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT752 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [752]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT753 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [753]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT754 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [754]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT755 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [755]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT756 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [756]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT757 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [757]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT758 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [758]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT759 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [759]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT760 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [760]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT761 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [761]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT762 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [762]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT763 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [763]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT764 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [764]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT765 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [765]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT766 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [766]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT767 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [767]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT768 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [768]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT769 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [769]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT770 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [770]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT771 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [771]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT772 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [772]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT773 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [773]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT774 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [774]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT775 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [775]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT776 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [776]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT777 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [777]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT778 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [778]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT779 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [779]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT780 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [780]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT781 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [781]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT782 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [782]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT783 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [783]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT784 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [784]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT785 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [785]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT786 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [786]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT787 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [787]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT788 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [788]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT789 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [789]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT790 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [790]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT791 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [791]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT792 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [792]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT793 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [793]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT794 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [794]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT795 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [795]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT796 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [796]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT797 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [797]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT798 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [798]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT799 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [799]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT800 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [800]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT801 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [801]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT802 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [802]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT803 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [803]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT804 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [804]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT805 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [805]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT806 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [806]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT807 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [807]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT808 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [808]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT809 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [809]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT810 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [810]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT811 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [811]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT812 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [812]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT813 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [813]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT814 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [814]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT815 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [815]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT816 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [816]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT817 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [817]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT818 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [818]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT819 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [819]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT820 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [820]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT821 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [821]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT822 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [822]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT823 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [823]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT824 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [824]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT825 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [825]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT826 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [826]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT827 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [827]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT828 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [828]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT829 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [829]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT830 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [830]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT831 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [831]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT832 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [832]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT833 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [833]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT834 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [834]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT835 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [835]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT836 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [836]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT837 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [837]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT838 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [838]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT839 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [839]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT840 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [840]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT841 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [841]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT842 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [842]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT843 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [843]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT844 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [844]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT845 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [845]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT846 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [846]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT847 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [847]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT848 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [848]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT849 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [849]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT850 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [850]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT851 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [851]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT852 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [852]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT853 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [853]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT854 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [854]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT855 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [855]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT856 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [856]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT857 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [857]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT858 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [858]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT859 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [859]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT860 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [860]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT861 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [861]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT862 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [862]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT863 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [863]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT864 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [864]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT865 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [865]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT866 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [866]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT867 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [867]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT868 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [868]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT869 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [869]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT870 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [870]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT871 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [871]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT872 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [872]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT873 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [873]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT874 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [874]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT875 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [875]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT876 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [876]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT877 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [877]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT878 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [878]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT879 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [879]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT880 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [880]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT881 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [881]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT882 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [882]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT883 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [883]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT884 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [884]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT885 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [885]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT886 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [886]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT887 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [887]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT888 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [888]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT889 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [889]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT890 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [890]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT891 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [891]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT892 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [892]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT893 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [893]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT894 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [894]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT895 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [895]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT896 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [896]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT897 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [897]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT898 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [898]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT899 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [899]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT900 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [900]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT901 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [901]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT902 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [902]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT903 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [903]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT904 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [904]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT905 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [905]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT906 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [906]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT907 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [907]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT908 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [908]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT909 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [909]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT910 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [910]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT911 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [911]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT912 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [912]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT913 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [913]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT914 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [914]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT915 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [915]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT916 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [916]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT917 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [917]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT918 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [918]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT919 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [919]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT920 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [920]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT921 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [921]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT922 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [922]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT923 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [923]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT924 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [924]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT925 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [925]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT926 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [926]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT927 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [927]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT928 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [928]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT929 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [929]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT930 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [930]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT931 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [931]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT932 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [932]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT933 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [933]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT934 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [934]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT935 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [935]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT936 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [936]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT937 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [937]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT938 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [938]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT939 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [939]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT940 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [940]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT941 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [941]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT942 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [942]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT943 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [943]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT944 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [944]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT945 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [945]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT946 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [946]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT947 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [947]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT948 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [948]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT949 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [949]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT950 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [950]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT951 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [951]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT952 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [952]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT953 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [953]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT954 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [954]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT955 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [955]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT956 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [956]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT957 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [957]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT958 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [958]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT959 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [959]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT960 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [960]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT961 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [961]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT962 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [962]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT963 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [963]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT964 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [964]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT965 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [965]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT966 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [966]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT967 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [967]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT968 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [968]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT969 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [969]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT970 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [970]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT971 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [971]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT972 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [972]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT973 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [973]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT974 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [974]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT975 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [975]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT976 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [976]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT977 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [977]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT978 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [978]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT979 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [979]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT980 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [980]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT981 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [981]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT982 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [982]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT983 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [983]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT984 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [984]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT985 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [985]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT986 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [986]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT987 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [987]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT988 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [988]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT989 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [989]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT990 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [990]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT991 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [991]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT992 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [992]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT993 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [993]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT994 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [994]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT995 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [995]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT996 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [996]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT997 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [997]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT998 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [998]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT999 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [999]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1000 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1000]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1001 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1001]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1002 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1002]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1003 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1003]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1004 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1004]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1005 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1005]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1006 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1006]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1007 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1007]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1008 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1008]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1009 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1009]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1010 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1010]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1011 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1011]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1012 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1012]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1013 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1013]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1014 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1014]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1015 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1015]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1016 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1016]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1017 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1017]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1018 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1018]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1019 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1019]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1020 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1020]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1021 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1021]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1022 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1022]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1023 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1023]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1024 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1024]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1025 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1025]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1026 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1026]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1027 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1027]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1028 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1028]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1029 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1029]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1030 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1030]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1031 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1031]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1032 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1032]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1033 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1033]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1034 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1034]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1035 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1035]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1036 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1036]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1037 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1037]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1038 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1038]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1039 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1039]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1040 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1040]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1041 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1041]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1042 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1042]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1043 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1043]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1044 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1044]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1045 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1045]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1046 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1046]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1047 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1047]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1048 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1048]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1049 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1049]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1050 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1050]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1051 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1051]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1052 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1052]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1053 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1053]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1054 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1054]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1055 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1055]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1056 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1056]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1057 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1057]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1058 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1058]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1059 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1059]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1060 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1060]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1061 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1061]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1062 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1062]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1063 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1063]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1064 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1064]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1065 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1065]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1066 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1066]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1067 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1067]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1068 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1068]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1069 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1069]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1070 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1070]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1071 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1071]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1072 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1072]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1073 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1073]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1074 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1074]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1075 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1075]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1076 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1076]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1077 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1077]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1078 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1078]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1079 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1079]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1080 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1080]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1081 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1081]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1082 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1082]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1083 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1083]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1084 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1084]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1085 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1085]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1086 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1086]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1087 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1087]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1088 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1088]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1089 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1089]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1090 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1090]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1091 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1091]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1092 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1092]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1093 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1093]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1094 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1094]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1095 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1095]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1096 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1096]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1097 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1097]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1098 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1098]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1099 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1099]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1100 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1100]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1101 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1101]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1102 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1102]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1103 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1103]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1104 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1104]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1105 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1105]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1106 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1106]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1107 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1107]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1108 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1108]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1109 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1109]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1110 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1110]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1111 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1111]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1112 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1112]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1113 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1113]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1114 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1114]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1115 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1115]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1116 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1116]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1117 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1117]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1118 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1118]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1119 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1119]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1120 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1120]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1121 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1121]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1122 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1122]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1123 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1123]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1124 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1124]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1125 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1125]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1126 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1126]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1127 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1127]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1128 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1128]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1129 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1129]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1130 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1130]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1131 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1131]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1132 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1132]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1133 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1133]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1134 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1134]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1135 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1135]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1136 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1136]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1137 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1137]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1138 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1138]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1139 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1139]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1140 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1140]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1141 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1141]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1142 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1142]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1143 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1143]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1144 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1144]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1145 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1145]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1146 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1146]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1147 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1147]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1148 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1148]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1149 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1149]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1150 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1150]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1151 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1151]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1152 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1152]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1153 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1153]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1154 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1154]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1155 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1155]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1156 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1156]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1157 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1157]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1158 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1158]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1159 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1159]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1160 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1160]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1161 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1161]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1162 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1162]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1163 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1163]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1164 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1164]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1165 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1165]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1166 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1166]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1167 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1167]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1168 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1168]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1169 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1169]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1170 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1170]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1171 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1171]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1172 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1172]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1173 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1173]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1174 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1174]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1175 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1175]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1176 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1176]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1177 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1177]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1178 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1178]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1179 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1179]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1180 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1180]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1181 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1181]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1182 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1182]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1183 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1183]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1184 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1184]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1185 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1185]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1186 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1186]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1187 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1187]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1188 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1188]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1189 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1189]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1190 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1190]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1191 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1191]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1192 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1192]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1193 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1193]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1194 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1194]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1195 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1195]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1196 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1196]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1197 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1197]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1198 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1198]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1199 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus [1199]; + +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT0 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRURESETOUT_bus [0]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT1 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRURESETOUT_bus [1]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT2 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRURESETOUT_bus [2]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT3 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRURESETOUT_bus [3]; + +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN0 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRUPOWERDOWN_bus [0]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN1 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRUPOWERDOWN_bus [1]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN2 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRUPOWERDOWN_bus [2]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN3 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXCRUPOWERDOWN_bus [3]; + +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN0 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXIBPOWERDOWN_bus [0]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN1 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXIBPOWERDOWN_bus [1]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN2 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXIBPOWERDOWN_bus [2]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN3 = \pma_direct|auto_generated|tx_pll_cent_unit0_RXIBPOWERDOWN_bus [3]; + +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN0 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXOBPOWERDOWN_bus [0]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN1 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXOBPOWERDOWN_bus [1]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN2 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXOBPOWERDOWN_bus [2]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN3 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXOBPOWERDOWN_bus [3]; + +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN0 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXDETECTRXPOWERDOWN_bus [0]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN1 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXDETECTRXPOWERDOWN_bus [1]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN2 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXDETECTRXPOWERDOWN_bus [2]; +assign \pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN3 = \pma_direct|auto_generated|tx_pll_cent_unit0_TXDETECTRXPOWERDOWN_bus [3]; + +assign \pma_direct|auto_generated|edge_cmu_clkdivpowerdn [0] = \pma_direct|auto_generated|tx_pll_cent_unit0_CLKDIVPOWERDN_bus [0]; + +assign \pma_direct|auto_generated|edge_pllpowerdn_in [0] = \pma_direct|auto_generated|tx_pll_cent_unit0_PLLPOWERDN_bus [0]; + +assign \pma_direct|auto_generated|cal_blk0~calibrationstatus = \pma_direct|auto_generated|cal_blk0_CALIBRATIONSTATUS_bus [0]; +assign \pma_direct|auto_generated|cal_blk0~CALIBRATIONSTATUS1 = \pma_direct|auto_generated|cal_blk0_CALIBRATIONSTATUS_bus [1]; +assign \pma_direct|auto_generated|cal_blk0~CALIBRATIONSTATUS2 = \pma_direct|auto_generated|cal_blk0_CALIBRATIONSTATUS_bus [2]; +assign \pma_direct|auto_generated|cal_blk0~CALIBRATIONSTATUS3 = \pma_direct|auto_generated|cal_blk0_CALIBRATIONSTATUS_bus [3]; +assign \pma_direct|auto_generated|cal_blk0~CALIBRATIONSTATUS4 = \pma_direct|auto_generated|cal_blk0_CALIBRATIONSTATUS_bus [4]; + +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [400] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [0]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [401] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [1]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [402] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [2]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [403] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [3]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [404] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [4]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [405] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [5]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [406] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [6]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [407] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [7]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [408] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [8]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [409] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [9]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [410] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [10]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [411] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [11]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [412] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [12]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [413] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [13]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [414] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [14]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [415] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [15]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [416] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [16]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [417] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [17]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [418] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [18]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [419] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [19]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [420] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [20]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [421] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [21]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [422] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [22]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [423] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [23]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [424] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [24]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [425] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [25]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [426] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [26]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [427] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [27]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [428] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [28]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [429] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [29]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [430] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [30]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [431] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [31]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [432] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [32]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [433] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [33]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [434] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [34]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [435] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [35]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [436] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [36]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [437] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [37]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [438] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [38]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [439] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [39]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [440] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [40]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [441] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [41]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [442] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [42]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [443] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [43]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [444] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [44]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [445] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [45]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [446] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [46]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [447] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [47]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [448] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [48]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [449] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [49]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [450] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [50]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [451] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [51]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [452] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [52]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [453] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [53]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [454] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [54]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [455] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [55]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [456] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [56]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [457] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [57]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [458] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [58]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [459] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [59]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [460] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [60]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [461] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [61]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [462] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [62]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [463] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [63]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [464] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [64]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [465] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [65]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [466] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [66]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [467] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [67]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [468] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [68]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [469] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [69]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [470] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [70]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [471] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [71]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [472] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [72]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [473] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [73]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [474] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [74]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [475] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [75]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [476] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [76]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [477] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [77]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [478] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [78]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [479] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [79]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [480] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [80]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [481] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [81]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [482] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [82]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [483] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [83]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [484] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [84]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [485] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [85]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [486] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [86]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [487] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [87]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [488] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [88]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [489] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [89]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [490] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [90]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [491] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [91]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [492] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [92]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [493] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [93]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [494] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [94]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [495] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [95]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [496] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [96]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [497] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [97]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [498] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [98]; +assign \pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [499] = \pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus [99]; + +assign \pma_direct|auto_generated|edge_pll_analogrefclkout [0] = \pma_direct|auto_generated|central_clk_div0_ANALOGREFCLKOUT_bus [0]; +assign \pma_direct|auto_generated|edge_pll_analogrefclkout [1] = \pma_direct|auto_generated|central_clk_div0_ANALOGREFCLKOUT_bus [1]; + +assign \pma_direct|auto_generated|edge_pll_analogfastrefclkout [0] = \pma_direct|auto_generated|central_clk_div0_ANALOGFASTREFCLKOUT_bus [0]; +assign \pma_direct|auto_generated|edge_pll_analogfastrefclkout [1] = \pma_direct|auto_generated|central_clk_div0_ANALOGFASTREFCLKOUT_bus [1]; + +// Location: IOIBUF_X0_Y68_N32 +stratixiv_io_ibuf \pll_inclk[0]~input ( + .i(pll_inclk[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\pll_inclk[0]~input_o )); +// synopsys translate_off +defparam \pll_inclk[0]~input .bus_hold = "false"; +defparam \pll_inclk[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y68_N1 +stratixiv_io_ibuf \reconfig_clk~input ( + .i(reconfig_clk), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\reconfig_clk~input_o )); +// synopsys translate_off +defparam \reconfig_clk~input .bus_hold = "false"; +defparam \reconfig_clk~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X36_Y0_N94 +stratixiv_io_ibuf \reconfig_togxb[3]~input ( + .i(reconfig_togxb[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\reconfig_togxb[3]~input_o )); +// synopsys translate_off +defparam \reconfig_togxb[3]~input .bus_hold = "false"; +defparam \reconfig_togxb[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X57_Y0_N63 +stratixiv_io_ibuf \cal_blk_clk~input ( + .i(cal_blk_clk), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\cal_blk_clk~input_o )); +// synopsys translate_off +defparam \cal_blk_clk~input .bus_hold = "false"; +defparam \cal_blk_clk~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y96_N95 +stratixiv_io_obuf \ch_ctrl_readdata[0]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[0]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[0]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[0]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X104_Y129_N95 +stratixiv_io_obuf \ch_ctrl_readdata[1]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[1]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[1]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[1]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y74_N113 +stratixiv_io_obuf \ch_ctrl_readdata[2]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[2]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[2]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[2]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X135_Y0_N20 +stratixiv_io_obuf \ch_ctrl_readdata[3]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[3]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[3]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[3]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y72_N113 +stratixiv_io_obuf \ch_ctrl_readdata[4]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[4]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[4]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[4]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[4]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X173_Y129_N20 +stratixiv_io_obuf \ch_ctrl_readdata[5]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[5]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[5]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[5]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[5]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X150_Y129_N20 +stratixiv_io_obuf \ch_ctrl_readdata[6]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[6]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[6]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[6]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[6]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y100_N113 +stratixiv_io_obuf \ch_ctrl_readdata[7]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[7]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[7]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[7]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[7]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X70_Y0_N51 +stratixiv_io_obuf \ch_ctrl_readdata[8]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[8]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[8]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[8]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[8]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X73_Y0_N82 +stratixiv_io_obuf \ch_ctrl_readdata[9]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[9]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[9]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[9]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[9]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X68_Y129_N113 +stratixiv_io_obuf \ch_ctrl_readdata[10]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[10]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[10]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[10]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[10]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y112_N51 +stratixiv_io_obuf \ch_ctrl_readdata[11]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[11]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[11]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[11]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[11]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y44_N20 +stratixiv_io_obuf \ch_ctrl_readdata[12]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[12]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[12]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[12]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[12]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y16_N20 +stratixiv_io_obuf \ch_ctrl_readdata[13]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[13]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[13]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[13]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[13]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X33_Y129_N51 +stratixiv_io_obuf \ch_ctrl_readdata[14]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[14]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[14]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[14]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[14]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y100_N82 +stratixiv_io_obuf \ch_ctrl_readdata[15]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[15]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[15]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[15]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[15]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X57_Y129_N82 +stratixiv_io_obuf \ch_ctrl_readdata[16]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[16]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[16]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[16]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[16]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X45_Y129_N82 +stratixiv_io_obuf \ch_ctrl_readdata[17]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[17]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[17]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[17]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[17]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X175_Y129_N51 +stratixiv_io_obuf \ch_ctrl_readdata[18]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[18]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[18]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[18]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[18]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X73_Y129_N113 +stratixiv_io_obuf \ch_ctrl_readdata[19]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[19]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[19]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[19]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[19]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X133_Y0_N51 +stratixiv_io_obuf \ch_ctrl_readdata[20]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[20]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[20]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[20]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[20]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y71_N20 +stratixiv_io_obuf \ch_ctrl_readdata[21]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[21]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[21]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[21]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[21]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X132_Y129_N20 +stratixiv_io_obuf \ch_ctrl_readdata[22]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[22]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[22]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[22]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[22]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y30_N113 +stratixiv_io_obuf \ch_ctrl_readdata[23]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[23]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[23]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[23]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[23]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X76_Y129_N51 +stratixiv_io_obuf \ch_ctrl_readdata[24]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[24]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[24]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[24]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[24]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y81_N20 +stratixiv_io_obuf \ch_ctrl_readdata[25]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[25]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[25]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[25]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[25]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X139_Y0_N113 +stratixiv_io_obuf \ch_ctrl_readdata[26]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[26]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[26]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[26]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[26]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X100_Y129_N64 +stratixiv_io_obuf \ch_ctrl_readdata[27]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[27]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[27]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[27]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[27]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X64_Y129_N82 +stratixiv_io_obuf \ch_ctrl_readdata[28]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[28]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[28]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[28]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[28]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X173_Y0_N51 +stratixiv_io_obuf \ch_ctrl_readdata[29]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[29]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[29]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[29]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[29]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X159_Y0_N51 +stratixiv_io_obuf \ch_ctrl_readdata[30]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[30]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[30]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[30]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[30]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y112_N51 +stratixiv_io_obuf \ch_ctrl_readdata[31]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\ch_ctrl_readdata[31]~output_o ), + .obar()); +// synopsys translate_off +defparam \ch_ctrl_readdata[31]~output .bus_hold = "false"; +defparam \ch_ctrl_readdata[31]~output .open_drain_output = "false"; +defparam \ch_ctrl_readdata[31]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X62_Y0_N82 +stratixiv_io_obuf \pll_locked~output ( + .i(\pma_direct|auto_generated|pll_locked [0]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\pll_locked~output_o ), + .obar()); +// synopsys translate_off +defparam \pll_locked~output .bus_hold = "false"; +defparam \pll_locked~output .open_drain_output = "false"; +defparam \pll_locked~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X113_Y129_N20 +stratixiv_io_obuf \reconfig_fromgxb[0]~output ( + .i(\~QUARTUS_CREATED_GND~I_combout ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[0]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[0]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[0]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X60_Y0_N51 +stratixiv_io_obuf \reconfig_fromgxb[1]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_analogtestbus [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[1]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[1]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[1]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y15_N64 +stratixiv_io_obuf \reconfig_fromgxb[2]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_analogtestbus [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[2]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[2]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[2]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X54_Y0_N82 +stratixiv_io_obuf \reconfig_fromgxb[3]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_analogtestbus [4]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[3]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[3]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[3]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y15_N2 +stratixiv_io_obuf \reconfig_fromgxb[4]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_analogtestbus [5]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[4]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[4]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[4]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[4]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y98_N20 +stratixiv_io_obuf \reconfig_fromgxb[5]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[5]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[5]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[5]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[5]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X38_Y129_N82 +stratixiv_io_obuf \reconfig_fromgxb[6]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[6]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[6]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[6]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[6]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X113_Y0_N82 +stratixiv_io_obuf \reconfig_fromgxb[7]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[7]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[7]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[7]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[7]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X129_Y129_N113 +stratixiv_io_obuf \reconfig_fromgxb[8]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[8]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[8]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[8]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[8]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y18_N113 +stratixiv_io_obuf \reconfig_fromgxb[9]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[9]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[9]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[9]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[9]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y110_N20 +stratixiv_io_obuf \reconfig_fromgxb[10]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[10]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[10]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[10]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[10]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X175_Y129_N20 +stratixiv_io_obuf \reconfig_fromgxb[11]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[11]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[11]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[11]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[11]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X153_Y129_N113 +stratixiv_io_obuf \reconfig_fromgxb[12]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[12]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[12]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[12]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[12]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X162_Y129_N113 +stratixiv_io_obuf \reconfig_fromgxb[13]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[13]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[13]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[13]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[13]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X153_Y129_N20 +stratixiv_io_obuf \reconfig_fromgxb[14]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[14]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[14]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[14]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[14]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y24_N51 +stratixiv_io_obuf \reconfig_fromgxb[15]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[15]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[15]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[15]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[15]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y48_N20 +stratixiv_io_obuf \reconfig_fromgxb[16]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[16]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[16]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[16]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[16]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X113_Y129_N113 +stratixiv_io_obuf \reconfig_fromgxb[17]~output ( + .i(\~QUARTUS_CREATED_GND~I_combout ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[17]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[17]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[17]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[17]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X36_Y0_N51 +stratixiv_io_obuf \reconfig_fromgxb[18]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_analogtestbus [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[18]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[18]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[18]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[18]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y16_N82 +stratixiv_io_obuf \reconfig_fromgxb[19]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_analogtestbus [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[19]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[19]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[19]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[19]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X31_Y0_N51 +stratixiv_io_obuf \reconfig_fromgxb[20]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_analogtestbus [4]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[20]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[20]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[20]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[20]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y16_N113 +stratixiv_io_obuf \reconfig_fromgxb[21]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_analogtestbus [5]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[21]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[21]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[21]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[21]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X152_Y129_N82 +stratixiv_io_obuf \reconfig_fromgxb[22]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[22]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[22]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[22]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[22]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y80_N82 +stratixiv_io_obuf \reconfig_fromgxb[23]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[23]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[23]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[23]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[23]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X119_Y0_N113 +stratixiv_io_obuf \reconfig_fromgxb[24]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[24]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[24]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[24]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[24]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X152_Y0_N51 +stratixiv_io_obuf \reconfig_fromgxb[25]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[25]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[25]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[25]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[25]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X76_Y129_N82 +stratixiv_io_obuf \reconfig_fromgxb[26]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[26]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[26]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[26]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[26]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X137_Y0_N82 +stratixiv_io_obuf \reconfig_fromgxb[27]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[27]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[27]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[27]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[27]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X127_Y0_N20 +stratixiv_io_obuf \reconfig_fromgxb[28]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[28]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[28]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[28]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[28]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X159_Y0_N113 +stratixiv_io_obuf \reconfig_fromgxb[29]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[29]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[29]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[29]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[29]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y48_N51 +stratixiv_io_obuf \reconfig_fromgxb[30]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[30]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[30]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[30]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[30]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y104_N113 +stratixiv_io_obuf \reconfig_fromgxb[31]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[31]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[31]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[31]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[31]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X25_Y129_N20 +stratixiv_io_obuf \reconfig_fromgxb[32]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[32]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[32]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[32]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[32]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X125_Y129_N20 +stratixiv_io_obuf \reconfig_fromgxb[33]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[33]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[33]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[33]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[33]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X113_Y129_N82 +stratixiv_io_obuf \reconfig_fromgxb[34]~output ( + .i(\~QUARTUS_CREATED_GND~I_combout ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[34]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[34]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[34]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[34]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X45_Y0_N82 +stratixiv_io_obuf \reconfig_fromgxb[35]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_analogtestbus [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[35]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[35]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[35]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[35]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X9_Y0_N82 +stratixiv_io_obuf \reconfig_fromgxb[36]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_analogtestbus [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[36]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[36]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[36]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[36]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X11_Y0_N82 +stratixiv_io_obuf \reconfig_fromgxb[37]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_analogtestbus [4]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[37]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[37]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[37]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[37]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X42_Y0_N82 +stratixiv_io_obuf \reconfig_fromgxb[38]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_analogtestbus [5]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[38]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[38]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[38]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[38]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y74_N51 +stratixiv_io_obuf \reconfig_fromgxb[39]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[39]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[39]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[39]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[39]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X121_Y0_N20 +stratixiv_io_obuf \reconfig_fromgxb[40]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[40]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[40]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[40]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[40]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X150_Y0_N113 +stratixiv_io_obuf \reconfig_fromgxb[41]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[41]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[41]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[41]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[41]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y97_N82 +stratixiv_io_obuf \reconfig_fromgxb[42]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[42]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[42]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[42]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[42]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y104_N20 +stratixiv_io_obuf \reconfig_fromgxb[43]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[43]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[43]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[43]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[43]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X27_Y129_N82 +stratixiv_io_obuf \reconfig_fromgxb[44]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[44]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[44]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[44]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[44]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X167_Y129_N51 +stratixiv_io_obuf \reconfig_fromgxb[45]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[45]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[45]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[45]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[45]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y69_N95 +stratixiv_io_obuf \reconfig_fromgxb[46]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[46]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[46]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[46]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[46]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y56_N113 +stratixiv_io_obuf \reconfig_fromgxb[47]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[47]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[47]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[47]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[47]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y69_N64 +stratixiv_io_obuf \reconfig_fromgxb[48]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[48]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[48]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[48]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[48]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X36_Y129_N82 +stratixiv_io_obuf \reconfig_fromgxb[49]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[49]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[49]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[49]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[49]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y74_N82 +stratixiv_io_obuf \reconfig_fromgxb[50]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[50]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[50]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[50]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[50]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X113_Y129_N51 +stratixiv_io_obuf \reconfig_fromgxb[51]~output ( + .i(\~QUARTUS_CREATED_GND~I_combout ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[51]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[51]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[51]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[51]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y15_N33 +stratixiv_io_obuf \reconfig_fromgxb[52]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_analogtestbus [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[52]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[52]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[52]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[52]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X22_Y0_N51 +stratixiv_io_obuf \reconfig_fromgxb[53]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_analogtestbus [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[53]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[53]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[53]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[53]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X11_Y0_N51 +stratixiv_io_obuf \reconfig_fromgxb[54]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_analogtestbus [4]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[54]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[54]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[54]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[54]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X39_Y0_N51 +stratixiv_io_obuf \reconfig_fromgxb[55]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_analogtestbus [5]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[55]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[55]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[55]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[55]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y28_N113 +stratixiv_io_obuf \reconfig_fromgxb[56]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[56]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[56]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[56]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[56]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y56_N20 +stratixiv_io_obuf \reconfig_fromgxb[57]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[57]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[57]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[57]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[57]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X73_Y129_N20 +stratixiv_io_obuf \reconfig_fromgxb[58]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[58]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[58]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[58]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[58]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y50_N113 +stratixiv_io_obuf \reconfig_fromgxb[59]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[59]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[59]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[59]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[59]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y106_N113 +stratixiv_io_obuf \reconfig_fromgxb[60]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[60]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[60]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[60]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[60]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X173_Y129_N113 +stratixiv_io_obuf \reconfig_fromgxb[61]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[61]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[61]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[61]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[61]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X145_Y0_N51 +stratixiv_io_obuf \reconfig_fromgxb[62]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[62]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[62]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[62]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[62]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X47_Y129_N51 +stratixiv_io_obuf \reconfig_fromgxb[63]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[63]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[63]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[63]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[63]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X45_Y129_N51 +stratixiv_io_obuf \reconfig_fromgxb[64]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[64]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[64]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[64]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[64]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y100_N20 +stratixiv_io_obuf \reconfig_fromgxb[65]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[65]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[65]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[65]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[65]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X78_Y0_N82 +stratixiv_io_obuf \reconfig_fromgxb[66]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[66]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[66]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[66]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[66]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y112_N82 +stratixiv_io_obuf \reconfig_fromgxb[67]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\reconfig_fromgxb[67]~output_o ), + .obar()); +// synopsys translate_off +defparam \reconfig_fromgxb[67]~output .bus_hold = "false"; +defparam \reconfig_fromgxb[67]~output .open_drain_output = "false"; +defparam \reconfig_fromgxb[67]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y80_N51 +stratixiv_io_obuf \aeq_from_gxb[0]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[0]~output .bus_hold = "false"; +defparam \aeq_from_gxb[0]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[0]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y107_N82 +stratixiv_io_obuf \aeq_from_gxb[1]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[1]~output .bus_hold = "false"; +defparam \aeq_from_gxb[1]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[1]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X45_Y129_N20 +stratixiv_io_obuf \aeq_from_gxb[2]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[2]~output .bus_hold = "false"; +defparam \aeq_from_gxb[2]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[2]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X80_Y129_N33 +stratixiv_io_obuf \aeq_from_gxb[3]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[3]~output .bus_hold = "false"; +defparam \aeq_from_gxb[3]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[3]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y69_N64 +stratixiv_io_obuf \aeq_from_gxb[4]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[4]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[4]~output .bus_hold = "false"; +defparam \aeq_from_gxb[4]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[4]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X157_Y129_N20 +stratixiv_io_obuf \aeq_from_gxb[5]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[5]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[5]~output .bus_hold = "false"; +defparam \aeq_from_gxb[5]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[5]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X62_Y129_N82 +stratixiv_io_obuf \aeq_from_gxb[6]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[6]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[6]~output .bus_hold = "false"; +defparam \aeq_from_gxb[6]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[6]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X104_Y129_N2 +stratixiv_io_obuf \aeq_from_gxb[7]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[7]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[7]~output .bus_hold = "false"; +defparam \aeq_from_gxb[7]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[7]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y33_N113 +stratixiv_io_obuf \aeq_from_gxb[8]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[8]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[8]~output .bus_hold = "false"; +defparam \aeq_from_gxb[8]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[8]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y106_N82 +stratixiv_io_obuf \aeq_from_gxb[9]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[9]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[9]~output .bus_hold = "false"; +defparam \aeq_from_gxb[9]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[9]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X47_Y129_N20 +stratixiv_io_obuf \aeq_from_gxb[10]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[10]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[10]~output .bus_hold = "false"; +defparam \aeq_from_gxb[10]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[10]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X36_Y129_N113 +stratixiv_io_obuf \aeq_from_gxb[11]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[11]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[11]~output .bus_hold = "false"; +defparam \aeq_from_gxb[11]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[11]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X159_Y129_N51 +stratixiv_io_obuf \aeq_from_gxb[12]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[12]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[12]~output .bus_hold = "false"; +defparam \aeq_from_gxb[12]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[12]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X139_Y0_N82 +stratixiv_io_obuf \aeq_from_gxb[13]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[13]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[13]~output .bus_hold = "false"; +defparam \aeq_from_gxb[13]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[13]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y72_N113 +stratixiv_io_obuf \aeq_from_gxb[14]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[14]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[14]~output .bus_hold = "false"; +defparam \aeq_from_gxb[14]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[14]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y31_N82 +stratixiv_io_obuf \aeq_from_gxb[15]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[15]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[15]~output .bus_hold = "false"; +defparam \aeq_from_gxb[15]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[15]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X137_Y129_N51 +stratixiv_io_obuf \aeq_from_gxb[16]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[16]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[16]~output .bus_hold = "false"; +defparam \aeq_from_gxb[16]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[16]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X129_Y129_N51 +stratixiv_io_obuf \aeq_from_gxb[17]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[17]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[17]~output .bus_hold = "false"; +defparam \aeq_from_gxb[17]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[17]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y52_N51 +stratixiv_io_obuf \aeq_from_gxb[18]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[18]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[18]~output .bus_hold = "false"; +defparam \aeq_from_gxb[18]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[18]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X132_Y0_N51 +stratixiv_io_obuf \aeq_from_gxb[19]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[19]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[19]~output .bus_hold = "false"; +defparam \aeq_from_gxb[19]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[19]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X121_Y129_N51 +stratixiv_io_obuf \aeq_from_gxb[20]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[20]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[20]~output .bus_hold = "false"; +defparam \aeq_from_gxb[20]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[20]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X100_Y129_N2 +stratixiv_io_obuf \aeq_from_gxb[21]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[21]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[21]~output .bus_hold = "false"; +defparam \aeq_from_gxb[21]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[21]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y78_N20 +stratixiv_io_obuf \aeq_from_gxb[22]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[22]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[22]~output .bus_hold = "false"; +defparam \aeq_from_gxb[22]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[22]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y21_N20 +stratixiv_io_obuf \aeq_from_gxb[23]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[23]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[23]~output .bus_hold = "false"; +defparam \aeq_from_gxb[23]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[23]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y80_N51 +stratixiv_io_obuf \aeq_from_gxb[24]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[24]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[24]~output .bus_hold = "false"; +defparam \aeq_from_gxb[24]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[24]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X175_Y129_N82 +stratixiv_io_obuf \aeq_from_gxb[25]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[25]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[25]~output .bus_hold = "false"; +defparam \aeq_from_gxb[25]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[25]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X119_Y0_N51 +stratixiv_io_obuf \aeq_from_gxb[26]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[26]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[26]~output .bus_hold = "false"; +defparam \aeq_from_gxb[26]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[26]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X164_Y0_N20 +stratixiv_io_obuf \aeq_from_gxb[27]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[27]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[27]~output .bus_hold = "false"; +defparam \aeq_from_gxb[27]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[27]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y32_N95 +stratixiv_io_obuf \aeq_from_gxb[28]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[28]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[28]~output .bus_hold = "false"; +defparam \aeq_from_gxb[28]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[28]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X145_Y0_N82 +stratixiv_io_obuf \aeq_from_gxb[29]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[29]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[29]~output .bus_hold = "false"; +defparam \aeq_from_gxb[29]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[29]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y104_N51 +stratixiv_io_obuf \aeq_from_gxb[30]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[30]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[30]~output .bus_hold = "false"; +defparam \aeq_from_gxb[30]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[30]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X110_Y129_N95 +stratixiv_io_obuf \aeq_from_gxb[31]~output ( + .i(gnd), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\aeq_from_gxb[31]~output_o ), + .obar()); +// synopsys translate_off +defparam \aeq_from_gxb[31]~output .bus_hold = "false"; +defparam \aeq_from_gxb[31]~output .open_drain_output = "false"; +defparam \aeq_from_gxb[31]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y3_N142 +stratixiv_io_obuf \tx_dataout[0]~output ( + .i(\pma_direct|auto_generated|wire_transmit_pma0_dataout ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\tx_dataout[0]~output_o ), + .obar(\tx_dataout[0]~0 )); +// synopsys translate_off +defparam \tx_dataout[0]~output .bus_hold = "false"; +defparam \tx_dataout[0]~output .open_drain_output = "false"; +defparam \tx_dataout[0]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y6_N142 +stratixiv_io_obuf \tx_dataout[1]~output ( + .i(\pma_direct|auto_generated|wire_transmit_pma1_dataout ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\tx_dataout[1]~output_o ), + .obar(\tx_dataout[1]~1 )); +// synopsys translate_off +defparam \tx_dataout[1]~output .bus_hold = "false"; +defparam \tx_dataout[1]~output .open_drain_output = "false"; +defparam \tx_dataout[1]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y15_N142 +stratixiv_io_obuf \tx_dataout[2]~output ( + .i(\pma_direct|auto_generated|wire_transmit_pma2_dataout ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\tx_dataout[2]~output_o ), + .obar(\tx_dataout[2]~2 )); +// synopsys translate_off +defparam \tx_dataout[2]~output .bus_hold = "false"; +defparam \tx_dataout[2]~output .open_drain_output = "false"; +defparam \tx_dataout[2]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y18_N142 +stratixiv_io_obuf \tx_dataout[3]~output ( + .i(\pma_direct|auto_generated|wire_transmit_pma3_dataout ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\tx_dataout[3]~output_o ), + .obar(\tx_dataout[3]~3 )); +// synopsys translate_off +defparam \tx_dataout[3]~output .bus_hold = "false"; +defparam \tx_dataout[3]~output .open_drain_output = "false"; +defparam \tx_dataout[3]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X80_Y0_N95 +stratixiv_io_obuf \tx_clkout[0]~output ( + .i(\pma_direct|auto_generated|wire_transmit_pma0_clockout~clkctrl_outclk ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\tx_clkout[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \tx_clkout[0]~output .bus_hold = "false"; +defparam \tx_clkout[0]~output .open_drain_output = "false"; +defparam \tx_clkout[0]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X70_Y0_N113 +stratixiv_io_obuf \tx_clkout[1]~output ( + .i(\pma_direct|auto_generated|wire_transmit_pma1_clockout~clkctrl_outclk ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\tx_clkout[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \tx_clkout[1]~output .bus_hold = "false"; +defparam \tx_clkout[1]~output .open_drain_output = "false"; +defparam \tx_clkout[1]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X73_Y0_N51 +stratixiv_io_obuf \tx_clkout[2]~output ( + .i(\pma_direct|auto_generated|wire_transmit_pma2_clockout~clkctrl_outclk ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\tx_clkout[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \tx_clkout[2]~output .bus_hold = "false"; +defparam \tx_clkout[2]~output .open_drain_output = "false"; +defparam \tx_clkout[2]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y59_N2 +stratixiv_io_obuf \tx_clkout[3]~output ( + .i(\pma_direct|auto_generated|wire_transmit_pma3_clockout~clkctrl_outclk ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\tx_clkout[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \tx_clkout[3]~output .bus_hold = "false"; +defparam \tx_clkout[3]~output .open_drain_output = "false"; +defparam \tx_clkout[3]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X22_Y0_N113 +stratixiv_io_obuf \rx_dataout[0]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [0]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[0]~output .bus_hold = "false"; +defparam \rx_dataout[0]~output .open_drain_output = "false"; +defparam \rx_dataout[0]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X50_Y0_N20 +stratixiv_io_obuf \rx_dataout[1]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [1]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[1]~output .bus_hold = "false"; +defparam \rx_dataout[1]~output .open_drain_output = "false"; +defparam \rx_dataout[1]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X38_Y0_N20 +stratixiv_io_obuf \rx_dataout[2]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[2]~output .bus_hold = "false"; +defparam \rx_dataout[2]~output .open_drain_output = "false"; +defparam \rx_dataout[2]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y22_N82 +stratixiv_io_obuf \rx_dataout[3]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[3]~output .bus_hold = "false"; +defparam \rx_dataout[3]~output .open_drain_output = "false"; +defparam \rx_dataout[3]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X47_Y0_N20 +stratixiv_io_obuf \rx_dataout[4]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [4]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[4]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[4]~output .bus_hold = "false"; +defparam \rx_dataout[4]~output .open_drain_output = "false"; +defparam \rx_dataout[4]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X45_Y0_N113 +stratixiv_io_obuf \rx_dataout[5]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [5]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[5]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[5]~output .bus_hold = "false"; +defparam \rx_dataout[5]~output .open_drain_output = "false"; +defparam \rx_dataout[5]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X42_Y0_N20 +stratixiv_io_obuf \rx_dataout[6]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [6]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[6]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[6]~output .bus_hold = "false"; +defparam \rx_dataout[6]~output .open_drain_output = "false"; +defparam \rx_dataout[6]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X31_Y0_N113 +stratixiv_io_obuf \rx_dataout[7]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [7]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[7]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[7]~output .bus_hold = "false"; +defparam \rx_dataout[7]~output .open_drain_output = "false"; +defparam \rx_dataout[7]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X38_Y0_N51 +stratixiv_io_obuf \rx_dataout[8]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [8]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[8]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[8]~output .bus_hold = "false"; +defparam \rx_dataout[8]~output .open_drain_output = "false"; +defparam \rx_dataout[8]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X11_Y0_N20 +stratixiv_io_obuf \rx_dataout[9]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [9]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[9]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[9]~output .bus_hold = "false"; +defparam \rx_dataout[9]~output .open_drain_output = "false"; +defparam \rx_dataout[9]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X27_Y0_N20 +stratixiv_io_obuf \rx_dataout[10]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [10]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[10]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[10]~output .bus_hold = "false"; +defparam \rx_dataout[10]~output .open_drain_output = "false"; +defparam \rx_dataout[10]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X25_Y0_N20 +stratixiv_io_obuf \rx_dataout[11]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [11]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[11]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[11]~output .bus_hold = "false"; +defparam \rx_dataout[11]~output .open_drain_output = "false"; +defparam \rx_dataout[11]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X25_Y0_N82 +stratixiv_io_obuf \rx_dataout[12]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [12]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[12]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[12]~output .bus_hold = "false"; +defparam \rx_dataout[12]~output .open_drain_output = "false"; +defparam \rx_dataout[12]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X45_Y0_N51 +stratixiv_io_obuf \rx_dataout[13]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [13]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[13]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[13]~output .bus_hold = "false"; +defparam \rx_dataout[13]~output .open_drain_output = "false"; +defparam \rx_dataout[13]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X42_Y0_N113 +stratixiv_io_obuf \rx_dataout[14]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [14]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[14]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[14]~output .bus_hold = "false"; +defparam \rx_dataout[14]~output .open_drain_output = "false"; +defparam \rx_dataout[14]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X57_Y0_N20 +stratixiv_io_obuf \rx_dataout[15]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [15]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[15]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[15]~output .bus_hold = "false"; +defparam \rx_dataout[15]~output .open_drain_output = "false"; +defparam \rx_dataout[15]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X25_Y0_N51 +stratixiv_io_obuf \rx_dataout[16]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [16]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[16]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[16]~output .bus_hold = "false"; +defparam \rx_dataout[16]~output .open_drain_output = "false"; +defparam \rx_dataout[16]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X38_Y0_N82 +stratixiv_io_obuf \rx_dataout[17]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [17]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[17]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[17]~output .bus_hold = "false"; +defparam \rx_dataout[17]~output .open_drain_output = "false"; +defparam \rx_dataout[17]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X62_Y0_N51 +stratixiv_io_obuf \rx_dataout[18]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [18]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[18]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[18]~output .bus_hold = "false"; +defparam \rx_dataout[18]~output .open_drain_output = "false"; +defparam \rx_dataout[18]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X59_Y0_N113 +stratixiv_io_obuf \rx_dataout[19]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_recoverdataout [19]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[19]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[19]~output .bus_hold = "false"; +defparam \rx_dataout[19]~output .open_drain_output = "false"; +defparam \rx_dataout[19]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X19_Y0_N82 +stratixiv_io_obuf \rx_dataout[20]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [0]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[20]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[20]~output .bus_hold = "false"; +defparam \rx_dataout[20]~output .open_drain_output = "false"; +defparam \rx_dataout[20]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X62_Y0_N113 +stratixiv_io_obuf \rx_dataout[21]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [1]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[21]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[21]~output .bus_hold = "false"; +defparam \rx_dataout[21]~output .open_drain_output = "false"; +defparam \rx_dataout[21]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X9_Y0_N113 +stratixiv_io_obuf \rx_dataout[22]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[22]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[22]~output .bus_hold = "false"; +defparam \rx_dataout[22]~output .open_drain_output = "false"; +defparam \rx_dataout[22]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X31_Y0_N82 +stratixiv_io_obuf \rx_dataout[23]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[23]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[23]~output .bus_hold = "false"; +defparam \rx_dataout[23]~output .open_drain_output = "false"; +defparam \rx_dataout[23]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X50_Y0_N82 +stratixiv_io_obuf \rx_dataout[24]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [4]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[24]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[24]~output .bus_hold = "false"; +defparam \rx_dataout[24]~output .open_drain_output = "false"; +defparam \rx_dataout[24]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X27_Y0_N113 +stratixiv_io_obuf \rx_dataout[25]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [5]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[25]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[25]~output .bus_hold = "false"; +defparam \rx_dataout[25]~output .open_drain_output = "false"; +defparam \rx_dataout[25]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X64_Y0_N20 +stratixiv_io_obuf \rx_dataout[26]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [6]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[26]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[26]~output .bus_hold = "false"; +defparam \rx_dataout[26]~output .open_drain_output = "false"; +defparam \rx_dataout[26]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X45_Y0_N20 +stratixiv_io_obuf \rx_dataout[27]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [7]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[27]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[27]~output .bus_hold = "false"; +defparam \rx_dataout[27]~output .open_drain_output = "false"; +defparam \rx_dataout[27]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X11_Y0_N113 +stratixiv_io_obuf \rx_dataout[28]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [8]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[28]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[28]~output .bus_hold = "false"; +defparam \rx_dataout[28]~output .open_drain_output = "false"; +defparam \rx_dataout[28]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X22_Y0_N20 +stratixiv_io_obuf \rx_dataout[29]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [9]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[29]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[29]~output .bus_hold = "false"; +defparam \rx_dataout[29]~output .open_drain_output = "false"; +defparam \rx_dataout[29]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X47_Y0_N82 +stratixiv_io_obuf \rx_dataout[30]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [10]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[30]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[30]~output .bus_hold = "false"; +defparam \rx_dataout[30]~output .open_drain_output = "false"; +defparam \rx_dataout[30]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X39_Y0_N113 +stratixiv_io_obuf \rx_dataout[31]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [11]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[31]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[31]~output .bus_hold = "false"; +defparam \rx_dataout[31]~output .open_drain_output = "false"; +defparam \rx_dataout[31]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X39_Y0_N82 +stratixiv_io_obuf \rx_dataout[32]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [12]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[32]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[32]~output .bus_hold = "false"; +defparam \rx_dataout[32]~output .open_drain_output = "false"; +defparam \rx_dataout[32]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X62_Y0_N20 +stratixiv_io_obuf \rx_dataout[33]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [13]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[33]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[33]~output .bus_hold = "false"; +defparam \rx_dataout[33]~output .open_drain_output = "false"; +defparam \rx_dataout[33]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X54_Y0_N20 +stratixiv_io_obuf \rx_dataout[34]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [14]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[34]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[34]~output .bus_hold = "false"; +defparam \rx_dataout[34]~output .open_drain_output = "false"; +defparam \rx_dataout[34]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X50_Y0_N51 +stratixiv_io_obuf \rx_dataout[35]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [15]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[35]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[35]~output .bus_hold = "false"; +defparam \rx_dataout[35]~output .open_drain_output = "false"; +defparam \rx_dataout[35]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X64_Y0_N82 +stratixiv_io_obuf \rx_dataout[36]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [16]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[36]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[36]~output .bus_hold = "false"; +defparam \rx_dataout[36]~output .open_drain_output = "false"; +defparam \rx_dataout[36]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X25_Y0_N113 +stratixiv_io_obuf \rx_dataout[37]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [17]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[37]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[37]~output .bus_hold = "false"; +defparam \rx_dataout[37]~output .open_drain_output = "false"; +defparam \rx_dataout[37]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X31_Y0_N20 +stratixiv_io_obuf \rx_dataout[38]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [18]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[38]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[38]~output .bus_hold = "false"; +defparam \rx_dataout[38]~output .open_drain_output = "false"; +defparam \rx_dataout[38]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X36_Y0_N20 +stratixiv_io_obuf \rx_dataout[39]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_recoverdataout [19]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[39]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[39]~output .bus_hold = "false"; +defparam \rx_dataout[39]~output .open_drain_output = "false"; +defparam \rx_dataout[39]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y22_N20 +stratixiv_io_obuf \rx_dataout[40]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [0]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[40]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[40]~output .bus_hold = "false"; +defparam \rx_dataout[40]~output .open_drain_output = "false"; +defparam \rx_dataout[40]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y30_N82 +stratixiv_io_obuf \rx_dataout[41]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [1]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[41]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[41]~output .bus_hold = "false"; +defparam \rx_dataout[41]~output .open_drain_output = "false"; +defparam \rx_dataout[41]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y26_N51 +stratixiv_io_obuf \rx_dataout[42]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[42]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[42]~output .bus_hold = "false"; +defparam \rx_dataout[42]~output .open_drain_output = "false"; +defparam \rx_dataout[42]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y52_N113 +stratixiv_io_obuf \rx_dataout[43]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[43]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[43]~output .bus_hold = "false"; +defparam \rx_dataout[43]~output .open_drain_output = "false"; +defparam \rx_dataout[43]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y26_N82 +stratixiv_io_obuf \rx_dataout[44]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [4]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[44]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[44]~output .bus_hold = "false"; +defparam \rx_dataout[44]~output .open_drain_output = "false"; +defparam \rx_dataout[44]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y30_N20 +stratixiv_io_obuf \rx_dataout[45]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [5]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[45]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[45]~output .bus_hold = "false"; +defparam \rx_dataout[45]~output .open_drain_output = "false"; +defparam \rx_dataout[45]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y30_N51 +stratixiv_io_obuf \rx_dataout[46]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [6]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[46]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[46]~output .bus_hold = "false"; +defparam \rx_dataout[46]~output .open_drain_output = "false"; +defparam \rx_dataout[46]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y47_N113 +stratixiv_io_obuf \rx_dataout[47]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [7]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[47]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[47]~output .bus_hold = "false"; +defparam \rx_dataout[47]~output .open_drain_output = "false"; +defparam \rx_dataout[47]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y35_N113 +stratixiv_io_obuf \rx_dataout[48]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [8]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[48]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[48]~output .bus_hold = "false"; +defparam \rx_dataout[48]~output .open_drain_output = "false"; +defparam \rx_dataout[48]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y31_N20 +stratixiv_io_obuf \rx_dataout[49]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [9]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[49]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[49]~output .bus_hold = "false"; +defparam \rx_dataout[49]~output .open_drain_output = "false"; +defparam \rx_dataout[49]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y57_N20 +stratixiv_io_obuf \rx_dataout[50]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [10]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[50]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[50]~output .bus_hold = "false"; +defparam \rx_dataout[50]~output .open_drain_output = "false"; +defparam \rx_dataout[50]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y52_N51 +stratixiv_io_obuf \rx_dataout[51]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [11]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[51]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[51]~output .bus_hold = "false"; +defparam \rx_dataout[51]~output .open_drain_output = "false"; +defparam \rx_dataout[51]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y47_N82 +stratixiv_io_obuf \rx_dataout[52]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [12]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[52]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[52]~output .bus_hold = "false"; +defparam \rx_dataout[52]~output .open_drain_output = "false"; +defparam \rx_dataout[52]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y54_N113 +stratixiv_io_obuf \rx_dataout[53]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [13]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[53]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[53]~output .bus_hold = "false"; +defparam \rx_dataout[53]~output .open_drain_output = "false"; +defparam \rx_dataout[53]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y52_N20 +stratixiv_io_obuf \rx_dataout[54]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [14]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[54]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[54]~output .bus_hold = "false"; +defparam \rx_dataout[54]~output .open_drain_output = "false"; +defparam \rx_dataout[54]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y26_N20 +stratixiv_io_obuf \rx_dataout[55]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [15]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[55]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[55]~output .bus_hold = "false"; +defparam \rx_dataout[55]~output .open_drain_output = "false"; +defparam \rx_dataout[55]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y19_N51 +stratixiv_io_obuf \rx_dataout[56]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [16]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[56]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[56]~output .bus_hold = "false"; +defparam \rx_dataout[56]~output .open_drain_output = "false"; +defparam \rx_dataout[56]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y35_N20 +stratixiv_io_obuf \rx_dataout[57]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [17]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[57]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[57]~output .bus_hold = "false"; +defparam \rx_dataout[57]~output .open_drain_output = "false"; +defparam \rx_dataout[57]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y33_N20 +stratixiv_io_obuf \rx_dataout[58]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [18]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[58]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[58]~output .bus_hold = "false"; +defparam \rx_dataout[58]~output .open_drain_output = "false"; +defparam \rx_dataout[58]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y35_N82 +stratixiv_io_obuf \rx_dataout[59]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_recoverdataout [19]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[59]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[59]~output .bus_hold = "false"; +defparam \rx_dataout[59]~output .open_drain_output = "false"; +defparam \rx_dataout[59]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y40_N51 +stratixiv_io_obuf \rx_dataout[60]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [0]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[60]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[60]~output .bus_hold = "false"; +defparam \rx_dataout[60]~output .open_drain_output = "false"; +defparam \rx_dataout[60]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y40_N113 +stratixiv_io_obuf \rx_dataout[61]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [1]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[61]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[61]~output .bus_hold = "false"; +defparam \rx_dataout[61]~output .open_drain_output = "false"; +defparam \rx_dataout[61]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y32_N2 +stratixiv_io_obuf \rx_dataout[62]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[62]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[62]~output .bus_hold = "false"; +defparam \rx_dataout[62]~output .open_drain_output = "false"; +defparam \rx_dataout[62]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y26_N113 +stratixiv_io_obuf \rx_dataout[63]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[63]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[63]~output .bus_hold = "false"; +defparam \rx_dataout[63]~output .open_drain_output = "false"; +defparam \rx_dataout[63]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y22_N51 +stratixiv_io_obuf \rx_dataout[64]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [4]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[64]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[64]~output .bus_hold = "false"; +defparam \rx_dataout[64]~output .open_drain_output = "false"; +defparam \rx_dataout[64]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y38_N20 +stratixiv_io_obuf \rx_dataout[65]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [5]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[65]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[65]~output .bus_hold = "false"; +defparam \rx_dataout[65]~output .open_drain_output = "false"; +defparam \rx_dataout[65]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y31_N82 +stratixiv_io_obuf \rx_dataout[66]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [6]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[66]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[66]~output .bus_hold = "false"; +defparam \rx_dataout[66]~output .open_drain_output = "false"; +defparam \rx_dataout[66]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y19_N82 +stratixiv_io_obuf \rx_dataout[67]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [7]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[67]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[67]~output .bus_hold = "false"; +defparam \rx_dataout[67]~output .open_drain_output = "false"; +defparam \rx_dataout[67]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y31_N113 +stratixiv_io_obuf \rx_dataout[68]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [8]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[68]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[68]~output .bus_hold = "false"; +defparam \rx_dataout[68]~output .open_drain_output = "false"; +defparam \rx_dataout[68]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y28_N51 +stratixiv_io_obuf \rx_dataout[69]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [9]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[69]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[69]~output .bus_hold = "false"; +defparam \rx_dataout[69]~output .open_drain_output = "false"; +defparam \rx_dataout[69]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y31_N51 +stratixiv_io_obuf \rx_dataout[70]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [10]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[70]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[70]~output .bus_hold = "false"; +defparam \rx_dataout[70]~output .open_drain_output = "false"; +defparam \rx_dataout[70]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y38_N51 +stratixiv_io_obuf \rx_dataout[71]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [11]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[71]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[71]~output .bus_hold = "false"; +defparam \rx_dataout[71]~output .open_drain_output = "false"; +defparam \rx_dataout[71]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y19_N113 +stratixiv_io_obuf \rx_dataout[72]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [12]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[72]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[72]~output .bus_hold = "false"; +defparam \rx_dataout[72]~output .open_drain_output = "false"; +defparam \rx_dataout[72]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y32_N95 +stratixiv_io_obuf \rx_dataout[73]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [13]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[73]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[73]~output .bus_hold = "false"; +defparam \rx_dataout[73]~output .open_drain_output = "false"; +defparam \rx_dataout[73]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y24_N51 +stratixiv_io_obuf \rx_dataout[74]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [14]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[74]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[74]~output .bus_hold = "false"; +defparam \rx_dataout[74]~output .open_drain_output = "false"; +defparam \rx_dataout[74]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y24_N20 +stratixiv_io_obuf \rx_dataout[75]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [15]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[75]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[75]~output .bus_hold = "false"; +defparam \rx_dataout[75]~output .open_drain_output = "false"; +defparam \rx_dataout[75]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y38_N113 +stratixiv_io_obuf \rx_dataout[76]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [16]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[76]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[76]~output .bus_hold = "false"; +defparam \rx_dataout[76]~output .open_drain_output = "false"; +defparam \rx_dataout[76]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y19_N20 +stratixiv_io_obuf \rx_dataout[77]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [17]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[77]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[77]~output .bus_hold = "false"; +defparam \rx_dataout[77]~output .open_drain_output = "false"; +defparam \rx_dataout[77]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y30_N113 +stratixiv_io_obuf \rx_dataout[78]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [18]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[78]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[78]~output .bus_hold = "false"; +defparam \rx_dataout[78]~output .open_drain_output = "false"; +defparam \rx_dataout[78]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y38_N82 +stratixiv_io_obuf \rx_dataout[79]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_recoverdataout [19]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_dataout[79]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_dataout[79]~output .bus_hold = "false"; +defparam \rx_dataout[79]~output .open_drain_output = "false"; +defparam \rx_dataout[79]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X80_Y0_N64 +stratixiv_io_obuf \rx_clkout[0]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma0_clockout~clkctrl_outclk ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_clkout[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_clkout[0]~output .bus_hold = "false"; +defparam \rx_clkout[0]~output .open_drain_output = "false"; +defparam \rx_clkout[0]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X85_Y0_N64 +stratixiv_io_obuf \rx_clkout[1]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma1_clockout~clkctrl_outclk ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_clkout[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_clkout[1]~output .bus_hold = "false"; +defparam \rx_clkout[1]~output .open_drain_output = "false"; +defparam \rx_clkout[1]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X68_Y0_N20 +stratixiv_io_obuf \rx_clkout[2]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma2_clockout~clkctrl_outclk ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_clkout[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_clkout[2]~output .bus_hold = "false"; +defparam \rx_clkout[2]~output .open_drain_output = "false"; +defparam \rx_clkout[2]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X78_Y0_N2 +stratixiv_io_obuf \rx_clkout[3]~output ( + .i(\pma_direct|auto_generated|wire_receive_pma3_clockout~clkctrl_outclk ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_clkout[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_clkout[3]~output .bus_hold = "false"; +defparam \rx_clkout[3]~output .open_drain_output = "false"; +defparam \rx_clkout[3]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X33_Y0_N82 +stratixiv_io_obuf \rx_freqlocked[0]~output ( + .i(\pma_direct|auto_generated|rx_freqlocked [0]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_freqlocked[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_freqlocked[0]~output .bus_hold = "false"; +defparam \rx_freqlocked[0]~output .open_drain_output = "false"; +defparam \rx_freqlocked[0]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X17_Y0_N113 +stratixiv_io_obuf \rx_freqlocked[1]~output ( + .i(\pma_direct|auto_generated|rx_freqlocked [1]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_freqlocked[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_freqlocked[1]~output .bus_hold = "false"; +defparam \rx_freqlocked[1]~output .open_drain_output = "false"; +defparam \rx_freqlocked[1]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X14_Y0_N51 +stratixiv_io_obuf \rx_freqlocked[2]~output ( + .i(\pma_direct|auto_generated|rx_freqlocked [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_freqlocked[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_freqlocked[2]~output .bus_hold = "false"; +defparam \rx_freqlocked[2]~output .open_drain_output = "false"; +defparam \rx_freqlocked[2]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X33_Y0_N51 +stratixiv_io_obuf \rx_freqlocked[3]~output ( + .i(\pma_direct|auto_generated|rx_freqlocked [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_freqlocked[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_freqlocked[3]~output .bus_hold = "false"; +defparam \rx_freqlocked[3]~output .open_drain_output = "false"; +defparam \rx_freqlocked[3]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X0_Y15_N95 +stratixiv_io_obuf \rx_pll_locked[0]~output ( + .i(\pma_direct|auto_generated|rx_pll_locked [0]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_pll_locked[0]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_pll_locked[0]~output .bus_hold = "false"; +defparam \rx_pll_locked[0]~output .open_drain_output = "false"; +defparam \rx_pll_locked[0]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X17_Y0_N82 +stratixiv_io_obuf \rx_pll_locked[1]~output ( + .i(\pma_direct|auto_generated|rx_pll_locked [1]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_pll_locked[1]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_pll_locked[1]~output .bus_hold = "false"; +defparam \rx_pll_locked[1]~output .open_drain_output = "false"; +defparam \rx_pll_locked[1]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X185_Y15_N33 +stratixiv_io_obuf \rx_pll_locked[2]~output ( + .i(\pma_direct|auto_generated|rx_pll_locked [2]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_pll_locked[2]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_pll_locked[2]~output .bus_hold = "false"; +defparam \rx_pll_locked[2]~output .open_drain_output = "false"; +defparam \rx_pll_locked[2]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X30_Y0_N82 +stratixiv_io_obuf \rx_pll_locked[3]~output ( + .i(\pma_direct|auto_generated|rx_pll_locked [3]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(14'b00000000000000), + .parallelterminationcontrol(14'b00000000000000), + .devoe(devoe), + .o(\rx_pll_locked[3]~output_o ), + .obar()); +// synopsys translate_off +defparam \rx_pll_locked[3]~output .bus_hold = "false"; +defparam \rx_pll_locked[3]~output .open_drain_output = "false"; +defparam \rx_pll_locked[3]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOIBUF_X9_Y0_N32 +stratixiv_io_ibuf \gxb_powerdown~input ( + .i(gxb_powerdown), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\gxb_powerdown~input_o )); +// synopsys translate_off +defparam \gxb_powerdown~input .bus_hold = "false"; +defparam \gxb_powerdown~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: CLKCTRL_G2 +stratixiv_clkena \reconfig_clk~inputclkctrl ( + .inclk(\reconfig_clk~input_o ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\reconfig_clk~inputclkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \reconfig_clk~inputclkctrl .clock_type = "global clock"; +defparam \reconfig_clk~inputclkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y0_N32 +stratixiv_io_ibuf \cal_blk_powerdown~input ( + .i(cal_blk_powerdown), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\cal_blk_powerdown~input_o )); +// synopsys translate_off +defparam \cal_blk_powerdown~input .bus_hold = "false"; +defparam \cal_blk_powerdown~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: CALIBRATIONBLOCK_X0_Y2_N135 +stratixiv_hssi_calibration_block \pma_direct|auto_generated|cal_blk0 ( + .clk(\cal_blk_clk~input_o ), + .powerdn(\cal_blk_powerdown~input_o ), + .enabletestbus(vcc), + .testctrl(gnd), + .nonusertocmu(\pma_direct|auto_generated|nonusertocmu_out [0]), + .calibrationstatus(\pma_direct|auto_generated|cal_blk0_CALIBRATIONSTATUS_bus )); +// synopsys translate_off +defparam \pma_direct|auto_generated|cal_blk0 .cont_cal_mode = "false"; +defparam \pma_direct|auto_generated|cal_blk0 .enable_rx_cal_tw = "false"; +defparam \pma_direct|auto_generated|cal_blk0 .enable_tx_cal_tw = "false"; +defparam \pma_direct|auto_generated|cal_blk0 .rtest = "false"; +defparam \pma_direct|auto_generated|cal_blk0 .rx_cal_wt_value = 0; +defparam \pma_direct|auto_generated|cal_blk0 .send_rx_cal_status = "false"; +defparam \pma_direct|auto_generated|cal_blk0 .tx_cal_wt_value = 1; +// synopsys translate_on + +// Location: CLKCTRL_G3 +stratixiv_clkena \pll_inclk[0]~inputclkctrl ( + .inclk(\pll_inclk[0]~input_o ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\pll_inclk[0]~inputclkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \pll_inclk[0]~inputclkctrl .clock_type = "global clock"; +defparam \pll_inclk[0]~inputclkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: HSSIPLL_X0_Y9_N135 +stratixiv_hssi_pll \pma_direct|auto_generated|tx_pll_edge0 ( + .rateswitch(gnd), + .locktorefclk(vcc), + .datain(gnd), + .powerdown(\pma_direct|auto_generated|edge_pllpowerdn_in [0]), + .areset(\pma_direct|auto_generated|edge_pllreset_in [0]), + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .earlyeios(gnd), + .pfdfbclk(gnd), + .inclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\pll_inclk[0]~inputclkctrl_outclk }), + .dprioin({\pma_direct|auto_generated|edge_pll_dprioin [299],\pma_direct|auto_generated|edge_pll_dprioin [298],\pma_direct|auto_generated|edge_pll_dprioin [297],\pma_direct|auto_generated|edge_pll_dprioin [296],\pma_direct|auto_generated|edge_pll_dprioin [295], +\pma_direct|auto_generated|edge_pll_dprioin [294],\pma_direct|auto_generated|edge_pll_dprioin [293],\pma_direct|auto_generated|edge_pll_dprioin [292],\pma_direct|auto_generated|edge_pll_dprioin [291],\pma_direct|auto_generated|edge_pll_dprioin [290], +\pma_direct|auto_generated|edge_pll_dprioin [289],\pma_direct|auto_generated|edge_pll_dprioin [288],\pma_direct|auto_generated|edge_pll_dprioin [287],\pma_direct|auto_generated|edge_pll_dprioin [286],\pma_direct|auto_generated|edge_pll_dprioin [285], +\pma_direct|auto_generated|edge_pll_dprioin [284],\pma_direct|auto_generated|edge_pll_dprioin [283],\pma_direct|auto_generated|edge_pll_dprioin [282],\pma_direct|auto_generated|edge_pll_dprioin [281],\pma_direct|auto_generated|edge_pll_dprioin [280], +\pma_direct|auto_generated|edge_pll_dprioin [279],\pma_direct|auto_generated|edge_pll_dprioin [278],\pma_direct|auto_generated|edge_pll_dprioin [277],\pma_direct|auto_generated|edge_pll_dprioin [276],\pma_direct|auto_generated|edge_pll_dprioin [275], +\pma_direct|auto_generated|edge_pll_dprioin [274],\pma_direct|auto_generated|edge_pll_dprioin [273],\pma_direct|auto_generated|edge_pll_dprioin [272],\pma_direct|auto_generated|edge_pll_dprioin [271],\pma_direct|auto_generated|edge_pll_dprioin [270], +\pma_direct|auto_generated|edge_pll_dprioin [269],\pma_direct|auto_generated|edge_pll_dprioin [268],\pma_direct|auto_generated|edge_pll_dprioin [267],\pma_direct|auto_generated|edge_pll_dprioin [266],\pma_direct|auto_generated|edge_pll_dprioin [265], +\pma_direct|auto_generated|edge_pll_dprioin [264],\pma_direct|auto_generated|edge_pll_dprioin [263],\pma_direct|auto_generated|edge_pll_dprioin [262],\pma_direct|auto_generated|edge_pll_dprioin [261],\pma_direct|auto_generated|edge_pll_dprioin [260], +\pma_direct|auto_generated|edge_pll_dprioin [259],\pma_direct|auto_generated|edge_pll_dprioin [258],\pma_direct|auto_generated|edge_pll_dprioin [257],\pma_direct|auto_generated|edge_pll_dprioin [256],\pma_direct|auto_generated|edge_pll_dprioin [255], +\pma_direct|auto_generated|edge_pll_dprioin [254],\pma_direct|auto_generated|edge_pll_dprioin [253],\pma_direct|auto_generated|edge_pll_dprioin [252],\pma_direct|auto_generated|edge_pll_dprioin [251],\pma_direct|auto_generated|edge_pll_dprioin [250], +\pma_direct|auto_generated|edge_pll_dprioin [249],\pma_direct|auto_generated|edge_pll_dprioin [248],\pma_direct|auto_generated|edge_pll_dprioin [247],\pma_direct|auto_generated|edge_pll_dprioin [246],\pma_direct|auto_generated|edge_pll_dprioin [245], +\pma_direct|auto_generated|edge_pll_dprioin [244],\pma_direct|auto_generated|edge_pll_dprioin [243],\pma_direct|auto_generated|edge_pll_dprioin [242],\pma_direct|auto_generated|edge_pll_dprioin [241],\pma_direct|auto_generated|edge_pll_dprioin [240], +\pma_direct|auto_generated|edge_pll_dprioin [239],\pma_direct|auto_generated|edge_pll_dprioin [238],\pma_direct|auto_generated|edge_pll_dprioin [237],\pma_direct|auto_generated|edge_pll_dprioin [236],\pma_direct|auto_generated|edge_pll_dprioin [235], +\pma_direct|auto_generated|edge_pll_dprioin [234],\pma_direct|auto_generated|edge_pll_dprioin [233],\pma_direct|auto_generated|edge_pll_dprioin [232],\pma_direct|auto_generated|edge_pll_dprioin [231],\pma_direct|auto_generated|edge_pll_dprioin [230], +\pma_direct|auto_generated|edge_pll_dprioin [229],\pma_direct|auto_generated|edge_pll_dprioin [228],\pma_direct|auto_generated|edge_pll_dprioin [227],\pma_direct|auto_generated|edge_pll_dprioin [226],\pma_direct|auto_generated|edge_pll_dprioin [225], +\pma_direct|auto_generated|edge_pll_dprioin [224],\pma_direct|auto_generated|edge_pll_dprioin [223],\pma_direct|auto_generated|edge_pll_dprioin [222],\pma_direct|auto_generated|edge_pll_dprioin [221],\pma_direct|auto_generated|edge_pll_dprioin [220], +\pma_direct|auto_generated|edge_pll_dprioin [219],\pma_direct|auto_generated|edge_pll_dprioin [218],\pma_direct|auto_generated|edge_pll_dprioin [217],\pma_direct|auto_generated|edge_pll_dprioin [216],\pma_direct|auto_generated|edge_pll_dprioin [215], +\pma_direct|auto_generated|edge_pll_dprioin [214],\pma_direct|auto_generated|edge_pll_dprioin [213],\pma_direct|auto_generated|edge_pll_dprioin [212],\pma_direct|auto_generated|edge_pll_dprioin [211],\pma_direct|auto_generated|edge_pll_dprioin [210], +\pma_direct|auto_generated|edge_pll_dprioin [209],\pma_direct|auto_generated|edge_pll_dprioin [208],\pma_direct|auto_generated|edge_pll_dprioin [207],\pma_direct|auto_generated|edge_pll_dprioin [206],\pma_direct|auto_generated|edge_pll_dprioin [205], +\pma_direct|auto_generated|edge_pll_dprioin [204],\pma_direct|auto_generated|edge_pll_dprioin [203],\pma_direct|auto_generated|edge_pll_dprioin [202],\pma_direct|auto_generated|edge_pll_dprioin [201],\pma_direct|auto_generated|edge_pll_dprioin [200], +\pma_direct|auto_generated|edge_pll_dprioin [199],\pma_direct|auto_generated|edge_pll_dprioin [198],\pma_direct|auto_generated|edge_pll_dprioin [197],\pma_direct|auto_generated|edge_pll_dprioin [196],\pma_direct|auto_generated|edge_pll_dprioin [195], +\pma_direct|auto_generated|edge_pll_dprioin [194],\pma_direct|auto_generated|edge_pll_dprioin [193],\pma_direct|auto_generated|edge_pll_dprioin [192],\pma_direct|auto_generated|edge_pll_dprioin [191],\pma_direct|auto_generated|edge_pll_dprioin [190], +\pma_direct|auto_generated|edge_pll_dprioin [189],\pma_direct|auto_generated|edge_pll_dprioin [188],\pma_direct|auto_generated|edge_pll_dprioin [187],\pma_direct|auto_generated|edge_pll_dprioin [186],\pma_direct|auto_generated|edge_pll_dprioin [185], +\pma_direct|auto_generated|edge_pll_dprioin [184],\pma_direct|auto_generated|edge_pll_dprioin [183],\pma_direct|auto_generated|edge_pll_dprioin [182],\pma_direct|auto_generated|edge_pll_dprioin [181],\pma_direct|auto_generated|edge_pll_dprioin [180], +\pma_direct|auto_generated|edge_pll_dprioin [179],\pma_direct|auto_generated|edge_pll_dprioin [178],\pma_direct|auto_generated|edge_pll_dprioin [177],\pma_direct|auto_generated|edge_pll_dprioin [176],\pma_direct|auto_generated|edge_pll_dprioin [175], +\pma_direct|auto_generated|edge_pll_dprioin [174],\pma_direct|auto_generated|edge_pll_dprioin [173],\pma_direct|auto_generated|edge_pll_dprioin [172],\pma_direct|auto_generated|edge_pll_dprioin [171],\pma_direct|auto_generated|edge_pll_dprioin [170], +\pma_direct|auto_generated|edge_pll_dprioin [169],\pma_direct|auto_generated|edge_pll_dprioin [168],\pma_direct|auto_generated|edge_pll_dprioin [167],\pma_direct|auto_generated|edge_pll_dprioin [166],\pma_direct|auto_generated|edge_pll_dprioin [165], +\pma_direct|auto_generated|edge_pll_dprioin [164],\pma_direct|auto_generated|edge_pll_dprioin [163],\pma_direct|auto_generated|edge_pll_dprioin [162],\pma_direct|auto_generated|edge_pll_dprioin [161],\pma_direct|auto_generated|edge_pll_dprioin [160], +\pma_direct|auto_generated|edge_pll_dprioin [159],\pma_direct|auto_generated|edge_pll_dprioin [158],\pma_direct|auto_generated|edge_pll_dprioin [157],\pma_direct|auto_generated|edge_pll_dprioin [156],\pma_direct|auto_generated|edge_pll_dprioin [155], +\pma_direct|auto_generated|edge_pll_dprioin [154],\pma_direct|auto_generated|edge_pll_dprioin [153],\pma_direct|auto_generated|edge_pll_dprioin [152],\pma_direct|auto_generated|edge_pll_dprioin [151],\pma_direct|auto_generated|edge_pll_dprioin [150], +\pma_direct|auto_generated|edge_pll_dprioin [149],\pma_direct|auto_generated|edge_pll_dprioin [148],\pma_direct|auto_generated|edge_pll_dprioin [147],\pma_direct|auto_generated|edge_pll_dprioin [146],\pma_direct|auto_generated|edge_pll_dprioin [145], +\pma_direct|auto_generated|edge_pll_dprioin [144],\pma_direct|auto_generated|edge_pll_dprioin [143],\pma_direct|auto_generated|edge_pll_dprioin [142],\pma_direct|auto_generated|edge_pll_dprioin [141],\pma_direct|auto_generated|edge_pll_dprioin [140], +\pma_direct|auto_generated|edge_pll_dprioin [139],\pma_direct|auto_generated|edge_pll_dprioin [138],\pma_direct|auto_generated|edge_pll_dprioin [137],\pma_direct|auto_generated|edge_pll_dprioin [136],\pma_direct|auto_generated|edge_pll_dprioin [135], +\pma_direct|auto_generated|edge_pll_dprioin [134],\pma_direct|auto_generated|edge_pll_dprioin [133],\pma_direct|auto_generated|edge_pll_dprioin [132],\pma_direct|auto_generated|edge_pll_dprioin [131],\pma_direct|auto_generated|edge_pll_dprioin [130], +\pma_direct|auto_generated|edge_pll_dprioin [129],\pma_direct|auto_generated|edge_pll_dprioin [128],\pma_direct|auto_generated|edge_pll_dprioin [127],\pma_direct|auto_generated|edge_pll_dprioin [126],\pma_direct|auto_generated|edge_pll_dprioin [125], +\pma_direct|auto_generated|edge_pll_dprioin [124],\pma_direct|auto_generated|edge_pll_dprioin [123],\pma_direct|auto_generated|edge_pll_dprioin [122],\pma_direct|auto_generated|edge_pll_dprioin [121],\pma_direct|auto_generated|edge_pll_dprioin [120], +\pma_direct|auto_generated|edge_pll_dprioin [119],\pma_direct|auto_generated|edge_pll_dprioin [118],\pma_direct|auto_generated|edge_pll_dprioin [117],\pma_direct|auto_generated|edge_pll_dprioin [116],\pma_direct|auto_generated|edge_pll_dprioin [115], +\pma_direct|auto_generated|edge_pll_dprioin [114],\pma_direct|auto_generated|edge_pll_dprioin [113],\pma_direct|auto_generated|edge_pll_dprioin [112],\pma_direct|auto_generated|edge_pll_dprioin [111],\pma_direct|auto_generated|edge_pll_dprioin [110], +\pma_direct|auto_generated|edge_pll_dprioin [109],\pma_direct|auto_generated|edge_pll_dprioin [108],\pma_direct|auto_generated|edge_pll_dprioin [107],\pma_direct|auto_generated|edge_pll_dprioin [106],\pma_direct|auto_generated|edge_pll_dprioin [105], +\pma_direct|auto_generated|edge_pll_dprioin [104],\pma_direct|auto_generated|edge_pll_dprioin [103],\pma_direct|auto_generated|edge_pll_dprioin [102],\pma_direct|auto_generated|edge_pll_dprioin [101],\pma_direct|auto_generated|edge_pll_dprioin [100], +\pma_direct|auto_generated|edge_pll_dprioin [99],\pma_direct|auto_generated|edge_pll_dprioin [98],\pma_direct|auto_generated|edge_pll_dprioin [97],\pma_direct|auto_generated|edge_pll_dprioin [96],\pma_direct|auto_generated|edge_pll_dprioin [95], +\pma_direct|auto_generated|edge_pll_dprioin [94],\pma_direct|auto_generated|edge_pll_dprioin [93],\pma_direct|auto_generated|edge_pll_dprioin [92],\pma_direct|auto_generated|edge_pll_dprioin [91],\pma_direct|auto_generated|edge_pll_dprioin [90], +\pma_direct|auto_generated|edge_pll_dprioin [89],\pma_direct|auto_generated|edge_pll_dprioin [88],\pma_direct|auto_generated|edge_pll_dprioin [87],\pma_direct|auto_generated|edge_pll_dprioin [86],\pma_direct|auto_generated|edge_pll_dprioin [85], +\pma_direct|auto_generated|edge_pll_dprioin [84],\pma_direct|auto_generated|edge_pll_dprioin [83],\pma_direct|auto_generated|edge_pll_dprioin [82],\pma_direct|auto_generated|edge_pll_dprioin [81],\pma_direct|auto_generated|edge_pll_dprioin [80], +\pma_direct|auto_generated|edge_pll_dprioin [79],\pma_direct|auto_generated|edge_pll_dprioin [78],\pma_direct|auto_generated|edge_pll_dprioin [77],\pma_direct|auto_generated|edge_pll_dprioin [76],\pma_direct|auto_generated|edge_pll_dprioin [75], +\pma_direct|auto_generated|edge_pll_dprioin [74],\pma_direct|auto_generated|edge_pll_dprioin [73],\pma_direct|auto_generated|edge_pll_dprioin [72],\pma_direct|auto_generated|edge_pll_dprioin [71],\pma_direct|auto_generated|edge_pll_dprioin [70], +\pma_direct|auto_generated|edge_pll_dprioin [69],\pma_direct|auto_generated|edge_pll_dprioin [68],\pma_direct|auto_generated|edge_pll_dprioin [67],\pma_direct|auto_generated|edge_pll_dprioin [66],\pma_direct|auto_generated|edge_pll_dprioin [65], +\pma_direct|auto_generated|edge_pll_dprioin [64],\pma_direct|auto_generated|edge_pll_dprioin [63],\pma_direct|auto_generated|edge_pll_dprioin [62],\pma_direct|auto_generated|edge_pll_dprioin [61],\pma_direct|auto_generated|edge_pll_dprioin [60], +\pma_direct|auto_generated|edge_pll_dprioin [59],\pma_direct|auto_generated|edge_pll_dprioin [58],\pma_direct|auto_generated|edge_pll_dprioin [57],\pma_direct|auto_generated|edge_pll_dprioin [56],\pma_direct|auto_generated|edge_pll_dprioin [55], +\pma_direct|auto_generated|edge_pll_dprioin [54],\pma_direct|auto_generated|edge_pll_dprioin [53],\pma_direct|auto_generated|edge_pll_dprioin [52],\pma_direct|auto_generated|edge_pll_dprioin [51],\pma_direct|auto_generated|edge_pll_dprioin [50], +\pma_direct|auto_generated|edge_pll_dprioin [49],\pma_direct|auto_generated|edge_pll_dprioin [48],\pma_direct|auto_generated|edge_pll_dprioin [47],\pma_direct|auto_generated|edge_pll_dprioin [46],\pma_direct|auto_generated|edge_pll_dprioin [45], +\pma_direct|auto_generated|edge_pll_dprioin [44],\pma_direct|auto_generated|edge_pll_dprioin [43],\pma_direct|auto_generated|edge_pll_dprioin [42],\pma_direct|auto_generated|edge_pll_dprioin [41],\pma_direct|auto_generated|edge_pll_dprioin [40], +\pma_direct|auto_generated|edge_pll_dprioin [39],\pma_direct|auto_generated|edge_pll_dprioin [38],\pma_direct|auto_generated|edge_pll_dprioin [37],\pma_direct|auto_generated|edge_pll_dprioin [36],\pma_direct|auto_generated|edge_pll_dprioin [35], +\pma_direct|auto_generated|edge_pll_dprioin [34],\pma_direct|auto_generated|edge_pll_dprioin [33],\pma_direct|auto_generated|edge_pll_dprioin [32],\pma_direct|auto_generated|edge_pll_dprioin [31],\pma_direct|auto_generated|edge_pll_dprioin [30], +\pma_direct|auto_generated|edge_pll_dprioin [29],\pma_direct|auto_generated|edge_pll_dprioin [28],\pma_direct|auto_generated|edge_pll_dprioin [27],\pma_direct|auto_generated|edge_pll_dprioin [26],\pma_direct|auto_generated|edge_pll_dprioin [25], +\pma_direct|auto_generated|edge_pll_dprioin [24],\pma_direct|auto_generated|edge_pll_dprioin [23],\pma_direct|auto_generated|edge_pll_dprioin [22],\pma_direct|auto_generated|edge_pll_dprioin [21],\pma_direct|auto_generated|edge_pll_dprioin [20], +\pma_direct|auto_generated|edge_pll_dprioin [19],\pma_direct|auto_generated|edge_pll_dprioin [18],\pma_direct|auto_generated|edge_pll_dprioin [17],\pma_direct|auto_generated|edge_pll_dprioin [16],\pma_direct|auto_generated|edge_pll_dprioin [15], +\pma_direct|auto_generated|edge_pll_dprioin [14],\pma_direct|auto_generated|edge_pll_dprioin [13],\pma_direct|auto_generated|edge_pll_dprioin [12],\pma_direct|auto_generated|edge_pll_dprioin [11],\pma_direct|auto_generated|edge_pll_dprioin [10], +\pma_direct|auto_generated|edge_pll_dprioin [9],\pma_direct|auto_generated|edge_pll_dprioin [8],\pma_direct|auto_generated|edge_pll_dprioin [7],\pma_direct|auto_generated|edge_pll_dprioin [6],\pma_direct|auto_generated|edge_pll_dprioin [5], +\pma_direct|auto_generated|edge_pll_dprioin [4],\pma_direct|auto_generated|edge_pll_dprioin [3],\pma_direct|auto_generated|edge_pll_dprioin [2],\pma_direct|auto_generated|edge_pll_dprioin [1],\pma_direct|auto_generated|edge_pll_dprioin [0]}), + .extra10gin(6'b000000), + .pfdfbclkout(), + .pfdrefclkout(), + .vcobypassout(), + .locked(\pma_direct|auto_generated|pll_locked [0]), + .freqlocked(), + .clk(\pma_direct|auto_generated|tx_pll_edge0_CLK_bus ), + .dataout(\pma_direct|auto_generated|tx_pll_edge0_DATAOUT_bus ), + .dprioout(\pma_direct|auto_generated|tx_pll_edge0_DPRIOOUT_bus )); +// synopsys translate_off +defparam \pma_direct|auto_generated|tx_pll_edge0 .auto_settings = "true"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .bandwidth_type = "high"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .base_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .channel_num = 4; +defparam \pma_direct|auto_generated|tx_pll_edge0 .charge_pump_current_bits = 30; +defparam \pma_direct|auto_generated|tx_pll_edge0 .charge_pump_mode_bits = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .charge_pump_test_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .dprio_config_mode = 6'b000000; +defparam \pma_direct|auto_generated|tx_pll_edge0 .effective_data_rate = "0 ps"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .enable_dynamic_divider = "false"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .fast_lock_control = "false"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk0_input_period = 6400; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk1_input_period = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk2_input_period = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk3_input_period = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk4_input_period = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk5_input_period = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk6_input_period = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk7_input_period = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk8_input_period = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .inclk9_input_period = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .input_clock_frequency = "156.25 mhz"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .logical_channel_address = 16; +defparam \pma_direct|auto_generated|tx_pll_edge0 .logical_tx_pll_number = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .loop_filter_c_bits = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .loop_filter_r_bits = 3200; +defparam \pma_direct|auto_generated|tx_pll_edge0 .m = 10; +defparam \pma_direct|auto_generated|tx_pll_edge0 .n = 1; +defparam \pma_direct|auto_generated|tx_pll_edge0 .pd_charge_pump_current_bits = 5; +defparam \pma_direct|auto_generated|tx_pll_edge0 .pd_loop_filter_r_bits = 300; +defparam \pma_direct|auto_generated|tx_pll_edge0 .pfd_clk_select = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .pfd_fb_select = "internal"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .pll_type = "cmu"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .sim_is_negative_ppm_drift = "false"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .sim_net_ppm_variation = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .test_charge_pump_current_down = "false"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .test_charge_pump_current_up = "false"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .vco_data_rate = 0; +defparam \pma_direct|auto_generated|tx_pll_edge0 .vco_post_scale = 2; +defparam \pma_direct|auto_generated|tx_pll_edge0 .vco_range = "high"; +defparam \pma_direct|auto_generated|tx_pll_edge0 .volt_reg_control_bits = 2; +defparam \pma_direct|auto_generated|tx_pll_edge0 .volt_reg_output_bits = 0; +// synopsys translate_on + +// Location: IOIBUF_X9_Y0_N1 +stratixiv_io_ibuf \pll_powerdown~input ( + .i(pll_powerdown), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\pll_powerdown~input_o )); +// synopsys translate_off +defparam \pll_powerdown~input .bus_hold = "false"; +defparam \pll_powerdown~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X17_Y0_N1 +stratixiv_io_ibuf \rx_analogreset[0]~input ( + .i(rx_analogreset[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[0]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[0]~input .bus_hold = "false"; +defparam \rx_analogreset[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N18 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_analogreset_in[0] ( +// Equation(s): +// \pma_direct|auto_generated|rx_analogreset_in [0] = (!\reconfig_togxb[3]~input_o & \rx_analogreset[0]~input_o ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(!\rx_analogreset[0]~input_o ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_analogreset_in [0]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_analogreset_in[0] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_analogreset_in[0] .lut_mask = 64'h0A0A0A0A0A0A0A0A; +defparam \pma_direct|auto_generated|rx_analogreset_in[0] .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X30_Y0_N94 +stratixiv_io_ibuf \rx_analogreset[1]~input ( + .i(rx_analogreset[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[1]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[1]~input .bus_hold = "false"; +defparam \rx_analogreset[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N16 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_analogreset_in[1] ( +// Equation(s): +// \pma_direct|auto_generated|rx_analogreset_in [1] = (!\reconfig_togxb[3]~input_o & \rx_analogreset[1]~input_o ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(!\rx_analogreset[1]~input_o ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_analogreset_in [1]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_analogreset_in[1] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_analogreset_in[1] .lut_mask = 64'h00AA00AA00AA00AA; +defparam \pma_direct|auto_generated|rx_analogreset_in[1] .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X33_Y0_N94 +stratixiv_io_ibuf \rx_analogreset[2]~input ( + .i(rx_analogreset[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[2]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[2]~input .bus_hold = "false"; +defparam \rx_analogreset[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N10 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_analogreset_in[2] ( +// Equation(s): +// \pma_direct|auto_generated|rx_analogreset_in [2] = ( \rx_analogreset[2]~input_o & ( !\reconfig_togxb[3]~input_o ) ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\rx_analogreset[2]~input_o ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_analogreset_in [2]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_analogreset_in[2] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_analogreset_in[2] .lut_mask = 64'h00000000AAAAAAAA; +defparam \pma_direct|auto_generated|rx_analogreset_in[2] .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X36_Y0_N63 +stratixiv_io_ibuf \rx_analogreset[3]~input ( + .i(rx_analogreset[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[3]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[3]~input .bus_hold = "false"; +defparam \rx_analogreset[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N8 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_analogreset_in[3] ( +// Equation(s): +// \pma_direct|auto_generated|rx_analogreset_in [3] = (!\reconfig_togxb[3]~input_o & \rx_analogreset[3]~input_o ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(!\rx_analogreset[3]~input_o ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_analogreset_in [3]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_analogreset_in[3] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_analogreset_in[3] .lut_mask = 64'h00AA00AA00AA00AA; +defparam \pma_direct|auto_generated|rx_analogreset_in[3] .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X14_Y0_N63 +stratixiv_io_ibuf \rx_locktodata[0]~input ( + .i(rx_locktodata[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[0]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[0]~input .bus_hold = "false"; +defparam \rx_locktodata[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N2 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_locktodata_wire[0] ( +// Equation(s): +// \pma_direct|auto_generated|rx_locktodata_wire [0] = (!\reconfig_togxb[3]~input_o & \rx_locktodata[0]~input_o ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(!\rx_locktodata[0]~input_o ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_locktodata_wire [0]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_locktodata_wire[0] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_locktodata_wire[0] .lut_mask = 64'h0A0A0A0A0A0A0A0A; +defparam \pma_direct|auto_generated|rx_locktodata_wire[0] .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X14_Y0_N1 +stratixiv_io_ibuf \rx_locktorefclk[0]~input ( + .i(rx_locktorefclk[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[0]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[0]~input .bus_hold = "false"; +defparam \rx_locktorefclk[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N0 +stratixiv_lcell_comb \pma_direct|auto_generated|comb~0 ( +// Equation(s): +// \pma_direct|auto_generated|comb~0_combout = ( \rx_locktorefclk[0]~input_o ) # ( !\rx_locktorefclk[0]~input_o & ( \reconfig_togxb[3]~input_o ) ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\rx_locktorefclk[0]~input_o ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|comb~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|comb~0 .extended_lut = "off"; +defparam \pma_direct|auto_generated|comb~0 .lut_mask = 64'h55555555FFFFFFFF; +defparam \pma_direct|auto_generated|comb~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y3_N146 +stratixiv_io_ibuf \rx_datain[0]~input ( + .i(rx_datain[0]), + .ibar(\rx_datain[0](n)~padout ), + .dynamicterminationcontrol(gnd), + .o(\rx_datain[0]~input_o )); +// synopsys translate_off +defparam \rx_datain[0]~input .bus_hold = "false"; +defparam \rx_datain[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X30_Y0_N1 +stratixiv_io_ibuf \rx_locktodata[1]~input ( + .i(rx_locktodata[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[1]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[1]~input .bus_hold = "false"; +defparam \rx_locktodata[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N24 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_locktodata_wire[1] ( +// Equation(s): +// \pma_direct|auto_generated|rx_locktodata_wire [1] = (!\reconfig_togxb[3]~input_o & \rx_locktodata[1]~input_o ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(!\rx_locktodata[1]~input_o ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_locktodata_wire [1]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_locktodata_wire[1] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_locktodata_wire[1] .lut_mask = 64'h0A0A0A0A0A0A0A0A; +defparam \pma_direct|auto_generated|rx_locktodata_wire[1] .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X30_Y0_N32 +stratixiv_io_ibuf \rx_locktorefclk[1]~input ( + .i(rx_locktorefclk[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[1]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[1]~input .bus_hold = "false"; +defparam \rx_locktorefclk[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N26 +stratixiv_lcell_comb \pma_direct|auto_generated|comb~1 ( +// Equation(s): +// \pma_direct|auto_generated|comb~1_combout = (\rx_locktorefclk[1]~input_o ) # (\reconfig_togxb[3]~input_o ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(!\rx_locktorefclk[1]~input_o ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|comb~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|comb~1 .extended_lut = "off"; +defparam \pma_direct|auto_generated|comb~1 .lut_mask = 64'h55FF55FF55FF55FF; +defparam \pma_direct|auto_generated|comb~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y6_N146 +stratixiv_io_ibuf \rx_datain[1]~input ( + .i(rx_datain[1]), + .ibar(\rx_datain[1](n)~padout ), + .dynamicterminationcontrol(gnd), + .o(\rx_datain[1]~input_o )); +// synopsys translate_off +defparam \rx_datain[1]~input .bus_hold = "false"; +defparam \rx_datain[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y16_N32 +stratixiv_io_ibuf \rx_locktodata[2]~input ( + .i(rx_locktodata[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[2]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[2]~input .bus_hold = "false"; +defparam \rx_locktodata[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N34 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_locktodata_wire[2] ( +// Equation(s): +// \pma_direct|auto_generated|rx_locktodata_wire [2] = (!\reconfig_togxb[3]~input_o & \rx_locktodata[2]~input_o ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(!\rx_locktodata[2]~input_o ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_locktodata_wire [2]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_locktodata_wire[2] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_locktodata_wire[2] .lut_mask = 64'h00AA00AA00AA00AA; +defparam \pma_direct|auto_generated|rx_locktodata_wire[2] .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X14_Y0_N94 +stratixiv_io_ibuf \rx_locktorefclk[2]~input ( + .i(rx_locktorefclk[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[2]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[2]~input .bus_hold = "false"; +defparam \rx_locktorefclk[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N32 +stratixiv_lcell_comb \pma_direct|auto_generated|comb~2 ( +// Equation(s): +// \pma_direct|auto_generated|comb~2_combout = (\rx_locktorefclk[2]~input_o ) # (\reconfig_togxb[3]~input_o ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(!\rx_locktorefclk[2]~input_o ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|comb~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|comb~2 .extended_lut = "off"; +defparam \pma_direct|auto_generated|comb~2 .lut_mask = 64'h5F5F5F5F5F5F5F5F; +defparam \pma_direct|auto_generated|comb~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y15_N146 +stratixiv_io_ibuf \rx_datain[2]~input ( + .i(rx_datain[2]), + .ibar(\rx_datain[2](n)~padout ), + .dynamicterminationcontrol(gnd), + .o(\rx_datain[2]~input_o )); +// synopsys translate_off +defparam \rx_datain[2]~input .bus_hold = "false"; +defparam \rx_datain[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X17_Y0_N32 +stratixiv_io_ibuf \rx_locktodata[3]~input ( + .i(rx_locktodata[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[3]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[3]~input .bus_hold = "false"; +defparam \rx_locktodata[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N36 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_locktodata_wire[3] ( +// Equation(s): +// \pma_direct|auto_generated|rx_locktodata_wire [3] = (!\reconfig_togxb[3]~input_o & \rx_locktodata[3]~input_o ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(!\rx_locktodata[3]~input_o ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_locktodata_wire [3]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_locktodata_wire[3] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_locktodata_wire[3] .lut_mask = 64'h00AA00AA00AA00AA; +defparam \pma_direct|auto_generated|rx_locktodata_wire[3] .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X33_Y0_N1 +stratixiv_io_ibuf \rx_locktorefclk[3]~input ( + .i(rx_locktorefclk[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[3]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[3]~input .bus_hold = "false"; +defparam \rx_locktorefclk[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N38 +stratixiv_lcell_comb \pma_direct|auto_generated|comb~3 ( +// Equation(s): +// \pma_direct|auto_generated|comb~3_combout = ( \rx_locktorefclk[3]~input_o ) # ( !\rx_locktorefclk[3]~input_o & ( \reconfig_togxb[3]~input_o ) ) + + .dataa(!\reconfig_togxb[3]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\rx_locktorefclk[3]~input_o ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|comb~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|comb~3 .extended_lut = "off"; +defparam \pma_direct|auto_generated|comb~3 .lut_mask = 64'h55555555FFFFFFFF; +defparam \pma_direct|auto_generated|comb~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y18_N146 +stratixiv_io_ibuf \rx_datain[3]~input ( + .i(rx_datain[3]), + .ibar(\rx_datain[3](n)~padout ), + .dynamicterminationcontrol(gnd), + .o(\rx_datain[3]~input_o )); +// synopsys translate_off +defparam \rx_datain[3]~input .bus_hold = "false"; +defparam \rx_datain[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: CMU_X0_Y9_N139 +stratixiv_hssi_cmu \pma_direct|auto_generated|tx_pll_cent_unit0 ( + .recovclk(gnd), + .rdenablesync(vcc), + .rxclk(gnd), + .txclk(gnd), + .quadreset(\gxb_powerdown~input_o ), + .dpclk(\reconfig_clk~inputclkctrl_outclk ), + .dprioin(gnd), + .dprioload(vcc), + .dpriodisable(vcc), + .nonuserfromcal(\pma_direct|auto_generated|nonusertocmu_out [0]), + .txphfiforeset(gnd), + .txcoreclk(gnd), + .txphfifowrenable(gnd), + .txphfiforddisable(gnd), + .rxphfiforeset(gnd), + .rxcoreclk(gnd), + .rxphfifowrdisable(gnd), + .rxphfifordenable(vcc), + .rateswitch(gnd), + .rateswitchdonein(gnd), + .scanclk(gnd), + .scanmode(gnd), + .scanshift(gnd), + .pmacramtest(gnd), + .adet(4'b0000), + .rdalign(4'b0000), + .syncstatus(4'b0000), + .rxdatavalid(4'b0000), + .rxdatain(32'b00000000000000000000000000000000), + .rxctrl(4'b0000), + .rxrunningdisp(4'b0000), + .txdatain(32'b00000000000000000000000000000000), + .txctrl(4'b0000), + .rxpmadprioin({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,\pma_direct|auto_generated|cent_unit_rxpmadprioin [1199],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1198],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1197],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1196], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1195],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1194],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1193],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1192],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1191], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1190],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1189],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1188],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1187],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1186], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1185],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1184],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1183],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1182],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1181], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1180],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1179],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1178],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1177],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1176], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1175],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1174],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1173],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1172],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1171], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1170],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1169],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1168],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1167],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1166], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1165],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1164],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1163],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1162],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1161], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1160],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1159],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1158],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1157],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1156], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1155],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1154],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1153],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1152],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1151], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1150],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1149],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1148],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1147],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1146], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1145],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1144],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1143],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1142],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1141], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1140],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1139],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1138],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1137],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1136], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1135],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1134],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1133],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1132],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1131], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1130],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1129],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1128],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1127],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1126], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1125],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1124],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1123],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1122],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1121], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1120],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1119],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1118],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1117],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1116], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1115],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1114],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1113],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1112],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1111], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1110],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1109],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1108],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1107],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1106], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1105],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1104],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1103],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1102],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1101], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1100],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1099],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1098],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1097],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1096], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1095],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1094],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1093],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1092],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1091], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1090],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1089],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1088],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1087],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1086], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1085],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1084],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1083],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1082],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1081], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1080],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1079],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1078],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1077],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1076], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1075],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1074],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1073],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1072],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1071], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1070],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1069],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1068],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1067],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1066], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1065],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1064],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1063],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1062],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1061], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1060],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1059],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1058],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1057],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1056], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1055],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1054],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1053],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1052],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1051], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1050],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1049],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1048],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1047],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1046], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1045],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1044],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1043],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1042],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1041], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1040],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1039],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1038],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1037],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1036], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1035],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1034],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1033],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1032],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1031], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1030],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1029],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1028],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1027],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1026], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1025],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1024],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1023],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1022],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1021], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1020],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1019],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1018],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1017],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1016], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1015],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1014],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1013],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1012],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1011], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1010],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1009],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1008],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1007],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1006], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1005],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1004],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1003],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1002],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1001], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [1000],\pma_direct|auto_generated|cent_unit_rxpmadprioin [999],\pma_direct|auto_generated|cent_unit_rxpmadprioin [998],\pma_direct|auto_generated|cent_unit_rxpmadprioin [997],\pma_direct|auto_generated|cent_unit_rxpmadprioin [996], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [995],\pma_direct|auto_generated|cent_unit_rxpmadprioin [994],\pma_direct|auto_generated|cent_unit_rxpmadprioin [993],\pma_direct|auto_generated|cent_unit_rxpmadprioin [992],\pma_direct|auto_generated|cent_unit_rxpmadprioin [991], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [990],\pma_direct|auto_generated|cent_unit_rxpmadprioin [989],\pma_direct|auto_generated|cent_unit_rxpmadprioin [988],\pma_direct|auto_generated|cent_unit_rxpmadprioin [987],\pma_direct|auto_generated|cent_unit_rxpmadprioin [986], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [985],\pma_direct|auto_generated|cent_unit_rxpmadprioin [984],\pma_direct|auto_generated|cent_unit_rxpmadprioin [983],\pma_direct|auto_generated|cent_unit_rxpmadprioin [982],\pma_direct|auto_generated|cent_unit_rxpmadprioin [981], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [980],\pma_direct|auto_generated|cent_unit_rxpmadprioin [979],\pma_direct|auto_generated|cent_unit_rxpmadprioin [978],\pma_direct|auto_generated|cent_unit_rxpmadprioin [977],\pma_direct|auto_generated|cent_unit_rxpmadprioin [976], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [975],\pma_direct|auto_generated|cent_unit_rxpmadprioin [974],\pma_direct|auto_generated|cent_unit_rxpmadprioin [973],\pma_direct|auto_generated|cent_unit_rxpmadprioin [972],\pma_direct|auto_generated|cent_unit_rxpmadprioin [971], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [970],\pma_direct|auto_generated|cent_unit_rxpmadprioin [969],\pma_direct|auto_generated|cent_unit_rxpmadprioin [968],\pma_direct|auto_generated|cent_unit_rxpmadprioin [967],\pma_direct|auto_generated|cent_unit_rxpmadprioin [966], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [965],\pma_direct|auto_generated|cent_unit_rxpmadprioin [964],\pma_direct|auto_generated|cent_unit_rxpmadprioin [963],\pma_direct|auto_generated|cent_unit_rxpmadprioin [962],\pma_direct|auto_generated|cent_unit_rxpmadprioin [961], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [960],\pma_direct|auto_generated|cent_unit_rxpmadprioin [959],\pma_direct|auto_generated|cent_unit_rxpmadprioin [958],\pma_direct|auto_generated|cent_unit_rxpmadprioin [957],\pma_direct|auto_generated|cent_unit_rxpmadprioin [956], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [955],\pma_direct|auto_generated|cent_unit_rxpmadprioin [954],\pma_direct|auto_generated|cent_unit_rxpmadprioin [953],\pma_direct|auto_generated|cent_unit_rxpmadprioin [952],\pma_direct|auto_generated|cent_unit_rxpmadprioin [951], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [950],\pma_direct|auto_generated|cent_unit_rxpmadprioin [949],\pma_direct|auto_generated|cent_unit_rxpmadprioin [948],\pma_direct|auto_generated|cent_unit_rxpmadprioin [947],\pma_direct|auto_generated|cent_unit_rxpmadprioin [946], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [945],\pma_direct|auto_generated|cent_unit_rxpmadprioin [944],\pma_direct|auto_generated|cent_unit_rxpmadprioin [943],\pma_direct|auto_generated|cent_unit_rxpmadprioin [942],\pma_direct|auto_generated|cent_unit_rxpmadprioin [941], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [940],\pma_direct|auto_generated|cent_unit_rxpmadprioin [939],\pma_direct|auto_generated|cent_unit_rxpmadprioin [938],\pma_direct|auto_generated|cent_unit_rxpmadprioin [937],\pma_direct|auto_generated|cent_unit_rxpmadprioin [936], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [935],\pma_direct|auto_generated|cent_unit_rxpmadprioin [934],\pma_direct|auto_generated|cent_unit_rxpmadprioin [933],\pma_direct|auto_generated|cent_unit_rxpmadprioin [932],\pma_direct|auto_generated|cent_unit_rxpmadprioin [931], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [930],\pma_direct|auto_generated|cent_unit_rxpmadprioin [929],\pma_direct|auto_generated|cent_unit_rxpmadprioin [928],\pma_direct|auto_generated|cent_unit_rxpmadprioin [927],\pma_direct|auto_generated|cent_unit_rxpmadprioin [926], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [925],\pma_direct|auto_generated|cent_unit_rxpmadprioin [924],\pma_direct|auto_generated|cent_unit_rxpmadprioin [923],\pma_direct|auto_generated|cent_unit_rxpmadprioin [922],\pma_direct|auto_generated|cent_unit_rxpmadprioin [921], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [920],\pma_direct|auto_generated|cent_unit_rxpmadprioin [919],\pma_direct|auto_generated|cent_unit_rxpmadprioin [918],\pma_direct|auto_generated|cent_unit_rxpmadprioin [917],\pma_direct|auto_generated|cent_unit_rxpmadprioin [916], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [915],\pma_direct|auto_generated|cent_unit_rxpmadprioin [914],\pma_direct|auto_generated|cent_unit_rxpmadprioin [913],\pma_direct|auto_generated|cent_unit_rxpmadprioin [912],\pma_direct|auto_generated|cent_unit_rxpmadprioin [911], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [910],\pma_direct|auto_generated|cent_unit_rxpmadprioin [909],\pma_direct|auto_generated|cent_unit_rxpmadprioin [908],\pma_direct|auto_generated|cent_unit_rxpmadprioin [907],\pma_direct|auto_generated|cent_unit_rxpmadprioin [906], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [905],\pma_direct|auto_generated|cent_unit_rxpmadprioin [904],\pma_direct|auto_generated|cent_unit_rxpmadprioin [903],\pma_direct|auto_generated|cent_unit_rxpmadprioin [902],\pma_direct|auto_generated|cent_unit_rxpmadprioin [901], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [900],\pma_direct|auto_generated|cent_unit_rxpmadprioin [899],\pma_direct|auto_generated|cent_unit_rxpmadprioin [898],\pma_direct|auto_generated|cent_unit_rxpmadprioin [897],\pma_direct|auto_generated|cent_unit_rxpmadprioin [896], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [895],\pma_direct|auto_generated|cent_unit_rxpmadprioin [894],\pma_direct|auto_generated|cent_unit_rxpmadprioin [893],\pma_direct|auto_generated|cent_unit_rxpmadprioin [892],\pma_direct|auto_generated|cent_unit_rxpmadprioin [891], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [890],\pma_direct|auto_generated|cent_unit_rxpmadprioin [889],\pma_direct|auto_generated|cent_unit_rxpmadprioin [888],\pma_direct|auto_generated|cent_unit_rxpmadprioin [887],\pma_direct|auto_generated|cent_unit_rxpmadprioin [886], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [885],\pma_direct|auto_generated|cent_unit_rxpmadprioin [884],\pma_direct|auto_generated|cent_unit_rxpmadprioin [883],\pma_direct|auto_generated|cent_unit_rxpmadprioin [882],\pma_direct|auto_generated|cent_unit_rxpmadprioin [881], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [880],\pma_direct|auto_generated|cent_unit_rxpmadprioin [879],\pma_direct|auto_generated|cent_unit_rxpmadprioin [878],\pma_direct|auto_generated|cent_unit_rxpmadprioin [877],\pma_direct|auto_generated|cent_unit_rxpmadprioin [876], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [875],\pma_direct|auto_generated|cent_unit_rxpmadprioin [874],\pma_direct|auto_generated|cent_unit_rxpmadprioin [873],\pma_direct|auto_generated|cent_unit_rxpmadprioin [872],\pma_direct|auto_generated|cent_unit_rxpmadprioin [871], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [870],\pma_direct|auto_generated|cent_unit_rxpmadprioin [869],\pma_direct|auto_generated|cent_unit_rxpmadprioin [868],\pma_direct|auto_generated|cent_unit_rxpmadprioin [867],\pma_direct|auto_generated|cent_unit_rxpmadprioin [866], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [865],\pma_direct|auto_generated|cent_unit_rxpmadprioin [864],\pma_direct|auto_generated|cent_unit_rxpmadprioin [863],\pma_direct|auto_generated|cent_unit_rxpmadprioin [862],\pma_direct|auto_generated|cent_unit_rxpmadprioin [861], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [860],\pma_direct|auto_generated|cent_unit_rxpmadprioin [859],\pma_direct|auto_generated|cent_unit_rxpmadprioin [858],\pma_direct|auto_generated|cent_unit_rxpmadprioin [857],\pma_direct|auto_generated|cent_unit_rxpmadprioin [856], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [855],\pma_direct|auto_generated|cent_unit_rxpmadprioin [854],\pma_direct|auto_generated|cent_unit_rxpmadprioin [853],\pma_direct|auto_generated|cent_unit_rxpmadprioin [852],\pma_direct|auto_generated|cent_unit_rxpmadprioin [851], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [850],\pma_direct|auto_generated|cent_unit_rxpmadprioin [849],\pma_direct|auto_generated|cent_unit_rxpmadprioin [848],\pma_direct|auto_generated|cent_unit_rxpmadprioin [847],\pma_direct|auto_generated|cent_unit_rxpmadprioin [846], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [845],\pma_direct|auto_generated|cent_unit_rxpmadprioin [844],\pma_direct|auto_generated|cent_unit_rxpmadprioin [843],\pma_direct|auto_generated|cent_unit_rxpmadprioin [842],\pma_direct|auto_generated|cent_unit_rxpmadprioin [841], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [840],\pma_direct|auto_generated|cent_unit_rxpmadprioin [839],\pma_direct|auto_generated|cent_unit_rxpmadprioin [838],\pma_direct|auto_generated|cent_unit_rxpmadprioin [837],\pma_direct|auto_generated|cent_unit_rxpmadprioin [836], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [835],\pma_direct|auto_generated|cent_unit_rxpmadprioin [834],\pma_direct|auto_generated|cent_unit_rxpmadprioin [833],\pma_direct|auto_generated|cent_unit_rxpmadprioin [832],\pma_direct|auto_generated|cent_unit_rxpmadprioin [831], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [830],\pma_direct|auto_generated|cent_unit_rxpmadprioin [829],\pma_direct|auto_generated|cent_unit_rxpmadprioin [828],\pma_direct|auto_generated|cent_unit_rxpmadprioin [827],\pma_direct|auto_generated|cent_unit_rxpmadprioin [826], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [825],\pma_direct|auto_generated|cent_unit_rxpmadprioin [824],\pma_direct|auto_generated|cent_unit_rxpmadprioin [823],\pma_direct|auto_generated|cent_unit_rxpmadprioin [822],\pma_direct|auto_generated|cent_unit_rxpmadprioin [821], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [820],\pma_direct|auto_generated|cent_unit_rxpmadprioin [819],\pma_direct|auto_generated|cent_unit_rxpmadprioin [818],\pma_direct|auto_generated|cent_unit_rxpmadprioin [817],\pma_direct|auto_generated|cent_unit_rxpmadprioin [816], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [815],\pma_direct|auto_generated|cent_unit_rxpmadprioin [814],\pma_direct|auto_generated|cent_unit_rxpmadprioin [813],\pma_direct|auto_generated|cent_unit_rxpmadprioin [812],\pma_direct|auto_generated|cent_unit_rxpmadprioin [811], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [810],\pma_direct|auto_generated|cent_unit_rxpmadprioin [809],\pma_direct|auto_generated|cent_unit_rxpmadprioin [808],\pma_direct|auto_generated|cent_unit_rxpmadprioin [807],\pma_direct|auto_generated|cent_unit_rxpmadprioin [806], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [805],\pma_direct|auto_generated|cent_unit_rxpmadprioin [804],\pma_direct|auto_generated|cent_unit_rxpmadprioin [803],\pma_direct|auto_generated|cent_unit_rxpmadprioin [802],\pma_direct|auto_generated|cent_unit_rxpmadprioin [801], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [800],\pma_direct|auto_generated|cent_unit_rxpmadprioin [799],\pma_direct|auto_generated|cent_unit_rxpmadprioin [798],\pma_direct|auto_generated|cent_unit_rxpmadprioin [797],\pma_direct|auto_generated|cent_unit_rxpmadprioin [796], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [795],\pma_direct|auto_generated|cent_unit_rxpmadprioin [794],\pma_direct|auto_generated|cent_unit_rxpmadprioin [793],\pma_direct|auto_generated|cent_unit_rxpmadprioin [792],\pma_direct|auto_generated|cent_unit_rxpmadprioin [791], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [790],\pma_direct|auto_generated|cent_unit_rxpmadprioin [789],\pma_direct|auto_generated|cent_unit_rxpmadprioin [788],\pma_direct|auto_generated|cent_unit_rxpmadprioin [787],\pma_direct|auto_generated|cent_unit_rxpmadprioin [786], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [785],\pma_direct|auto_generated|cent_unit_rxpmadprioin [784],\pma_direct|auto_generated|cent_unit_rxpmadprioin [783],\pma_direct|auto_generated|cent_unit_rxpmadprioin [782],\pma_direct|auto_generated|cent_unit_rxpmadprioin [781], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [780],\pma_direct|auto_generated|cent_unit_rxpmadprioin [779],\pma_direct|auto_generated|cent_unit_rxpmadprioin [778],\pma_direct|auto_generated|cent_unit_rxpmadprioin [777],\pma_direct|auto_generated|cent_unit_rxpmadprioin [776], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [775],\pma_direct|auto_generated|cent_unit_rxpmadprioin [774],\pma_direct|auto_generated|cent_unit_rxpmadprioin [773],\pma_direct|auto_generated|cent_unit_rxpmadprioin [772],\pma_direct|auto_generated|cent_unit_rxpmadprioin [771], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [770],\pma_direct|auto_generated|cent_unit_rxpmadprioin [769],\pma_direct|auto_generated|cent_unit_rxpmadprioin [768],\pma_direct|auto_generated|cent_unit_rxpmadprioin [767],\pma_direct|auto_generated|cent_unit_rxpmadprioin [766], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [765],\pma_direct|auto_generated|cent_unit_rxpmadprioin [764],\pma_direct|auto_generated|cent_unit_rxpmadprioin [763],\pma_direct|auto_generated|cent_unit_rxpmadprioin [762],\pma_direct|auto_generated|cent_unit_rxpmadprioin [761], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [760],\pma_direct|auto_generated|cent_unit_rxpmadprioin [759],\pma_direct|auto_generated|cent_unit_rxpmadprioin [758],\pma_direct|auto_generated|cent_unit_rxpmadprioin [757],\pma_direct|auto_generated|cent_unit_rxpmadprioin [756], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [755],\pma_direct|auto_generated|cent_unit_rxpmadprioin [754],\pma_direct|auto_generated|cent_unit_rxpmadprioin [753],\pma_direct|auto_generated|cent_unit_rxpmadprioin [752],\pma_direct|auto_generated|cent_unit_rxpmadprioin [751], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [750],\pma_direct|auto_generated|cent_unit_rxpmadprioin [749],\pma_direct|auto_generated|cent_unit_rxpmadprioin [748],\pma_direct|auto_generated|cent_unit_rxpmadprioin [747],\pma_direct|auto_generated|cent_unit_rxpmadprioin [746], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [745],\pma_direct|auto_generated|cent_unit_rxpmadprioin [744],\pma_direct|auto_generated|cent_unit_rxpmadprioin [743],\pma_direct|auto_generated|cent_unit_rxpmadprioin [742],\pma_direct|auto_generated|cent_unit_rxpmadprioin [741], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [740],\pma_direct|auto_generated|cent_unit_rxpmadprioin [739],\pma_direct|auto_generated|cent_unit_rxpmadprioin [738],\pma_direct|auto_generated|cent_unit_rxpmadprioin [737],\pma_direct|auto_generated|cent_unit_rxpmadprioin [736], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [735],\pma_direct|auto_generated|cent_unit_rxpmadprioin [734],\pma_direct|auto_generated|cent_unit_rxpmadprioin [733],\pma_direct|auto_generated|cent_unit_rxpmadprioin [732],\pma_direct|auto_generated|cent_unit_rxpmadprioin [731], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [730],\pma_direct|auto_generated|cent_unit_rxpmadprioin [729],\pma_direct|auto_generated|cent_unit_rxpmadprioin [728],\pma_direct|auto_generated|cent_unit_rxpmadprioin [727],\pma_direct|auto_generated|cent_unit_rxpmadprioin [726], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [725],\pma_direct|auto_generated|cent_unit_rxpmadprioin [724],\pma_direct|auto_generated|cent_unit_rxpmadprioin [723],\pma_direct|auto_generated|cent_unit_rxpmadprioin [722],\pma_direct|auto_generated|cent_unit_rxpmadprioin [721], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [720],\pma_direct|auto_generated|cent_unit_rxpmadprioin [719],\pma_direct|auto_generated|cent_unit_rxpmadprioin [718],\pma_direct|auto_generated|cent_unit_rxpmadprioin [717],\pma_direct|auto_generated|cent_unit_rxpmadprioin [716], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [715],\pma_direct|auto_generated|cent_unit_rxpmadprioin [714],\pma_direct|auto_generated|cent_unit_rxpmadprioin [713],\pma_direct|auto_generated|cent_unit_rxpmadprioin [712],\pma_direct|auto_generated|cent_unit_rxpmadprioin [711], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [710],\pma_direct|auto_generated|cent_unit_rxpmadprioin [709],\pma_direct|auto_generated|cent_unit_rxpmadprioin [708],\pma_direct|auto_generated|cent_unit_rxpmadprioin [707],\pma_direct|auto_generated|cent_unit_rxpmadprioin [706], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [705],\pma_direct|auto_generated|cent_unit_rxpmadprioin [704],\pma_direct|auto_generated|cent_unit_rxpmadprioin [703],\pma_direct|auto_generated|cent_unit_rxpmadprioin [702],\pma_direct|auto_generated|cent_unit_rxpmadprioin [701], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [700],\pma_direct|auto_generated|cent_unit_rxpmadprioin [699],\pma_direct|auto_generated|cent_unit_rxpmadprioin [698],\pma_direct|auto_generated|cent_unit_rxpmadprioin [697],\pma_direct|auto_generated|cent_unit_rxpmadprioin [696], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [695],\pma_direct|auto_generated|cent_unit_rxpmadprioin [694],\pma_direct|auto_generated|cent_unit_rxpmadprioin [693],\pma_direct|auto_generated|cent_unit_rxpmadprioin [692],\pma_direct|auto_generated|cent_unit_rxpmadprioin [691], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [690],\pma_direct|auto_generated|cent_unit_rxpmadprioin [689],\pma_direct|auto_generated|cent_unit_rxpmadprioin [688],\pma_direct|auto_generated|cent_unit_rxpmadprioin [687],\pma_direct|auto_generated|cent_unit_rxpmadprioin [686], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [685],\pma_direct|auto_generated|cent_unit_rxpmadprioin [684],\pma_direct|auto_generated|cent_unit_rxpmadprioin [683],\pma_direct|auto_generated|cent_unit_rxpmadprioin [682],\pma_direct|auto_generated|cent_unit_rxpmadprioin [681], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [680],\pma_direct|auto_generated|cent_unit_rxpmadprioin [679],\pma_direct|auto_generated|cent_unit_rxpmadprioin [678],\pma_direct|auto_generated|cent_unit_rxpmadprioin [677],\pma_direct|auto_generated|cent_unit_rxpmadprioin [676], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [675],\pma_direct|auto_generated|cent_unit_rxpmadprioin [674],\pma_direct|auto_generated|cent_unit_rxpmadprioin [673],\pma_direct|auto_generated|cent_unit_rxpmadprioin [672],\pma_direct|auto_generated|cent_unit_rxpmadprioin [671], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [670],\pma_direct|auto_generated|cent_unit_rxpmadprioin [669],\pma_direct|auto_generated|cent_unit_rxpmadprioin [668],\pma_direct|auto_generated|cent_unit_rxpmadprioin [667],\pma_direct|auto_generated|cent_unit_rxpmadprioin [666], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [665],\pma_direct|auto_generated|cent_unit_rxpmadprioin [664],\pma_direct|auto_generated|cent_unit_rxpmadprioin [663],\pma_direct|auto_generated|cent_unit_rxpmadprioin [662],\pma_direct|auto_generated|cent_unit_rxpmadprioin [661], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [660],\pma_direct|auto_generated|cent_unit_rxpmadprioin [659],\pma_direct|auto_generated|cent_unit_rxpmadprioin [658],\pma_direct|auto_generated|cent_unit_rxpmadprioin [657],\pma_direct|auto_generated|cent_unit_rxpmadprioin [656], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [655],\pma_direct|auto_generated|cent_unit_rxpmadprioin [654],\pma_direct|auto_generated|cent_unit_rxpmadprioin [653],\pma_direct|auto_generated|cent_unit_rxpmadprioin [652],\pma_direct|auto_generated|cent_unit_rxpmadprioin [651], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [650],\pma_direct|auto_generated|cent_unit_rxpmadprioin [649],\pma_direct|auto_generated|cent_unit_rxpmadprioin [648],\pma_direct|auto_generated|cent_unit_rxpmadprioin [647],\pma_direct|auto_generated|cent_unit_rxpmadprioin [646], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [645],\pma_direct|auto_generated|cent_unit_rxpmadprioin [644],\pma_direct|auto_generated|cent_unit_rxpmadprioin [643],\pma_direct|auto_generated|cent_unit_rxpmadprioin [642],\pma_direct|auto_generated|cent_unit_rxpmadprioin [641], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [640],\pma_direct|auto_generated|cent_unit_rxpmadprioin [639],\pma_direct|auto_generated|cent_unit_rxpmadprioin [638],\pma_direct|auto_generated|cent_unit_rxpmadprioin [637],\pma_direct|auto_generated|cent_unit_rxpmadprioin [636], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [635],\pma_direct|auto_generated|cent_unit_rxpmadprioin [634],\pma_direct|auto_generated|cent_unit_rxpmadprioin [633],\pma_direct|auto_generated|cent_unit_rxpmadprioin [632],\pma_direct|auto_generated|cent_unit_rxpmadprioin [631], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [630],\pma_direct|auto_generated|cent_unit_rxpmadprioin [629],\pma_direct|auto_generated|cent_unit_rxpmadprioin [628],\pma_direct|auto_generated|cent_unit_rxpmadprioin [627],\pma_direct|auto_generated|cent_unit_rxpmadprioin [626], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [625],\pma_direct|auto_generated|cent_unit_rxpmadprioin [624],\pma_direct|auto_generated|cent_unit_rxpmadprioin [623],\pma_direct|auto_generated|cent_unit_rxpmadprioin [622],\pma_direct|auto_generated|cent_unit_rxpmadprioin [621], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [620],\pma_direct|auto_generated|cent_unit_rxpmadprioin [619],\pma_direct|auto_generated|cent_unit_rxpmadprioin [618],\pma_direct|auto_generated|cent_unit_rxpmadprioin [617],\pma_direct|auto_generated|cent_unit_rxpmadprioin [616], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [615],\pma_direct|auto_generated|cent_unit_rxpmadprioin [614],\pma_direct|auto_generated|cent_unit_rxpmadprioin [613],\pma_direct|auto_generated|cent_unit_rxpmadprioin [612],\pma_direct|auto_generated|cent_unit_rxpmadprioin [611], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [610],\pma_direct|auto_generated|cent_unit_rxpmadprioin [609],\pma_direct|auto_generated|cent_unit_rxpmadprioin [608],\pma_direct|auto_generated|cent_unit_rxpmadprioin [607],\pma_direct|auto_generated|cent_unit_rxpmadprioin [606], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [605],\pma_direct|auto_generated|cent_unit_rxpmadprioin [604],\pma_direct|auto_generated|cent_unit_rxpmadprioin [603],\pma_direct|auto_generated|cent_unit_rxpmadprioin [602],\pma_direct|auto_generated|cent_unit_rxpmadprioin [601], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [600],\pma_direct|auto_generated|cent_unit_rxpmadprioin [599],\pma_direct|auto_generated|cent_unit_rxpmadprioin [598],\pma_direct|auto_generated|cent_unit_rxpmadprioin [597],\pma_direct|auto_generated|cent_unit_rxpmadprioin [596], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [595],\pma_direct|auto_generated|cent_unit_rxpmadprioin [594],\pma_direct|auto_generated|cent_unit_rxpmadprioin [593],\pma_direct|auto_generated|cent_unit_rxpmadprioin [592],\pma_direct|auto_generated|cent_unit_rxpmadprioin [591], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [590],\pma_direct|auto_generated|cent_unit_rxpmadprioin [589],\pma_direct|auto_generated|cent_unit_rxpmadprioin [588],\pma_direct|auto_generated|cent_unit_rxpmadprioin [587],\pma_direct|auto_generated|cent_unit_rxpmadprioin [586], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [585],\pma_direct|auto_generated|cent_unit_rxpmadprioin [584],\pma_direct|auto_generated|cent_unit_rxpmadprioin [583],\pma_direct|auto_generated|cent_unit_rxpmadprioin [582],\pma_direct|auto_generated|cent_unit_rxpmadprioin [581], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [580],\pma_direct|auto_generated|cent_unit_rxpmadprioin [579],\pma_direct|auto_generated|cent_unit_rxpmadprioin [578],\pma_direct|auto_generated|cent_unit_rxpmadprioin [577],\pma_direct|auto_generated|cent_unit_rxpmadprioin [576], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [575],\pma_direct|auto_generated|cent_unit_rxpmadprioin [574],\pma_direct|auto_generated|cent_unit_rxpmadprioin [573],\pma_direct|auto_generated|cent_unit_rxpmadprioin [572],\pma_direct|auto_generated|cent_unit_rxpmadprioin [571], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [570],\pma_direct|auto_generated|cent_unit_rxpmadprioin [569],\pma_direct|auto_generated|cent_unit_rxpmadprioin [568],\pma_direct|auto_generated|cent_unit_rxpmadprioin [567],\pma_direct|auto_generated|cent_unit_rxpmadprioin [566], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [565],\pma_direct|auto_generated|cent_unit_rxpmadprioin [564],\pma_direct|auto_generated|cent_unit_rxpmadprioin [563],\pma_direct|auto_generated|cent_unit_rxpmadprioin [562],\pma_direct|auto_generated|cent_unit_rxpmadprioin [561], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [560],\pma_direct|auto_generated|cent_unit_rxpmadprioin [559],\pma_direct|auto_generated|cent_unit_rxpmadprioin [558],\pma_direct|auto_generated|cent_unit_rxpmadprioin [557],\pma_direct|auto_generated|cent_unit_rxpmadprioin [556], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [555],\pma_direct|auto_generated|cent_unit_rxpmadprioin [554],\pma_direct|auto_generated|cent_unit_rxpmadprioin [553],\pma_direct|auto_generated|cent_unit_rxpmadprioin [552],\pma_direct|auto_generated|cent_unit_rxpmadprioin [551], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [550],\pma_direct|auto_generated|cent_unit_rxpmadprioin [549],\pma_direct|auto_generated|cent_unit_rxpmadprioin [548],\pma_direct|auto_generated|cent_unit_rxpmadprioin [547],\pma_direct|auto_generated|cent_unit_rxpmadprioin [546], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [545],\pma_direct|auto_generated|cent_unit_rxpmadprioin [544],\pma_direct|auto_generated|cent_unit_rxpmadprioin [543],\pma_direct|auto_generated|cent_unit_rxpmadprioin [542],\pma_direct|auto_generated|cent_unit_rxpmadprioin [541], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [540],\pma_direct|auto_generated|cent_unit_rxpmadprioin [539],\pma_direct|auto_generated|cent_unit_rxpmadprioin [538],\pma_direct|auto_generated|cent_unit_rxpmadprioin [537],\pma_direct|auto_generated|cent_unit_rxpmadprioin [536], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [535],\pma_direct|auto_generated|cent_unit_rxpmadprioin [534],\pma_direct|auto_generated|cent_unit_rxpmadprioin [533],\pma_direct|auto_generated|cent_unit_rxpmadprioin [532],\pma_direct|auto_generated|cent_unit_rxpmadprioin [531], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [530],\pma_direct|auto_generated|cent_unit_rxpmadprioin [529],\pma_direct|auto_generated|cent_unit_rxpmadprioin [528],\pma_direct|auto_generated|cent_unit_rxpmadprioin [527],\pma_direct|auto_generated|cent_unit_rxpmadprioin [526], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [525],\pma_direct|auto_generated|cent_unit_rxpmadprioin [524],\pma_direct|auto_generated|cent_unit_rxpmadprioin [523],\pma_direct|auto_generated|cent_unit_rxpmadprioin [522],\pma_direct|auto_generated|cent_unit_rxpmadprioin [521], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [520],\pma_direct|auto_generated|cent_unit_rxpmadprioin [519],\pma_direct|auto_generated|cent_unit_rxpmadprioin [518],\pma_direct|auto_generated|cent_unit_rxpmadprioin [517],\pma_direct|auto_generated|cent_unit_rxpmadprioin [516], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [515],\pma_direct|auto_generated|cent_unit_rxpmadprioin [514],\pma_direct|auto_generated|cent_unit_rxpmadprioin [513],\pma_direct|auto_generated|cent_unit_rxpmadprioin [512],\pma_direct|auto_generated|cent_unit_rxpmadprioin [511], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [510],\pma_direct|auto_generated|cent_unit_rxpmadprioin [509],\pma_direct|auto_generated|cent_unit_rxpmadprioin [508],\pma_direct|auto_generated|cent_unit_rxpmadprioin [507],\pma_direct|auto_generated|cent_unit_rxpmadprioin [506], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [505],\pma_direct|auto_generated|cent_unit_rxpmadprioin [504],\pma_direct|auto_generated|cent_unit_rxpmadprioin [503],\pma_direct|auto_generated|cent_unit_rxpmadprioin [502],\pma_direct|auto_generated|cent_unit_rxpmadprioin [501], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [500],\pma_direct|auto_generated|cent_unit_rxpmadprioin [499],\pma_direct|auto_generated|cent_unit_rxpmadprioin [498],\pma_direct|auto_generated|cent_unit_rxpmadprioin [497],\pma_direct|auto_generated|cent_unit_rxpmadprioin [496], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [495],\pma_direct|auto_generated|cent_unit_rxpmadprioin [494],\pma_direct|auto_generated|cent_unit_rxpmadprioin [493],\pma_direct|auto_generated|cent_unit_rxpmadprioin [492],\pma_direct|auto_generated|cent_unit_rxpmadprioin [491], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [490],\pma_direct|auto_generated|cent_unit_rxpmadprioin [489],\pma_direct|auto_generated|cent_unit_rxpmadprioin [488],\pma_direct|auto_generated|cent_unit_rxpmadprioin [487],\pma_direct|auto_generated|cent_unit_rxpmadprioin [486], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [485],\pma_direct|auto_generated|cent_unit_rxpmadprioin [484],\pma_direct|auto_generated|cent_unit_rxpmadprioin [483],\pma_direct|auto_generated|cent_unit_rxpmadprioin [482],\pma_direct|auto_generated|cent_unit_rxpmadprioin [481], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [480],\pma_direct|auto_generated|cent_unit_rxpmadprioin [479],\pma_direct|auto_generated|cent_unit_rxpmadprioin [478],\pma_direct|auto_generated|cent_unit_rxpmadprioin [477],\pma_direct|auto_generated|cent_unit_rxpmadprioin [476], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [475],\pma_direct|auto_generated|cent_unit_rxpmadprioin [474],\pma_direct|auto_generated|cent_unit_rxpmadprioin [473],\pma_direct|auto_generated|cent_unit_rxpmadprioin [472],\pma_direct|auto_generated|cent_unit_rxpmadprioin [471], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [470],\pma_direct|auto_generated|cent_unit_rxpmadprioin [469],\pma_direct|auto_generated|cent_unit_rxpmadprioin [468],\pma_direct|auto_generated|cent_unit_rxpmadprioin [467],\pma_direct|auto_generated|cent_unit_rxpmadprioin [466], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [465],\pma_direct|auto_generated|cent_unit_rxpmadprioin [464],\pma_direct|auto_generated|cent_unit_rxpmadprioin [463],\pma_direct|auto_generated|cent_unit_rxpmadprioin [462],\pma_direct|auto_generated|cent_unit_rxpmadprioin [461], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [460],\pma_direct|auto_generated|cent_unit_rxpmadprioin [459],\pma_direct|auto_generated|cent_unit_rxpmadprioin [458],\pma_direct|auto_generated|cent_unit_rxpmadprioin [457],\pma_direct|auto_generated|cent_unit_rxpmadprioin [456], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [455],\pma_direct|auto_generated|cent_unit_rxpmadprioin [454],\pma_direct|auto_generated|cent_unit_rxpmadprioin [453],\pma_direct|auto_generated|cent_unit_rxpmadprioin [452],\pma_direct|auto_generated|cent_unit_rxpmadprioin [451], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [450],\pma_direct|auto_generated|cent_unit_rxpmadprioin [449],\pma_direct|auto_generated|cent_unit_rxpmadprioin [448],\pma_direct|auto_generated|cent_unit_rxpmadprioin [447],\pma_direct|auto_generated|cent_unit_rxpmadprioin [446], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [445],\pma_direct|auto_generated|cent_unit_rxpmadprioin [444],\pma_direct|auto_generated|cent_unit_rxpmadprioin [443],\pma_direct|auto_generated|cent_unit_rxpmadprioin [442],\pma_direct|auto_generated|cent_unit_rxpmadprioin [441], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [440],\pma_direct|auto_generated|cent_unit_rxpmadprioin [439],\pma_direct|auto_generated|cent_unit_rxpmadprioin [438],\pma_direct|auto_generated|cent_unit_rxpmadprioin [437],\pma_direct|auto_generated|cent_unit_rxpmadprioin [436], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [435],\pma_direct|auto_generated|cent_unit_rxpmadprioin [434],\pma_direct|auto_generated|cent_unit_rxpmadprioin [433],\pma_direct|auto_generated|cent_unit_rxpmadprioin [432],\pma_direct|auto_generated|cent_unit_rxpmadprioin [431], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [430],\pma_direct|auto_generated|cent_unit_rxpmadprioin [429],\pma_direct|auto_generated|cent_unit_rxpmadprioin [428],\pma_direct|auto_generated|cent_unit_rxpmadprioin [427],\pma_direct|auto_generated|cent_unit_rxpmadprioin [426], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [425],\pma_direct|auto_generated|cent_unit_rxpmadprioin [424],\pma_direct|auto_generated|cent_unit_rxpmadprioin [423],\pma_direct|auto_generated|cent_unit_rxpmadprioin [422],\pma_direct|auto_generated|cent_unit_rxpmadprioin [421], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [420],\pma_direct|auto_generated|cent_unit_rxpmadprioin [419],\pma_direct|auto_generated|cent_unit_rxpmadprioin [418],\pma_direct|auto_generated|cent_unit_rxpmadprioin [417],\pma_direct|auto_generated|cent_unit_rxpmadprioin [416], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [415],\pma_direct|auto_generated|cent_unit_rxpmadprioin [414],\pma_direct|auto_generated|cent_unit_rxpmadprioin [413],\pma_direct|auto_generated|cent_unit_rxpmadprioin [412],\pma_direct|auto_generated|cent_unit_rxpmadprioin [411], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [410],\pma_direct|auto_generated|cent_unit_rxpmadprioin [409],\pma_direct|auto_generated|cent_unit_rxpmadprioin [408],\pma_direct|auto_generated|cent_unit_rxpmadprioin [407],\pma_direct|auto_generated|cent_unit_rxpmadprioin [406], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [405],\pma_direct|auto_generated|cent_unit_rxpmadprioin [404],\pma_direct|auto_generated|cent_unit_rxpmadprioin [403],\pma_direct|auto_generated|cent_unit_rxpmadprioin [402],\pma_direct|auto_generated|cent_unit_rxpmadprioin [401], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [400],\pma_direct|auto_generated|cent_unit_rxpmadprioin [399],\pma_direct|auto_generated|cent_unit_rxpmadprioin [398],\pma_direct|auto_generated|cent_unit_rxpmadprioin [397],\pma_direct|auto_generated|cent_unit_rxpmadprioin [396], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [395],\pma_direct|auto_generated|cent_unit_rxpmadprioin [394],\pma_direct|auto_generated|cent_unit_rxpmadprioin [393],\pma_direct|auto_generated|cent_unit_rxpmadprioin [392],\pma_direct|auto_generated|cent_unit_rxpmadprioin [391], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [390],\pma_direct|auto_generated|cent_unit_rxpmadprioin [389],\pma_direct|auto_generated|cent_unit_rxpmadprioin [388],\pma_direct|auto_generated|cent_unit_rxpmadprioin [387],\pma_direct|auto_generated|cent_unit_rxpmadprioin [386], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [385],\pma_direct|auto_generated|cent_unit_rxpmadprioin [384],\pma_direct|auto_generated|cent_unit_rxpmadprioin [383],\pma_direct|auto_generated|cent_unit_rxpmadprioin [382],\pma_direct|auto_generated|cent_unit_rxpmadprioin [381], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [380],\pma_direct|auto_generated|cent_unit_rxpmadprioin [379],\pma_direct|auto_generated|cent_unit_rxpmadprioin [378],\pma_direct|auto_generated|cent_unit_rxpmadprioin [377],\pma_direct|auto_generated|cent_unit_rxpmadprioin [376], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [375],\pma_direct|auto_generated|cent_unit_rxpmadprioin [374],\pma_direct|auto_generated|cent_unit_rxpmadprioin [373],\pma_direct|auto_generated|cent_unit_rxpmadprioin [372],\pma_direct|auto_generated|cent_unit_rxpmadprioin [371], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [370],\pma_direct|auto_generated|cent_unit_rxpmadprioin [369],\pma_direct|auto_generated|cent_unit_rxpmadprioin [368],\pma_direct|auto_generated|cent_unit_rxpmadprioin [367],\pma_direct|auto_generated|cent_unit_rxpmadprioin [366], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [365],\pma_direct|auto_generated|cent_unit_rxpmadprioin [364],\pma_direct|auto_generated|cent_unit_rxpmadprioin [363],\pma_direct|auto_generated|cent_unit_rxpmadprioin [362],\pma_direct|auto_generated|cent_unit_rxpmadprioin [361], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [360],\pma_direct|auto_generated|cent_unit_rxpmadprioin [359],\pma_direct|auto_generated|cent_unit_rxpmadprioin [358],\pma_direct|auto_generated|cent_unit_rxpmadprioin [357],\pma_direct|auto_generated|cent_unit_rxpmadprioin [356], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [355],\pma_direct|auto_generated|cent_unit_rxpmadprioin [354],\pma_direct|auto_generated|cent_unit_rxpmadprioin [353],\pma_direct|auto_generated|cent_unit_rxpmadprioin [352],\pma_direct|auto_generated|cent_unit_rxpmadprioin [351], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [350],\pma_direct|auto_generated|cent_unit_rxpmadprioin [349],\pma_direct|auto_generated|cent_unit_rxpmadprioin [348],\pma_direct|auto_generated|cent_unit_rxpmadprioin [347],\pma_direct|auto_generated|cent_unit_rxpmadprioin [346], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [345],\pma_direct|auto_generated|cent_unit_rxpmadprioin [344],\pma_direct|auto_generated|cent_unit_rxpmadprioin [343],\pma_direct|auto_generated|cent_unit_rxpmadprioin [342],\pma_direct|auto_generated|cent_unit_rxpmadprioin [341], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [340],\pma_direct|auto_generated|cent_unit_rxpmadprioin [339],\pma_direct|auto_generated|cent_unit_rxpmadprioin [338],\pma_direct|auto_generated|cent_unit_rxpmadprioin [337],\pma_direct|auto_generated|cent_unit_rxpmadprioin [336], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [335],\pma_direct|auto_generated|cent_unit_rxpmadprioin [334],\pma_direct|auto_generated|cent_unit_rxpmadprioin [333],\pma_direct|auto_generated|cent_unit_rxpmadprioin [332],\pma_direct|auto_generated|cent_unit_rxpmadprioin [331], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [330],\pma_direct|auto_generated|cent_unit_rxpmadprioin [329],\pma_direct|auto_generated|cent_unit_rxpmadprioin [328],\pma_direct|auto_generated|cent_unit_rxpmadprioin [327],\pma_direct|auto_generated|cent_unit_rxpmadprioin [326], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [325],\pma_direct|auto_generated|cent_unit_rxpmadprioin [324],\pma_direct|auto_generated|cent_unit_rxpmadprioin [323],\pma_direct|auto_generated|cent_unit_rxpmadprioin [322],\pma_direct|auto_generated|cent_unit_rxpmadprioin [321], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [320],\pma_direct|auto_generated|cent_unit_rxpmadprioin [319],\pma_direct|auto_generated|cent_unit_rxpmadprioin [318],\pma_direct|auto_generated|cent_unit_rxpmadprioin [317],\pma_direct|auto_generated|cent_unit_rxpmadprioin [316], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [315],\pma_direct|auto_generated|cent_unit_rxpmadprioin [314],\pma_direct|auto_generated|cent_unit_rxpmadprioin [313],\pma_direct|auto_generated|cent_unit_rxpmadprioin [312],\pma_direct|auto_generated|cent_unit_rxpmadprioin [311], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [310],\pma_direct|auto_generated|cent_unit_rxpmadprioin [309],\pma_direct|auto_generated|cent_unit_rxpmadprioin [308],\pma_direct|auto_generated|cent_unit_rxpmadprioin [307],\pma_direct|auto_generated|cent_unit_rxpmadprioin [306], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [305],\pma_direct|auto_generated|cent_unit_rxpmadprioin [304],\pma_direct|auto_generated|cent_unit_rxpmadprioin [303],\pma_direct|auto_generated|cent_unit_rxpmadprioin [302],\pma_direct|auto_generated|cent_unit_rxpmadprioin [301], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [300],\pma_direct|auto_generated|cent_unit_rxpmadprioin [299],\pma_direct|auto_generated|cent_unit_rxpmadprioin [298],\pma_direct|auto_generated|cent_unit_rxpmadprioin [297],\pma_direct|auto_generated|cent_unit_rxpmadprioin [296], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [295],\pma_direct|auto_generated|cent_unit_rxpmadprioin [294],\pma_direct|auto_generated|cent_unit_rxpmadprioin [293],\pma_direct|auto_generated|cent_unit_rxpmadprioin [292],\pma_direct|auto_generated|cent_unit_rxpmadprioin [291], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [290],\pma_direct|auto_generated|cent_unit_rxpmadprioin [289],\pma_direct|auto_generated|cent_unit_rxpmadprioin [288],\pma_direct|auto_generated|cent_unit_rxpmadprioin [287],\pma_direct|auto_generated|cent_unit_rxpmadprioin [286], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [285],\pma_direct|auto_generated|cent_unit_rxpmadprioin [284],\pma_direct|auto_generated|cent_unit_rxpmadprioin [283],\pma_direct|auto_generated|cent_unit_rxpmadprioin [282],\pma_direct|auto_generated|cent_unit_rxpmadprioin [281], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [280],\pma_direct|auto_generated|cent_unit_rxpmadprioin [279],\pma_direct|auto_generated|cent_unit_rxpmadprioin [278],\pma_direct|auto_generated|cent_unit_rxpmadprioin [277],\pma_direct|auto_generated|cent_unit_rxpmadprioin [276], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [275],\pma_direct|auto_generated|cent_unit_rxpmadprioin [274],\pma_direct|auto_generated|cent_unit_rxpmadprioin [273],\pma_direct|auto_generated|cent_unit_rxpmadprioin [272],\pma_direct|auto_generated|cent_unit_rxpmadprioin [271], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [270],\pma_direct|auto_generated|cent_unit_rxpmadprioin [269],\pma_direct|auto_generated|cent_unit_rxpmadprioin [268],\pma_direct|auto_generated|cent_unit_rxpmadprioin [267],\pma_direct|auto_generated|cent_unit_rxpmadprioin [266], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [265],\pma_direct|auto_generated|cent_unit_rxpmadprioin [264],\pma_direct|auto_generated|cent_unit_rxpmadprioin [263],\pma_direct|auto_generated|cent_unit_rxpmadprioin [262],\pma_direct|auto_generated|cent_unit_rxpmadprioin [261], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [260],\pma_direct|auto_generated|cent_unit_rxpmadprioin [259],\pma_direct|auto_generated|cent_unit_rxpmadprioin [258],\pma_direct|auto_generated|cent_unit_rxpmadprioin [257],\pma_direct|auto_generated|cent_unit_rxpmadprioin [256], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [255],\pma_direct|auto_generated|cent_unit_rxpmadprioin [254],\pma_direct|auto_generated|cent_unit_rxpmadprioin [253],\pma_direct|auto_generated|cent_unit_rxpmadprioin [252],\pma_direct|auto_generated|cent_unit_rxpmadprioin [251], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [250],\pma_direct|auto_generated|cent_unit_rxpmadprioin [249],\pma_direct|auto_generated|cent_unit_rxpmadprioin [248],\pma_direct|auto_generated|cent_unit_rxpmadprioin [247],\pma_direct|auto_generated|cent_unit_rxpmadprioin [246], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [245],\pma_direct|auto_generated|cent_unit_rxpmadprioin [244],\pma_direct|auto_generated|cent_unit_rxpmadprioin [243],\pma_direct|auto_generated|cent_unit_rxpmadprioin [242],\pma_direct|auto_generated|cent_unit_rxpmadprioin [241], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [240],\pma_direct|auto_generated|cent_unit_rxpmadprioin [239],\pma_direct|auto_generated|cent_unit_rxpmadprioin [238],\pma_direct|auto_generated|cent_unit_rxpmadprioin [237],\pma_direct|auto_generated|cent_unit_rxpmadprioin [236], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [235],\pma_direct|auto_generated|cent_unit_rxpmadprioin [234],\pma_direct|auto_generated|cent_unit_rxpmadprioin [233],\pma_direct|auto_generated|cent_unit_rxpmadprioin [232],\pma_direct|auto_generated|cent_unit_rxpmadprioin [231], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [230],\pma_direct|auto_generated|cent_unit_rxpmadprioin [229],\pma_direct|auto_generated|cent_unit_rxpmadprioin [228],\pma_direct|auto_generated|cent_unit_rxpmadprioin [227],\pma_direct|auto_generated|cent_unit_rxpmadprioin [226], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [225],\pma_direct|auto_generated|cent_unit_rxpmadprioin [224],\pma_direct|auto_generated|cent_unit_rxpmadprioin [223],\pma_direct|auto_generated|cent_unit_rxpmadprioin [222],\pma_direct|auto_generated|cent_unit_rxpmadprioin [221], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [220],\pma_direct|auto_generated|cent_unit_rxpmadprioin [219],\pma_direct|auto_generated|cent_unit_rxpmadprioin [218],\pma_direct|auto_generated|cent_unit_rxpmadprioin [217],\pma_direct|auto_generated|cent_unit_rxpmadprioin [216], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [215],\pma_direct|auto_generated|cent_unit_rxpmadprioin [214],\pma_direct|auto_generated|cent_unit_rxpmadprioin [213],\pma_direct|auto_generated|cent_unit_rxpmadprioin [212],\pma_direct|auto_generated|cent_unit_rxpmadprioin [211], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [210],\pma_direct|auto_generated|cent_unit_rxpmadprioin [209],\pma_direct|auto_generated|cent_unit_rxpmadprioin [208],\pma_direct|auto_generated|cent_unit_rxpmadprioin [207],\pma_direct|auto_generated|cent_unit_rxpmadprioin [206], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [205],\pma_direct|auto_generated|cent_unit_rxpmadprioin [204],\pma_direct|auto_generated|cent_unit_rxpmadprioin [203],\pma_direct|auto_generated|cent_unit_rxpmadprioin [202],\pma_direct|auto_generated|cent_unit_rxpmadprioin [201], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [200],\pma_direct|auto_generated|cent_unit_rxpmadprioin [199],\pma_direct|auto_generated|cent_unit_rxpmadprioin [198],\pma_direct|auto_generated|cent_unit_rxpmadprioin [197],\pma_direct|auto_generated|cent_unit_rxpmadprioin [196], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [195],\pma_direct|auto_generated|cent_unit_rxpmadprioin [194],\pma_direct|auto_generated|cent_unit_rxpmadprioin [193],\pma_direct|auto_generated|cent_unit_rxpmadprioin [192],\pma_direct|auto_generated|cent_unit_rxpmadprioin [191], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [190],\pma_direct|auto_generated|cent_unit_rxpmadprioin [189],\pma_direct|auto_generated|cent_unit_rxpmadprioin [188],\pma_direct|auto_generated|cent_unit_rxpmadprioin [187],\pma_direct|auto_generated|cent_unit_rxpmadprioin [186], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [185],\pma_direct|auto_generated|cent_unit_rxpmadprioin [184],\pma_direct|auto_generated|cent_unit_rxpmadprioin [183],\pma_direct|auto_generated|cent_unit_rxpmadprioin [182],\pma_direct|auto_generated|cent_unit_rxpmadprioin [181], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [180],\pma_direct|auto_generated|cent_unit_rxpmadprioin [179],\pma_direct|auto_generated|cent_unit_rxpmadprioin [178],\pma_direct|auto_generated|cent_unit_rxpmadprioin [177],\pma_direct|auto_generated|cent_unit_rxpmadprioin [176], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [175],\pma_direct|auto_generated|cent_unit_rxpmadprioin [174],\pma_direct|auto_generated|cent_unit_rxpmadprioin [173],\pma_direct|auto_generated|cent_unit_rxpmadprioin [172],\pma_direct|auto_generated|cent_unit_rxpmadprioin [171], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [170],\pma_direct|auto_generated|cent_unit_rxpmadprioin [169],\pma_direct|auto_generated|cent_unit_rxpmadprioin [168],\pma_direct|auto_generated|cent_unit_rxpmadprioin [167],\pma_direct|auto_generated|cent_unit_rxpmadprioin [166], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [165],\pma_direct|auto_generated|cent_unit_rxpmadprioin [164],\pma_direct|auto_generated|cent_unit_rxpmadprioin [163],\pma_direct|auto_generated|cent_unit_rxpmadprioin [162],\pma_direct|auto_generated|cent_unit_rxpmadprioin [161], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [160],\pma_direct|auto_generated|cent_unit_rxpmadprioin [159],\pma_direct|auto_generated|cent_unit_rxpmadprioin [158],\pma_direct|auto_generated|cent_unit_rxpmadprioin [157],\pma_direct|auto_generated|cent_unit_rxpmadprioin [156], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [155],\pma_direct|auto_generated|cent_unit_rxpmadprioin [154],\pma_direct|auto_generated|cent_unit_rxpmadprioin [153],\pma_direct|auto_generated|cent_unit_rxpmadprioin [152],\pma_direct|auto_generated|cent_unit_rxpmadprioin [151], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [150],\pma_direct|auto_generated|cent_unit_rxpmadprioin [149],\pma_direct|auto_generated|cent_unit_rxpmadprioin [148],\pma_direct|auto_generated|cent_unit_rxpmadprioin [147],\pma_direct|auto_generated|cent_unit_rxpmadprioin [146], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [145],\pma_direct|auto_generated|cent_unit_rxpmadprioin [144],\pma_direct|auto_generated|cent_unit_rxpmadprioin [143],\pma_direct|auto_generated|cent_unit_rxpmadprioin [142],\pma_direct|auto_generated|cent_unit_rxpmadprioin [141], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [140],\pma_direct|auto_generated|cent_unit_rxpmadprioin [139],\pma_direct|auto_generated|cent_unit_rxpmadprioin [138],\pma_direct|auto_generated|cent_unit_rxpmadprioin [137],\pma_direct|auto_generated|cent_unit_rxpmadprioin [136], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [135],\pma_direct|auto_generated|cent_unit_rxpmadprioin [134],\pma_direct|auto_generated|cent_unit_rxpmadprioin [133],\pma_direct|auto_generated|cent_unit_rxpmadprioin [132],\pma_direct|auto_generated|cent_unit_rxpmadprioin [131], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [130],\pma_direct|auto_generated|cent_unit_rxpmadprioin [129],\pma_direct|auto_generated|cent_unit_rxpmadprioin [128],\pma_direct|auto_generated|cent_unit_rxpmadprioin [127],\pma_direct|auto_generated|cent_unit_rxpmadprioin [126], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [125],\pma_direct|auto_generated|cent_unit_rxpmadprioin [124],\pma_direct|auto_generated|cent_unit_rxpmadprioin [123],\pma_direct|auto_generated|cent_unit_rxpmadprioin [122],\pma_direct|auto_generated|cent_unit_rxpmadprioin [121], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [120],\pma_direct|auto_generated|cent_unit_rxpmadprioin [119],\pma_direct|auto_generated|cent_unit_rxpmadprioin [118],\pma_direct|auto_generated|cent_unit_rxpmadprioin [117],\pma_direct|auto_generated|cent_unit_rxpmadprioin [116], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [115],\pma_direct|auto_generated|cent_unit_rxpmadprioin [114],\pma_direct|auto_generated|cent_unit_rxpmadprioin [113],\pma_direct|auto_generated|cent_unit_rxpmadprioin [112],\pma_direct|auto_generated|cent_unit_rxpmadprioin [111], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [110],\pma_direct|auto_generated|cent_unit_rxpmadprioin [109],\pma_direct|auto_generated|cent_unit_rxpmadprioin [108],\pma_direct|auto_generated|cent_unit_rxpmadprioin [107],\pma_direct|auto_generated|cent_unit_rxpmadprioin [106], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [105],\pma_direct|auto_generated|cent_unit_rxpmadprioin [104],\pma_direct|auto_generated|cent_unit_rxpmadprioin [103],\pma_direct|auto_generated|cent_unit_rxpmadprioin [102],\pma_direct|auto_generated|cent_unit_rxpmadprioin [101], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [100],\pma_direct|auto_generated|cent_unit_rxpmadprioin [99],\pma_direct|auto_generated|cent_unit_rxpmadprioin [98],\pma_direct|auto_generated|cent_unit_rxpmadprioin [97],\pma_direct|auto_generated|cent_unit_rxpmadprioin [96], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [95],\pma_direct|auto_generated|cent_unit_rxpmadprioin [94],\pma_direct|auto_generated|cent_unit_rxpmadprioin [93],\pma_direct|auto_generated|cent_unit_rxpmadprioin [92],\pma_direct|auto_generated|cent_unit_rxpmadprioin [91], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [90],\pma_direct|auto_generated|cent_unit_rxpmadprioin [89],\pma_direct|auto_generated|cent_unit_rxpmadprioin [88],\pma_direct|auto_generated|cent_unit_rxpmadprioin [87],\pma_direct|auto_generated|cent_unit_rxpmadprioin [86], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [85],\pma_direct|auto_generated|cent_unit_rxpmadprioin [84],\pma_direct|auto_generated|cent_unit_rxpmadprioin [83],\pma_direct|auto_generated|cent_unit_rxpmadprioin [82],\pma_direct|auto_generated|cent_unit_rxpmadprioin [81], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [80],\pma_direct|auto_generated|cent_unit_rxpmadprioin [79],\pma_direct|auto_generated|cent_unit_rxpmadprioin [78],\pma_direct|auto_generated|cent_unit_rxpmadprioin [77],\pma_direct|auto_generated|cent_unit_rxpmadprioin [76], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [75],\pma_direct|auto_generated|cent_unit_rxpmadprioin [74],\pma_direct|auto_generated|cent_unit_rxpmadprioin [73],\pma_direct|auto_generated|cent_unit_rxpmadprioin [72],\pma_direct|auto_generated|cent_unit_rxpmadprioin [71], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [70],\pma_direct|auto_generated|cent_unit_rxpmadprioin [69],\pma_direct|auto_generated|cent_unit_rxpmadprioin [68],\pma_direct|auto_generated|cent_unit_rxpmadprioin [67],\pma_direct|auto_generated|cent_unit_rxpmadprioin [66], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [65],\pma_direct|auto_generated|cent_unit_rxpmadprioin [64],\pma_direct|auto_generated|cent_unit_rxpmadprioin [63],\pma_direct|auto_generated|cent_unit_rxpmadprioin [62],\pma_direct|auto_generated|cent_unit_rxpmadprioin [61], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [60],\pma_direct|auto_generated|cent_unit_rxpmadprioin [59],\pma_direct|auto_generated|cent_unit_rxpmadprioin [58],\pma_direct|auto_generated|cent_unit_rxpmadprioin [57],\pma_direct|auto_generated|cent_unit_rxpmadprioin [56], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [55],\pma_direct|auto_generated|cent_unit_rxpmadprioin [54],\pma_direct|auto_generated|cent_unit_rxpmadprioin [53],\pma_direct|auto_generated|cent_unit_rxpmadprioin [52],\pma_direct|auto_generated|cent_unit_rxpmadprioin [51], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [50],\pma_direct|auto_generated|cent_unit_rxpmadprioin [49],\pma_direct|auto_generated|cent_unit_rxpmadprioin [48],\pma_direct|auto_generated|cent_unit_rxpmadprioin [47],\pma_direct|auto_generated|cent_unit_rxpmadprioin [46], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [45],\pma_direct|auto_generated|cent_unit_rxpmadprioin [44],\pma_direct|auto_generated|cent_unit_rxpmadprioin [43],\pma_direct|auto_generated|cent_unit_rxpmadprioin [42],\pma_direct|auto_generated|cent_unit_rxpmadprioin [41], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [40],\pma_direct|auto_generated|cent_unit_rxpmadprioin [39],\pma_direct|auto_generated|cent_unit_rxpmadprioin [38],\pma_direct|auto_generated|cent_unit_rxpmadprioin [37],\pma_direct|auto_generated|cent_unit_rxpmadprioin [36], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [35],\pma_direct|auto_generated|cent_unit_rxpmadprioin [34],\pma_direct|auto_generated|cent_unit_rxpmadprioin [33],\pma_direct|auto_generated|cent_unit_rxpmadprioin [32],\pma_direct|auto_generated|cent_unit_rxpmadprioin [31], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [30],\pma_direct|auto_generated|cent_unit_rxpmadprioin [29],\pma_direct|auto_generated|cent_unit_rxpmadprioin [28],\pma_direct|auto_generated|cent_unit_rxpmadprioin [27],\pma_direct|auto_generated|cent_unit_rxpmadprioin [26], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [25],\pma_direct|auto_generated|cent_unit_rxpmadprioin [24],\pma_direct|auto_generated|cent_unit_rxpmadprioin [23],\pma_direct|auto_generated|cent_unit_rxpmadprioin [22],\pma_direct|auto_generated|cent_unit_rxpmadprioin [21], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [20],\pma_direct|auto_generated|cent_unit_rxpmadprioin [19],\pma_direct|auto_generated|cent_unit_rxpmadprioin [18],\pma_direct|auto_generated|cent_unit_rxpmadprioin [17],\pma_direct|auto_generated|cent_unit_rxpmadprioin [16], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [15],\pma_direct|auto_generated|cent_unit_rxpmadprioin [14],\pma_direct|auto_generated|cent_unit_rxpmadprioin [13],\pma_direct|auto_generated|cent_unit_rxpmadprioin [12],\pma_direct|auto_generated|cent_unit_rxpmadprioin [11], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [10],\pma_direct|auto_generated|cent_unit_rxpmadprioin [9],\pma_direct|auto_generated|cent_unit_rxpmadprioin [8],\pma_direct|auto_generated|cent_unit_rxpmadprioin [7],\pma_direct|auto_generated|cent_unit_rxpmadprioin [6], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [5],\pma_direct|auto_generated|cent_unit_rxpmadprioin [4],\pma_direct|auto_generated|cent_unit_rxpmadprioin [3],\pma_direct|auto_generated|cent_unit_rxpmadprioin [2],\pma_direct|auto_generated|cent_unit_rxpmadprioin [1], +\pma_direct|auto_generated|cent_unit_rxpmadprioin [0]}), + .rxpcsdprioin(1600'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000), + .txpmadprioin({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,\pma_direct|auto_generated|cent_unit_txpmadprioin [1199],\pma_direct|auto_generated|cent_unit_txpmadprioin [1198],\pma_direct|auto_generated|cent_unit_txpmadprioin [1197],\pma_direct|auto_generated|cent_unit_txpmadprioin [1196], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1195],\pma_direct|auto_generated|cent_unit_txpmadprioin [1194],\pma_direct|auto_generated|cent_unit_txpmadprioin [1193],\pma_direct|auto_generated|cent_unit_txpmadprioin [1192],\pma_direct|auto_generated|cent_unit_txpmadprioin [1191], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1190],\pma_direct|auto_generated|cent_unit_txpmadprioin [1189],\pma_direct|auto_generated|cent_unit_txpmadprioin [1188],\pma_direct|auto_generated|cent_unit_txpmadprioin [1187],\pma_direct|auto_generated|cent_unit_txpmadprioin [1186], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1185],\pma_direct|auto_generated|cent_unit_txpmadprioin [1184],\pma_direct|auto_generated|cent_unit_txpmadprioin [1183],\pma_direct|auto_generated|cent_unit_txpmadprioin [1182],\pma_direct|auto_generated|cent_unit_txpmadprioin [1181], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1180],\pma_direct|auto_generated|cent_unit_txpmadprioin [1179],\pma_direct|auto_generated|cent_unit_txpmadprioin [1178],\pma_direct|auto_generated|cent_unit_txpmadprioin [1177],\pma_direct|auto_generated|cent_unit_txpmadprioin [1176], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1175],\pma_direct|auto_generated|cent_unit_txpmadprioin [1174],\pma_direct|auto_generated|cent_unit_txpmadprioin [1173],\pma_direct|auto_generated|cent_unit_txpmadprioin [1172],\pma_direct|auto_generated|cent_unit_txpmadprioin [1171], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1170],\pma_direct|auto_generated|cent_unit_txpmadprioin [1169],\pma_direct|auto_generated|cent_unit_txpmadprioin [1168],\pma_direct|auto_generated|cent_unit_txpmadprioin [1167],\pma_direct|auto_generated|cent_unit_txpmadprioin [1166], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1165],\pma_direct|auto_generated|cent_unit_txpmadprioin [1164],\pma_direct|auto_generated|cent_unit_txpmadprioin [1163],\pma_direct|auto_generated|cent_unit_txpmadprioin [1162],\pma_direct|auto_generated|cent_unit_txpmadprioin [1161], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1160],\pma_direct|auto_generated|cent_unit_txpmadprioin [1159],\pma_direct|auto_generated|cent_unit_txpmadprioin [1158],\pma_direct|auto_generated|cent_unit_txpmadprioin [1157],\pma_direct|auto_generated|cent_unit_txpmadprioin [1156], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1155],\pma_direct|auto_generated|cent_unit_txpmadprioin [1154],\pma_direct|auto_generated|cent_unit_txpmadprioin [1153],\pma_direct|auto_generated|cent_unit_txpmadprioin [1152],\pma_direct|auto_generated|cent_unit_txpmadprioin [1151], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1150],\pma_direct|auto_generated|cent_unit_txpmadprioin [1149],\pma_direct|auto_generated|cent_unit_txpmadprioin [1148],\pma_direct|auto_generated|cent_unit_txpmadprioin [1147],\pma_direct|auto_generated|cent_unit_txpmadprioin [1146], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1145],\pma_direct|auto_generated|cent_unit_txpmadprioin [1144],\pma_direct|auto_generated|cent_unit_txpmadprioin [1143],\pma_direct|auto_generated|cent_unit_txpmadprioin [1142],\pma_direct|auto_generated|cent_unit_txpmadprioin [1141], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1140],\pma_direct|auto_generated|cent_unit_txpmadprioin [1139],\pma_direct|auto_generated|cent_unit_txpmadprioin [1138],\pma_direct|auto_generated|cent_unit_txpmadprioin [1137],\pma_direct|auto_generated|cent_unit_txpmadprioin [1136], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1135],\pma_direct|auto_generated|cent_unit_txpmadprioin [1134],\pma_direct|auto_generated|cent_unit_txpmadprioin [1133],\pma_direct|auto_generated|cent_unit_txpmadprioin [1132],\pma_direct|auto_generated|cent_unit_txpmadprioin [1131], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1130],\pma_direct|auto_generated|cent_unit_txpmadprioin [1129],\pma_direct|auto_generated|cent_unit_txpmadprioin [1128],\pma_direct|auto_generated|cent_unit_txpmadprioin [1127],\pma_direct|auto_generated|cent_unit_txpmadprioin [1126], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1125],\pma_direct|auto_generated|cent_unit_txpmadprioin [1124],\pma_direct|auto_generated|cent_unit_txpmadprioin [1123],\pma_direct|auto_generated|cent_unit_txpmadprioin [1122],\pma_direct|auto_generated|cent_unit_txpmadprioin [1121], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1120],\pma_direct|auto_generated|cent_unit_txpmadprioin [1119],\pma_direct|auto_generated|cent_unit_txpmadprioin [1118],\pma_direct|auto_generated|cent_unit_txpmadprioin [1117],\pma_direct|auto_generated|cent_unit_txpmadprioin [1116], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1115],\pma_direct|auto_generated|cent_unit_txpmadprioin [1114],\pma_direct|auto_generated|cent_unit_txpmadprioin [1113],\pma_direct|auto_generated|cent_unit_txpmadprioin [1112],\pma_direct|auto_generated|cent_unit_txpmadprioin [1111], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1110],\pma_direct|auto_generated|cent_unit_txpmadprioin [1109],\pma_direct|auto_generated|cent_unit_txpmadprioin [1108],\pma_direct|auto_generated|cent_unit_txpmadprioin [1107],\pma_direct|auto_generated|cent_unit_txpmadprioin [1106], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1105],\pma_direct|auto_generated|cent_unit_txpmadprioin [1104],\pma_direct|auto_generated|cent_unit_txpmadprioin [1103],\pma_direct|auto_generated|cent_unit_txpmadprioin [1102],\pma_direct|auto_generated|cent_unit_txpmadprioin [1101], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1100],\pma_direct|auto_generated|cent_unit_txpmadprioin [1099],\pma_direct|auto_generated|cent_unit_txpmadprioin [1098],\pma_direct|auto_generated|cent_unit_txpmadprioin [1097],\pma_direct|auto_generated|cent_unit_txpmadprioin [1096], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1095],\pma_direct|auto_generated|cent_unit_txpmadprioin [1094],\pma_direct|auto_generated|cent_unit_txpmadprioin [1093],\pma_direct|auto_generated|cent_unit_txpmadprioin [1092],\pma_direct|auto_generated|cent_unit_txpmadprioin [1091], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1090],\pma_direct|auto_generated|cent_unit_txpmadprioin [1089],\pma_direct|auto_generated|cent_unit_txpmadprioin [1088],\pma_direct|auto_generated|cent_unit_txpmadprioin [1087],\pma_direct|auto_generated|cent_unit_txpmadprioin [1086], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1085],\pma_direct|auto_generated|cent_unit_txpmadprioin [1084],\pma_direct|auto_generated|cent_unit_txpmadprioin [1083],\pma_direct|auto_generated|cent_unit_txpmadprioin [1082],\pma_direct|auto_generated|cent_unit_txpmadprioin [1081], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1080],\pma_direct|auto_generated|cent_unit_txpmadprioin [1079],\pma_direct|auto_generated|cent_unit_txpmadprioin [1078],\pma_direct|auto_generated|cent_unit_txpmadprioin [1077],\pma_direct|auto_generated|cent_unit_txpmadprioin [1076], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1075],\pma_direct|auto_generated|cent_unit_txpmadprioin [1074],\pma_direct|auto_generated|cent_unit_txpmadprioin [1073],\pma_direct|auto_generated|cent_unit_txpmadprioin [1072],\pma_direct|auto_generated|cent_unit_txpmadprioin [1071], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1070],\pma_direct|auto_generated|cent_unit_txpmadprioin [1069],\pma_direct|auto_generated|cent_unit_txpmadprioin [1068],\pma_direct|auto_generated|cent_unit_txpmadprioin [1067],\pma_direct|auto_generated|cent_unit_txpmadprioin [1066], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1065],\pma_direct|auto_generated|cent_unit_txpmadprioin [1064],\pma_direct|auto_generated|cent_unit_txpmadprioin [1063],\pma_direct|auto_generated|cent_unit_txpmadprioin [1062],\pma_direct|auto_generated|cent_unit_txpmadprioin [1061], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1060],\pma_direct|auto_generated|cent_unit_txpmadprioin [1059],\pma_direct|auto_generated|cent_unit_txpmadprioin [1058],\pma_direct|auto_generated|cent_unit_txpmadprioin [1057],\pma_direct|auto_generated|cent_unit_txpmadprioin [1056], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1055],\pma_direct|auto_generated|cent_unit_txpmadprioin [1054],\pma_direct|auto_generated|cent_unit_txpmadprioin [1053],\pma_direct|auto_generated|cent_unit_txpmadprioin [1052],\pma_direct|auto_generated|cent_unit_txpmadprioin [1051], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1050],\pma_direct|auto_generated|cent_unit_txpmadprioin [1049],\pma_direct|auto_generated|cent_unit_txpmadprioin [1048],\pma_direct|auto_generated|cent_unit_txpmadprioin [1047],\pma_direct|auto_generated|cent_unit_txpmadprioin [1046], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1045],\pma_direct|auto_generated|cent_unit_txpmadprioin [1044],\pma_direct|auto_generated|cent_unit_txpmadprioin [1043],\pma_direct|auto_generated|cent_unit_txpmadprioin [1042],\pma_direct|auto_generated|cent_unit_txpmadprioin [1041], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1040],\pma_direct|auto_generated|cent_unit_txpmadprioin [1039],\pma_direct|auto_generated|cent_unit_txpmadprioin [1038],\pma_direct|auto_generated|cent_unit_txpmadprioin [1037],\pma_direct|auto_generated|cent_unit_txpmadprioin [1036], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1035],\pma_direct|auto_generated|cent_unit_txpmadprioin [1034],\pma_direct|auto_generated|cent_unit_txpmadprioin [1033],\pma_direct|auto_generated|cent_unit_txpmadprioin [1032],\pma_direct|auto_generated|cent_unit_txpmadprioin [1031], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1030],\pma_direct|auto_generated|cent_unit_txpmadprioin [1029],\pma_direct|auto_generated|cent_unit_txpmadprioin [1028],\pma_direct|auto_generated|cent_unit_txpmadprioin [1027],\pma_direct|auto_generated|cent_unit_txpmadprioin [1026], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1025],\pma_direct|auto_generated|cent_unit_txpmadprioin [1024],\pma_direct|auto_generated|cent_unit_txpmadprioin [1023],\pma_direct|auto_generated|cent_unit_txpmadprioin [1022],\pma_direct|auto_generated|cent_unit_txpmadprioin [1021], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1020],\pma_direct|auto_generated|cent_unit_txpmadprioin [1019],\pma_direct|auto_generated|cent_unit_txpmadprioin [1018],\pma_direct|auto_generated|cent_unit_txpmadprioin [1017],\pma_direct|auto_generated|cent_unit_txpmadprioin [1016], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1015],\pma_direct|auto_generated|cent_unit_txpmadprioin [1014],\pma_direct|auto_generated|cent_unit_txpmadprioin [1013],\pma_direct|auto_generated|cent_unit_txpmadprioin [1012],\pma_direct|auto_generated|cent_unit_txpmadprioin [1011], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1010],\pma_direct|auto_generated|cent_unit_txpmadprioin [1009],\pma_direct|auto_generated|cent_unit_txpmadprioin [1008],\pma_direct|auto_generated|cent_unit_txpmadprioin [1007],\pma_direct|auto_generated|cent_unit_txpmadprioin [1006], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1005],\pma_direct|auto_generated|cent_unit_txpmadprioin [1004],\pma_direct|auto_generated|cent_unit_txpmadprioin [1003],\pma_direct|auto_generated|cent_unit_txpmadprioin [1002],\pma_direct|auto_generated|cent_unit_txpmadprioin [1001], +\pma_direct|auto_generated|cent_unit_txpmadprioin [1000],\pma_direct|auto_generated|cent_unit_txpmadprioin [999],\pma_direct|auto_generated|cent_unit_txpmadprioin [998],\pma_direct|auto_generated|cent_unit_txpmadprioin [997],\pma_direct|auto_generated|cent_unit_txpmadprioin [996], +\pma_direct|auto_generated|cent_unit_txpmadprioin [995],\pma_direct|auto_generated|cent_unit_txpmadprioin [994],\pma_direct|auto_generated|cent_unit_txpmadprioin [993],\pma_direct|auto_generated|cent_unit_txpmadprioin [992],\pma_direct|auto_generated|cent_unit_txpmadprioin [991], +\pma_direct|auto_generated|cent_unit_txpmadprioin [990],\pma_direct|auto_generated|cent_unit_txpmadprioin [989],\pma_direct|auto_generated|cent_unit_txpmadprioin [988],\pma_direct|auto_generated|cent_unit_txpmadprioin [987],\pma_direct|auto_generated|cent_unit_txpmadprioin [986], +\pma_direct|auto_generated|cent_unit_txpmadprioin [985],\pma_direct|auto_generated|cent_unit_txpmadprioin [984],\pma_direct|auto_generated|cent_unit_txpmadprioin [983],\pma_direct|auto_generated|cent_unit_txpmadprioin [982],\pma_direct|auto_generated|cent_unit_txpmadprioin [981], +\pma_direct|auto_generated|cent_unit_txpmadprioin [980],\pma_direct|auto_generated|cent_unit_txpmadprioin [979],\pma_direct|auto_generated|cent_unit_txpmadprioin [978],\pma_direct|auto_generated|cent_unit_txpmadprioin [977],\pma_direct|auto_generated|cent_unit_txpmadprioin [976], +\pma_direct|auto_generated|cent_unit_txpmadprioin [975],\pma_direct|auto_generated|cent_unit_txpmadprioin [974],\pma_direct|auto_generated|cent_unit_txpmadprioin [973],\pma_direct|auto_generated|cent_unit_txpmadprioin [972],\pma_direct|auto_generated|cent_unit_txpmadprioin [971], +\pma_direct|auto_generated|cent_unit_txpmadprioin [970],\pma_direct|auto_generated|cent_unit_txpmadprioin [969],\pma_direct|auto_generated|cent_unit_txpmadprioin [968],\pma_direct|auto_generated|cent_unit_txpmadprioin [967],\pma_direct|auto_generated|cent_unit_txpmadprioin [966], +\pma_direct|auto_generated|cent_unit_txpmadprioin [965],\pma_direct|auto_generated|cent_unit_txpmadprioin [964],\pma_direct|auto_generated|cent_unit_txpmadprioin [963],\pma_direct|auto_generated|cent_unit_txpmadprioin [962],\pma_direct|auto_generated|cent_unit_txpmadprioin [961], +\pma_direct|auto_generated|cent_unit_txpmadprioin [960],\pma_direct|auto_generated|cent_unit_txpmadprioin [959],\pma_direct|auto_generated|cent_unit_txpmadprioin [958],\pma_direct|auto_generated|cent_unit_txpmadprioin [957],\pma_direct|auto_generated|cent_unit_txpmadprioin [956], +\pma_direct|auto_generated|cent_unit_txpmadprioin [955],\pma_direct|auto_generated|cent_unit_txpmadprioin [954],\pma_direct|auto_generated|cent_unit_txpmadprioin [953],\pma_direct|auto_generated|cent_unit_txpmadprioin [952],\pma_direct|auto_generated|cent_unit_txpmadprioin [951], +\pma_direct|auto_generated|cent_unit_txpmadprioin [950],\pma_direct|auto_generated|cent_unit_txpmadprioin [949],\pma_direct|auto_generated|cent_unit_txpmadprioin [948],\pma_direct|auto_generated|cent_unit_txpmadprioin [947],\pma_direct|auto_generated|cent_unit_txpmadprioin [946], +\pma_direct|auto_generated|cent_unit_txpmadprioin [945],\pma_direct|auto_generated|cent_unit_txpmadprioin [944],\pma_direct|auto_generated|cent_unit_txpmadprioin [943],\pma_direct|auto_generated|cent_unit_txpmadprioin [942],\pma_direct|auto_generated|cent_unit_txpmadprioin [941], +\pma_direct|auto_generated|cent_unit_txpmadprioin [940],\pma_direct|auto_generated|cent_unit_txpmadprioin [939],\pma_direct|auto_generated|cent_unit_txpmadprioin [938],\pma_direct|auto_generated|cent_unit_txpmadprioin [937],\pma_direct|auto_generated|cent_unit_txpmadprioin [936], +\pma_direct|auto_generated|cent_unit_txpmadprioin [935],\pma_direct|auto_generated|cent_unit_txpmadprioin [934],\pma_direct|auto_generated|cent_unit_txpmadprioin [933],\pma_direct|auto_generated|cent_unit_txpmadprioin [932],\pma_direct|auto_generated|cent_unit_txpmadprioin [931], +\pma_direct|auto_generated|cent_unit_txpmadprioin [930],\pma_direct|auto_generated|cent_unit_txpmadprioin [929],\pma_direct|auto_generated|cent_unit_txpmadprioin [928],\pma_direct|auto_generated|cent_unit_txpmadprioin [927],\pma_direct|auto_generated|cent_unit_txpmadprioin [926], +\pma_direct|auto_generated|cent_unit_txpmadprioin [925],\pma_direct|auto_generated|cent_unit_txpmadprioin [924],\pma_direct|auto_generated|cent_unit_txpmadprioin [923],\pma_direct|auto_generated|cent_unit_txpmadprioin [922],\pma_direct|auto_generated|cent_unit_txpmadprioin [921], +\pma_direct|auto_generated|cent_unit_txpmadprioin [920],\pma_direct|auto_generated|cent_unit_txpmadprioin [919],\pma_direct|auto_generated|cent_unit_txpmadprioin [918],\pma_direct|auto_generated|cent_unit_txpmadprioin [917],\pma_direct|auto_generated|cent_unit_txpmadprioin [916], +\pma_direct|auto_generated|cent_unit_txpmadprioin [915],\pma_direct|auto_generated|cent_unit_txpmadprioin [914],\pma_direct|auto_generated|cent_unit_txpmadprioin [913],\pma_direct|auto_generated|cent_unit_txpmadprioin [912],\pma_direct|auto_generated|cent_unit_txpmadprioin [911], +\pma_direct|auto_generated|cent_unit_txpmadprioin [910],\pma_direct|auto_generated|cent_unit_txpmadprioin [909],\pma_direct|auto_generated|cent_unit_txpmadprioin [908],\pma_direct|auto_generated|cent_unit_txpmadprioin [907],\pma_direct|auto_generated|cent_unit_txpmadprioin [906], +\pma_direct|auto_generated|cent_unit_txpmadprioin [905],\pma_direct|auto_generated|cent_unit_txpmadprioin [904],\pma_direct|auto_generated|cent_unit_txpmadprioin [903],\pma_direct|auto_generated|cent_unit_txpmadprioin [902],\pma_direct|auto_generated|cent_unit_txpmadprioin [901], +\pma_direct|auto_generated|cent_unit_txpmadprioin [900],\pma_direct|auto_generated|cent_unit_txpmadprioin [899],\pma_direct|auto_generated|cent_unit_txpmadprioin [898],\pma_direct|auto_generated|cent_unit_txpmadprioin [897],\pma_direct|auto_generated|cent_unit_txpmadprioin [896], +\pma_direct|auto_generated|cent_unit_txpmadprioin [895],\pma_direct|auto_generated|cent_unit_txpmadprioin [894],\pma_direct|auto_generated|cent_unit_txpmadprioin [893],\pma_direct|auto_generated|cent_unit_txpmadprioin [892],\pma_direct|auto_generated|cent_unit_txpmadprioin [891], +\pma_direct|auto_generated|cent_unit_txpmadprioin [890],\pma_direct|auto_generated|cent_unit_txpmadprioin [889],\pma_direct|auto_generated|cent_unit_txpmadprioin [888],\pma_direct|auto_generated|cent_unit_txpmadprioin [887],\pma_direct|auto_generated|cent_unit_txpmadprioin [886], +\pma_direct|auto_generated|cent_unit_txpmadprioin [885],\pma_direct|auto_generated|cent_unit_txpmadprioin [884],\pma_direct|auto_generated|cent_unit_txpmadprioin [883],\pma_direct|auto_generated|cent_unit_txpmadprioin [882],\pma_direct|auto_generated|cent_unit_txpmadprioin [881], +\pma_direct|auto_generated|cent_unit_txpmadprioin [880],\pma_direct|auto_generated|cent_unit_txpmadprioin [879],\pma_direct|auto_generated|cent_unit_txpmadprioin [878],\pma_direct|auto_generated|cent_unit_txpmadprioin [877],\pma_direct|auto_generated|cent_unit_txpmadprioin [876], +\pma_direct|auto_generated|cent_unit_txpmadprioin [875],\pma_direct|auto_generated|cent_unit_txpmadprioin [874],\pma_direct|auto_generated|cent_unit_txpmadprioin [873],\pma_direct|auto_generated|cent_unit_txpmadprioin [872],\pma_direct|auto_generated|cent_unit_txpmadprioin [871], +\pma_direct|auto_generated|cent_unit_txpmadprioin [870],\pma_direct|auto_generated|cent_unit_txpmadprioin [869],\pma_direct|auto_generated|cent_unit_txpmadprioin [868],\pma_direct|auto_generated|cent_unit_txpmadprioin [867],\pma_direct|auto_generated|cent_unit_txpmadprioin [866], +\pma_direct|auto_generated|cent_unit_txpmadprioin [865],\pma_direct|auto_generated|cent_unit_txpmadprioin [864],\pma_direct|auto_generated|cent_unit_txpmadprioin [863],\pma_direct|auto_generated|cent_unit_txpmadprioin [862],\pma_direct|auto_generated|cent_unit_txpmadprioin [861], +\pma_direct|auto_generated|cent_unit_txpmadprioin [860],\pma_direct|auto_generated|cent_unit_txpmadprioin [859],\pma_direct|auto_generated|cent_unit_txpmadprioin [858],\pma_direct|auto_generated|cent_unit_txpmadprioin [857],\pma_direct|auto_generated|cent_unit_txpmadprioin [856], +\pma_direct|auto_generated|cent_unit_txpmadprioin [855],\pma_direct|auto_generated|cent_unit_txpmadprioin [854],\pma_direct|auto_generated|cent_unit_txpmadprioin [853],\pma_direct|auto_generated|cent_unit_txpmadprioin [852],\pma_direct|auto_generated|cent_unit_txpmadprioin [851], +\pma_direct|auto_generated|cent_unit_txpmadprioin [850],\pma_direct|auto_generated|cent_unit_txpmadprioin [849],\pma_direct|auto_generated|cent_unit_txpmadprioin [848],\pma_direct|auto_generated|cent_unit_txpmadprioin [847],\pma_direct|auto_generated|cent_unit_txpmadprioin [846], +\pma_direct|auto_generated|cent_unit_txpmadprioin [845],\pma_direct|auto_generated|cent_unit_txpmadprioin [844],\pma_direct|auto_generated|cent_unit_txpmadprioin [843],\pma_direct|auto_generated|cent_unit_txpmadprioin [842],\pma_direct|auto_generated|cent_unit_txpmadprioin [841], +\pma_direct|auto_generated|cent_unit_txpmadprioin [840],\pma_direct|auto_generated|cent_unit_txpmadprioin [839],\pma_direct|auto_generated|cent_unit_txpmadprioin [838],\pma_direct|auto_generated|cent_unit_txpmadprioin [837],\pma_direct|auto_generated|cent_unit_txpmadprioin [836], +\pma_direct|auto_generated|cent_unit_txpmadprioin [835],\pma_direct|auto_generated|cent_unit_txpmadprioin [834],\pma_direct|auto_generated|cent_unit_txpmadprioin [833],\pma_direct|auto_generated|cent_unit_txpmadprioin [832],\pma_direct|auto_generated|cent_unit_txpmadprioin [831], +\pma_direct|auto_generated|cent_unit_txpmadprioin [830],\pma_direct|auto_generated|cent_unit_txpmadprioin [829],\pma_direct|auto_generated|cent_unit_txpmadprioin [828],\pma_direct|auto_generated|cent_unit_txpmadprioin [827],\pma_direct|auto_generated|cent_unit_txpmadprioin [826], +\pma_direct|auto_generated|cent_unit_txpmadprioin [825],\pma_direct|auto_generated|cent_unit_txpmadprioin [824],\pma_direct|auto_generated|cent_unit_txpmadprioin [823],\pma_direct|auto_generated|cent_unit_txpmadprioin [822],\pma_direct|auto_generated|cent_unit_txpmadprioin [821], +\pma_direct|auto_generated|cent_unit_txpmadprioin [820],\pma_direct|auto_generated|cent_unit_txpmadprioin [819],\pma_direct|auto_generated|cent_unit_txpmadprioin [818],\pma_direct|auto_generated|cent_unit_txpmadprioin [817],\pma_direct|auto_generated|cent_unit_txpmadprioin [816], +\pma_direct|auto_generated|cent_unit_txpmadprioin [815],\pma_direct|auto_generated|cent_unit_txpmadprioin [814],\pma_direct|auto_generated|cent_unit_txpmadprioin [813],\pma_direct|auto_generated|cent_unit_txpmadprioin [812],\pma_direct|auto_generated|cent_unit_txpmadprioin [811], +\pma_direct|auto_generated|cent_unit_txpmadprioin [810],\pma_direct|auto_generated|cent_unit_txpmadprioin [809],\pma_direct|auto_generated|cent_unit_txpmadprioin [808],\pma_direct|auto_generated|cent_unit_txpmadprioin [807],\pma_direct|auto_generated|cent_unit_txpmadprioin [806], +\pma_direct|auto_generated|cent_unit_txpmadprioin [805],\pma_direct|auto_generated|cent_unit_txpmadprioin [804],\pma_direct|auto_generated|cent_unit_txpmadprioin [803],\pma_direct|auto_generated|cent_unit_txpmadprioin [802],\pma_direct|auto_generated|cent_unit_txpmadprioin [801], +\pma_direct|auto_generated|cent_unit_txpmadprioin [800],\pma_direct|auto_generated|cent_unit_txpmadprioin [799],\pma_direct|auto_generated|cent_unit_txpmadprioin [798],\pma_direct|auto_generated|cent_unit_txpmadprioin [797],\pma_direct|auto_generated|cent_unit_txpmadprioin [796], +\pma_direct|auto_generated|cent_unit_txpmadprioin [795],\pma_direct|auto_generated|cent_unit_txpmadprioin [794],\pma_direct|auto_generated|cent_unit_txpmadprioin [793],\pma_direct|auto_generated|cent_unit_txpmadprioin [792],\pma_direct|auto_generated|cent_unit_txpmadprioin [791], +\pma_direct|auto_generated|cent_unit_txpmadprioin [790],\pma_direct|auto_generated|cent_unit_txpmadprioin [789],\pma_direct|auto_generated|cent_unit_txpmadprioin [788],\pma_direct|auto_generated|cent_unit_txpmadprioin [787],\pma_direct|auto_generated|cent_unit_txpmadprioin [786], +\pma_direct|auto_generated|cent_unit_txpmadprioin [785],\pma_direct|auto_generated|cent_unit_txpmadprioin [784],\pma_direct|auto_generated|cent_unit_txpmadprioin [783],\pma_direct|auto_generated|cent_unit_txpmadprioin [782],\pma_direct|auto_generated|cent_unit_txpmadprioin [781], +\pma_direct|auto_generated|cent_unit_txpmadprioin [780],\pma_direct|auto_generated|cent_unit_txpmadprioin [779],\pma_direct|auto_generated|cent_unit_txpmadprioin [778],\pma_direct|auto_generated|cent_unit_txpmadprioin [777],\pma_direct|auto_generated|cent_unit_txpmadprioin [776], +\pma_direct|auto_generated|cent_unit_txpmadprioin [775],\pma_direct|auto_generated|cent_unit_txpmadprioin [774],\pma_direct|auto_generated|cent_unit_txpmadprioin [773],\pma_direct|auto_generated|cent_unit_txpmadprioin [772],\pma_direct|auto_generated|cent_unit_txpmadprioin [771], +\pma_direct|auto_generated|cent_unit_txpmadprioin [770],\pma_direct|auto_generated|cent_unit_txpmadprioin [769],\pma_direct|auto_generated|cent_unit_txpmadprioin [768],\pma_direct|auto_generated|cent_unit_txpmadprioin [767],\pma_direct|auto_generated|cent_unit_txpmadprioin [766], +\pma_direct|auto_generated|cent_unit_txpmadprioin [765],\pma_direct|auto_generated|cent_unit_txpmadprioin [764],\pma_direct|auto_generated|cent_unit_txpmadprioin [763],\pma_direct|auto_generated|cent_unit_txpmadprioin [762],\pma_direct|auto_generated|cent_unit_txpmadprioin [761], +\pma_direct|auto_generated|cent_unit_txpmadprioin [760],\pma_direct|auto_generated|cent_unit_txpmadprioin [759],\pma_direct|auto_generated|cent_unit_txpmadprioin [758],\pma_direct|auto_generated|cent_unit_txpmadprioin [757],\pma_direct|auto_generated|cent_unit_txpmadprioin [756], +\pma_direct|auto_generated|cent_unit_txpmadprioin [755],\pma_direct|auto_generated|cent_unit_txpmadprioin [754],\pma_direct|auto_generated|cent_unit_txpmadprioin [753],\pma_direct|auto_generated|cent_unit_txpmadprioin [752],\pma_direct|auto_generated|cent_unit_txpmadprioin [751], +\pma_direct|auto_generated|cent_unit_txpmadprioin [750],\pma_direct|auto_generated|cent_unit_txpmadprioin [749],\pma_direct|auto_generated|cent_unit_txpmadprioin [748],\pma_direct|auto_generated|cent_unit_txpmadprioin [747],\pma_direct|auto_generated|cent_unit_txpmadprioin [746], +\pma_direct|auto_generated|cent_unit_txpmadprioin [745],\pma_direct|auto_generated|cent_unit_txpmadprioin [744],\pma_direct|auto_generated|cent_unit_txpmadprioin [743],\pma_direct|auto_generated|cent_unit_txpmadprioin [742],\pma_direct|auto_generated|cent_unit_txpmadprioin [741], +\pma_direct|auto_generated|cent_unit_txpmadprioin [740],\pma_direct|auto_generated|cent_unit_txpmadprioin [739],\pma_direct|auto_generated|cent_unit_txpmadprioin [738],\pma_direct|auto_generated|cent_unit_txpmadprioin [737],\pma_direct|auto_generated|cent_unit_txpmadprioin [736], +\pma_direct|auto_generated|cent_unit_txpmadprioin [735],\pma_direct|auto_generated|cent_unit_txpmadprioin [734],\pma_direct|auto_generated|cent_unit_txpmadprioin [733],\pma_direct|auto_generated|cent_unit_txpmadprioin [732],\pma_direct|auto_generated|cent_unit_txpmadprioin [731], +\pma_direct|auto_generated|cent_unit_txpmadprioin [730],\pma_direct|auto_generated|cent_unit_txpmadprioin [729],\pma_direct|auto_generated|cent_unit_txpmadprioin [728],\pma_direct|auto_generated|cent_unit_txpmadprioin [727],\pma_direct|auto_generated|cent_unit_txpmadprioin [726], +\pma_direct|auto_generated|cent_unit_txpmadprioin [725],\pma_direct|auto_generated|cent_unit_txpmadprioin [724],\pma_direct|auto_generated|cent_unit_txpmadprioin [723],\pma_direct|auto_generated|cent_unit_txpmadprioin [722],\pma_direct|auto_generated|cent_unit_txpmadprioin [721], +\pma_direct|auto_generated|cent_unit_txpmadprioin [720],\pma_direct|auto_generated|cent_unit_txpmadprioin [719],\pma_direct|auto_generated|cent_unit_txpmadprioin [718],\pma_direct|auto_generated|cent_unit_txpmadprioin [717],\pma_direct|auto_generated|cent_unit_txpmadprioin [716], +\pma_direct|auto_generated|cent_unit_txpmadprioin [715],\pma_direct|auto_generated|cent_unit_txpmadprioin [714],\pma_direct|auto_generated|cent_unit_txpmadprioin [713],\pma_direct|auto_generated|cent_unit_txpmadprioin [712],\pma_direct|auto_generated|cent_unit_txpmadprioin [711], +\pma_direct|auto_generated|cent_unit_txpmadprioin [710],\pma_direct|auto_generated|cent_unit_txpmadprioin [709],\pma_direct|auto_generated|cent_unit_txpmadprioin [708],\pma_direct|auto_generated|cent_unit_txpmadprioin [707],\pma_direct|auto_generated|cent_unit_txpmadprioin [706], +\pma_direct|auto_generated|cent_unit_txpmadprioin [705],\pma_direct|auto_generated|cent_unit_txpmadprioin [704],\pma_direct|auto_generated|cent_unit_txpmadprioin [703],\pma_direct|auto_generated|cent_unit_txpmadprioin [702],\pma_direct|auto_generated|cent_unit_txpmadprioin [701], +\pma_direct|auto_generated|cent_unit_txpmadprioin [700],\pma_direct|auto_generated|cent_unit_txpmadprioin [699],\pma_direct|auto_generated|cent_unit_txpmadprioin [698],\pma_direct|auto_generated|cent_unit_txpmadprioin [697],\pma_direct|auto_generated|cent_unit_txpmadprioin [696], +\pma_direct|auto_generated|cent_unit_txpmadprioin [695],\pma_direct|auto_generated|cent_unit_txpmadprioin [694],\pma_direct|auto_generated|cent_unit_txpmadprioin [693],\pma_direct|auto_generated|cent_unit_txpmadprioin [692],\pma_direct|auto_generated|cent_unit_txpmadprioin [691], +\pma_direct|auto_generated|cent_unit_txpmadprioin [690],\pma_direct|auto_generated|cent_unit_txpmadprioin [689],\pma_direct|auto_generated|cent_unit_txpmadprioin [688],\pma_direct|auto_generated|cent_unit_txpmadprioin [687],\pma_direct|auto_generated|cent_unit_txpmadprioin [686], +\pma_direct|auto_generated|cent_unit_txpmadprioin [685],\pma_direct|auto_generated|cent_unit_txpmadprioin [684],\pma_direct|auto_generated|cent_unit_txpmadprioin [683],\pma_direct|auto_generated|cent_unit_txpmadprioin [682],\pma_direct|auto_generated|cent_unit_txpmadprioin [681], +\pma_direct|auto_generated|cent_unit_txpmadprioin [680],\pma_direct|auto_generated|cent_unit_txpmadprioin [679],\pma_direct|auto_generated|cent_unit_txpmadprioin [678],\pma_direct|auto_generated|cent_unit_txpmadprioin [677],\pma_direct|auto_generated|cent_unit_txpmadprioin [676], +\pma_direct|auto_generated|cent_unit_txpmadprioin [675],\pma_direct|auto_generated|cent_unit_txpmadprioin [674],\pma_direct|auto_generated|cent_unit_txpmadprioin [673],\pma_direct|auto_generated|cent_unit_txpmadprioin [672],\pma_direct|auto_generated|cent_unit_txpmadprioin [671], +\pma_direct|auto_generated|cent_unit_txpmadprioin [670],\pma_direct|auto_generated|cent_unit_txpmadprioin [669],\pma_direct|auto_generated|cent_unit_txpmadprioin [668],\pma_direct|auto_generated|cent_unit_txpmadprioin [667],\pma_direct|auto_generated|cent_unit_txpmadprioin [666], +\pma_direct|auto_generated|cent_unit_txpmadprioin [665],\pma_direct|auto_generated|cent_unit_txpmadprioin [664],\pma_direct|auto_generated|cent_unit_txpmadprioin [663],\pma_direct|auto_generated|cent_unit_txpmadprioin [662],\pma_direct|auto_generated|cent_unit_txpmadprioin [661], +\pma_direct|auto_generated|cent_unit_txpmadprioin [660],\pma_direct|auto_generated|cent_unit_txpmadprioin [659],\pma_direct|auto_generated|cent_unit_txpmadprioin [658],\pma_direct|auto_generated|cent_unit_txpmadprioin [657],\pma_direct|auto_generated|cent_unit_txpmadprioin [656], +\pma_direct|auto_generated|cent_unit_txpmadprioin [655],\pma_direct|auto_generated|cent_unit_txpmadprioin [654],\pma_direct|auto_generated|cent_unit_txpmadprioin [653],\pma_direct|auto_generated|cent_unit_txpmadprioin [652],\pma_direct|auto_generated|cent_unit_txpmadprioin [651], +\pma_direct|auto_generated|cent_unit_txpmadprioin [650],\pma_direct|auto_generated|cent_unit_txpmadprioin [649],\pma_direct|auto_generated|cent_unit_txpmadprioin [648],\pma_direct|auto_generated|cent_unit_txpmadprioin [647],\pma_direct|auto_generated|cent_unit_txpmadprioin [646], +\pma_direct|auto_generated|cent_unit_txpmadprioin [645],\pma_direct|auto_generated|cent_unit_txpmadprioin [644],\pma_direct|auto_generated|cent_unit_txpmadprioin [643],\pma_direct|auto_generated|cent_unit_txpmadprioin [642],\pma_direct|auto_generated|cent_unit_txpmadprioin [641], +\pma_direct|auto_generated|cent_unit_txpmadprioin [640],\pma_direct|auto_generated|cent_unit_txpmadprioin [639],\pma_direct|auto_generated|cent_unit_txpmadprioin [638],\pma_direct|auto_generated|cent_unit_txpmadprioin [637],\pma_direct|auto_generated|cent_unit_txpmadprioin [636], +\pma_direct|auto_generated|cent_unit_txpmadprioin [635],\pma_direct|auto_generated|cent_unit_txpmadprioin [634],\pma_direct|auto_generated|cent_unit_txpmadprioin [633],\pma_direct|auto_generated|cent_unit_txpmadprioin [632],\pma_direct|auto_generated|cent_unit_txpmadprioin [631], +\pma_direct|auto_generated|cent_unit_txpmadprioin [630],\pma_direct|auto_generated|cent_unit_txpmadprioin [629],\pma_direct|auto_generated|cent_unit_txpmadprioin [628],\pma_direct|auto_generated|cent_unit_txpmadprioin [627],\pma_direct|auto_generated|cent_unit_txpmadprioin [626], +\pma_direct|auto_generated|cent_unit_txpmadprioin [625],\pma_direct|auto_generated|cent_unit_txpmadprioin [624],\pma_direct|auto_generated|cent_unit_txpmadprioin [623],\pma_direct|auto_generated|cent_unit_txpmadprioin [622],\pma_direct|auto_generated|cent_unit_txpmadprioin [621], +\pma_direct|auto_generated|cent_unit_txpmadprioin [620],\pma_direct|auto_generated|cent_unit_txpmadprioin [619],\pma_direct|auto_generated|cent_unit_txpmadprioin [618],\pma_direct|auto_generated|cent_unit_txpmadprioin [617],\pma_direct|auto_generated|cent_unit_txpmadprioin [616], +\pma_direct|auto_generated|cent_unit_txpmadprioin [615],\pma_direct|auto_generated|cent_unit_txpmadprioin [614],\pma_direct|auto_generated|cent_unit_txpmadprioin [613],\pma_direct|auto_generated|cent_unit_txpmadprioin [612],\pma_direct|auto_generated|cent_unit_txpmadprioin [611], +\pma_direct|auto_generated|cent_unit_txpmadprioin [610],\pma_direct|auto_generated|cent_unit_txpmadprioin [609],\pma_direct|auto_generated|cent_unit_txpmadprioin [608],\pma_direct|auto_generated|cent_unit_txpmadprioin [607],\pma_direct|auto_generated|cent_unit_txpmadprioin [606], +\pma_direct|auto_generated|cent_unit_txpmadprioin [605],\pma_direct|auto_generated|cent_unit_txpmadprioin [604],\pma_direct|auto_generated|cent_unit_txpmadprioin [603],\pma_direct|auto_generated|cent_unit_txpmadprioin [602],\pma_direct|auto_generated|cent_unit_txpmadprioin [601], +\pma_direct|auto_generated|cent_unit_txpmadprioin [600],\pma_direct|auto_generated|cent_unit_txpmadprioin [599],\pma_direct|auto_generated|cent_unit_txpmadprioin [598],\pma_direct|auto_generated|cent_unit_txpmadprioin [597],\pma_direct|auto_generated|cent_unit_txpmadprioin [596], +\pma_direct|auto_generated|cent_unit_txpmadprioin [595],\pma_direct|auto_generated|cent_unit_txpmadprioin [594],\pma_direct|auto_generated|cent_unit_txpmadprioin [593],\pma_direct|auto_generated|cent_unit_txpmadprioin [592],\pma_direct|auto_generated|cent_unit_txpmadprioin [591], +\pma_direct|auto_generated|cent_unit_txpmadprioin [590],\pma_direct|auto_generated|cent_unit_txpmadprioin [589],\pma_direct|auto_generated|cent_unit_txpmadprioin [588],\pma_direct|auto_generated|cent_unit_txpmadprioin [587],\pma_direct|auto_generated|cent_unit_txpmadprioin [586], +\pma_direct|auto_generated|cent_unit_txpmadprioin [585],\pma_direct|auto_generated|cent_unit_txpmadprioin [584],\pma_direct|auto_generated|cent_unit_txpmadprioin [583],\pma_direct|auto_generated|cent_unit_txpmadprioin [582],\pma_direct|auto_generated|cent_unit_txpmadprioin [581], +\pma_direct|auto_generated|cent_unit_txpmadprioin [580],\pma_direct|auto_generated|cent_unit_txpmadprioin [579],\pma_direct|auto_generated|cent_unit_txpmadprioin [578],\pma_direct|auto_generated|cent_unit_txpmadprioin [577],\pma_direct|auto_generated|cent_unit_txpmadprioin [576], +\pma_direct|auto_generated|cent_unit_txpmadprioin [575],\pma_direct|auto_generated|cent_unit_txpmadprioin [574],\pma_direct|auto_generated|cent_unit_txpmadprioin [573],\pma_direct|auto_generated|cent_unit_txpmadprioin [572],\pma_direct|auto_generated|cent_unit_txpmadprioin [571], +\pma_direct|auto_generated|cent_unit_txpmadprioin [570],\pma_direct|auto_generated|cent_unit_txpmadprioin [569],\pma_direct|auto_generated|cent_unit_txpmadprioin [568],\pma_direct|auto_generated|cent_unit_txpmadprioin [567],\pma_direct|auto_generated|cent_unit_txpmadprioin [566], +\pma_direct|auto_generated|cent_unit_txpmadprioin [565],\pma_direct|auto_generated|cent_unit_txpmadprioin [564],\pma_direct|auto_generated|cent_unit_txpmadprioin [563],\pma_direct|auto_generated|cent_unit_txpmadprioin [562],\pma_direct|auto_generated|cent_unit_txpmadprioin [561], +\pma_direct|auto_generated|cent_unit_txpmadprioin [560],\pma_direct|auto_generated|cent_unit_txpmadprioin [559],\pma_direct|auto_generated|cent_unit_txpmadprioin [558],\pma_direct|auto_generated|cent_unit_txpmadprioin [557],\pma_direct|auto_generated|cent_unit_txpmadprioin [556], +\pma_direct|auto_generated|cent_unit_txpmadprioin [555],\pma_direct|auto_generated|cent_unit_txpmadprioin [554],\pma_direct|auto_generated|cent_unit_txpmadprioin [553],\pma_direct|auto_generated|cent_unit_txpmadprioin [552],\pma_direct|auto_generated|cent_unit_txpmadprioin [551], +\pma_direct|auto_generated|cent_unit_txpmadprioin [550],\pma_direct|auto_generated|cent_unit_txpmadprioin [549],\pma_direct|auto_generated|cent_unit_txpmadprioin [548],\pma_direct|auto_generated|cent_unit_txpmadprioin [547],\pma_direct|auto_generated|cent_unit_txpmadprioin [546], +\pma_direct|auto_generated|cent_unit_txpmadprioin [545],\pma_direct|auto_generated|cent_unit_txpmadprioin [544],\pma_direct|auto_generated|cent_unit_txpmadprioin [543],\pma_direct|auto_generated|cent_unit_txpmadprioin [542],\pma_direct|auto_generated|cent_unit_txpmadprioin [541], +\pma_direct|auto_generated|cent_unit_txpmadprioin [540],\pma_direct|auto_generated|cent_unit_txpmadprioin [539],\pma_direct|auto_generated|cent_unit_txpmadprioin [538],\pma_direct|auto_generated|cent_unit_txpmadprioin [537],\pma_direct|auto_generated|cent_unit_txpmadprioin [536], +\pma_direct|auto_generated|cent_unit_txpmadprioin [535],\pma_direct|auto_generated|cent_unit_txpmadprioin [534],\pma_direct|auto_generated|cent_unit_txpmadprioin [533],\pma_direct|auto_generated|cent_unit_txpmadprioin [532],\pma_direct|auto_generated|cent_unit_txpmadprioin [531], +\pma_direct|auto_generated|cent_unit_txpmadprioin [530],\pma_direct|auto_generated|cent_unit_txpmadprioin [529],\pma_direct|auto_generated|cent_unit_txpmadprioin [528],\pma_direct|auto_generated|cent_unit_txpmadprioin [527],\pma_direct|auto_generated|cent_unit_txpmadprioin [526], +\pma_direct|auto_generated|cent_unit_txpmadprioin [525],\pma_direct|auto_generated|cent_unit_txpmadprioin [524],\pma_direct|auto_generated|cent_unit_txpmadprioin [523],\pma_direct|auto_generated|cent_unit_txpmadprioin [522],\pma_direct|auto_generated|cent_unit_txpmadprioin [521], +\pma_direct|auto_generated|cent_unit_txpmadprioin [520],\pma_direct|auto_generated|cent_unit_txpmadprioin [519],\pma_direct|auto_generated|cent_unit_txpmadprioin [518],\pma_direct|auto_generated|cent_unit_txpmadprioin [517],\pma_direct|auto_generated|cent_unit_txpmadprioin [516], +\pma_direct|auto_generated|cent_unit_txpmadprioin [515],\pma_direct|auto_generated|cent_unit_txpmadprioin [514],\pma_direct|auto_generated|cent_unit_txpmadprioin [513],\pma_direct|auto_generated|cent_unit_txpmadprioin [512],\pma_direct|auto_generated|cent_unit_txpmadprioin [511], +\pma_direct|auto_generated|cent_unit_txpmadprioin [510],\pma_direct|auto_generated|cent_unit_txpmadprioin [509],\pma_direct|auto_generated|cent_unit_txpmadprioin [508],\pma_direct|auto_generated|cent_unit_txpmadprioin [507],\pma_direct|auto_generated|cent_unit_txpmadprioin [506], +\pma_direct|auto_generated|cent_unit_txpmadprioin [505],\pma_direct|auto_generated|cent_unit_txpmadprioin [504],\pma_direct|auto_generated|cent_unit_txpmadprioin [503],\pma_direct|auto_generated|cent_unit_txpmadprioin [502],\pma_direct|auto_generated|cent_unit_txpmadprioin [501], +\pma_direct|auto_generated|cent_unit_txpmadprioin [500],\pma_direct|auto_generated|cent_unit_txpmadprioin [499],\pma_direct|auto_generated|cent_unit_txpmadprioin [498],\pma_direct|auto_generated|cent_unit_txpmadprioin [497],\pma_direct|auto_generated|cent_unit_txpmadprioin [496], +\pma_direct|auto_generated|cent_unit_txpmadprioin [495],\pma_direct|auto_generated|cent_unit_txpmadprioin [494],\pma_direct|auto_generated|cent_unit_txpmadprioin [493],\pma_direct|auto_generated|cent_unit_txpmadprioin [492],\pma_direct|auto_generated|cent_unit_txpmadprioin [491], +\pma_direct|auto_generated|cent_unit_txpmadprioin [490],\pma_direct|auto_generated|cent_unit_txpmadprioin [489],\pma_direct|auto_generated|cent_unit_txpmadprioin [488],\pma_direct|auto_generated|cent_unit_txpmadprioin [487],\pma_direct|auto_generated|cent_unit_txpmadprioin [486], +\pma_direct|auto_generated|cent_unit_txpmadprioin [485],\pma_direct|auto_generated|cent_unit_txpmadprioin [484],\pma_direct|auto_generated|cent_unit_txpmadprioin [483],\pma_direct|auto_generated|cent_unit_txpmadprioin [482],\pma_direct|auto_generated|cent_unit_txpmadprioin [481], +\pma_direct|auto_generated|cent_unit_txpmadprioin [480],\pma_direct|auto_generated|cent_unit_txpmadprioin [479],\pma_direct|auto_generated|cent_unit_txpmadprioin [478],\pma_direct|auto_generated|cent_unit_txpmadprioin [477],\pma_direct|auto_generated|cent_unit_txpmadprioin [476], +\pma_direct|auto_generated|cent_unit_txpmadprioin [475],\pma_direct|auto_generated|cent_unit_txpmadprioin [474],\pma_direct|auto_generated|cent_unit_txpmadprioin [473],\pma_direct|auto_generated|cent_unit_txpmadprioin [472],\pma_direct|auto_generated|cent_unit_txpmadprioin [471], +\pma_direct|auto_generated|cent_unit_txpmadprioin [470],\pma_direct|auto_generated|cent_unit_txpmadprioin [469],\pma_direct|auto_generated|cent_unit_txpmadprioin [468],\pma_direct|auto_generated|cent_unit_txpmadprioin [467],\pma_direct|auto_generated|cent_unit_txpmadprioin [466], +\pma_direct|auto_generated|cent_unit_txpmadprioin [465],\pma_direct|auto_generated|cent_unit_txpmadprioin [464],\pma_direct|auto_generated|cent_unit_txpmadprioin [463],\pma_direct|auto_generated|cent_unit_txpmadprioin [462],\pma_direct|auto_generated|cent_unit_txpmadprioin [461], +\pma_direct|auto_generated|cent_unit_txpmadprioin [460],\pma_direct|auto_generated|cent_unit_txpmadprioin [459],\pma_direct|auto_generated|cent_unit_txpmadprioin [458],\pma_direct|auto_generated|cent_unit_txpmadprioin [457],\pma_direct|auto_generated|cent_unit_txpmadprioin [456], +\pma_direct|auto_generated|cent_unit_txpmadprioin [455],\pma_direct|auto_generated|cent_unit_txpmadprioin [454],\pma_direct|auto_generated|cent_unit_txpmadprioin [453],\pma_direct|auto_generated|cent_unit_txpmadprioin [452],\pma_direct|auto_generated|cent_unit_txpmadprioin [451], +\pma_direct|auto_generated|cent_unit_txpmadprioin [450],\pma_direct|auto_generated|cent_unit_txpmadprioin [449],\pma_direct|auto_generated|cent_unit_txpmadprioin [448],\pma_direct|auto_generated|cent_unit_txpmadprioin [447],\pma_direct|auto_generated|cent_unit_txpmadprioin [446], +\pma_direct|auto_generated|cent_unit_txpmadprioin [445],\pma_direct|auto_generated|cent_unit_txpmadprioin [444],\pma_direct|auto_generated|cent_unit_txpmadprioin [443],\pma_direct|auto_generated|cent_unit_txpmadprioin [442],\pma_direct|auto_generated|cent_unit_txpmadprioin [441], +\pma_direct|auto_generated|cent_unit_txpmadprioin [440],\pma_direct|auto_generated|cent_unit_txpmadprioin [439],\pma_direct|auto_generated|cent_unit_txpmadprioin [438],\pma_direct|auto_generated|cent_unit_txpmadprioin [437],\pma_direct|auto_generated|cent_unit_txpmadprioin [436], +\pma_direct|auto_generated|cent_unit_txpmadprioin [435],\pma_direct|auto_generated|cent_unit_txpmadprioin [434],\pma_direct|auto_generated|cent_unit_txpmadprioin [433],\pma_direct|auto_generated|cent_unit_txpmadprioin [432],\pma_direct|auto_generated|cent_unit_txpmadprioin [431], +\pma_direct|auto_generated|cent_unit_txpmadprioin [430],\pma_direct|auto_generated|cent_unit_txpmadprioin [429],\pma_direct|auto_generated|cent_unit_txpmadprioin [428],\pma_direct|auto_generated|cent_unit_txpmadprioin [427],\pma_direct|auto_generated|cent_unit_txpmadprioin [426], +\pma_direct|auto_generated|cent_unit_txpmadprioin [425],\pma_direct|auto_generated|cent_unit_txpmadprioin [424],\pma_direct|auto_generated|cent_unit_txpmadprioin [423],\pma_direct|auto_generated|cent_unit_txpmadprioin [422],\pma_direct|auto_generated|cent_unit_txpmadprioin [421], +\pma_direct|auto_generated|cent_unit_txpmadprioin [420],\pma_direct|auto_generated|cent_unit_txpmadprioin [419],\pma_direct|auto_generated|cent_unit_txpmadprioin [418],\pma_direct|auto_generated|cent_unit_txpmadprioin [417],\pma_direct|auto_generated|cent_unit_txpmadprioin [416], +\pma_direct|auto_generated|cent_unit_txpmadprioin [415],\pma_direct|auto_generated|cent_unit_txpmadprioin [414],\pma_direct|auto_generated|cent_unit_txpmadprioin [413],\pma_direct|auto_generated|cent_unit_txpmadprioin [412],\pma_direct|auto_generated|cent_unit_txpmadprioin [411], +\pma_direct|auto_generated|cent_unit_txpmadprioin [410],\pma_direct|auto_generated|cent_unit_txpmadprioin [409],\pma_direct|auto_generated|cent_unit_txpmadprioin [408],\pma_direct|auto_generated|cent_unit_txpmadprioin [407],\pma_direct|auto_generated|cent_unit_txpmadprioin [406], +\pma_direct|auto_generated|cent_unit_txpmadprioin [405],\pma_direct|auto_generated|cent_unit_txpmadprioin [404],\pma_direct|auto_generated|cent_unit_txpmadprioin [403],\pma_direct|auto_generated|cent_unit_txpmadprioin [402],\pma_direct|auto_generated|cent_unit_txpmadprioin [401], +\pma_direct|auto_generated|cent_unit_txpmadprioin [400],\pma_direct|auto_generated|cent_unit_txpmadprioin [399],\pma_direct|auto_generated|cent_unit_txpmadprioin [398],\pma_direct|auto_generated|cent_unit_txpmadprioin [397],\pma_direct|auto_generated|cent_unit_txpmadprioin [396], +\pma_direct|auto_generated|cent_unit_txpmadprioin [395],\pma_direct|auto_generated|cent_unit_txpmadprioin [394],\pma_direct|auto_generated|cent_unit_txpmadprioin [393],\pma_direct|auto_generated|cent_unit_txpmadprioin [392],\pma_direct|auto_generated|cent_unit_txpmadprioin [391], +\pma_direct|auto_generated|cent_unit_txpmadprioin [390],\pma_direct|auto_generated|cent_unit_txpmadprioin [389],\pma_direct|auto_generated|cent_unit_txpmadprioin [388],\pma_direct|auto_generated|cent_unit_txpmadprioin [387],\pma_direct|auto_generated|cent_unit_txpmadprioin [386], +\pma_direct|auto_generated|cent_unit_txpmadprioin [385],\pma_direct|auto_generated|cent_unit_txpmadprioin [384],\pma_direct|auto_generated|cent_unit_txpmadprioin [383],\pma_direct|auto_generated|cent_unit_txpmadprioin [382],\pma_direct|auto_generated|cent_unit_txpmadprioin [381], +\pma_direct|auto_generated|cent_unit_txpmadprioin [380],\pma_direct|auto_generated|cent_unit_txpmadprioin [379],\pma_direct|auto_generated|cent_unit_txpmadprioin [378],\pma_direct|auto_generated|cent_unit_txpmadprioin [377],\pma_direct|auto_generated|cent_unit_txpmadprioin [376], +\pma_direct|auto_generated|cent_unit_txpmadprioin [375],\pma_direct|auto_generated|cent_unit_txpmadprioin [374],\pma_direct|auto_generated|cent_unit_txpmadprioin [373],\pma_direct|auto_generated|cent_unit_txpmadprioin [372],\pma_direct|auto_generated|cent_unit_txpmadprioin [371], +\pma_direct|auto_generated|cent_unit_txpmadprioin [370],\pma_direct|auto_generated|cent_unit_txpmadprioin [369],\pma_direct|auto_generated|cent_unit_txpmadprioin [368],\pma_direct|auto_generated|cent_unit_txpmadprioin [367],\pma_direct|auto_generated|cent_unit_txpmadprioin [366], +\pma_direct|auto_generated|cent_unit_txpmadprioin [365],\pma_direct|auto_generated|cent_unit_txpmadprioin [364],\pma_direct|auto_generated|cent_unit_txpmadprioin [363],\pma_direct|auto_generated|cent_unit_txpmadprioin [362],\pma_direct|auto_generated|cent_unit_txpmadprioin [361], +\pma_direct|auto_generated|cent_unit_txpmadprioin [360],\pma_direct|auto_generated|cent_unit_txpmadprioin [359],\pma_direct|auto_generated|cent_unit_txpmadprioin [358],\pma_direct|auto_generated|cent_unit_txpmadprioin [357],\pma_direct|auto_generated|cent_unit_txpmadprioin [356], +\pma_direct|auto_generated|cent_unit_txpmadprioin [355],\pma_direct|auto_generated|cent_unit_txpmadprioin [354],\pma_direct|auto_generated|cent_unit_txpmadprioin [353],\pma_direct|auto_generated|cent_unit_txpmadprioin [352],\pma_direct|auto_generated|cent_unit_txpmadprioin [351], +\pma_direct|auto_generated|cent_unit_txpmadprioin [350],\pma_direct|auto_generated|cent_unit_txpmadprioin [349],\pma_direct|auto_generated|cent_unit_txpmadprioin [348],\pma_direct|auto_generated|cent_unit_txpmadprioin [347],\pma_direct|auto_generated|cent_unit_txpmadprioin [346], +\pma_direct|auto_generated|cent_unit_txpmadprioin [345],\pma_direct|auto_generated|cent_unit_txpmadprioin [344],\pma_direct|auto_generated|cent_unit_txpmadprioin [343],\pma_direct|auto_generated|cent_unit_txpmadprioin [342],\pma_direct|auto_generated|cent_unit_txpmadprioin [341], +\pma_direct|auto_generated|cent_unit_txpmadprioin [340],\pma_direct|auto_generated|cent_unit_txpmadprioin [339],\pma_direct|auto_generated|cent_unit_txpmadprioin [338],\pma_direct|auto_generated|cent_unit_txpmadprioin [337],\pma_direct|auto_generated|cent_unit_txpmadprioin [336], +\pma_direct|auto_generated|cent_unit_txpmadprioin [335],\pma_direct|auto_generated|cent_unit_txpmadprioin [334],\pma_direct|auto_generated|cent_unit_txpmadprioin [333],\pma_direct|auto_generated|cent_unit_txpmadprioin [332],\pma_direct|auto_generated|cent_unit_txpmadprioin [331], +\pma_direct|auto_generated|cent_unit_txpmadprioin [330],\pma_direct|auto_generated|cent_unit_txpmadprioin [329],\pma_direct|auto_generated|cent_unit_txpmadprioin [328],\pma_direct|auto_generated|cent_unit_txpmadprioin [327],\pma_direct|auto_generated|cent_unit_txpmadprioin [326], +\pma_direct|auto_generated|cent_unit_txpmadprioin [325],\pma_direct|auto_generated|cent_unit_txpmadprioin [324],\pma_direct|auto_generated|cent_unit_txpmadprioin [323],\pma_direct|auto_generated|cent_unit_txpmadprioin [322],\pma_direct|auto_generated|cent_unit_txpmadprioin [321], +\pma_direct|auto_generated|cent_unit_txpmadprioin [320],\pma_direct|auto_generated|cent_unit_txpmadprioin [319],\pma_direct|auto_generated|cent_unit_txpmadprioin [318],\pma_direct|auto_generated|cent_unit_txpmadprioin [317],\pma_direct|auto_generated|cent_unit_txpmadprioin [316], +\pma_direct|auto_generated|cent_unit_txpmadprioin [315],\pma_direct|auto_generated|cent_unit_txpmadprioin [314],\pma_direct|auto_generated|cent_unit_txpmadprioin [313],\pma_direct|auto_generated|cent_unit_txpmadprioin [312],\pma_direct|auto_generated|cent_unit_txpmadprioin [311], +\pma_direct|auto_generated|cent_unit_txpmadprioin [310],\pma_direct|auto_generated|cent_unit_txpmadprioin [309],\pma_direct|auto_generated|cent_unit_txpmadprioin [308],\pma_direct|auto_generated|cent_unit_txpmadprioin [307],\pma_direct|auto_generated|cent_unit_txpmadprioin [306], +\pma_direct|auto_generated|cent_unit_txpmadprioin [305],\pma_direct|auto_generated|cent_unit_txpmadprioin [304],\pma_direct|auto_generated|cent_unit_txpmadprioin [303],\pma_direct|auto_generated|cent_unit_txpmadprioin [302],\pma_direct|auto_generated|cent_unit_txpmadprioin [301], +\pma_direct|auto_generated|cent_unit_txpmadprioin [300],\pma_direct|auto_generated|cent_unit_txpmadprioin [299],\pma_direct|auto_generated|cent_unit_txpmadprioin [298],\pma_direct|auto_generated|cent_unit_txpmadprioin [297],\pma_direct|auto_generated|cent_unit_txpmadprioin [296], +\pma_direct|auto_generated|cent_unit_txpmadprioin [295],\pma_direct|auto_generated|cent_unit_txpmadprioin [294],\pma_direct|auto_generated|cent_unit_txpmadprioin [293],\pma_direct|auto_generated|cent_unit_txpmadprioin [292],\pma_direct|auto_generated|cent_unit_txpmadprioin [291], +\pma_direct|auto_generated|cent_unit_txpmadprioin [290],\pma_direct|auto_generated|cent_unit_txpmadprioin [289],\pma_direct|auto_generated|cent_unit_txpmadprioin [288],\pma_direct|auto_generated|cent_unit_txpmadprioin [287],\pma_direct|auto_generated|cent_unit_txpmadprioin [286], +\pma_direct|auto_generated|cent_unit_txpmadprioin [285],\pma_direct|auto_generated|cent_unit_txpmadprioin [284],\pma_direct|auto_generated|cent_unit_txpmadprioin [283],\pma_direct|auto_generated|cent_unit_txpmadprioin [282],\pma_direct|auto_generated|cent_unit_txpmadprioin [281], +\pma_direct|auto_generated|cent_unit_txpmadprioin [280],\pma_direct|auto_generated|cent_unit_txpmadprioin [279],\pma_direct|auto_generated|cent_unit_txpmadprioin [278],\pma_direct|auto_generated|cent_unit_txpmadprioin [277],\pma_direct|auto_generated|cent_unit_txpmadprioin [276], +\pma_direct|auto_generated|cent_unit_txpmadprioin [275],\pma_direct|auto_generated|cent_unit_txpmadprioin [274],\pma_direct|auto_generated|cent_unit_txpmadprioin [273],\pma_direct|auto_generated|cent_unit_txpmadprioin [272],\pma_direct|auto_generated|cent_unit_txpmadprioin [271], +\pma_direct|auto_generated|cent_unit_txpmadprioin [270],\pma_direct|auto_generated|cent_unit_txpmadprioin [269],\pma_direct|auto_generated|cent_unit_txpmadprioin [268],\pma_direct|auto_generated|cent_unit_txpmadprioin [267],\pma_direct|auto_generated|cent_unit_txpmadprioin [266], +\pma_direct|auto_generated|cent_unit_txpmadprioin [265],\pma_direct|auto_generated|cent_unit_txpmadprioin [264],\pma_direct|auto_generated|cent_unit_txpmadprioin [263],\pma_direct|auto_generated|cent_unit_txpmadprioin [262],\pma_direct|auto_generated|cent_unit_txpmadprioin [261], +\pma_direct|auto_generated|cent_unit_txpmadprioin [260],\pma_direct|auto_generated|cent_unit_txpmadprioin [259],\pma_direct|auto_generated|cent_unit_txpmadprioin [258],\pma_direct|auto_generated|cent_unit_txpmadprioin [257],\pma_direct|auto_generated|cent_unit_txpmadprioin [256], +\pma_direct|auto_generated|cent_unit_txpmadprioin [255],\pma_direct|auto_generated|cent_unit_txpmadprioin [254],\pma_direct|auto_generated|cent_unit_txpmadprioin [253],\pma_direct|auto_generated|cent_unit_txpmadprioin [252],\pma_direct|auto_generated|cent_unit_txpmadprioin [251], +\pma_direct|auto_generated|cent_unit_txpmadprioin [250],\pma_direct|auto_generated|cent_unit_txpmadprioin [249],\pma_direct|auto_generated|cent_unit_txpmadprioin [248],\pma_direct|auto_generated|cent_unit_txpmadprioin [247],\pma_direct|auto_generated|cent_unit_txpmadprioin [246], +\pma_direct|auto_generated|cent_unit_txpmadprioin [245],\pma_direct|auto_generated|cent_unit_txpmadprioin [244],\pma_direct|auto_generated|cent_unit_txpmadprioin [243],\pma_direct|auto_generated|cent_unit_txpmadprioin [242],\pma_direct|auto_generated|cent_unit_txpmadprioin [241], +\pma_direct|auto_generated|cent_unit_txpmadprioin [240],\pma_direct|auto_generated|cent_unit_txpmadprioin [239],\pma_direct|auto_generated|cent_unit_txpmadprioin [238],\pma_direct|auto_generated|cent_unit_txpmadprioin [237],\pma_direct|auto_generated|cent_unit_txpmadprioin [236], +\pma_direct|auto_generated|cent_unit_txpmadprioin [235],\pma_direct|auto_generated|cent_unit_txpmadprioin [234],\pma_direct|auto_generated|cent_unit_txpmadprioin [233],\pma_direct|auto_generated|cent_unit_txpmadprioin [232],\pma_direct|auto_generated|cent_unit_txpmadprioin [231], +\pma_direct|auto_generated|cent_unit_txpmadprioin [230],\pma_direct|auto_generated|cent_unit_txpmadprioin [229],\pma_direct|auto_generated|cent_unit_txpmadprioin [228],\pma_direct|auto_generated|cent_unit_txpmadprioin [227],\pma_direct|auto_generated|cent_unit_txpmadprioin [226], +\pma_direct|auto_generated|cent_unit_txpmadprioin [225],\pma_direct|auto_generated|cent_unit_txpmadprioin [224],\pma_direct|auto_generated|cent_unit_txpmadprioin [223],\pma_direct|auto_generated|cent_unit_txpmadprioin [222],\pma_direct|auto_generated|cent_unit_txpmadprioin [221], +\pma_direct|auto_generated|cent_unit_txpmadprioin [220],\pma_direct|auto_generated|cent_unit_txpmadprioin [219],\pma_direct|auto_generated|cent_unit_txpmadprioin [218],\pma_direct|auto_generated|cent_unit_txpmadprioin [217],\pma_direct|auto_generated|cent_unit_txpmadprioin [216], +\pma_direct|auto_generated|cent_unit_txpmadprioin [215],\pma_direct|auto_generated|cent_unit_txpmadprioin [214],\pma_direct|auto_generated|cent_unit_txpmadprioin [213],\pma_direct|auto_generated|cent_unit_txpmadprioin [212],\pma_direct|auto_generated|cent_unit_txpmadprioin [211], +\pma_direct|auto_generated|cent_unit_txpmadprioin [210],\pma_direct|auto_generated|cent_unit_txpmadprioin [209],\pma_direct|auto_generated|cent_unit_txpmadprioin [208],\pma_direct|auto_generated|cent_unit_txpmadprioin [207],\pma_direct|auto_generated|cent_unit_txpmadprioin [206], +\pma_direct|auto_generated|cent_unit_txpmadprioin [205],\pma_direct|auto_generated|cent_unit_txpmadprioin [204],\pma_direct|auto_generated|cent_unit_txpmadprioin [203],\pma_direct|auto_generated|cent_unit_txpmadprioin [202],\pma_direct|auto_generated|cent_unit_txpmadprioin [201], +\pma_direct|auto_generated|cent_unit_txpmadprioin [200],\pma_direct|auto_generated|cent_unit_txpmadprioin [199],\pma_direct|auto_generated|cent_unit_txpmadprioin [198],\pma_direct|auto_generated|cent_unit_txpmadprioin [197],\pma_direct|auto_generated|cent_unit_txpmadprioin [196], +\pma_direct|auto_generated|cent_unit_txpmadprioin [195],\pma_direct|auto_generated|cent_unit_txpmadprioin [194],\pma_direct|auto_generated|cent_unit_txpmadprioin [193],\pma_direct|auto_generated|cent_unit_txpmadprioin [192],\pma_direct|auto_generated|cent_unit_txpmadprioin [191], +\pma_direct|auto_generated|cent_unit_txpmadprioin [190],\pma_direct|auto_generated|cent_unit_txpmadprioin [189],\pma_direct|auto_generated|cent_unit_txpmadprioin [188],\pma_direct|auto_generated|cent_unit_txpmadprioin [187],\pma_direct|auto_generated|cent_unit_txpmadprioin [186], +\pma_direct|auto_generated|cent_unit_txpmadprioin [185],\pma_direct|auto_generated|cent_unit_txpmadprioin [184],\pma_direct|auto_generated|cent_unit_txpmadprioin [183],\pma_direct|auto_generated|cent_unit_txpmadprioin [182],\pma_direct|auto_generated|cent_unit_txpmadprioin [181], +\pma_direct|auto_generated|cent_unit_txpmadprioin [180],\pma_direct|auto_generated|cent_unit_txpmadprioin [179],\pma_direct|auto_generated|cent_unit_txpmadprioin [178],\pma_direct|auto_generated|cent_unit_txpmadprioin [177],\pma_direct|auto_generated|cent_unit_txpmadprioin [176], +\pma_direct|auto_generated|cent_unit_txpmadprioin [175],\pma_direct|auto_generated|cent_unit_txpmadprioin [174],\pma_direct|auto_generated|cent_unit_txpmadprioin [173],\pma_direct|auto_generated|cent_unit_txpmadprioin [172],\pma_direct|auto_generated|cent_unit_txpmadprioin [171], +\pma_direct|auto_generated|cent_unit_txpmadprioin [170],\pma_direct|auto_generated|cent_unit_txpmadprioin [169],\pma_direct|auto_generated|cent_unit_txpmadprioin [168],\pma_direct|auto_generated|cent_unit_txpmadprioin [167],\pma_direct|auto_generated|cent_unit_txpmadprioin [166], +\pma_direct|auto_generated|cent_unit_txpmadprioin [165],\pma_direct|auto_generated|cent_unit_txpmadprioin [164],\pma_direct|auto_generated|cent_unit_txpmadprioin [163],\pma_direct|auto_generated|cent_unit_txpmadprioin [162],\pma_direct|auto_generated|cent_unit_txpmadprioin [161], +\pma_direct|auto_generated|cent_unit_txpmadprioin [160],\pma_direct|auto_generated|cent_unit_txpmadprioin [159],\pma_direct|auto_generated|cent_unit_txpmadprioin [158],\pma_direct|auto_generated|cent_unit_txpmadprioin [157],\pma_direct|auto_generated|cent_unit_txpmadprioin [156], +\pma_direct|auto_generated|cent_unit_txpmadprioin [155],\pma_direct|auto_generated|cent_unit_txpmadprioin [154],\pma_direct|auto_generated|cent_unit_txpmadprioin [153],\pma_direct|auto_generated|cent_unit_txpmadprioin [152],\pma_direct|auto_generated|cent_unit_txpmadprioin [151], +\pma_direct|auto_generated|cent_unit_txpmadprioin [150],\pma_direct|auto_generated|cent_unit_txpmadprioin [149],\pma_direct|auto_generated|cent_unit_txpmadprioin [148],\pma_direct|auto_generated|cent_unit_txpmadprioin [147],\pma_direct|auto_generated|cent_unit_txpmadprioin [146], +\pma_direct|auto_generated|cent_unit_txpmadprioin [145],\pma_direct|auto_generated|cent_unit_txpmadprioin [144],\pma_direct|auto_generated|cent_unit_txpmadprioin [143],\pma_direct|auto_generated|cent_unit_txpmadprioin [142],\pma_direct|auto_generated|cent_unit_txpmadprioin [141], +\pma_direct|auto_generated|cent_unit_txpmadprioin [140],\pma_direct|auto_generated|cent_unit_txpmadprioin [139],\pma_direct|auto_generated|cent_unit_txpmadprioin [138],\pma_direct|auto_generated|cent_unit_txpmadprioin [137],\pma_direct|auto_generated|cent_unit_txpmadprioin [136], +\pma_direct|auto_generated|cent_unit_txpmadprioin [135],\pma_direct|auto_generated|cent_unit_txpmadprioin [134],\pma_direct|auto_generated|cent_unit_txpmadprioin [133],\pma_direct|auto_generated|cent_unit_txpmadprioin [132],\pma_direct|auto_generated|cent_unit_txpmadprioin [131], +\pma_direct|auto_generated|cent_unit_txpmadprioin [130],\pma_direct|auto_generated|cent_unit_txpmadprioin [129],\pma_direct|auto_generated|cent_unit_txpmadprioin [128],\pma_direct|auto_generated|cent_unit_txpmadprioin [127],\pma_direct|auto_generated|cent_unit_txpmadprioin [126], +\pma_direct|auto_generated|cent_unit_txpmadprioin [125],\pma_direct|auto_generated|cent_unit_txpmadprioin [124],\pma_direct|auto_generated|cent_unit_txpmadprioin [123],\pma_direct|auto_generated|cent_unit_txpmadprioin [122],\pma_direct|auto_generated|cent_unit_txpmadprioin [121], +\pma_direct|auto_generated|cent_unit_txpmadprioin [120],\pma_direct|auto_generated|cent_unit_txpmadprioin [119],\pma_direct|auto_generated|cent_unit_txpmadprioin [118],\pma_direct|auto_generated|cent_unit_txpmadprioin [117],\pma_direct|auto_generated|cent_unit_txpmadprioin [116], +\pma_direct|auto_generated|cent_unit_txpmadprioin [115],\pma_direct|auto_generated|cent_unit_txpmadprioin [114],\pma_direct|auto_generated|cent_unit_txpmadprioin [113],\pma_direct|auto_generated|cent_unit_txpmadprioin [112],\pma_direct|auto_generated|cent_unit_txpmadprioin [111], +\pma_direct|auto_generated|cent_unit_txpmadprioin [110],\pma_direct|auto_generated|cent_unit_txpmadprioin [109],\pma_direct|auto_generated|cent_unit_txpmadprioin [108],\pma_direct|auto_generated|cent_unit_txpmadprioin [107],\pma_direct|auto_generated|cent_unit_txpmadprioin [106], +\pma_direct|auto_generated|cent_unit_txpmadprioin [105],\pma_direct|auto_generated|cent_unit_txpmadprioin [104],\pma_direct|auto_generated|cent_unit_txpmadprioin [103],\pma_direct|auto_generated|cent_unit_txpmadprioin [102],\pma_direct|auto_generated|cent_unit_txpmadprioin [101], +\pma_direct|auto_generated|cent_unit_txpmadprioin [100],\pma_direct|auto_generated|cent_unit_txpmadprioin [99],\pma_direct|auto_generated|cent_unit_txpmadprioin [98],\pma_direct|auto_generated|cent_unit_txpmadprioin [97],\pma_direct|auto_generated|cent_unit_txpmadprioin [96], +\pma_direct|auto_generated|cent_unit_txpmadprioin [95],\pma_direct|auto_generated|cent_unit_txpmadprioin [94],\pma_direct|auto_generated|cent_unit_txpmadprioin [93],\pma_direct|auto_generated|cent_unit_txpmadprioin [92],\pma_direct|auto_generated|cent_unit_txpmadprioin [91], +\pma_direct|auto_generated|cent_unit_txpmadprioin [90],\pma_direct|auto_generated|cent_unit_txpmadprioin [89],\pma_direct|auto_generated|cent_unit_txpmadprioin [88],\pma_direct|auto_generated|cent_unit_txpmadprioin [87],\pma_direct|auto_generated|cent_unit_txpmadprioin [86], +\pma_direct|auto_generated|cent_unit_txpmadprioin [85],\pma_direct|auto_generated|cent_unit_txpmadprioin [84],\pma_direct|auto_generated|cent_unit_txpmadprioin [83],\pma_direct|auto_generated|cent_unit_txpmadprioin [82],\pma_direct|auto_generated|cent_unit_txpmadprioin [81], +\pma_direct|auto_generated|cent_unit_txpmadprioin [80],\pma_direct|auto_generated|cent_unit_txpmadprioin [79],\pma_direct|auto_generated|cent_unit_txpmadprioin [78],\pma_direct|auto_generated|cent_unit_txpmadprioin [77],\pma_direct|auto_generated|cent_unit_txpmadprioin [76], +\pma_direct|auto_generated|cent_unit_txpmadprioin [75],\pma_direct|auto_generated|cent_unit_txpmadprioin [74],\pma_direct|auto_generated|cent_unit_txpmadprioin [73],\pma_direct|auto_generated|cent_unit_txpmadprioin [72],\pma_direct|auto_generated|cent_unit_txpmadprioin [71], +\pma_direct|auto_generated|cent_unit_txpmadprioin [70],\pma_direct|auto_generated|cent_unit_txpmadprioin [69],\pma_direct|auto_generated|cent_unit_txpmadprioin [68],\pma_direct|auto_generated|cent_unit_txpmadprioin [67],\pma_direct|auto_generated|cent_unit_txpmadprioin [66], +\pma_direct|auto_generated|cent_unit_txpmadprioin [65],\pma_direct|auto_generated|cent_unit_txpmadprioin [64],\pma_direct|auto_generated|cent_unit_txpmadprioin [63],\pma_direct|auto_generated|cent_unit_txpmadprioin [62],\pma_direct|auto_generated|cent_unit_txpmadprioin [61], +\pma_direct|auto_generated|cent_unit_txpmadprioin [60],\pma_direct|auto_generated|cent_unit_txpmadprioin [59],\pma_direct|auto_generated|cent_unit_txpmadprioin [58],\pma_direct|auto_generated|cent_unit_txpmadprioin [57],\pma_direct|auto_generated|cent_unit_txpmadprioin [56], +\pma_direct|auto_generated|cent_unit_txpmadprioin [55],\pma_direct|auto_generated|cent_unit_txpmadprioin [54],\pma_direct|auto_generated|cent_unit_txpmadprioin [53],\pma_direct|auto_generated|cent_unit_txpmadprioin [52],\pma_direct|auto_generated|cent_unit_txpmadprioin [51], +\pma_direct|auto_generated|cent_unit_txpmadprioin [50],\pma_direct|auto_generated|cent_unit_txpmadprioin [49],\pma_direct|auto_generated|cent_unit_txpmadprioin [48],\pma_direct|auto_generated|cent_unit_txpmadprioin [47],\pma_direct|auto_generated|cent_unit_txpmadprioin [46], +\pma_direct|auto_generated|cent_unit_txpmadprioin [45],\pma_direct|auto_generated|cent_unit_txpmadprioin [44],\pma_direct|auto_generated|cent_unit_txpmadprioin [43],\pma_direct|auto_generated|cent_unit_txpmadprioin [42],\pma_direct|auto_generated|cent_unit_txpmadprioin [41], +\pma_direct|auto_generated|cent_unit_txpmadprioin [40],\pma_direct|auto_generated|cent_unit_txpmadprioin [39],\pma_direct|auto_generated|cent_unit_txpmadprioin [38],\pma_direct|auto_generated|cent_unit_txpmadprioin [37],\pma_direct|auto_generated|cent_unit_txpmadprioin [36], +\pma_direct|auto_generated|cent_unit_txpmadprioin [35],\pma_direct|auto_generated|cent_unit_txpmadprioin [34],\pma_direct|auto_generated|cent_unit_txpmadprioin [33],\pma_direct|auto_generated|cent_unit_txpmadprioin [32],\pma_direct|auto_generated|cent_unit_txpmadprioin [31], +\pma_direct|auto_generated|cent_unit_txpmadprioin [30],\pma_direct|auto_generated|cent_unit_txpmadprioin [29],\pma_direct|auto_generated|cent_unit_txpmadprioin [28],\pma_direct|auto_generated|cent_unit_txpmadprioin [27],\pma_direct|auto_generated|cent_unit_txpmadprioin [26], +\pma_direct|auto_generated|cent_unit_txpmadprioin [25],\pma_direct|auto_generated|cent_unit_txpmadprioin [24],\pma_direct|auto_generated|cent_unit_txpmadprioin [23],\pma_direct|auto_generated|cent_unit_txpmadprioin [22],\pma_direct|auto_generated|cent_unit_txpmadprioin [21], +\pma_direct|auto_generated|cent_unit_txpmadprioin [20],\pma_direct|auto_generated|cent_unit_txpmadprioin [19],\pma_direct|auto_generated|cent_unit_txpmadprioin [18],\pma_direct|auto_generated|cent_unit_txpmadprioin [17],\pma_direct|auto_generated|cent_unit_txpmadprioin [16], +\pma_direct|auto_generated|cent_unit_txpmadprioin [15],\pma_direct|auto_generated|cent_unit_txpmadprioin [14],\pma_direct|auto_generated|cent_unit_txpmadprioin [13],\pma_direct|auto_generated|cent_unit_txpmadprioin [12],\pma_direct|auto_generated|cent_unit_txpmadprioin [11], +\pma_direct|auto_generated|cent_unit_txpmadprioin [10],\pma_direct|auto_generated|cent_unit_txpmadprioin [9],\pma_direct|auto_generated|cent_unit_txpmadprioin [8],\pma_direct|auto_generated|cent_unit_txpmadprioin [7],\pma_direct|auto_generated|cent_unit_txpmadprioin [6], +\pma_direct|auto_generated|cent_unit_txpmadprioin [5],\pma_direct|auto_generated|cent_unit_txpmadprioin [4],\pma_direct|auto_generated|cent_unit_txpmadprioin [3],\pma_direct|auto_generated|cent_unit_txpmadprioin [2],\pma_direct|auto_generated|cent_unit_txpmadprioin [1], +\pma_direct|auto_generated|cent_unit_txpmadprioin [0]}), + .txpcsdprioin(600'b000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000), + .cmuplldprioin({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\pma_direct|auto_generated|edge_pll_cmuplldprioout [299],\pma_direct|auto_generated|edge_pll_cmuplldprioout [298], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [297],\pma_direct|auto_generated|edge_pll_cmuplldprioout [296],\pma_direct|auto_generated|edge_pll_cmuplldprioout [295],\pma_direct|auto_generated|edge_pll_cmuplldprioout [294],\pma_direct|auto_generated|edge_pll_cmuplldprioout [293], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [292],\pma_direct|auto_generated|edge_pll_cmuplldprioout [291],\pma_direct|auto_generated|edge_pll_cmuplldprioout [290],\pma_direct|auto_generated|edge_pll_cmuplldprioout [289],\pma_direct|auto_generated|edge_pll_cmuplldprioout [288], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [287],\pma_direct|auto_generated|edge_pll_cmuplldprioout [286],\pma_direct|auto_generated|edge_pll_cmuplldprioout [285],\pma_direct|auto_generated|edge_pll_cmuplldprioout [284],\pma_direct|auto_generated|edge_pll_cmuplldprioout [283], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [282],\pma_direct|auto_generated|edge_pll_cmuplldprioout [281],\pma_direct|auto_generated|edge_pll_cmuplldprioout [280],\pma_direct|auto_generated|edge_pll_cmuplldprioout [279],\pma_direct|auto_generated|edge_pll_cmuplldprioout [278], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [277],\pma_direct|auto_generated|edge_pll_cmuplldprioout [276],\pma_direct|auto_generated|edge_pll_cmuplldprioout [275],\pma_direct|auto_generated|edge_pll_cmuplldprioout [274],\pma_direct|auto_generated|edge_pll_cmuplldprioout [273], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [272],\pma_direct|auto_generated|edge_pll_cmuplldprioout [271],\pma_direct|auto_generated|edge_pll_cmuplldprioout [270],\pma_direct|auto_generated|edge_pll_cmuplldprioout [269],\pma_direct|auto_generated|edge_pll_cmuplldprioout [268], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [267],\pma_direct|auto_generated|edge_pll_cmuplldprioout [266],\pma_direct|auto_generated|edge_pll_cmuplldprioout [265],\pma_direct|auto_generated|edge_pll_cmuplldprioout [264],\pma_direct|auto_generated|edge_pll_cmuplldprioout [263], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [262],\pma_direct|auto_generated|edge_pll_cmuplldprioout [261],\pma_direct|auto_generated|edge_pll_cmuplldprioout [260],\pma_direct|auto_generated|edge_pll_cmuplldprioout [259],\pma_direct|auto_generated|edge_pll_cmuplldprioout [258], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [257],\pma_direct|auto_generated|edge_pll_cmuplldprioout [256],\pma_direct|auto_generated|edge_pll_cmuplldprioout [255],\pma_direct|auto_generated|edge_pll_cmuplldprioout [254],\pma_direct|auto_generated|edge_pll_cmuplldprioout [253], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [252],\pma_direct|auto_generated|edge_pll_cmuplldprioout [251],\pma_direct|auto_generated|edge_pll_cmuplldprioout [250],\pma_direct|auto_generated|edge_pll_cmuplldprioout [249],\pma_direct|auto_generated|edge_pll_cmuplldprioout [248], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [247],\pma_direct|auto_generated|edge_pll_cmuplldprioout [246],\pma_direct|auto_generated|edge_pll_cmuplldprioout [245],\pma_direct|auto_generated|edge_pll_cmuplldprioout [244],\pma_direct|auto_generated|edge_pll_cmuplldprioout [243], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [242],\pma_direct|auto_generated|edge_pll_cmuplldprioout [241],\pma_direct|auto_generated|edge_pll_cmuplldprioout [240],\pma_direct|auto_generated|edge_pll_cmuplldprioout [239],\pma_direct|auto_generated|edge_pll_cmuplldprioout [238], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [237],\pma_direct|auto_generated|edge_pll_cmuplldprioout [236],\pma_direct|auto_generated|edge_pll_cmuplldprioout [235],\pma_direct|auto_generated|edge_pll_cmuplldprioout [234],\pma_direct|auto_generated|edge_pll_cmuplldprioout [233], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [232],\pma_direct|auto_generated|edge_pll_cmuplldprioout [231],\pma_direct|auto_generated|edge_pll_cmuplldprioout [230],\pma_direct|auto_generated|edge_pll_cmuplldprioout [229],\pma_direct|auto_generated|edge_pll_cmuplldprioout [228], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [227],\pma_direct|auto_generated|edge_pll_cmuplldprioout [226],\pma_direct|auto_generated|edge_pll_cmuplldprioout [225],\pma_direct|auto_generated|edge_pll_cmuplldprioout [224],\pma_direct|auto_generated|edge_pll_cmuplldprioout [223], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [222],\pma_direct|auto_generated|edge_pll_cmuplldprioout [221],\pma_direct|auto_generated|edge_pll_cmuplldprioout [220],\pma_direct|auto_generated|edge_pll_cmuplldprioout [219],\pma_direct|auto_generated|edge_pll_cmuplldprioout [218], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [217],\pma_direct|auto_generated|edge_pll_cmuplldprioout [216],\pma_direct|auto_generated|edge_pll_cmuplldprioout [215],\pma_direct|auto_generated|edge_pll_cmuplldprioout [214],\pma_direct|auto_generated|edge_pll_cmuplldprioout [213], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [212],\pma_direct|auto_generated|edge_pll_cmuplldprioout [211],\pma_direct|auto_generated|edge_pll_cmuplldprioout [210],\pma_direct|auto_generated|edge_pll_cmuplldprioout [209],\pma_direct|auto_generated|edge_pll_cmuplldprioout [208], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [207],\pma_direct|auto_generated|edge_pll_cmuplldprioout [206],\pma_direct|auto_generated|edge_pll_cmuplldprioout [205],\pma_direct|auto_generated|edge_pll_cmuplldprioout [204],\pma_direct|auto_generated|edge_pll_cmuplldprioout [203], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [202],\pma_direct|auto_generated|edge_pll_cmuplldprioout [201],\pma_direct|auto_generated|edge_pll_cmuplldprioout [200],\pma_direct|auto_generated|edge_pll_cmuplldprioout [199],\pma_direct|auto_generated|edge_pll_cmuplldprioout [198], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [197],\pma_direct|auto_generated|edge_pll_cmuplldprioout [196],\pma_direct|auto_generated|edge_pll_cmuplldprioout [195],\pma_direct|auto_generated|edge_pll_cmuplldprioout [194],\pma_direct|auto_generated|edge_pll_cmuplldprioout [193], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [192],\pma_direct|auto_generated|edge_pll_cmuplldprioout [191],\pma_direct|auto_generated|edge_pll_cmuplldprioout [190],\pma_direct|auto_generated|edge_pll_cmuplldprioout [189],\pma_direct|auto_generated|edge_pll_cmuplldprioout [188], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [187],\pma_direct|auto_generated|edge_pll_cmuplldprioout [186],\pma_direct|auto_generated|edge_pll_cmuplldprioout [185],\pma_direct|auto_generated|edge_pll_cmuplldprioout [184],\pma_direct|auto_generated|edge_pll_cmuplldprioout [183], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [182],\pma_direct|auto_generated|edge_pll_cmuplldprioout [181],\pma_direct|auto_generated|edge_pll_cmuplldprioout [180],\pma_direct|auto_generated|edge_pll_cmuplldprioout [179],\pma_direct|auto_generated|edge_pll_cmuplldprioout [178], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [177],\pma_direct|auto_generated|edge_pll_cmuplldprioout [176],\pma_direct|auto_generated|edge_pll_cmuplldprioout [175],\pma_direct|auto_generated|edge_pll_cmuplldprioout [174],\pma_direct|auto_generated|edge_pll_cmuplldprioout [173], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [172],\pma_direct|auto_generated|edge_pll_cmuplldprioout [171],\pma_direct|auto_generated|edge_pll_cmuplldprioout [170],\pma_direct|auto_generated|edge_pll_cmuplldprioout [169],\pma_direct|auto_generated|edge_pll_cmuplldprioout [168], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [167],\pma_direct|auto_generated|edge_pll_cmuplldprioout [166],\pma_direct|auto_generated|edge_pll_cmuplldprioout [165],\pma_direct|auto_generated|edge_pll_cmuplldprioout [164],\pma_direct|auto_generated|edge_pll_cmuplldprioout [163], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [162],\pma_direct|auto_generated|edge_pll_cmuplldprioout [161],\pma_direct|auto_generated|edge_pll_cmuplldprioout [160],\pma_direct|auto_generated|edge_pll_cmuplldprioout [159],\pma_direct|auto_generated|edge_pll_cmuplldprioout [158], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [157],\pma_direct|auto_generated|edge_pll_cmuplldprioout [156],\pma_direct|auto_generated|edge_pll_cmuplldprioout [155],\pma_direct|auto_generated|edge_pll_cmuplldprioout [154],\pma_direct|auto_generated|edge_pll_cmuplldprioout [153], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [152],\pma_direct|auto_generated|edge_pll_cmuplldprioout [151],\pma_direct|auto_generated|edge_pll_cmuplldprioout [150],\pma_direct|auto_generated|edge_pll_cmuplldprioout [149],\pma_direct|auto_generated|edge_pll_cmuplldprioout [148], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [147],\pma_direct|auto_generated|edge_pll_cmuplldprioout [146],\pma_direct|auto_generated|edge_pll_cmuplldprioout [145],\pma_direct|auto_generated|edge_pll_cmuplldprioout [144],\pma_direct|auto_generated|edge_pll_cmuplldprioout [143], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [142],\pma_direct|auto_generated|edge_pll_cmuplldprioout [141],\pma_direct|auto_generated|edge_pll_cmuplldprioout [140],\pma_direct|auto_generated|edge_pll_cmuplldprioout [139],\pma_direct|auto_generated|edge_pll_cmuplldprioout [138], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [137],\pma_direct|auto_generated|edge_pll_cmuplldprioout [136],\pma_direct|auto_generated|edge_pll_cmuplldprioout [135],\pma_direct|auto_generated|edge_pll_cmuplldprioout [134],\pma_direct|auto_generated|edge_pll_cmuplldprioout [133], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [132],\pma_direct|auto_generated|edge_pll_cmuplldprioout [131],\pma_direct|auto_generated|edge_pll_cmuplldprioout [130],\pma_direct|auto_generated|edge_pll_cmuplldprioout [129],\pma_direct|auto_generated|edge_pll_cmuplldprioout [128], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [127],\pma_direct|auto_generated|edge_pll_cmuplldprioout [126],\pma_direct|auto_generated|edge_pll_cmuplldprioout [125],\pma_direct|auto_generated|edge_pll_cmuplldprioout [124],\pma_direct|auto_generated|edge_pll_cmuplldprioout [123], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [122],\pma_direct|auto_generated|edge_pll_cmuplldprioout [121],\pma_direct|auto_generated|edge_pll_cmuplldprioout [120],\pma_direct|auto_generated|edge_pll_cmuplldprioout [119],\pma_direct|auto_generated|edge_pll_cmuplldprioout [118], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [117],\pma_direct|auto_generated|edge_pll_cmuplldprioout [116],\pma_direct|auto_generated|edge_pll_cmuplldprioout [115],\pma_direct|auto_generated|edge_pll_cmuplldprioout [114],\pma_direct|auto_generated|edge_pll_cmuplldprioout [113], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [112],\pma_direct|auto_generated|edge_pll_cmuplldprioout [111],\pma_direct|auto_generated|edge_pll_cmuplldprioout [110],\pma_direct|auto_generated|edge_pll_cmuplldprioout [109],\pma_direct|auto_generated|edge_pll_cmuplldprioout [108], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [107],\pma_direct|auto_generated|edge_pll_cmuplldprioout [106],\pma_direct|auto_generated|edge_pll_cmuplldprioout [105],\pma_direct|auto_generated|edge_pll_cmuplldprioout [104],\pma_direct|auto_generated|edge_pll_cmuplldprioout [103], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [102],\pma_direct|auto_generated|edge_pll_cmuplldprioout [101],\pma_direct|auto_generated|edge_pll_cmuplldprioout [100],\pma_direct|auto_generated|edge_pll_cmuplldprioout [99],\pma_direct|auto_generated|edge_pll_cmuplldprioout [98], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [97],\pma_direct|auto_generated|edge_pll_cmuplldprioout [96],\pma_direct|auto_generated|edge_pll_cmuplldprioout [95],\pma_direct|auto_generated|edge_pll_cmuplldprioout [94],\pma_direct|auto_generated|edge_pll_cmuplldprioout [93], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [92],\pma_direct|auto_generated|edge_pll_cmuplldprioout [91],\pma_direct|auto_generated|edge_pll_cmuplldprioout [90],\pma_direct|auto_generated|edge_pll_cmuplldprioout [89],\pma_direct|auto_generated|edge_pll_cmuplldprioout [88], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [87],\pma_direct|auto_generated|edge_pll_cmuplldprioout [86],\pma_direct|auto_generated|edge_pll_cmuplldprioout [85],\pma_direct|auto_generated|edge_pll_cmuplldprioout [84],\pma_direct|auto_generated|edge_pll_cmuplldprioout [83], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [82],\pma_direct|auto_generated|edge_pll_cmuplldprioout [81],\pma_direct|auto_generated|edge_pll_cmuplldprioout [80],\pma_direct|auto_generated|edge_pll_cmuplldprioout [79],\pma_direct|auto_generated|edge_pll_cmuplldprioout [78], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [77],\pma_direct|auto_generated|edge_pll_cmuplldprioout [76],\pma_direct|auto_generated|edge_pll_cmuplldprioout [75],\pma_direct|auto_generated|edge_pll_cmuplldprioout [74],\pma_direct|auto_generated|edge_pll_cmuplldprioout [73], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [72],\pma_direct|auto_generated|edge_pll_cmuplldprioout [71],\pma_direct|auto_generated|edge_pll_cmuplldprioout [70],\pma_direct|auto_generated|edge_pll_cmuplldprioout [69],\pma_direct|auto_generated|edge_pll_cmuplldprioout [68], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [67],\pma_direct|auto_generated|edge_pll_cmuplldprioout [66],\pma_direct|auto_generated|edge_pll_cmuplldprioout [65],\pma_direct|auto_generated|edge_pll_cmuplldprioout [64],\pma_direct|auto_generated|edge_pll_cmuplldprioout [63], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [62],\pma_direct|auto_generated|edge_pll_cmuplldprioout [61],\pma_direct|auto_generated|edge_pll_cmuplldprioout [60],\pma_direct|auto_generated|edge_pll_cmuplldprioout [59],\pma_direct|auto_generated|edge_pll_cmuplldprioout [58], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [57],\pma_direct|auto_generated|edge_pll_cmuplldprioout [56],\pma_direct|auto_generated|edge_pll_cmuplldprioout [55],\pma_direct|auto_generated|edge_pll_cmuplldprioout [54],\pma_direct|auto_generated|edge_pll_cmuplldprioout [53], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [52],\pma_direct|auto_generated|edge_pll_cmuplldprioout [51],\pma_direct|auto_generated|edge_pll_cmuplldprioout [50],\pma_direct|auto_generated|edge_pll_cmuplldprioout [49],\pma_direct|auto_generated|edge_pll_cmuplldprioout [48], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [47],\pma_direct|auto_generated|edge_pll_cmuplldprioout [46],\pma_direct|auto_generated|edge_pll_cmuplldprioout [45],\pma_direct|auto_generated|edge_pll_cmuplldprioout [44],\pma_direct|auto_generated|edge_pll_cmuplldprioout [43], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [42],\pma_direct|auto_generated|edge_pll_cmuplldprioout [41],\pma_direct|auto_generated|edge_pll_cmuplldprioout [40],\pma_direct|auto_generated|edge_pll_cmuplldprioout [39],\pma_direct|auto_generated|edge_pll_cmuplldprioout [38], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [37],\pma_direct|auto_generated|edge_pll_cmuplldprioout [36],\pma_direct|auto_generated|edge_pll_cmuplldprioout [35],\pma_direct|auto_generated|edge_pll_cmuplldprioout [34],\pma_direct|auto_generated|edge_pll_cmuplldprioout [33], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [32],\pma_direct|auto_generated|edge_pll_cmuplldprioout [31],\pma_direct|auto_generated|edge_pll_cmuplldprioout [30],\pma_direct|auto_generated|edge_pll_cmuplldprioout [29],\pma_direct|auto_generated|edge_pll_cmuplldprioout [28], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [27],\pma_direct|auto_generated|edge_pll_cmuplldprioout [26],\pma_direct|auto_generated|edge_pll_cmuplldprioout [25],\pma_direct|auto_generated|edge_pll_cmuplldprioout [24],\pma_direct|auto_generated|edge_pll_cmuplldprioout [23], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [22],\pma_direct|auto_generated|edge_pll_cmuplldprioout [21],\pma_direct|auto_generated|edge_pll_cmuplldprioout [20],\pma_direct|auto_generated|edge_pll_cmuplldprioout [19],\pma_direct|auto_generated|edge_pll_cmuplldprioout [18], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [17],\pma_direct|auto_generated|edge_pll_cmuplldprioout [16],\pma_direct|auto_generated|edge_pll_cmuplldprioout [15],\pma_direct|auto_generated|edge_pll_cmuplldprioout [14],\pma_direct|auto_generated|edge_pll_cmuplldprioout [13], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [12],\pma_direct|auto_generated|edge_pll_cmuplldprioout [11],\pma_direct|auto_generated|edge_pll_cmuplldprioout [10],\pma_direct|auto_generated|edge_pll_cmuplldprioout [9],\pma_direct|auto_generated|edge_pll_cmuplldprioout [8], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [7],\pma_direct|auto_generated|edge_pll_cmuplldprioout [6],\pma_direct|auto_generated|edge_pll_cmuplldprioout [5],\pma_direct|auto_generated|edge_pll_cmuplldprioout [4],\pma_direct|auto_generated|edge_pll_cmuplldprioout [3], +\pma_direct|auto_generated|edge_pll_cmuplldprioout [2],\pma_direct|auto_generated|edge_pll_cmuplldprioout [1],\pma_direct|auto_generated|edge_pll_cmuplldprioout [0],gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\pma_direct|auto_generated|pll_cmuplldprioout [5699],\pma_direct|auto_generated|pll_cmuplldprioout [5698],\pma_direct|auto_generated|pll_cmuplldprioout [5697],\pma_direct|auto_generated|pll_cmuplldprioout [5696], +\pma_direct|auto_generated|pll_cmuplldprioout [5695],\pma_direct|auto_generated|pll_cmuplldprioout [5694],\pma_direct|auto_generated|pll_cmuplldprioout [5693],\pma_direct|auto_generated|pll_cmuplldprioout [5692],\pma_direct|auto_generated|pll_cmuplldprioout [5691], +\pma_direct|auto_generated|pll_cmuplldprioout [5690],\pma_direct|auto_generated|pll_cmuplldprioout [5689],\pma_direct|auto_generated|pll_cmuplldprioout [5688],\pma_direct|auto_generated|pll_cmuplldprioout [5687],\pma_direct|auto_generated|pll_cmuplldprioout [5686], +\pma_direct|auto_generated|pll_cmuplldprioout [5685],\pma_direct|auto_generated|pll_cmuplldprioout [5684],\pma_direct|auto_generated|pll_cmuplldprioout [5683],\pma_direct|auto_generated|pll_cmuplldprioout [5682],\pma_direct|auto_generated|pll_cmuplldprioout [5681], +\pma_direct|auto_generated|pll_cmuplldprioout [5680],\pma_direct|auto_generated|pll_cmuplldprioout [5679],\pma_direct|auto_generated|pll_cmuplldprioout [5678],\pma_direct|auto_generated|pll_cmuplldprioout [5677],\pma_direct|auto_generated|pll_cmuplldprioout [5676], +\pma_direct|auto_generated|pll_cmuplldprioout [5675],\pma_direct|auto_generated|pll_cmuplldprioout [5674],\pma_direct|auto_generated|pll_cmuplldprioout [5673],\pma_direct|auto_generated|pll_cmuplldprioout [5672],\pma_direct|auto_generated|pll_cmuplldprioout [5671], +\pma_direct|auto_generated|pll_cmuplldprioout [5670],\pma_direct|auto_generated|pll_cmuplldprioout [5669],\pma_direct|auto_generated|pll_cmuplldprioout [5668],\pma_direct|auto_generated|pll_cmuplldprioout [5667],\pma_direct|auto_generated|pll_cmuplldprioout [5666], +\pma_direct|auto_generated|pll_cmuplldprioout [5665],\pma_direct|auto_generated|pll_cmuplldprioout [5664],\pma_direct|auto_generated|pll_cmuplldprioout [5663],\pma_direct|auto_generated|pll_cmuplldprioout [5662],\pma_direct|auto_generated|pll_cmuplldprioout [5661], +\pma_direct|auto_generated|pll_cmuplldprioout [5660],\pma_direct|auto_generated|pll_cmuplldprioout [5659],\pma_direct|auto_generated|pll_cmuplldprioout [5658],\pma_direct|auto_generated|pll_cmuplldprioout [5657],\pma_direct|auto_generated|pll_cmuplldprioout [5656], +\pma_direct|auto_generated|pll_cmuplldprioout [5655],\pma_direct|auto_generated|pll_cmuplldprioout [5654],\pma_direct|auto_generated|pll_cmuplldprioout [5653],\pma_direct|auto_generated|pll_cmuplldprioout [5652],\pma_direct|auto_generated|pll_cmuplldprioout [5651], +\pma_direct|auto_generated|pll_cmuplldprioout [5650],\pma_direct|auto_generated|pll_cmuplldprioout [5649],\pma_direct|auto_generated|pll_cmuplldprioout [5648],\pma_direct|auto_generated|pll_cmuplldprioout [5647],\pma_direct|auto_generated|pll_cmuplldprioout [5646], +\pma_direct|auto_generated|pll_cmuplldprioout [5645],\pma_direct|auto_generated|pll_cmuplldprioout [5644],\pma_direct|auto_generated|pll_cmuplldprioout [5643],\pma_direct|auto_generated|pll_cmuplldprioout [5642],\pma_direct|auto_generated|pll_cmuplldprioout [5641], +\pma_direct|auto_generated|pll_cmuplldprioout [5640],\pma_direct|auto_generated|pll_cmuplldprioout [5639],\pma_direct|auto_generated|pll_cmuplldprioout [5638],\pma_direct|auto_generated|pll_cmuplldprioout [5637],\pma_direct|auto_generated|pll_cmuplldprioout [5636], +\pma_direct|auto_generated|pll_cmuplldprioout [5635],\pma_direct|auto_generated|pll_cmuplldprioout [5634],\pma_direct|auto_generated|pll_cmuplldprioout [5633],\pma_direct|auto_generated|pll_cmuplldprioout [5632],\pma_direct|auto_generated|pll_cmuplldprioout [5631], +\pma_direct|auto_generated|pll_cmuplldprioout [5630],\pma_direct|auto_generated|pll_cmuplldprioout [5629],\pma_direct|auto_generated|pll_cmuplldprioout [5628],\pma_direct|auto_generated|pll_cmuplldprioout [5627],\pma_direct|auto_generated|pll_cmuplldprioout [5626], +\pma_direct|auto_generated|pll_cmuplldprioout [5625],\pma_direct|auto_generated|pll_cmuplldprioout [5624],\pma_direct|auto_generated|pll_cmuplldprioout [5623],\pma_direct|auto_generated|pll_cmuplldprioout [5622],\pma_direct|auto_generated|pll_cmuplldprioout [5621], +\pma_direct|auto_generated|pll_cmuplldprioout [5620],\pma_direct|auto_generated|pll_cmuplldprioout [5619],\pma_direct|auto_generated|pll_cmuplldprioout [5618],\pma_direct|auto_generated|pll_cmuplldprioout [5617],\pma_direct|auto_generated|pll_cmuplldprioout [5616], +\pma_direct|auto_generated|pll_cmuplldprioout [5615],\pma_direct|auto_generated|pll_cmuplldprioout [5614],\pma_direct|auto_generated|pll_cmuplldprioout [5613],\pma_direct|auto_generated|pll_cmuplldprioout [5612],\pma_direct|auto_generated|pll_cmuplldprioout [5611], +\pma_direct|auto_generated|pll_cmuplldprioout [5610],\pma_direct|auto_generated|pll_cmuplldprioout [5609],\pma_direct|auto_generated|pll_cmuplldprioout [5608],\pma_direct|auto_generated|pll_cmuplldprioout [5607],\pma_direct|auto_generated|pll_cmuplldprioout [5606], +\pma_direct|auto_generated|pll_cmuplldprioout [5605],\pma_direct|auto_generated|pll_cmuplldprioout [5604],\pma_direct|auto_generated|pll_cmuplldprioout [5603],\pma_direct|auto_generated|pll_cmuplldprioout [5602],\pma_direct|auto_generated|pll_cmuplldprioout [5601], +\pma_direct|auto_generated|pll_cmuplldprioout [5600],\pma_direct|auto_generated|pll_cmuplldprioout [5599],\pma_direct|auto_generated|pll_cmuplldprioout [5598],\pma_direct|auto_generated|pll_cmuplldprioout [5597],\pma_direct|auto_generated|pll_cmuplldprioout [5596], +\pma_direct|auto_generated|pll_cmuplldprioout [5595],\pma_direct|auto_generated|pll_cmuplldprioout [5594],\pma_direct|auto_generated|pll_cmuplldprioout [5593],\pma_direct|auto_generated|pll_cmuplldprioout [5592],\pma_direct|auto_generated|pll_cmuplldprioout [5591], +\pma_direct|auto_generated|pll_cmuplldprioout [5590],\pma_direct|auto_generated|pll_cmuplldprioout [5589],\pma_direct|auto_generated|pll_cmuplldprioout [5588],\pma_direct|auto_generated|pll_cmuplldprioout [5587],\pma_direct|auto_generated|pll_cmuplldprioout [5586], +\pma_direct|auto_generated|pll_cmuplldprioout [5585],\pma_direct|auto_generated|pll_cmuplldprioout [5584],\pma_direct|auto_generated|pll_cmuplldprioout [5583],\pma_direct|auto_generated|pll_cmuplldprioout [5582],\pma_direct|auto_generated|pll_cmuplldprioout [5581], +\pma_direct|auto_generated|pll_cmuplldprioout [5580],\pma_direct|auto_generated|pll_cmuplldprioout [5579],\pma_direct|auto_generated|pll_cmuplldprioout [5578],\pma_direct|auto_generated|pll_cmuplldprioout [5577],\pma_direct|auto_generated|pll_cmuplldprioout [5576], +\pma_direct|auto_generated|pll_cmuplldprioout [5575],\pma_direct|auto_generated|pll_cmuplldprioout [5574],\pma_direct|auto_generated|pll_cmuplldprioout [5573],\pma_direct|auto_generated|pll_cmuplldprioout [5572],\pma_direct|auto_generated|pll_cmuplldprioout [5571], +\pma_direct|auto_generated|pll_cmuplldprioout [5570],\pma_direct|auto_generated|pll_cmuplldprioout [5569],\pma_direct|auto_generated|pll_cmuplldprioout [5568],\pma_direct|auto_generated|pll_cmuplldprioout [5567],\pma_direct|auto_generated|pll_cmuplldprioout [5566], +\pma_direct|auto_generated|pll_cmuplldprioout [5565],\pma_direct|auto_generated|pll_cmuplldprioout [5564],\pma_direct|auto_generated|pll_cmuplldprioout [5563],\pma_direct|auto_generated|pll_cmuplldprioout [5562],\pma_direct|auto_generated|pll_cmuplldprioout [5561], +\pma_direct|auto_generated|pll_cmuplldprioout [5560],\pma_direct|auto_generated|pll_cmuplldprioout [5559],\pma_direct|auto_generated|pll_cmuplldprioout [5558],\pma_direct|auto_generated|pll_cmuplldprioout [5557],\pma_direct|auto_generated|pll_cmuplldprioout [5556], +\pma_direct|auto_generated|pll_cmuplldprioout [5555],\pma_direct|auto_generated|pll_cmuplldprioout [5554],\pma_direct|auto_generated|pll_cmuplldprioout [5553],\pma_direct|auto_generated|pll_cmuplldprioout [5552],\pma_direct|auto_generated|pll_cmuplldprioout [5551], +\pma_direct|auto_generated|pll_cmuplldprioout [5550],\pma_direct|auto_generated|pll_cmuplldprioout [5549],\pma_direct|auto_generated|pll_cmuplldprioout [5548],\pma_direct|auto_generated|pll_cmuplldprioout [5547],\pma_direct|auto_generated|pll_cmuplldprioout [5546], +\pma_direct|auto_generated|pll_cmuplldprioout [5545],\pma_direct|auto_generated|pll_cmuplldprioout [5544],\pma_direct|auto_generated|pll_cmuplldprioout [5543],\pma_direct|auto_generated|pll_cmuplldprioout [5542],\pma_direct|auto_generated|pll_cmuplldprioout [5541], +\pma_direct|auto_generated|pll_cmuplldprioout [5540],\pma_direct|auto_generated|pll_cmuplldprioout [5539],\pma_direct|auto_generated|pll_cmuplldprioout [5538],\pma_direct|auto_generated|pll_cmuplldprioout [5537],\pma_direct|auto_generated|pll_cmuplldprioout [5536], +\pma_direct|auto_generated|pll_cmuplldprioout [5535],\pma_direct|auto_generated|pll_cmuplldprioout [5534],\pma_direct|auto_generated|pll_cmuplldprioout [5533],\pma_direct|auto_generated|pll_cmuplldprioout [5532],\pma_direct|auto_generated|pll_cmuplldprioout [5531], +\pma_direct|auto_generated|pll_cmuplldprioout [5530],\pma_direct|auto_generated|pll_cmuplldprioout [5529],\pma_direct|auto_generated|pll_cmuplldprioout [5528],\pma_direct|auto_generated|pll_cmuplldprioout [5527],\pma_direct|auto_generated|pll_cmuplldprioout [5526], +\pma_direct|auto_generated|pll_cmuplldprioout [5525],\pma_direct|auto_generated|pll_cmuplldprioout [5524],\pma_direct|auto_generated|pll_cmuplldprioout [5523],\pma_direct|auto_generated|pll_cmuplldprioout [5522],\pma_direct|auto_generated|pll_cmuplldprioout [5521], +\pma_direct|auto_generated|pll_cmuplldprioout [5520],\pma_direct|auto_generated|pll_cmuplldprioout [5519],\pma_direct|auto_generated|pll_cmuplldprioout [5518],\pma_direct|auto_generated|pll_cmuplldprioout [5517],\pma_direct|auto_generated|pll_cmuplldprioout [5516], +\pma_direct|auto_generated|pll_cmuplldprioout [5515],\pma_direct|auto_generated|pll_cmuplldprioout [5514],\pma_direct|auto_generated|pll_cmuplldprioout [5513],\pma_direct|auto_generated|pll_cmuplldprioout [5512],\pma_direct|auto_generated|pll_cmuplldprioout [5511], +\pma_direct|auto_generated|pll_cmuplldprioout [5510],\pma_direct|auto_generated|pll_cmuplldprioout [5509],\pma_direct|auto_generated|pll_cmuplldprioout [5508],\pma_direct|auto_generated|pll_cmuplldprioout [5507],\pma_direct|auto_generated|pll_cmuplldprioout [5506], +\pma_direct|auto_generated|pll_cmuplldprioout [5505],\pma_direct|auto_generated|pll_cmuplldprioout [5504],\pma_direct|auto_generated|pll_cmuplldprioout [5503],\pma_direct|auto_generated|pll_cmuplldprioout [5502],\pma_direct|auto_generated|pll_cmuplldprioout [5501], +\pma_direct|auto_generated|pll_cmuplldprioout [5500],\pma_direct|auto_generated|pll_cmuplldprioout [5499],\pma_direct|auto_generated|pll_cmuplldprioout [5498],\pma_direct|auto_generated|pll_cmuplldprioout [5497],\pma_direct|auto_generated|pll_cmuplldprioout [5496], +\pma_direct|auto_generated|pll_cmuplldprioout [5495],\pma_direct|auto_generated|pll_cmuplldprioout [5494],\pma_direct|auto_generated|pll_cmuplldprioout [5493],\pma_direct|auto_generated|pll_cmuplldprioout [5492],\pma_direct|auto_generated|pll_cmuplldprioout [5491], +\pma_direct|auto_generated|pll_cmuplldprioout [5490],\pma_direct|auto_generated|pll_cmuplldprioout [5489],\pma_direct|auto_generated|pll_cmuplldprioout [5488],\pma_direct|auto_generated|pll_cmuplldprioout [5487],\pma_direct|auto_generated|pll_cmuplldprioout [5486], +\pma_direct|auto_generated|pll_cmuplldprioout [5485],\pma_direct|auto_generated|pll_cmuplldprioout [5484],\pma_direct|auto_generated|pll_cmuplldprioout [5483],\pma_direct|auto_generated|pll_cmuplldprioout [5482],\pma_direct|auto_generated|pll_cmuplldprioout [5481], +\pma_direct|auto_generated|pll_cmuplldprioout [5480],\pma_direct|auto_generated|pll_cmuplldprioout [5479],\pma_direct|auto_generated|pll_cmuplldprioout [5478],\pma_direct|auto_generated|pll_cmuplldprioout [5477],\pma_direct|auto_generated|pll_cmuplldprioout [5476], +\pma_direct|auto_generated|pll_cmuplldprioout [5475],\pma_direct|auto_generated|pll_cmuplldprioout [5474],\pma_direct|auto_generated|pll_cmuplldprioout [5473],\pma_direct|auto_generated|pll_cmuplldprioout [5472],\pma_direct|auto_generated|pll_cmuplldprioout [5471], +\pma_direct|auto_generated|pll_cmuplldprioout [5470],\pma_direct|auto_generated|pll_cmuplldprioout [5469],\pma_direct|auto_generated|pll_cmuplldprioout [5468],\pma_direct|auto_generated|pll_cmuplldprioout [5467],\pma_direct|auto_generated|pll_cmuplldprioout [5466], +\pma_direct|auto_generated|pll_cmuplldprioout [5465],\pma_direct|auto_generated|pll_cmuplldprioout [5464],\pma_direct|auto_generated|pll_cmuplldprioout [5463],\pma_direct|auto_generated|pll_cmuplldprioout [5462],\pma_direct|auto_generated|pll_cmuplldprioout [5461], +\pma_direct|auto_generated|pll_cmuplldprioout [5460],\pma_direct|auto_generated|pll_cmuplldprioout [5459],\pma_direct|auto_generated|pll_cmuplldprioout [5458],\pma_direct|auto_generated|pll_cmuplldprioout [5457],\pma_direct|auto_generated|pll_cmuplldprioout [5456], +\pma_direct|auto_generated|pll_cmuplldprioout [5455],\pma_direct|auto_generated|pll_cmuplldprioout [5454],\pma_direct|auto_generated|pll_cmuplldprioout [5453],\pma_direct|auto_generated|pll_cmuplldprioout [5452],\pma_direct|auto_generated|pll_cmuplldprioout [5451], +\pma_direct|auto_generated|pll_cmuplldprioout [5450],\pma_direct|auto_generated|pll_cmuplldprioout [5449],\pma_direct|auto_generated|pll_cmuplldprioout [5448],\pma_direct|auto_generated|pll_cmuplldprioout [5447],\pma_direct|auto_generated|pll_cmuplldprioout [5446], +\pma_direct|auto_generated|pll_cmuplldprioout [5445],\pma_direct|auto_generated|pll_cmuplldprioout [5444],\pma_direct|auto_generated|pll_cmuplldprioout [5443],\pma_direct|auto_generated|pll_cmuplldprioout [5442],\pma_direct|auto_generated|pll_cmuplldprioout [5441], +\pma_direct|auto_generated|pll_cmuplldprioout [5440],\pma_direct|auto_generated|pll_cmuplldprioout [5439],\pma_direct|auto_generated|pll_cmuplldprioout [5438],\pma_direct|auto_generated|pll_cmuplldprioout [5437],\pma_direct|auto_generated|pll_cmuplldprioout [5436], +\pma_direct|auto_generated|pll_cmuplldprioout [5435],\pma_direct|auto_generated|pll_cmuplldprioout [5434],\pma_direct|auto_generated|pll_cmuplldprioout [5433],\pma_direct|auto_generated|pll_cmuplldprioout [5432],\pma_direct|auto_generated|pll_cmuplldprioout [5431], +\pma_direct|auto_generated|pll_cmuplldprioout [5430],\pma_direct|auto_generated|pll_cmuplldprioout [5429],\pma_direct|auto_generated|pll_cmuplldprioout [5428],\pma_direct|auto_generated|pll_cmuplldprioout [5427],\pma_direct|auto_generated|pll_cmuplldprioout [5426], +\pma_direct|auto_generated|pll_cmuplldprioout [5425],\pma_direct|auto_generated|pll_cmuplldprioout [5424],\pma_direct|auto_generated|pll_cmuplldprioout [5423],\pma_direct|auto_generated|pll_cmuplldprioout [5422],\pma_direct|auto_generated|pll_cmuplldprioout [5421], +\pma_direct|auto_generated|pll_cmuplldprioout [5420],\pma_direct|auto_generated|pll_cmuplldprioout [5419],\pma_direct|auto_generated|pll_cmuplldprioout [5418],\pma_direct|auto_generated|pll_cmuplldprioout [5417],\pma_direct|auto_generated|pll_cmuplldprioout [5416], +\pma_direct|auto_generated|pll_cmuplldprioout [5415],\pma_direct|auto_generated|pll_cmuplldprioout [5414],\pma_direct|auto_generated|pll_cmuplldprioout [5413],\pma_direct|auto_generated|pll_cmuplldprioout [5412],\pma_direct|auto_generated|pll_cmuplldprioout [5411], +\pma_direct|auto_generated|pll_cmuplldprioout [5410],\pma_direct|auto_generated|pll_cmuplldprioout [5409],\pma_direct|auto_generated|pll_cmuplldprioout [5408],\pma_direct|auto_generated|pll_cmuplldprioout [5407],\pma_direct|auto_generated|pll_cmuplldprioout [5406], +\pma_direct|auto_generated|pll_cmuplldprioout [5405],\pma_direct|auto_generated|pll_cmuplldprioout [5404],\pma_direct|auto_generated|pll_cmuplldprioout [5403],\pma_direct|auto_generated|pll_cmuplldprioout [5402],\pma_direct|auto_generated|pll_cmuplldprioout [5401], +\pma_direct|auto_generated|pll_cmuplldprioout [5400]}), + .cmudividerdprioin({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [499],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [498],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [497], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [496],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [495],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [494],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [493], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [492],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [491],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [490],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [489], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [488],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [487],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [486],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [485], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [484],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [483],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [482],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [481], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [480],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [479],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [478],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [477], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [476],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [475],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [474],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [473], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [472],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [471],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [470],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [469], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [468],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [467],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [466],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [465], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [464],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [463],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [462],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [461], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [460],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [459],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [458],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [457], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [456],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [455],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [454],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [453], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [452],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [451],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [450],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [449], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [448],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [447],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [446],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [445], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [444],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [443],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [442],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [441], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [440],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [439],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [438],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [437], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [436],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [435],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [434],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [433], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [432],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [431],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [430],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [429], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [428],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [427],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [426],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [425], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [424],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [423],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [422],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [421], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [420],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [419],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [418],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [417], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [416],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [415],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [414],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [413], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [412],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [411],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [410],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [409], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [408],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [407],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [406],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [405], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [404],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [403],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [402],\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [401], +\pma_direct|auto_generated|edge_clk_div_cmudividerdprioin [400],gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd, +gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd}), + .refclkdividerdprioin(2'b00), + .fixedclk({gnd,gnd,\reconfig_clk~inputclkctrl_outclk ,\reconfig_clk~inputclkctrl_outclk ,\reconfig_clk~inputclkctrl_outclk ,\reconfig_clk~inputclkctrl_outclk }), + .rxdigitalreset(4'b0000), + .txdigitalreset(4'b0000), + .rxanalogreset({gnd,gnd,\pma_direct|auto_generated|rx_analogreset_in [3],\pma_direct|auto_generated|rx_analogreset_in [2],\pma_direct|auto_generated|rx_analogreset_in [1],\pma_direct|auto_generated|rx_analogreset_in [0]}), + .rxpowerdown(6'b000000), + .txpllreset({gnd,\pll_powerdown~input_o }), + .testin(10000'b0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000), + .scanin(23'b00000000000000000000000), + .extra10gin(7'b0000000), + .lccmurtestbussel(3'b000), + .extra10gout(), + .alignstatus(), + .enabledeskew(), + .fiforesetrd(), + .quadresetout(\pma_direct|auto_generated|edge_cmu_quadresetout [0]), + .dprioout(\pma_direct|auto_generated|tx_pll_cent_unit0~DPRIOOUT ), + .dpriooe(), + .dpriodisableout(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .txphfifox4wrenableout(), + .txphfifox4rdenableout(), + .txphfifox4byteselout(), + .txphfifox4rdclkout(), + .rxphfifox4wrenableout(), + .rxphfifox4rdenableout(), + .rxphfifox4byteselout(), + .rxphfifox4wrclkout(), + .autospdx4configsel(), + .autospdx4rateswitchout(), + .autospdx4spdchg(), + .phfifiox4ptrsreset(), + .lccmutestbus(), + .rxdataout(), + .rxctrlout(), + .txdataout(), + .txctrlout(), + .digitaltestout(), + .pllresetout(\pma_direct|auto_generated|tx_pll_cent_unit0_PLLRESETOUT_bus ), + .rxdigitalresetout(), + .txdigitalresetout(), + .rxanalogresetout(\pma_direct|auto_generated|tx_pll_cent_unit0_RXANALOGRESETOUT_bus ), + .txanalogresetout(\pma_direct|auto_generated|tx_pll_cent_unit0_TXANALOGRESETOUT_bus ), + .rxadceresetout(), + .rxcruresetout(\pma_direct|auto_generated|tx_pll_cent_unit0_RXCRURESETOUT_bus ), + .rxadcepowerdown(), + .rxcrupowerdown(\pma_direct|auto_generated|tx_pll_cent_unit0_RXCRUPOWERDOWN_bus ), + .rxibpowerdown(\pma_direct|auto_generated|tx_pll_cent_unit0_RXIBPOWERDOWN_bus ), + .txobpowerdown(\pma_direct|auto_generated|tx_pll_cent_unit0_TXOBPOWERDOWN_bus ), + .txdividerpowerdown(), + .txdetectrxpowerdown(\pma_direct|auto_generated|tx_pll_cent_unit0_TXDETECTRXPOWERDOWN_bus ), + .pllpowerdn(\pma_direct|auto_generated|tx_pll_cent_unit0_PLLPOWERDN_bus ), + .clkdivpowerdn(\pma_direct|auto_generated|tx_pll_cent_unit0_CLKDIVPOWERDN_bus ), + .rxpmadprioout(\pma_direct|auto_generated|tx_pll_cent_unit0_RXPMADPRIOOUT_bus ), + .rxpcsdprioout(), + .txpmadprioout(\pma_direct|auto_generated|tx_pll_cent_unit0_TXPMADPRIOOUT_bus ), + .txpcsdprioout(), + .cmuplldprioout(\pma_direct|auto_generated|tx_pll_cent_unit0_CMUPLLDPRIOOUT_bus ), + .cmudividerdprioout(\pma_direct|auto_generated|tx_pll_cent_unit0_CMUDIVIDERDPRIOOUT_bus ), + .refclkdividerdprioout(), + .testout(), + .scanout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .analog_test_bus_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .auto_spd_deassert_ph_fifo_rst_count = 8; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .auto_spd_phystatus_notify_count = 14; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .bonded_quad_mode = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .bypass_bandgap = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .central_test_bus_select = 0; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv0_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv0_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv1_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv1_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv2_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv2_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv3_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv3_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv4_inclk0_logical_to_physical_mapping = "pll0"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv4_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv5_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .clkdiv5_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .cmu_type = "regular"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .devaddr = 1; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .dprio_config_mode = 6'b000000; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .in_xaui_mode = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .num_con_align_chars_for_align = 0; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .num_con_errors_for_align_loss = 0; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .num_con_good_data_for_align_approach = 0; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .offset_all_errors_align = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pipe_auto_speed_nego_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pipe_freq_scale_mode = "frequency"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk0_logical_to_physical_mapping = "pld_clk"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk2_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk5_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk6_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk7_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk8_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_inclk9_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll0_logical_to_physical_mapping = 0; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk0_logical_to_physical_mapping = "pld_clk"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk2_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk5_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk6_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk7_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk8_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_inclk9_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll1_logical_to_physical_mapping = 1; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk0_logical_to_physical_mapping = "pld_clk"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk2_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk5_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk6_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk7_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk8_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_inclk9_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll2_logical_to_physical_mapping = 2; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk0_logical_to_physical_mapping = "pld_clk"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk2_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk5_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk6_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk7_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk8_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_inclk9_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll3_logical_to_physical_mapping = 3; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk0_logical_to_physical_mapping = "pld_clk"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk2_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk5_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk6_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk7_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk8_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_inclk9_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll4_logical_to_physical_mapping = 4; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk0_logical_to_physical_mapping = "clkrefclk0"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk1_logical_to_physical_mapping = "clkrefclk1"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk2_logical_to_physical_mapping = "iq2"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk3_logical_to_physical_mapping = "iq3"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk4_logical_to_physical_mapping = "iq4"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk5_logical_to_physical_mapping = "iq5"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk6_logical_to_physical_mapping = "iq6"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk7_logical_to_physical_mapping = "iq7"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk8_logical_to_physical_mapping = "pld_clk"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_inclk9_logical_to_physical_mapping = "gpll_clk"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pll5_logical_to_physical_mapping = 5; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .pma_done_count = 249950; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .portaddr = 1; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_auto_spd_self_switch_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_channel_bonding = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_clk1_mux_select = "recovered clock"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_clk2_mux_select = "recovered clock"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_clk_pd_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_logical_to_physical_mapping = 0; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_ph_fifo_reg_mode = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_ph_fifo_reset_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_ph_fifo_user_ctrl_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_phfifo_wait_cnt = 0; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_rd_clk_mux_select = "core clock"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_recovered_clk_mux_select = "recovered clock"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_reset_clock_output_during_digital_reset = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx0_use_double_data_mode = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx1_logical_to_physical_mapping = 1; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx2_logical_to_physical_mapping = 2; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx3_logical_to_physical_mapping = 3; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx4_logical_to_physical_mapping = 4; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx5_logical_to_physical_mapping = 5; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx_master_direction = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .rx_xaui_sm_backward_compatible_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .test_mode = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_auto_spd_self_switch_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_channel_bonding = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_clk_pd_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_logical_to_physical_mapping = 0; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_ph_fifo_reg_mode = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_ph_fifo_reset_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_ph_fifo_user_ctrl_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_pma_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_pma_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_pma_inclk2_logical_to_physical_mapping = "x4"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_pma_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_pma_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_rd_clk_mux_select = "cmu_clock_divider"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_reset_clock_output_during_digital_reset = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_use_double_data_mode = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx0_wr_clk_mux_select = "core_clk"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx1_logical_to_physical_mapping = 1; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx1_pma_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx1_pma_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx1_pma_inclk2_logical_to_physical_mapping = "x4"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx1_pma_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx1_pma_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx2_logical_to_physical_mapping = 2; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx2_pma_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx2_pma_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx2_pma_inclk2_logical_to_physical_mapping = "x4"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx2_pma_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx2_pma_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx3_logical_to_physical_mapping = 3; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx3_pma_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx3_pma_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx3_pma_inclk2_logical_to_physical_mapping = "x4"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx3_pma_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx3_pma_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx4_logical_to_physical_mapping = 4; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx4_pma_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx4_pma_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx4_pma_inclk2_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx4_pma_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx4_pma_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx5_logical_to_physical_mapping = 5; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx5_pma_inclk0_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx5_pma_inclk1_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx5_pma_inclk2_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx5_pma_inclk3_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx5_pma_inclk4_logical_to_physical_mapping = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx_master_direction = "none"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx_pll0_used_as_rx_cdr = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx_pll1_used_as_rx_cdr = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .tx_xaui_sm_backward_compatible_enable = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .use_deskew_fifo = "false"; +defparam \pma_direct|auto_generated|tx_pll_cent_unit0 .vcceh_voltage = "2.5v"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y28_N1 +stratixiv_io_ibuf \tx_datain[60]~input ( + .i(tx_datain[60]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[60]~input_o )); +// synopsys translate_off +defparam \tx_datain[60]~input .bus_hold = "false"; +defparam \tx_datain[60]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y54_N63 +stratixiv_io_ibuf \tx_datain[61]~input ( + .i(tx_datain[61]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[61]~input_o )); +// synopsys translate_off +defparam \tx_datain[61]~input .bus_hold = "false"; +defparam \tx_datain[61]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y21_N1 +stratixiv_io_ibuf \tx_datain[62]~input ( + .i(tx_datain[62]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[62]~input_o )); +// synopsys translate_off +defparam \tx_datain[62]~input .bus_hold = "false"; +defparam \tx_datain[62]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y21_N32 +stratixiv_io_ibuf \tx_datain[63]~input ( + .i(tx_datain[63]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[63]~input_o )); +// synopsys translate_off +defparam \tx_datain[63]~input .bus_hold = "false"; +defparam \tx_datain[63]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y32_N63 +stratixiv_io_ibuf \tx_datain[64]~input ( + .i(tx_datain[64]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[64]~input_o )); +// synopsys translate_off +defparam \tx_datain[64]~input .bus_hold = "false"; +defparam \tx_datain[64]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y40_N63 +stratixiv_io_ibuf \tx_datain[65]~input ( + .i(tx_datain[65]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[65]~input_o )); +// synopsys translate_off +defparam \tx_datain[65]~input .bus_hold = "false"; +defparam \tx_datain[65]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y21_N94 +stratixiv_io_ibuf \tx_datain[66]~input ( + .i(tx_datain[66]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[66]~input_o )); +// synopsys translate_off +defparam \tx_datain[66]~input .bus_hold = "false"; +defparam \tx_datain[66]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y22_N94 +stratixiv_io_ibuf \tx_datain[67]~input ( + .i(tx_datain[67]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[67]~input_o )); +// synopsys translate_off +defparam \tx_datain[67]~input .bus_hold = "false"; +defparam \tx_datain[67]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y37_N63 +stratixiv_io_ibuf \tx_datain[68]~input ( + .i(tx_datain[68]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[68]~input_o )); +// synopsys translate_off +defparam \tx_datain[68]~input .bus_hold = "false"; +defparam \tx_datain[68]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y37_N94 +stratixiv_io_ibuf \tx_datain[69]~input ( + .i(tx_datain[69]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[69]~input_o )); +// synopsys translate_off +defparam \tx_datain[69]~input .bus_hold = "false"; +defparam \tx_datain[69]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y45_N1 +stratixiv_io_ibuf \tx_datain[70]~input ( + .i(tx_datain[70]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[70]~input_o )); +// synopsys translate_off +defparam \tx_datain[70]~input .bus_hold = "false"; +defparam \tx_datain[70]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y21_N63 +stratixiv_io_ibuf \tx_datain[71]~input ( + .i(tx_datain[71]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[71]~input_o )); +// synopsys translate_off +defparam \tx_datain[71]~input .bus_hold = "false"; +defparam \tx_datain[71]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y37_N1 +stratixiv_io_ibuf \tx_datain[72]~input ( + .i(tx_datain[72]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[72]~input_o )); +// synopsys translate_off +defparam \tx_datain[72]~input .bus_hold = "false"; +defparam \tx_datain[72]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y28_N63 +stratixiv_io_ibuf \tx_datain[73]~input ( + .i(tx_datain[73]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[73]~input_o )); +// synopsys translate_off +defparam \tx_datain[73]~input .bus_hold = "false"; +defparam \tx_datain[73]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y18_N63 +stratixiv_io_ibuf \tx_datain[74]~input ( + .i(tx_datain[74]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[74]~input_o )); +// synopsys translate_off +defparam \tx_datain[74]~input .bus_hold = "false"; +defparam \tx_datain[74]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y48_N94 +stratixiv_io_ibuf \tx_datain[75]~input ( + .i(tx_datain[75]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[75]~input_o )); +// synopsys translate_off +defparam \tx_datain[75]~input .bus_hold = "false"; +defparam \tx_datain[75]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y35_N32 +stratixiv_io_ibuf \tx_datain[76]~input ( + .i(tx_datain[76]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[76]~input_o )); +// synopsys translate_off +defparam \tx_datain[76]~input .bus_hold = "false"; +defparam \tx_datain[76]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y50_N94 +stratixiv_io_ibuf \tx_datain[77]~input ( + .i(tx_datain[77]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[77]~input_o )); +// synopsys translate_off +defparam \tx_datain[77]~input .bus_hold = "false"; +defparam \tx_datain[77]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y56_N94 +stratixiv_io_ibuf \tx_datain[78]~input ( + .i(tx_datain[78]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[78]~input_o )); +// synopsys translate_off +defparam \tx_datain[78]~input .bus_hold = "false"; +defparam \tx_datain[78]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y48_N32 +stratixiv_io_ibuf \tx_datain[79]~input ( + .i(tx_datain[79]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[79]~input_o )); +// synopsys translate_off +defparam \tx_datain[79]~input .bus_hold = "false"; +defparam \tx_datain[79]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: CLOCKDIVIDER_X0_Y9_N136 +stratixiv_hssi_clock_divider \pma_direct|auto_generated|central_clk_div0 ( + .rateswitch(gnd), + .powerdn(\pma_direct|auto_generated|edge_cmu_clkdivpowerdn [0]), + .quadreset(\pma_direct|auto_generated|edge_cmu_quadresetout [0]), + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .refclkdig(gnd), + .vcobypassin(gnd), + .clk0in({\pma_direct|auto_generated|clock_divider_clk0in [3],\pma_direct|auto_generated|clock_divider_clk0in [2],\pma_direct|auto_generated|clock_divider_clk0in [1],\pma_direct|auto_generated|clock_divider_clk0in [0]}), + .clk1in(4'b0000), + .refclkin(2'b00), + .rateswitchdonein(2'b00), + .rateswitchbaseclkin(2'b00), + .dprioin({\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [99],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [98],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [97],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [96], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [95],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [94],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [93],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [92], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [91],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [90],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [89],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [88], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [87],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [86],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [85],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [84], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [83],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [82],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [81],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [80], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [79],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [78],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [77],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [76], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [75],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [74],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [73],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [72], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [71],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [70],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [69],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [68], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [67],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [66],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [65],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [64], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [63],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [62],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [61],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [60], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [59],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [58],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [57],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [56], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [55],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [54],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [53],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [52], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [51],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [50],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [49],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [48], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [47],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [46],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [45],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [44], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [43],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [42],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [41],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [40], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [39],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [38],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [37],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [36], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [35],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [34],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [33],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [32], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [31],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [30],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [29],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [28], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [27],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [26],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [25],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [24], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [23],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [22],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [21],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [20], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [19],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [18],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [17],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [16], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [15],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [14],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [13],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [12], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [11],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [10],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [9],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [8], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [7],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [6],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [5],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [4], +\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [3],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [2],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [1],\pma_direct|auto_generated|edge_cmu_cmudividerdprioout [0]}), + .analogrefclkpulseshifted(), + .analogrefclkpulse(\pma_direct|auto_generated|edge_pll_analogrefclkpulse [0]), + .refclkout(\pma_direct|auto_generated|refclk_pma_wire [0]), + .coreclkout(\pma_direct|auto_generated|central_clk_div0~coreclkout ), + .rateswitchdone(), + .rateswitchbaseclock(), + .rateswitchout(), + .analogrefclkoutshifted(), + .analogfastrefclkoutshifted(), + .analogrefclkout(\pma_direct|auto_generated|central_clk_div0_ANALOGREFCLKOUT_bus ), + .analogfastrefclkout(\pma_direct|auto_generated|central_clk_div0_ANALOGFASTREFCLKOUT_bus ), + .dprioout(\pma_direct|auto_generated|central_clk_div0_DPRIOOUT_bus )); +// synopsys translate_off +defparam \pma_direct|auto_generated|central_clk_div0 .channel_num = 4; +defparam \pma_direct|auto_generated|central_clk_div0 .coreclk_out_gated_by_quad_reset = "false"; +defparam \pma_direct|auto_generated|central_clk_div0 .data_rate = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .divide_by = 5; +defparam \pma_direct|auto_generated|central_clk_div0 .divider_type = "central_enhanced"; +defparam \pma_direct|auto_generated|central_clk_div0 .dprio_config_mode = 6'b000000; +defparam \pma_direct|auto_generated|central_clk_div0 .effective_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|central_clk_div0 .enable_dynamic_divider = "false"; +defparam \pma_direct|auto_generated|central_clk_div0 .enable_refclk_out = "true"; +defparam \pma_direct|auto_generated|central_clk_div0 .inclk_select = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .logical_channel_address = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .pre_divide_by = 1; +defparam \pma_direct|auto_generated|central_clk_div0 .rate_switch_base_clk_in_select = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .rate_switch_done_in_select = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .refclk_divide_by = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .refclk_multiply_by = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .refclkin_select = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .select_local_rate_switch_base_clock = "true"; +defparam \pma_direct|auto_generated|central_clk_div0 .select_local_rate_switch_done = "false"; +defparam \pma_direct|auto_generated|central_clk_div0 .select_local_refclk = "true"; +defparam \pma_direct|auto_generated|central_clk_div0 .select_refclk_dig = "false"; +defparam \pma_direct|auto_generated|central_clk_div0 .sim_analogfastrefclkout_phase_shift = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .sim_analogrefclkout_phase_shift = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .sim_coreclkout_phase_shift = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .sim_refclkout_phase_shift = 0; +defparam \pma_direct|auto_generated|central_clk_div0 .use_coreclk_out_post_divider = "false"; +defparam \pma_direct|auto_generated|central_clk_div0 .use_refclk_post_divider = "true"; +defparam \pma_direct|auto_generated|central_clk_div0 .use_vco_bypass = "false"; +// synopsys translate_on + +// Location: TXPMA_X0_Y18_N138 +stratixiv_hssi_tx_pma \pma_direct|auto_generated|transmit_pma3 ( + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .txpmareset(\pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT3 ), + .powerdn(\pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN3 ), + .forceelecidle(gnd), + .rxdetecten(gnd), + .detectrxpowerdown(\pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN3 ), + .rxdetectclk(gnd), + .refclk0inpulse(gnd), + .refclk1inpulse(gnd), + .refclk2inpulse(\pma_direct|auto_generated|edge_pll_analogrefclkpulse [0]), + .refclk3inpulse(gnd), + .refclk4inpulse(gnd), + .revserialfdbk(gnd), + .dprioin({\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1199 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1198 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1197 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1196 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1195 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1194 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1193 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1192 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1191 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1190 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1189 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1188 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1187 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1186 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1185 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1184 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1183 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1182 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1181 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1180 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1179 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1178 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1177 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1176 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1175 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1174 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1173 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1172 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1171 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1170 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1169 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1168 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1167 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1166 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1165 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1164 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1163 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1162 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1161 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1160 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1159 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1158 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1157 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1156 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1155 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1154 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1153 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1152 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1151 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1150 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1149 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1148 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1147 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1146 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1145 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1144 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1143 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1142 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1141 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1140 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1139 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1138 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1137 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1136 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1135 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1134 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1133 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1132 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1131 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1130 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1129 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1128 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1127 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1126 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1125 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1124 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1123 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1122 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1121 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1120 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1119 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1118 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1117 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1116 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1115 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1114 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1113 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1112 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1111 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1110 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1109 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1108 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1107 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1106 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1105 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1104 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1103 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1102 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1101 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1100 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1099 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1098 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1097 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1096 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1095 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1094 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1093 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1092 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1091 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1090 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1089 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1088 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1087 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1086 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1085 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1084 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1083 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1082 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1081 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1080 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1079 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1078 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1077 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1076 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1075 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1074 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1073 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1072 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1071 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1070 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1069 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1068 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1067 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1066 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1065 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1064 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1063 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1062 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1061 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1060 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1059 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1058 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1057 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1056 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1055 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1054 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1053 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1052 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1051 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1050 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1049 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1048 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1047 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1046 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1045 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1044 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1043 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1042 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1041 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1040 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1039 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1038 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1037 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1036 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1035 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1034 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1033 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1032 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1031 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1030 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1029 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1028 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1027 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1026 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1025 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1024 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1023 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1022 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1021 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1020 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1019 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1018 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1017 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1016 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1015 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1014 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1013 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1012 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1011 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1010 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1009 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1008 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1007 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1006 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1005 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1004 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1003 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1002 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1001 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1000 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT999 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT998 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT997 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT996 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT995 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT994 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT993 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT992 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT991 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT990 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT989 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT988 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT987 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT986 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT985 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT984 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT983 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT982 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT981 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT980 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT979 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT978 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT977 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT976 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT975 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT974 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT973 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT972 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT971 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT970 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT969 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT968 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT967 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT966 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT965 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT964 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT963 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT962 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT961 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT960 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT959 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT958 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT957 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT956 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT955 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT954 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT953 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT952 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT951 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT950 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT949 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT948 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT947 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT946 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT945 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT944 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT943 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT942 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT941 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT940 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT939 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT938 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT937 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT936 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT935 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT934 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT933 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT932 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT931 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT930 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT929 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT928 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT927 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT926 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT925 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT924 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT923 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT922 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT921 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT920 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT919 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT918 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT917 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT916 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT915 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT914 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT913 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT912 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT911 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT910 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT909 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT908 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT907 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT906 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT905 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT904 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT903 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT902 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT901 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT900 }), + .datain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\tx_datain[79]~input_o ,\tx_datain[78]~input_o ,\tx_datain[77]~input_o ,\tx_datain[76]~input_o ,\tx_datain[75]~input_o , +\tx_datain[74]~input_o ,\tx_datain[73]~input_o ,\tx_datain[72]~input_o ,\tx_datain[71]~input_o ,\tx_datain[70]~input_o ,\tx_datain[69]~input_o ,\tx_datain[68]~input_o ,\tx_datain[67]~input_o ,\tx_datain[66]~input_o ,\tx_datain[65]~input_o ,\tx_datain[64]~input_o , +\tx_datain[63]~input_o ,\tx_datain[62]~input_o ,\tx_datain[61]~input_o ,\tx_datain[60]~input_o }), + .refclk0in(2'b00), + .fastrefclk0in(2'b00), + .refclk1in(2'b00), + .fastrefclk1in(2'b00), + .refclk2in({\pma_direct|auto_generated|edge_pll_analogrefclkout [1],\pma_direct|auto_generated|edge_pll_analogrefclkout [0]}), + .fastrefclk2in({\pma_direct|auto_generated|edge_pll_analogfastrefclkout [1],\pma_direct|auto_generated|edge_pll_analogfastrefclkout [0]}), + .refclk3in(2'b00), + .fastrefclk3in(2'b00), + .refclk4in(2'b00), + .fastrefclk4in(2'b00), + .pclk({gnd,gnd,\pma_direct|auto_generated|refclk_pma_wire [0],gnd,gnd}), + .extra10gin(11'b00000000000), + .datainfull(20'b00000000000000000000), + .rxdetectvalidout(), + .rxfoundout(), + .dataout(\pma_direct|auto_generated|wire_transmit_pma3_dataout ), + .clockout(\pma_direct|auto_generated|wire_transmit_pma3_clockout ), + .seriallpbkout(), + .dprioout(\pma_direct|auto_generated|transmit_pma3_DPRIOOUT_bus ), + .dftout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|transmit_pma3 .analog_power = "1.4v"; +defparam \pma_direct|auto_generated|transmit_pma3 .channel_number = 3; +defparam \pma_direct|auto_generated|transmit_pma3 .channel_type = "regular"; +defparam \pma_direct|auto_generated|transmit_pma3 .clkin_select = 2; +defparam \pma_direct|auto_generated|transmit_pma3 .clkmux_delay = "false"; +defparam \pma_direct|auto_generated|transmit_pma3 .common_mode = "0.65v"; +defparam \pma_direct|auto_generated|transmit_pma3 .dprio_config_mode = 6'b000001; +defparam \pma_direct|auto_generated|transmit_pma3 .enable_reverse_serial_loopback = "false"; +defparam \pma_direct|auto_generated|transmit_pma3 .logical_channel_address = 12; +defparam \pma_direct|auto_generated|transmit_pma3 .logical_protocol_hint_0 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma3 .logical_protocol_hint_1 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma3 .logical_protocol_hint_2 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma3 .logical_protocol_hint_3 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma3 .low_speed_test_select = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .physical_clkin0_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma3 .physical_clkin1_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma3 .physical_clkin2_mapping = "x4"; +defparam \pma_direct|auto_generated|transmit_pma3 .physical_clkin3_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma3 .physical_clkin4_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma3 .preemp_pretap = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .preemp_pretap_inv = "false"; +defparam \pma_direct|auto_generated|transmit_pma3 .preemp_tap_1 = 5; +defparam \pma_direct|auto_generated|transmit_pma3 .preemp_tap_1_a = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .preemp_tap_1_b = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .preemp_tap_1_c = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .preemp_tap_2 = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .preemp_tap_2_inv = "false"; +defparam \pma_direct|auto_generated|transmit_pma3 .protocol_hint = "basic"; +defparam \pma_direct|auto_generated|transmit_pma3 .rx_detect = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .serialization_factor = 20; +defparam \pma_direct|auto_generated|transmit_pma3 .slew_rate = "low"; +defparam \pma_direct|auto_generated|transmit_pma3 .termination = "oct 100 ohms"; +defparam \pma_direct|auto_generated|transmit_pma3 .use_external_termination = "false"; +defparam \pma_direct|auto_generated|transmit_pma3 .use_pclk = "true"; +defparam \pma_direct|auto_generated|transmit_pma3 .use_pma_direct = "true"; +defparam \pma_direct|auto_generated|transmit_pma3 .use_rx_detect = "false"; +defparam \pma_direct|auto_generated|transmit_pma3 .use_ser_double_data_mode = "true"; +defparam \pma_direct|auto_generated|transmit_pma3 .vod_selection = 1; +defparam \pma_direct|auto_generated|transmit_pma3 .vod_selection_a = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .vod_selection_b = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .vod_selection_c = 0; +defparam \pma_direct|auto_generated|transmit_pma3 .vod_selection_d = 0; +// synopsys translate_on + +// Location: IOIBUF_X0_Y57_N32 +stratixiv_io_ibuf \tx_datain[40]~input ( + .i(tx_datain[40]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[40]~input_o )); +// synopsys translate_off +defparam \tx_datain[40]~input .bus_hold = "false"; +defparam \tx_datain[40]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y44_N63 +stratixiv_io_ibuf \tx_datain[41]~input ( + .i(tx_datain[41]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[41]~input_o )); +// synopsys translate_off +defparam \tx_datain[41]~input .bus_hold = "false"; +defparam \tx_datain[41]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y33_N32 +stratixiv_io_ibuf \tx_datain[42]~input ( + .i(tx_datain[42]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[42]~input_o )); +// synopsys translate_off +defparam \tx_datain[42]~input .bus_hold = "false"; +defparam \tx_datain[42]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y52_N63 +stratixiv_io_ibuf \tx_datain[43]~input ( + .i(tx_datain[43]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[43]~input_o )); +// synopsys translate_off +defparam \tx_datain[43]~input .bus_hold = "false"; +defparam \tx_datain[43]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y18_N32 +stratixiv_io_ibuf \tx_datain[44]~input ( + .i(tx_datain[44]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[44]~input_o )); +// synopsys translate_off +defparam \tx_datain[44]~input .bus_hold = "false"; +defparam \tx_datain[44]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y47_N1 +stratixiv_io_ibuf \tx_datain[45]~input ( + .i(tx_datain[45]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[45]~input_o )); +// synopsys translate_off +defparam \tx_datain[45]~input .bus_hold = "false"; +defparam \tx_datain[45]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y56_N32 +stratixiv_io_ibuf \tx_datain[46]~input ( + .i(tx_datain[46]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[46]~input_o )); +// synopsys translate_off +defparam \tx_datain[46]~input .bus_hold = "false"; +defparam \tx_datain[46]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y57_N94 +stratixiv_io_ibuf \tx_datain[47]~input ( + .i(tx_datain[47]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[47]~input_o )); +// synopsys translate_off +defparam \tx_datain[47]~input .bus_hold = "false"; +defparam \tx_datain[47]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y50_N32 +stratixiv_io_ibuf \tx_datain[48]~input ( + .i(tx_datain[48]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[48]~input_o )); +// synopsys translate_off +defparam \tx_datain[48]~input .bus_hold = "false"; +defparam \tx_datain[48]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y56_N1 +stratixiv_io_ibuf \tx_datain[49]~input ( + .i(tx_datain[49]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[49]~input_o )); +// synopsys translate_off +defparam \tx_datain[49]~input .bus_hold = "false"; +defparam \tx_datain[49]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y37_N32 +stratixiv_io_ibuf \tx_datain[50]~input ( + .i(tx_datain[50]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[50]~input_o )); +// synopsys translate_off +defparam \tx_datain[50]~input .bus_hold = "false"; +defparam \tx_datain[50]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y24_N63 +stratixiv_io_ibuf \tx_datain[51]~input ( + .i(tx_datain[51]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[51]~input_o )); +// synopsys translate_off +defparam \tx_datain[51]~input .bus_hold = "false"; +defparam \tx_datain[51]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y32_N32 +stratixiv_io_ibuf \tx_datain[52]~input ( + .i(tx_datain[52]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[52]~input_o )); +// synopsys translate_off +defparam \tx_datain[52]~input .bus_hold = "false"; +defparam \tx_datain[52]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y45_N94 +stratixiv_io_ibuf \tx_datain[53]~input ( + .i(tx_datain[53]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[53]~input_o )); +// synopsys translate_off +defparam \tx_datain[53]~input .bus_hold = "false"; +defparam \tx_datain[53]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y44_N1 +stratixiv_io_ibuf \tx_datain[54]~input ( + .i(tx_datain[54]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[54]~input_o )); +// synopsys translate_off +defparam \tx_datain[54]~input .bus_hold = "false"; +defparam \tx_datain[54]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y50_N1 +stratixiv_io_ibuf \tx_datain[55]~input ( + .i(tx_datain[55]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[55]~input_o )); +// synopsys translate_off +defparam \tx_datain[55]~input .bus_hold = "false"; +defparam \tx_datain[55]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y44_N94 +stratixiv_io_ibuf \tx_datain[56]~input ( + .i(tx_datain[56]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[56]~input_o )); +// synopsys translate_off +defparam \tx_datain[56]~input .bus_hold = "false"; +defparam \tx_datain[56]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y24_N94 +stratixiv_io_ibuf \tx_datain[57]~input ( + .i(tx_datain[57]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[57]~input_o )); +// synopsys translate_off +defparam \tx_datain[57]~input .bus_hold = "false"; +defparam \tx_datain[57]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y40_N1 +stratixiv_io_ibuf \tx_datain[58]~input ( + .i(tx_datain[58]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[58]~input_o )); +// synopsys translate_off +defparam \tx_datain[58]~input .bus_hold = "false"; +defparam \tx_datain[58]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y28_N94 +stratixiv_io_ibuf \tx_datain[59]~input ( + .i(tx_datain[59]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[59]~input_o )); +// synopsys translate_off +defparam \tx_datain[59]~input .bus_hold = "false"; +defparam \tx_datain[59]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: TXPMA_X0_Y15_N138 +stratixiv_hssi_tx_pma \pma_direct|auto_generated|transmit_pma2 ( + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .txpmareset(\pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT2 ), + .powerdn(\pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN2 ), + .forceelecidle(gnd), + .rxdetecten(gnd), + .detectrxpowerdown(\pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN2 ), + .rxdetectclk(gnd), + .refclk0inpulse(gnd), + .refclk1inpulse(gnd), + .refclk2inpulse(\pma_direct|auto_generated|edge_pll_analogrefclkpulse [0]), + .refclk3inpulse(gnd), + .refclk4inpulse(gnd), + .revserialfdbk(gnd), + .dprioin({\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT899 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT898 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT897 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT896 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT895 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT894 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT893 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT892 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT891 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT890 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT889 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT888 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT887 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT886 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT885 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT884 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT883 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT882 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT881 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT880 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT879 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT878 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT877 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT876 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT875 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT874 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT873 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT872 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT871 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT870 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT869 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT868 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT867 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT866 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT865 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT864 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT863 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT862 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT861 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT860 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT859 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT858 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT857 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT856 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT855 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT854 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT853 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT852 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT851 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT850 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT849 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT848 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT847 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT846 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT845 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT844 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT843 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT842 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT841 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT840 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT839 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT838 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT837 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT836 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT835 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT834 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT833 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT832 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT831 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT830 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT829 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT828 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT827 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT826 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT825 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT824 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT823 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT822 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT821 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT820 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT819 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT818 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT817 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT816 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT815 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT814 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT813 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT812 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT811 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT810 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT809 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT808 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT807 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT806 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT805 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT804 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT803 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT802 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT801 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT800 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT799 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT798 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT797 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT796 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT795 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT794 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT793 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT792 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT791 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT790 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT789 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT788 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT787 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT786 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT785 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT784 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT783 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT782 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT781 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT780 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT779 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT778 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT777 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT776 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT775 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT774 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT773 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT772 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT771 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT770 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT769 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT768 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT767 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT766 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT765 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT764 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT763 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT762 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT761 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT760 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT759 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT758 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT757 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT756 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT755 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT754 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT753 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT752 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT751 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT750 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT749 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT748 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT747 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT746 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT745 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT744 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT743 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT742 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT741 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT740 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT739 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT738 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT737 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT736 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT735 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT734 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT733 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT732 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT731 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT730 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT729 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT728 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT727 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT726 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT725 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT724 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT723 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT722 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT721 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT720 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT719 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT718 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT717 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT716 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT715 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT714 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT713 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT712 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT711 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT710 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT709 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT708 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT707 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT706 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT705 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT704 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT703 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT702 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT701 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT700 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT699 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT698 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT697 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT696 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT695 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT694 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT693 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT692 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT691 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT690 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT689 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT688 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT687 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT686 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT685 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT684 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT683 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT682 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT681 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT680 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT679 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT678 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT677 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT676 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT675 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT674 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT673 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT672 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT671 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT670 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT669 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT668 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT667 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT666 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT665 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT664 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT663 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT662 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT661 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT660 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT659 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT658 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT657 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT656 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT655 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT654 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT653 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT652 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT651 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT650 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT649 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT648 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT647 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT646 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT645 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT644 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT643 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT642 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT641 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT640 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT639 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT638 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT637 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT636 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT635 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT634 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT633 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT632 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT631 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT630 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT629 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT628 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT627 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT626 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT625 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT624 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT623 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT622 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT621 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT620 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT619 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT618 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT617 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT616 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT615 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT614 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT613 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT612 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT611 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT610 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT609 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT608 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT607 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT606 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT605 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT604 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT603 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT602 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT601 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT600 }), + .datain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\tx_datain[59]~input_o ,\tx_datain[58]~input_o ,\tx_datain[57]~input_o ,\tx_datain[56]~input_o ,\tx_datain[55]~input_o , +\tx_datain[54]~input_o ,\tx_datain[53]~input_o ,\tx_datain[52]~input_o ,\tx_datain[51]~input_o ,\tx_datain[50]~input_o ,\tx_datain[49]~input_o ,\tx_datain[48]~input_o ,\tx_datain[47]~input_o ,\tx_datain[46]~input_o ,\tx_datain[45]~input_o ,\tx_datain[44]~input_o , +\tx_datain[43]~input_o ,\tx_datain[42]~input_o ,\tx_datain[41]~input_o ,\tx_datain[40]~input_o }), + .refclk0in(2'b00), + .fastrefclk0in(2'b00), + .refclk1in(2'b00), + .fastrefclk1in(2'b00), + .refclk2in({\pma_direct|auto_generated|edge_pll_analogrefclkout [1],\pma_direct|auto_generated|edge_pll_analogrefclkout [0]}), + .fastrefclk2in({\pma_direct|auto_generated|edge_pll_analogfastrefclkout [1],\pma_direct|auto_generated|edge_pll_analogfastrefclkout [0]}), + .refclk3in(2'b00), + .fastrefclk3in(2'b00), + .refclk4in(2'b00), + .fastrefclk4in(2'b00), + .pclk({gnd,gnd,\pma_direct|auto_generated|refclk_pma_wire [0],gnd,gnd}), + .extra10gin(11'b00000000000), + .datainfull(20'b00000000000000000000), + .rxdetectvalidout(), + .rxfoundout(), + .dataout(\pma_direct|auto_generated|wire_transmit_pma2_dataout ), + .clockout(\pma_direct|auto_generated|wire_transmit_pma2_clockout ), + .seriallpbkout(), + .dprioout(\pma_direct|auto_generated|transmit_pma2_DPRIOOUT_bus ), + .dftout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|transmit_pma2 .analog_power = "1.4v"; +defparam \pma_direct|auto_generated|transmit_pma2 .channel_number = 2; +defparam \pma_direct|auto_generated|transmit_pma2 .channel_type = "regular"; +defparam \pma_direct|auto_generated|transmit_pma2 .clkin_select = 2; +defparam \pma_direct|auto_generated|transmit_pma2 .clkmux_delay = "false"; +defparam \pma_direct|auto_generated|transmit_pma2 .common_mode = "0.65v"; +defparam \pma_direct|auto_generated|transmit_pma2 .dprio_config_mode = 6'b000001; +defparam \pma_direct|auto_generated|transmit_pma2 .enable_reverse_serial_loopback = "false"; +defparam \pma_direct|auto_generated|transmit_pma2 .logical_channel_address = 8; +defparam \pma_direct|auto_generated|transmit_pma2 .logical_protocol_hint_0 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma2 .logical_protocol_hint_1 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma2 .logical_protocol_hint_2 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma2 .logical_protocol_hint_3 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma2 .low_speed_test_select = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .physical_clkin0_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma2 .physical_clkin1_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma2 .physical_clkin2_mapping = "x4"; +defparam \pma_direct|auto_generated|transmit_pma2 .physical_clkin3_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma2 .physical_clkin4_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma2 .preemp_pretap = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .preemp_pretap_inv = "false"; +defparam \pma_direct|auto_generated|transmit_pma2 .preemp_tap_1 = 5; +defparam \pma_direct|auto_generated|transmit_pma2 .preemp_tap_1_a = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .preemp_tap_1_b = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .preemp_tap_1_c = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .preemp_tap_2 = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .preemp_tap_2_inv = "false"; +defparam \pma_direct|auto_generated|transmit_pma2 .protocol_hint = "basic"; +defparam \pma_direct|auto_generated|transmit_pma2 .rx_detect = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .serialization_factor = 20; +defparam \pma_direct|auto_generated|transmit_pma2 .slew_rate = "low"; +defparam \pma_direct|auto_generated|transmit_pma2 .termination = "oct 100 ohms"; +defparam \pma_direct|auto_generated|transmit_pma2 .use_external_termination = "false"; +defparam \pma_direct|auto_generated|transmit_pma2 .use_pclk = "true"; +defparam \pma_direct|auto_generated|transmit_pma2 .use_pma_direct = "true"; +defparam \pma_direct|auto_generated|transmit_pma2 .use_rx_detect = "false"; +defparam \pma_direct|auto_generated|transmit_pma2 .use_ser_double_data_mode = "true"; +defparam \pma_direct|auto_generated|transmit_pma2 .vod_selection = 1; +defparam \pma_direct|auto_generated|transmit_pma2 .vod_selection_a = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .vod_selection_b = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .vod_selection_c = 0; +defparam \pma_direct|auto_generated|transmit_pma2 .vod_selection_d = 0; +// synopsys translate_on + +// Location: IOIBUF_X0_Y45_N63 +stratixiv_io_ibuf \tx_datain[20]~input ( + .i(tx_datain[20]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[20]~input_o )); +// synopsys translate_off +defparam \tx_datain[20]~input .bus_hold = "false"; +defparam \tx_datain[20]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y50_N63 +stratixiv_io_ibuf \tx_datain[21]~input ( + .i(tx_datain[21]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[21]~input_o )); +// synopsys translate_off +defparam \tx_datain[21]~input .bus_hold = "false"; +defparam \tx_datain[21]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y48_N1 +stratixiv_io_ibuf \tx_datain[22]~input ( + .i(tx_datain[22]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[22]~input_o )); +// synopsys translate_off +defparam \tx_datain[22]~input .bus_hold = "false"; +defparam \tx_datain[22]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X19_Y0_N94 +stratixiv_io_ibuf \tx_datain[23]~input ( + .i(tx_datain[23]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[23]~input_o )); +// synopsys translate_off +defparam \tx_datain[23]~input .bus_hold = "false"; +defparam \tx_datain[23]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y18_N1 +stratixiv_io_ibuf \tx_datain[24]~input ( + .i(tx_datain[24]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[24]~input_o )); +// synopsys translate_off +defparam \tx_datain[24]~input .bus_hold = "false"; +defparam \tx_datain[24]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y18_N94 +stratixiv_io_ibuf \tx_datain[25]~input ( + .i(tx_datain[25]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[25]~input_o )); +// synopsys translate_off +defparam \tx_datain[25]~input .bus_hold = "false"; +defparam \tx_datain[25]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y44_N32 +stratixiv_io_ibuf \tx_datain[26]~input ( + .i(tx_datain[26]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[26]~input_o )); +// synopsys translate_off +defparam \tx_datain[26]~input .bus_hold = "false"; +defparam \tx_datain[26]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y56_N63 +stratixiv_io_ibuf \tx_datain[27]~input ( + .i(tx_datain[27]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[27]~input_o )); +// synopsys translate_off +defparam \tx_datain[27]~input .bus_hold = "false"; +defparam \tx_datain[27]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y54_N32 +stratixiv_io_ibuf \tx_datain[28]~input ( + .i(tx_datain[28]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[28]~input_o )); +// synopsys translate_off +defparam \tx_datain[28]~input .bus_hold = "false"; +defparam \tx_datain[28]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y54_N1 +stratixiv_io_ibuf \tx_datain[29]~input ( + .i(tx_datain[29]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[29]~input_o )); +// synopsys translate_off +defparam \tx_datain[29]~input .bus_hold = "false"; +defparam \tx_datain[29]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y48_N63 +stratixiv_io_ibuf \tx_datain[30]~input ( + .i(tx_datain[30]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[30]~input_o )); +// synopsys translate_off +defparam \tx_datain[30]~input .bus_hold = "false"; +defparam \tx_datain[30]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y13_N32 +stratixiv_io_ibuf \tx_datain[31]~input ( + .i(tx_datain[31]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[31]~input_o )); +// synopsys translate_off +defparam \tx_datain[31]~input .bus_hold = "false"; +defparam \tx_datain[31]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X19_Y0_N1 +stratixiv_io_ibuf \tx_datain[32]~input ( + .i(tx_datain[32]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[32]~input_o )); +// synopsys translate_off +defparam \tx_datain[32]~input .bus_hold = "false"; +defparam \tx_datain[32]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y33_N63 +stratixiv_io_ibuf \tx_datain[33]~input ( + .i(tx_datain[33]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[33]~input_o )); +// synopsys translate_off +defparam \tx_datain[33]~input .bus_hold = "false"; +defparam \tx_datain[33]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y45_N32 +stratixiv_io_ibuf \tx_datain[34]~input ( + .i(tx_datain[34]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[34]~input_o )); +// synopsys translate_off +defparam \tx_datain[34]~input .bus_hold = "false"; +defparam \tx_datain[34]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y47_N32 +stratixiv_io_ibuf \tx_datain[35]~input ( + .i(tx_datain[35]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[35]~input_o )); +// synopsys translate_off +defparam \tx_datain[35]~input .bus_hold = "false"; +defparam \tx_datain[35]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y16_N1 +stratixiv_io_ibuf \tx_datain[36]~input ( + .i(tx_datain[36]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[36]~input_o )); +// synopsys translate_off +defparam \tx_datain[36]~input .bus_hold = "false"; +defparam \tx_datain[36]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y13_N1 +stratixiv_io_ibuf \tx_datain[37]~input ( + .i(tx_datain[37]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[37]~input_o )); +// synopsys translate_off +defparam \tx_datain[37]~input .bus_hold = "false"; +defparam \tx_datain[37]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X19_Y0_N32 +stratixiv_io_ibuf \tx_datain[38]~input ( + .i(tx_datain[38]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[38]~input_o )); +// synopsys translate_off +defparam \tx_datain[38]~input .bus_hold = "false"; +defparam \tx_datain[38]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y33_N94 +stratixiv_io_ibuf \tx_datain[39]~input ( + .i(tx_datain[39]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[39]~input_o )); +// synopsys translate_off +defparam \tx_datain[39]~input .bus_hold = "false"; +defparam \tx_datain[39]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: TXPMA_X0_Y6_N138 +stratixiv_hssi_tx_pma \pma_direct|auto_generated|transmit_pma1 ( + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .txpmareset(\pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT1 ), + .powerdn(\pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN1 ), + .forceelecidle(gnd), + .rxdetecten(gnd), + .detectrxpowerdown(\pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN1 ), + .rxdetectclk(gnd), + .refclk0inpulse(gnd), + .refclk1inpulse(gnd), + .refclk2inpulse(\pma_direct|auto_generated|edge_pll_analogrefclkpulse [0]), + .refclk3inpulse(gnd), + .refclk4inpulse(gnd), + .revserialfdbk(gnd), + .dprioin({\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT599 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT598 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT597 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT596 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT595 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT594 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT593 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT592 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT591 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT590 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT589 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT588 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT587 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT586 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT585 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT584 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT583 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT582 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT581 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT580 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT579 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT578 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT577 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT576 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT575 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT574 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT573 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT572 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT571 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT570 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT569 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT568 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT567 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT566 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT565 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT564 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT563 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT562 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT561 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT560 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT559 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT558 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT557 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT556 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT555 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT554 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT553 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT552 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT551 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT550 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT549 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT548 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT547 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT546 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT545 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT544 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT543 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT542 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT541 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT540 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT539 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT538 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT537 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT536 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT535 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT534 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT533 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT532 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT531 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT530 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT529 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT528 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT527 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT526 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT525 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT524 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT523 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT522 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT521 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT520 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT519 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT518 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT517 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT516 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT515 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT514 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT513 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT512 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT511 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT510 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT509 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT508 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT507 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT506 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT505 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT504 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT503 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT502 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT501 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT500 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT499 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT498 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT497 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT496 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT495 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT494 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT493 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT492 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT491 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT490 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT489 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT488 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT487 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT486 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT485 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT484 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT483 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT482 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT481 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT480 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT479 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT478 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT477 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT476 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT475 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT474 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT473 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT472 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT471 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT470 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT469 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT468 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT467 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT466 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT465 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT464 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT463 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT462 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT461 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT460 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT459 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT458 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT457 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT456 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT455 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT454 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT453 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT452 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT451 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT450 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT449 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT448 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT447 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT446 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT445 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT444 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT443 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT442 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT441 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT440 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT439 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT438 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT437 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT436 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT435 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT434 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT433 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT432 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT431 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT430 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT429 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT428 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT427 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT426 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT425 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT424 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT423 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT422 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT421 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT420 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT419 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT418 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT417 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT416 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT415 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT414 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT413 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT412 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT411 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT410 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT409 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT408 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT407 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT406 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT405 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT404 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT403 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT402 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT401 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT400 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT399 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT398 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT397 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT396 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT395 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT394 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT393 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT392 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT391 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT390 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT389 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT388 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT387 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT386 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT385 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT384 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT383 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT382 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT381 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT380 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT379 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT378 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT377 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT376 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT375 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT374 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT373 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT372 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT371 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT370 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT369 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT368 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT367 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT366 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT365 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT364 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT363 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT362 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT361 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT360 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT359 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT358 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT357 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT356 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT355 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT354 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT353 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT352 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT351 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT350 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT349 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT348 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT347 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT346 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT345 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT344 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT343 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT342 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT341 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT340 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT339 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT338 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT337 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT336 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT335 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT334 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT333 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT332 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT331 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT330 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT329 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT328 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT327 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT326 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT325 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT324 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT323 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT322 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT321 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT320 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT319 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT318 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT317 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT316 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT315 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT314 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT313 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT312 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT311 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT310 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT309 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT308 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT307 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT306 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT305 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT304 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT303 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT302 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT301 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT300 }), + .datain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\tx_datain[39]~input_o ,\tx_datain[38]~input_o ,\tx_datain[37]~input_o ,\tx_datain[36]~input_o ,\tx_datain[35]~input_o , +\tx_datain[34]~input_o ,\tx_datain[33]~input_o ,\tx_datain[32]~input_o ,\tx_datain[31]~input_o ,\tx_datain[30]~input_o ,\tx_datain[29]~input_o ,\tx_datain[28]~input_o ,\tx_datain[27]~input_o ,\tx_datain[26]~input_o ,\tx_datain[25]~input_o ,\tx_datain[24]~input_o , +\tx_datain[23]~input_o ,\tx_datain[22]~input_o ,\tx_datain[21]~input_o ,\tx_datain[20]~input_o }), + .refclk0in(2'b00), + .fastrefclk0in(2'b00), + .refclk1in(2'b00), + .fastrefclk1in(2'b00), + .refclk2in({\pma_direct|auto_generated|edge_pll_analogrefclkout [1],\pma_direct|auto_generated|edge_pll_analogrefclkout [0]}), + .fastrefclk2in({\pma_direct|auto_generated|edge_pll_analogfastrefclkout [1],\pma_direct|auto_generated|edge_pll_analogfastrefclkout [0]}), + .refclk3in(2'b00), + .fastrefclk3in(2'b00), + .refclk4in(2'b00), + .fastrefclk4in(2'b00), + .pclk({gnd,gnd,\pma_direct|auto_generated|refclk_pma_wire [0],gnd,gnd}), + .extra10gin(11'b00000000000), + .datainfull(20'b00000000000000000000), + .rxdetectvalidout(), + .rxfoundout(), + .dataout(\pma_direct|auto_generated|wire_transmit_pma1_dataout ), + .clockout(\pma_direct|auto_generated|wire_transmit_pma1_clockout ), + .seriallpbkout(), + .dprioout(\pma_direct|auto_generated|transmit_pma1_DPRIOOUT_bus ), + .dftout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|transmit_pma1 .analog_power = "1.4v"; +defparam \pma_direct|auto_generated|transmit_pma1 .channel_number = 1; +defparam \pma_direct|auto_generated|transmit_pma1 .channel_type = "regular"; +defparam \pma_direct|auto_generated|transmit_pma1 .clkin_select = 2; +defparam \pma_direct|auto_generated|transmit_pma1 .clkmux_delay = "false"; +defparam \pma_direct|auto_generated|transmit_pma1 .common_mode = "0.65v"; +defparam \pma_direct|auto_generated|transmit_pma1 .dprio_config_mode = 6'b000001; +defparam \pma_direct|auto_generated|transmit_pma1 .enable_reverse_serial_loopback = "false"; +defparam \pma_direct|auto_generated|transmit_pma1 .logical_channel_address = 4; +defparam \pma_direct|auto_generated|transmit_pma1 .logical_protocol_hint_0 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma1 .logical_protocol_hint_1 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma1 .logical_protocol_hint_2 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma1 .logical_protocol_hint_3 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma1 .low_speed_test_select = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .physical_clkin0_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma1 .physical_clkin1_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma1 .physical_clkin2_mapping = "x4"; +defparam \pma_direct|auto_generated|transmit_pma1 .physical_clkin3_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma1 .physical_clkin4_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma1 .preemp_pretap = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .preemp_pretap_inv = "false"; +defparam \pma_direct|auto_generated|transmit_pma1 .preemp_tap_1 = 5; +defparam \pma_direct|auto_generated|transmit_pma1 .preemp_tap_1_a = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .preemp_tap_1_b = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .preemp_tap_1_c = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .preemp_tap_2 = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .preemp_tap_2_inv = "false"; +defparam \pma_direct|auto_generated|transmit_pma1 .protocol_hint = "basic"; +defparam \pma_direct|auto_generated|transmit_pma1 .rx_detect = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .serialization_factor = 20; +defparam \pma_direct|auto_generated|transmit_pma1 .slew_rate = "low"; +defparam \pma_direct|auto_generated|transmit_pma1 .termination = "oct 100 ohms"; +defparam \pma_direct|auto_generated|transmit_pma1 .use_external_termination = "false"; +defparam \pma_direct|auto_generated|transmit_pma1 .use_pclk = "true"; +defparam \pma_direct|auto_generated|transmit_pma1 .use_pma_direct = "true"; +defparam \pma_direct|auto_generated|transmit_pma1 .use_rx_detect = "false"; +defparam \pma_direct|auto_generated|transmit_pma1 .use_ser_double_data_mode = "true"; +defparam \pma_direct|auto_generated|transmit_pma1 .vod_selection = 1; +defparam \pma_direct|auto_generated|transmit_pma1 .vod_selection_a = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .vod_selection_b = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .vod_selection_c = 0; +defparam \pma_direct|auto_generated|transmit_pma1 .vod_selection_d = 0; +// synopsys translate_on + +// Location: IOIBUF_X57_Y0_N32 +stratixiv_io_ibuf \tx_datain[0]~input ( + .i(tx_datain[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[0]~input_o )); +// synopsys translate_off +defparam \tx_datain[0]~input .bus_hold = "false"; +defparam \tx_datain[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X64_Y0_N32 +stratixiv_io_ibuf \tx_datain[1]~input ( + .i(tx_datain[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[1]~input_o )); +// synopsys translate_off +defparam \tx_datain[1]~input .bus_hold = "false"; +defparam \tx_datain[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X39_Y0_N1 +stratixiv_io_ibuf \tx_datain[2]~input ( + .i(tx_datain[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[2]~input_o )); +// synopsys translate_off +defparam \tx_datain[2]~input .bus_hold = "false"; +defparam \tx_datain[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X59_Y0_N32 +stratixiv_io_ibuf \tx_datain[3]~input ( + .i(tx_datain[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[3]~input_o )); +// synopsys translate_off +defparam \tx_datain[3]~input .bus_hold = "false"; +defparam \tx_datain[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X57_Y0_N94 +stratixiv_io_ibuf \tx_datain[4]~input ( + .i(tx_datain[4]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[4]~input_o )); +// synopsys translate_off +defparam \tx_datain[4]~input .bus_hold = "false"; +defparam \tx_datain[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X60_Y0_N63 +stratixiv_io_ibuf \tx_datain[5]~input ( + .i(tx_datain[5]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[5]~input_o )); +// synopsys translate_off +defparam \tx_datain[5]~input .bus_hold = "false"; +defparam \tx_datain[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y0_N94 +stratixiv_io_ibuf \tx_datain[6]~input ( + .i(tx_datain[6]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[6]~input_o )); +// synopsys translate_off +defparam \tx_datain[6]~input .bus_hold = "false"; +defparam \tx_datain[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X60_Y0_N1 +stratixiv_io_ibuf \tx_datain[7]~input ( + .i(tx_datain[7]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[7]~input_o )); +// synopsys translate_off +defparam \tx_datain[7]~input .bus_hold = "false"; +defparam \tx_datain[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X70_Y0_N1 +stratixiv_io_ibuf \tx_datain[8]~input ( + .i(tx_datain[8]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[8]~input_o )); +// synopsys translate_off +defparam \tx_datain[8]~input .bus_hold = "false"; +defparam \tx_datain[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X47_Y0_N32 +stratixiv_io_ibuf \tx_datain[9]~input ( + .i(tx_datain[9]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[9]~input_o )); +// synopsys translate_off +defparam \tx_datain[9]~input .bus_hold = "false"; +defparam \tx_datain[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X59_Y0_N1 +stratixiv_io_ibuf \tx_datain[10]~input ( + .i(tx_datain[10]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[10]~input_o )); +// synopsys translate_off +defparam \tx_datain[10]~input .bus_hold = "false"; +defparam \tx_datain[10]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X27_Y0_N32 +stratixiv_io_ibuf \tx_datain[11]~input ( + .i(tx_datain[11]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[11]~input_o )); +// synopsys translate_off +defparam \tx_datain[11]~input .bus_hold = "false"; +defparam \tx_datain[11]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X60_Y0_N94 +stratixiv_io_ibuf \tx_datain[12]~input ( + .i(tx_datain[12]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[12]~input_o )); +// synopsys translate_off +defparam \tx_datain[12]~input .bus_hold = "false"; +defparam \tx_datain[12]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X22_Y0_N63 +stratixiv_io_ibuf \tx_datain[13]~input ( + .i(tx_datain[13]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[13]~input_o )); +// synopsys translate_off +defparam \tx_datain[13]~input .bus_hold = "false"; +defparam \tx_datain[13]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X47_Y0_N94 +stratixiv_io_ibuf \tx_datain[14]~input ( + .i(tx_datain[14]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[14]~input_o )); +// synopsys translate_off +defparam \tx_datain[14]~input .bus_hold = "false"; +defparam \tx_datain[14]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X59_Y0_N63 +stratixiv_io_ibuf \tx_datain[15]~input ( + .i(tx_datain[15]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[15]~input_o )); +// synopsys translate_off +defparam \tx_datain[15]~input .bus_hold = "false"; +defparam \tx_datain[15]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X42_Y0_N32 +stratixiv_io_ibuf \tx_datain[16]~input ( + .i(tx_datain[16]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[16]~input_o )); +// synopsys translate_off +defparam \tx_datain[16]~input .bus_hold = "false"; +defparam \tx_datain[16]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X38_Y0_N94 +stratixiv_io_ibuf \tx_datain[17]~input ( + .i(tx_datain[17]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[17]~input_o )); +// synopsys translate_off +defparam \tx_datain[17]~input .bus_hold = "false"; +defparam \tx_datain[17]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X50_Y0_N94 +stratixiv_io_ibuf \tx_datain[18]~input ( + .i(tx_datain[18]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[18]~input_o )); +// synopsys translate_off +defparam \tx_datain[18]~input .bus_hold = "false"; +defparam \tx_datain[18]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X27_Y0_N63 +stratixiv_io_ibuf \tx_datain[19]~input ( + .i(tx_datain[19]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_datain[19]~input_o )); +// synopsys translate_off +defparam \tx_datain[19]~input .bus_hold = "false"; +defparam \tx_datain[19]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: TXPMA_X0_Y3_N138 +stratixiv_hssi_tx_pma \pma_direct|auto_generated|transmit_pma0 ( + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .txpmareset(\pma_direct|auto_generated|tx_pll_cent_unit0~TXANALOGRESETOUT0 ), + .powerdn(\pma_direct|auto_generated|tx_pll_cent_unit0~TXOBPOWERDN0 ), + .forceelecidle(gnd), + .rxdetecten(gnd), + .detectrxpowerdown(\pma_direct|auto_generated|tx_pll_cent_unit0~TXDETECTRXPOWERDN0 ), + .rxdetectclk(gnd), + .refclk0inpulse(gnd), + .refclk1inpulse(gnd), + .refclk2inpulse(\pma_direct|auto_generated|edge_pll_analogrefclkpulse [0]), + .refclk3inpulse(gnd), + .refclk4inpulse(gnd), + .revserialfdbk(gnd), + .dprioin({\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT299 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT298 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT297 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT296 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT295 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT294 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT293 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT292 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT291 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT290 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT289 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT288 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT287 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT286 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT285 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT284 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT283 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT282 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT281 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT280 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT279 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT278 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT277 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT276 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT275 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT274 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT273 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT272 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT271 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT270 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT269 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT268 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT267 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT266 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT265 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT264 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT263 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT262 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT261 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT260 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT259 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT258 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT257 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT256 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT255 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT254 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT253 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT252 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT251 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT250 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT249 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT248 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT247 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT246 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT245 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT244 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT243 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT242 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT241 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT240 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT239 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT238 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT237 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT236 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT235 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT234 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT233 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT232 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT231 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT230 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT229 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT228 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT227 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT226 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT225 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT224 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT223 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT222 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT221 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT220 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT219 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT218 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT217 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT216 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT215 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT214 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT213 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT212 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT211 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT210 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT209 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT208 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT207 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT206 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT205 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT204 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT203 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT202 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT201 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT200 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT199 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT198 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT197 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT196 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT195 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT194 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT193 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT192 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT191 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT190 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT189 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT188 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT187 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT186 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT185 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT184 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT183 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT182 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT181 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT180 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT179 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT178 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT177 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT176 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT175 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT174 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT173 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT172 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT171 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT170 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT169 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT168 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT167 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT166 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT165 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT164 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT163 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT162 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT161 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT160 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT159 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT158 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT157 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT156 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT155 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT154 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT153 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT152 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT151 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT150 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT149 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT148 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT147 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT146 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT145 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT144 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT143 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT142 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT141 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT140 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT139 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT138 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT137 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT136 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT135 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT134 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT133 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT132 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT131 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT130 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT129 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT128 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT127 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT126 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT125 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT124 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT123 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT122 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT121 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT120 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT119 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT118 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT117 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT116 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT115 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT114 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT113 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT112 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT111 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT110 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT109 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT108 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT107 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT106 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT105 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT104 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT103 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT102 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT101 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT100 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT99 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT98 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT97 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT96 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT95 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT94 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT93 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT92 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT91 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT90 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT89 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT88 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT87 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT86 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT85 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT84 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT83 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT82 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT81 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT80 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT79 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT78 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT77 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT76 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT75 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT74 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT73 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT72 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT71 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT70 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT69 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT68 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT67 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT66 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT65 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT64 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT63 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT62 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT61 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT60 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT59 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT58 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT57 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT56 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT55 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT54 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT53 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT52 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT51 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT50 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT49 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT48 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT47 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT46 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT45 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT44 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT43 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT42 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT41 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT40 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT39 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT38 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT37 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT36 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT35 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT34 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT33 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT32 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT31 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT30 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT29 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT28 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT27 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT26 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT25 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT24 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT23 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT22 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT21 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT20 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT19 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT18 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT17 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT16 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT15 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT14 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT13 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT12 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT11 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT10 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT9 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT8 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT7 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT6 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT5 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT4 , +\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT3 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT2 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT1 ,\pma_direct|auto_generated|tx_pll_cent_unit0~TXPMADPRIOOUT0 }), + .datain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\tx_datain[19]~input_o ,\tx_datain[18]~input_o ,\tx_datain[17]~input_o ,\tx_datain[16]~input_o ,\tx_datain[15]~input_o , +\tx_datain[14]~input_o ,\tx_datain[13]~input_o ,\tx_datain[12]~input_o ,\tx_datain[11]~input_o ,\tx_datain[10]~input_o ,\tx_datain[9]~input_o ,\tx_datain[8]~input_o ,\tx_datain[7]~input_o ,\tx_datain[6]~input_o ,\tx_datain[5]~input_o ,\tx_datain[4]~input_o , +\tx_datain[3]~input_o ,\tx_datain[2]~input_o ,\tx_datain[1]~input_o ,\tx_datain[0]~input_o }), + .refclk0in(2'b00), + .fastrefclk0in(2'b00), + .refclk1in(2'b00), + .fastrefclk1in(2'b00), + .refclk2in({\pma_direct|auto_generated|edge_pll_analogrefclkout [1],\pma_direct|auto_generated|edge_pll_analogrefclkout [0]}), + .fastrefclk2in({\pma_direct|auto_generated|edge_pll_analogfastrefclkout [1],\pma_direct|auto_generated|edge_pll_analogfastrefclkout [0]}), + .refclk3in(2'b00), + .fastrefclk3in(2'b00), + .refclk4in(2'b00), + .fastrefclk4in(2'b00), + .pclk({gnd,gnd,\pma_direct|auto_generated|refclk_pma_wire [0],gnd,gnd}), + .extra10gin(11'b00000000000), + .datainfull(20'b00000000000000000000), + .rxdetectvalidout(), + .rxfoundout(), + .dataout(\pma_direct|auto_generated|wire_transmit_pma0_dataout ), + .clockout(\pma_direct|auto_generated|wire_transmit_pma0_clockout ), + .seriallpbkout(), + .dprioout(\pma_direct|auto_generated|transmit_pma0_DPRIOOUT_bus ), + .dftout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|transmit_pma0 .analog_power = "1.4v"; +defparam \pma_direct|auto_generated|transmit_pma0 .channel_number = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .channel_type = "regular"; +defparam \pma_direct|auto_generated|transmit_pma0 .clkin_select = 2; +defparam \pma_direct|auto_generated|transmit_pma0 .clkmux_delay = "false"; +defparam \pma_direct|auto_generated|transmit_pma0 .common_mode = "0.65v"; +defparam \pma_direct|auto_generated|transmit_pma0 .dprio_config_mode = 6'b000001; +defparam \pma_direct|auto_generated|transmit_pma0 .enable_reverse_serial_loopback = "false"; +defparam \pma_direct|auto_generated|transmit_pma0 .logical_channel_address = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .logical_protocol_hint_0 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma0 .logical_protocol_hint_1 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma0 .logical_protocol_hint_2 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma0 .logical_protocol_hint_3 = "basic"; +defparam \pma_direct|auto_generated|transmit_pma0 .low_speed_test_select = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .physical_clkin0_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma0 .physical_clkin1_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma0 .physical_clkin2_mapping = "x4"; +defparam \pma_direct|auto_generated|transmit_pma0 .physical_clkin3_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma0 .physical_clkin4_mapping = "none"; +defparam \pma_direct|auto_generated|transmit_pma0 .preemp_pretap = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .preemp_pretap_inv = "false"; +defparam \pma_direct|auto_generated|transmit_pma0 .preemp_tap_1 = 5; +defparam \pma_direct|auto_generated|transmit_pma0 .preemp_tap_1_a = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .preemp_tap_1_b = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .preemp_tap_1_c = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .preemp_tap_2 = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .preemp_tap_2_inv = "false"; +defparam \pma_direct|auto_generated|transmit_pma0 .protocol_hint = "basic"; +defparam \pma_direct|auto_generated|transmit_pma0 .rx_detect = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .serialization_factor = 20; +defparam \pma_direct|auto_generated|transmit_pma0 .slew_rate = "low"; +defparam \pma_direct|auto_generated|transmit_pma0 .termination = "oct 100 ohms"; +defparam \pma_direct|auto_generated|transmit_pma0 .use_external_termination = "false"; +defparam \pma_direct|auto_generated|transmit_pma0 .use_pclk = "true"; +defparam \pma_direct|auto_generated|transmit_pma0 .use_pma_direct = "true"; +defparam \pma_direct|auto_generated|transmit_pma0 .use_rx_detect = "false"; +defparam \pma_direct|auto_generated|transmit_pma0 .use_ser_double_data_mode = "true"; +defparam \pma_direct|auto_generated|transmit_pma0 .vod_selection = 1; +defparam \pma_direct|auto_generated|transmit_pma0 .vod_selection_a = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .vod_selection_b = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .vod_selection_c = 0; +defparam \pma_direct|auto_generated|transmit_pma0 .vod_selection_d = 0; +// synopsys translate_on + +// Location: HSSIPLL_X0_Y18_N135 +stratixiv_hssi_pll \pma_direct|auto_generated|rx_cdr_pll3 ( + .rateswitch(gnd), + .locktorefclk(\pma_direct|auto_generated|rx_pma_locktorefout [3]), + .datain(\pma_direct|auto_generated|rx_pma_dataout [3]), + .powerdown(\pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN3 ), + .areset(\pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT3 ), + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .earlyeios(gnd), + .pfdfbclk(gnd), + .inclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\pll_inclk[0]~inputclkctrl_outclk }), + .dprioin({\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1199],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1198],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1197], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1196],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1195],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1194], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1193],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1192],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1191], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1190],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1189],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1188], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1187],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1186],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1185], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1184],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1183],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1182], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1181],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1180],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1179], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1178],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1177],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1176], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1175],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1174],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1173], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1172],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1171],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1170], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1169],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1168],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1167], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1166],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1165],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1164], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1163],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1162],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1161], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1160],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1159],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1158], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1157],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1156],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1155], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1154],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1153],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1152], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1151],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1150],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1149], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1148],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1147],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1146], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1145],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1144],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1143], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1142],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1141],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1140], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1139],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1138],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1137], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1136],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1135],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1134], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1133],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1132],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1131], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1130],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1129],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1128], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1127],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1126],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1125], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1124],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1123],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1122], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1121],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1120],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1119], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1118],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1117],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1116], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1115],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1114],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1113], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1112],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1111],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1110], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1109],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1108],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1107], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1106],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1105],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1104], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1103],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1102],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1101], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1100],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1099],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1098], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1097],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1096],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1095], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1094],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1093],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1092], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1091],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1090],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1089], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1088],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1087],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1086], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1085],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1084],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1083], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1082],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1081],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1080], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1079],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1078],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1077], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1076],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1075],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1074], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1073],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1072],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1071], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1070],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1069],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1068], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1067],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1066],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1065], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1064],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1063],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1062], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1061],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1060],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1059], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1058],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1057],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1056], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1055],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1054],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1053], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1052],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1051],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1050], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1049],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1048],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1047], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1046],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1045],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1044], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1043],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1042],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1041], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1040],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1039],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1038], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1037],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1036],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1035], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1034],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1033],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1032], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1031],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1030],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1029], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1028],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1027],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1026], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1025],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1024],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1023], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1022],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1021],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1020], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1019],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1018],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1017], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1016],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1015],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1014], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1013],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1012],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1011], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1010],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1009],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1008], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1007],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1006],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1005], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1004],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1003],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1002], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1001],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1000],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [999], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [998],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [997],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [996], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [995],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [994],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [993], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [992],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [991],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [990], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [989],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [988],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [987], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [986],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [985],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [984], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [983],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [982],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [981], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [980],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [979],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [978], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [977],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [976],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [975], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [974],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [973],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [972], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [971],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [970],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [969], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [968],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [967],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [966], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [965],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [964],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [963], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [962],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [961],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [960], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [959],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [958],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [957], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [956],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [955],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [954], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [953],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [952],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [951], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [950],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [949],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [948], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [947],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [946],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [945], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [944],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [943],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [942], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [941],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [940],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [939], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [938],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [937],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [936], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [935],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [934],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [933], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [932],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [931],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [930], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [929],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [928],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [927], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [926],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [925],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [924], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [923],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [922],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [921], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [920],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [919],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [918], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [917],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [916],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [915], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [914],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [913],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [912], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [911],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [910],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [909], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [908],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [907],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [906], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [905],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [904],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [903], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [902],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [901],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [900]}), + .extra10gin(6'b000000), + .pfdfbclkout(), + .pfdrefclkout(\pma_direct|auto_generated|rx_pll_pfdrefclkout_wire [3]), + .vcobypassout(), + .locked(\pma_direct|auto_generated|rx_plllocked_wire [3]), + .freqlocked(\pma_direct|auto_generated|wire_rx_cdr_pll3_freqlocked ), + .clk(\pma_direct|auto_generated|rx_cdr_pll3_CLK_bus ), + .dataout(\pma_direct|auto_generated|rx_cdr_pll3_DATAOUT_bus ), + .dprioout(\pma_direct|auto_generated|rx_cdr_pll3_DPRIOOUT_bus )); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_cdr_pll3 .auto_settings = "true"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .bandwidth_type = "high"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .base_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .channel_num = 3; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .charge_pump_current_bits = 30; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .charge_pump_mode_bits = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .charge_pump_test_enable = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .dprio_config_mode = 6'b000000; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .effective_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .enable_dynamic_divider = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .fast_lock_control = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk0_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk1_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk2_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk3_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk4_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk5_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk6_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk7_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk8_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .inclk9_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .input_clock_frequency = "156.25 mhz"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .logical_channel_address = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .logical_tx_pll_number = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .loop_filter_c_bits = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .loop_filter_r_bits = 3200; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .m = 10; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .n = 1; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .pd_charge_pump_current_bits = 5; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .pd_loop_filter_r_bits = 300; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .pfd_clk_select = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .pfd_fb_select = "internal"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .pll_type = "rx cdr"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .sim_is_negative_ppm_drift = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .sim_net_ppm_variation = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .test_charge_pump_current_down = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .test_charge_pump_current_up = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .vco_data_rate = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .vco_post_scale = 2; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .vco_range = "high"; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .volt_reg_control_bits = 2; +defparam \pma_direct|auto_generated|rx_cdr_pll3 .volt_reg_output_bits = 0; +// synopsys translate_on + +// Location: RXPMA_X0_Y18_N137 +stratixiv_hssi_rx_pma \pma_direct|auto_generated|receive_pma3 ( + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .offsetcancellationen(gnd), + .powerdn(\pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN3 ), + .rxpmareset(\pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT3 ), + .plllocked(\pma_direct|auto_generated|rx_plllocked_wire [3]), + .ppmdetectrefclk(\pma_direct|auto_generated|rx_pll_pfdrefclkout_wire [3]), + .ppmdetectdividedclk(gnd), + .locktodata(\pma_direct|auto_generated|rx_locktodata_wire [3]), + .locktoref(\pma_direct|auto_generated|comb~3_combout ), + .freqlock(gnd), + .ignorephslck(gnd), + .datain(\rx_datain[3]~input_o ), + .seriallpbken(gnd), + .seriallpbkin(gnd), + .adcepowerdn(gnd), + .adcereset(gnd), + .adaptcapture(gnd), + .adcestandby(gnd), + .dprioin({\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1199 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1198 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1197 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1196 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1195 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1194 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1193 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1192 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1191 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1190 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1189 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1188 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1187 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1186 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1185 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1184 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1183 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1182 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1181 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1180 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1179 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1178 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1177 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1176 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1175 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1174 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1173 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1172 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1171 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1170 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1169 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1168 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1167 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1166 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1165 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1164 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1163 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1162 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1161 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1160 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1159 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1158 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1157 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1156 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1155 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1154 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1153 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1152 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1151 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1150 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1149 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1148 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1147 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1146 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1145 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1144 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1143 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1142 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1141 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1140 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1139 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1138 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1137 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1136 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1135 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1134 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1133 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1132 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1131 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1130 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1129 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1128 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1127 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1126 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1125 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1124 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1123 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1122 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1121 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1120 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1119 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1118 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1117 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1116 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1115 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1114 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1113 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1112 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1111 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1110 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1109 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1108 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1107 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1106 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1105 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1104 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1103 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1102 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1101 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1100 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1099 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1098 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1097 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1096 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1095 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1094 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1093 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1092 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1091 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1090 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1089 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1088 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1087 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1086 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1085 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1084 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1083 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1082 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1081 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1080 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1079 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1078 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1077 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1076 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1075 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1074 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1073 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1072 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1071 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1070 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1069 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1068 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1067 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1066 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1065 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1064 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1063 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1062 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1061 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1060 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1059 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1058 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1057 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1056 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1055 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1054 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1053 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1052 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1051 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1050 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1049 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1048 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1047 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1046 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1045 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1044 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1043 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1042 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1041 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1040 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1039 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1038 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1037 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1036 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1035 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1034 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1033 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1032 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1031 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1030 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1029 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1028 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1027 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1026 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1025 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1024 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1023 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1022 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1021 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1020 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1019 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1018 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1017 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1016 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1015 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1014 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1013 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1012 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1011 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1010 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1009 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1008 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1007 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1006 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1005 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1004 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1003 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1002 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1001 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1000 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT999 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT998 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT997 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT996 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT995 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT994 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT993 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT992 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT991 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT990 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT989 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT988 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT987 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT986 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT985 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT984 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT983 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT982 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT981 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT980 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT979 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT978 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT977 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT976 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT975 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT974 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT973 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT972 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT971 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT970 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT969 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT968 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT967 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT966 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT965 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT964 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT963 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT962 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT961 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT960 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT959 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT958 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT957 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT956 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT955 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT954 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT953 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT952 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT951 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT950 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT949 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT948 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT947 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT946 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT945 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT944 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT943 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT942 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT941 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT940 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT939 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT938 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT937 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT936 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT935 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT934 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT933 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT932 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT931 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT930 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT929 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT928 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT927 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT926 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT925 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT924 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT923 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT922 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT921 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT920 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT919 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT918 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT917 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT916 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT915 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT914 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT913 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT912 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT911 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT910 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT909 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT908 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT907 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT906 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT905 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT904 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT903 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT902 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT901 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT900 }), + .deserclock({\pma_direct|auto_generated|rx_deserclock_in [15],\pma_direct|auto_generated|rx_deserclock_in [14],\pma_direct|auto_generated|rx_deserclock_in [13],\pma_direct|auto_generated|rx_deserclock_in [12]}), + .recoverdatain({\pma_direct|auto_generated|pll_ch_dataout_wire [7],\pma_direct|auto_generated|pll_ch_dataout_wire [6]}), + .testbussel({gnd,vcc,vcc,gnd}), + .extra10gin(38'b00000000000000000000000000000000000000), + .signaldetect(), + .clockout(\pma_direct|auto_generated|wire_receive_pma3_clockout ), + .ppmdetectclkrel(), + .locktorefout(\pma_direct|auto_generated|rx_pma_locktorefout [3]), + .dataout(\pma_direct|auto_generated|rx_pma_dataout [3]), + .revserialfdbkout(), + .reverselpbkout(), + .adaptdone(), + .dprioout(\pma_direct|auto_generated|receive_pma3_DPRIOOUT_bus ), + .recoverdataout(\pma_direct|auto_generated|receive_pma3_RECOVERDATAOUT_bus ), + .analogtestbus(\pma_direct|auto_generated|receive_pma3_ANALOGTESTBUS_bus ), + .dataoutfull()); +// synopsys translate_off +defparam \pma_direct|auto_generated|receive_pma3 .adaptive_equalization_mode = "none"; +defparam \pma_direct|auto_generated|receive_pma3 .allow_serial_loopback = "false"; +defparam \pma_direct|auto_generated|receive_pma3 .allow_vco_bypass = 0; +defparam \pma_direct|auto_generated|receive_pma3 .analog_power = "1.4v"; +defparam \pma_direct|auto_generated|receive_pma3 .channel_number = 3; +defparam \pma_direct|auto_generated|receive_pma3 .channel_type = "regular"; +defparam \pma_direct|auto_generated|receive_pma3 .common_mode = "0.82v"; +defparam \pma_direct|auto_generated|receive_pma3 .deserialization_factor = 20; +defparam \pma_direct|auto_generated|receive_pma3 .dfe_piclk_bandwidth = 5; +defparam \pma_direct|auto_generated|receive_pma3 .dfe_piclk_phase = 16; +defparam \pma_direct|auto_generated|receive_pma3 .dfe_piclk_sel = 8; +defparam \pma_direct|auto_generated|receive_pma3 .dprio_config_mode = 6'b000001; +defparam \pma_direct|auto_generated|receive_pma3 .enable_ltd = "true"; +defparam \pma_direct|auto_generated|receive_pma3 .enable_ltr = "true"; +defparam \pma_direct|auto_generated|receive_pma3 .eq_adapt_seq_control = 0; +defparam \pma_direct|auto_generated|receive_pma3 .eq_dc_gain = 0; +defparam \pma_direct|auto_generated|receive_pma3 .eq_max_gradient_control = 0; +defparam \pma_direct|auto_generated|receive_pma3 .eqa_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma3 .eqb_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma3 .eqc_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma3 .eqd_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma3 .eqv_ctrl = 5; +defparam \pma_direct|auto_generated|receive_pma3 .eyemon_bandwidth = 3; +defparam \pma_direct|auto_generated|receive_pma3 .force_signal_detect = "true"; +defparam \pma_direct|auto_generated|receive_pma3 .ignore_lock_detect = "false"; +defparam \pma_direct|auto_generated|receive_pma3 .logical_channel_address = 12; +defparam \pma_direct|auto_generated|receive_pma3 .low_speed_test_select = 0; +defparam \pma_direct|auto_generated|receive_pma3 .offset_cancellation = 1; +defparam \pma_direct|auto_generated|receive_pma3 .ppm_gen1_2_xcnt_en = 0; +defparam \pma_direct|auto_generated|receive_pma3 .ppm_post_eidle = 0; +defparam \pma_direct|auto_generated|receive_pma3 .ppmselect = 32; +defparam \pma_direct|auto_generated|receive_pma3 .protocol_hint = "basic"; +defparam \pma_direct|auto_generated|receive_pma3 .send_direct_reverse_serial_loopback = "none"; +defparam \pma_direct|auto_generated|receive_pma3 .signal_detect_hysteresis = 2; +defparam \pma_direct|auto_generated|receive_pma3 .signal_detect_hysteresis_valid_threshold = 14; +defparam \pma_direct|auto_generated|receive_pma3 .signal_detect_loss_threshold = 9; +defparam \pma_direct|auto_generated|receive_pma3 .termination = "oct 100 ohms"; +defparam \pma_direct|auto_generated|receive_pma3 .use_deser_double_data_width = "true"; +defparam \pma_direct|auto_generated|receive_pma3 .use_external_termination = "false"; +defparam \pma_direct|auto_generated|receive_pma3 .use_pma_direct = "true"; +// synopsys translate_on + +// Location: HSSIPLL_X0_Y15_N135 +stratixiv_hssi_pll \pma_direct|auto_generated|rx_cdr_pll2 ( + .rateswitch(gnd), + .locktorefclk(\pma_direct|auto_generated|rx_pma_locktorefout [2]), + .datain(\pma_direct|auto_generated|rx_pma_dataout [2]), + .powerdown(\pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN2 ), + .areset(\pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT2 ), + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .earlyeios(gnd), + .pfdfbclk(gnd), + .inclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\pll_inclk[0]~inputclkctrl_outclk }), + .dprioin({\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [899],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [898],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [897], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [896],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [895],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [894], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [893],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [892],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [891], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [890],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [889],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [888], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [887],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [886],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [885], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [884],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [883],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [882], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [881],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [880],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [879], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [878],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [877],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [876], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [875],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [874],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [873], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [872],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [871],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [870], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [869],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [868],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [867], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [866],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [865],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [864], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [863],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [862],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [861], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [860],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [859],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [858], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [857],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [856],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [855], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [854],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [853],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [852], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [851],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [850],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [849], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [848],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [847],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [846], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [845],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [844],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [843], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [842],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [841],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [840], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [839],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [838],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [837], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [836],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [835],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [834], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [833],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [832],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [831], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [830],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [829],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [828], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [827],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [826],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [825], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [824],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [823],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [822], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [821],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [820],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [819], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [818],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [817],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [816], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [815],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [814],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [813], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [812],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [811],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [810], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [809],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [808],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [807], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [806],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [805],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [804], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [803],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [802],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [801], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [800],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [799],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [798], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [797],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [796],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [795], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [794],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [793],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [792], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [791],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [790],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [789], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [788],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [787],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [786], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [785],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [784],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [783], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [782],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [781],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [780], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [779],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [778],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [777], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [776],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [775],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [774], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [773],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [772],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [771], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [770],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [769],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [768], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [767],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [766],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [765], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [764],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [763],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [762], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [761],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [760],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [759], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [758],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [757],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [756], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [755],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [754],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [753], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [752],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [751],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [750], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [749],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [748],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [747], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [746],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [745],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [744], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [743],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [742],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [741], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [740],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [739],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [738], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [737],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [736],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [735], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [734],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [733],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [732], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [731],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [730],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [729], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [728],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [727],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [726], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [725],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [724],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [723], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [722],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [721],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [720], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [719],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [718],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [717], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [716],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [715],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [714], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [713],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [712],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [711], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [710],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [709],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [708], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [707],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [706],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [705], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [704],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [703],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [702], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [701],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [700],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [699], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [698],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [697],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [696], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [695],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [694],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [693], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [692],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [691],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [690], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [689],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [688],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [687], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [686],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [685],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [684], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [683],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [682],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [681], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [680],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [679],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [678], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [677],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [676],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [675], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [674],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [673],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [672], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [671],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [670],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [669], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [668],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [667],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [666], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [665],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [664],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [663], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [662],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [661],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [660], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [659],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [658],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [657], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [656],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [655],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [654], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [653],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [652],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [651], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [650],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [649],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [648], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [647],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [646],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [645], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [644],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [643],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [642], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [641],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [640],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [639], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [638],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [637],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [636], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [635],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [634],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [633], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [632],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [631],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [630], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [629],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [628],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [627], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [626],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [625],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [624], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [623],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [622],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [621], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [620],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [619],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [618], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [617],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [616],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [615], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [614],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [613],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [612], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [611],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [610],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [609], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [608],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [607],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [606], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [605],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [604],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [603], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [602],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [601],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [600]}), + .extra10gin(6'b000000), + .pfdfbclkout(), + .pfdrefclkout(\pma_direct|auto_generated|rx_pll_pfdrefclkout_wire [2]), + .vcobypassout(), + .locked(\pma_direct|auto_generated|rx_plllocked_wire [2]), + .freqlocked(\pma_direct|auto_generated|wire_rx_cdr_pll2_freqlocked ), + .clk(\pma_direct|auto_generated|rx_cdr_pll2_CLK_bus ), + .dataout(\pma_direct|auto_generated|rx_cdr_pll2_DATAOUT_bus ), + .dprioout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_cdr_pll2 .auto_settings = "true"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .bandwidth_type = "high"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .base_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .channel_num = 2; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .charge_pump_current_bits = 30; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .charge_pump_mode_bits = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .charge_pump_test_enable = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .dprio_config_mode = 6'b000000; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .effective_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .enable_dynamic_divider = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .fast_lock_control = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk0_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk1_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk2_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk3_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk4_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk5_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk6_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk7_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk8_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .inclk9_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .input_clock_frequency = "156.25 mhz"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .logical_channel_address = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .logical_tx_pll_number = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .loop_filter_c_bits = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .loop_filter_r_bits = 3200; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .m = 10; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .n = 1; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .pd_charge_pump_current_bits = 5; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .pd_loop_filter_r_bits = 300; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .pfd_clk_select = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .pfd_fb_select = "internal"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .pll_type = "rx cdr"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .sim_is_negative_ppm_drift = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .sim_net_ppm_variation = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .test_charge_pump_current_down = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .test_charge_pump_current_up = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .vco_data_rate = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .vco_post_scale = 2; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .vco_range = "high"; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .volt_reg_control_bits = 2; +defparam \pma_direct|auto_generated|rx_cdr_pll2 .volt_reg_output_bits = 0; +// synopsys translate_on + +// Location: RXPMA_X0_Y15_N137 +stratixiv_hssi_rx_pma \pma_direct|auto_generated|receive_pma2 ( + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .offsetcancellationen(gnd), + .powerdn(\pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN2 ), + .rxpmareset(\pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT2 ), + .plllocked(\pma_direct|auto_generated|rx_plllocked_wire [2]), + .ppmdetectrefclk(\pma_direct|auto_generated|rx_pll_pfdrefclkout_wire [2]), + .ppmdetectdividedclk(gnd), + .locktodata(\pma_direct|auto_generated|rx_locktodata_wire [2]), + .locktoref(\pma_direct|auto_generated|comb~2_combout ), + .freqlock(gnd), + .ignorephslck(gnd), + .datain(\rx_datain[2]~input_o ), + .seriallpbken(gnd), + .seriallpbkin(gnd), + .adcepowerdn(gnd), + .adcereset(gnd), + .adaptcapture(gnd), + .adcestandby(gnd), + .dprioin({\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT899 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT898 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT897 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT896 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT895 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT894 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT893 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT892 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT891 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT890 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT889 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT888 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT887 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT886 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT885 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT884 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT883 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT882 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT881 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT880 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT879 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT878 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT877 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT876 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT875 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT874 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT873 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT872 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT871 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT870 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT869 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT868 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT867 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT866 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT865 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT864 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT863 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT862 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT861 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT860 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT859 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT858 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT857 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT856 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT855 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT854 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT853 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT852 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT851 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT850 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT849 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT848 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT847 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT846 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT845 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT844 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT843 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT842 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT841 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT840 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT839 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT838 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT837 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT836 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT835 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT834 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT833 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT832 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT831 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT830 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT829 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT828 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT827 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT826 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT825 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT824 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT823 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT822 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT821 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT820 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT819 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT818 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT817 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT816 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT815 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT814 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT813 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT812 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT811 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT810 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT809 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT808 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT807 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT806 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT805 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT804 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT803 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT802 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT801 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT800 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT799 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT798 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT797 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT796 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT795 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT794 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT793 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT792 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT791 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT790 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT789 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT788 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT787 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT786 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT785 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT784 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT783 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT782 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT781 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT780 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT779 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT778 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT777 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT776 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT775 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT774 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT773 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT772 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT771 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT770 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT769 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT768 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT767 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT766 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT765 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT764 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT763 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT762 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT761 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT760 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT759 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT758 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT757 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT756 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT755 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT754 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT753 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT752 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT751 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT750 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT749 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT748 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT747 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT746 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT745 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT744 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT743 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT742 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT741 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT740 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT739 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT738 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT737 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT736 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT735 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT734 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT733 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT732 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT731 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT730 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT729 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT728 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT727 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT726 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT725 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT724 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT723 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT722 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT721 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT720 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT719 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT718 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT717 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT716 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT715 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT714 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT713 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT712 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT711 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT710 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT709 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT708 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT707 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT706 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT705 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT704 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT703 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT702 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT701 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT700 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT699 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT698 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT697 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT696 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT695 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT694 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT693 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT692 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT691 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT690 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT689 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT688 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT687 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT686 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT685 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT684 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT683 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT682 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT681 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT680 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT679 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT678 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT677 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT676 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT675 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT674 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT673 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT672 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT671 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT670 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT669 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT668 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT667 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT666 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT665 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT664 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT663 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT662 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT661 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT660 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT659 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT658 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT657 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT656 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT655 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT654 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT653 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT652 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT651 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT650 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT649 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT648 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT647 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT646 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT645 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT644 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT643 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT642 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT641 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT640 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT639 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT638 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT637 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT636 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT635 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT634 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT633 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT632 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT631 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT630 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT629 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT628 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT627 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT626 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT625 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT624 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT623 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT622 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT621 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT620 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT619 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT618 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT617 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT616 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT615 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT614 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT613 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT612 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT611 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT610 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT609 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT608 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT607 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT606 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT605 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT604 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT603 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT602 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT601 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT600 }), + .deserclock({\pma_direct|auto_generated|rx_deserclock_in [11],\pma_direct|auto_generated|rx_deserclock_in [10],\pma_direct|auto_generated|rx_deserclock_in [9],\pma_direct|auto_generated|rx_deserclock_in [8]}), + .recoverdatain({\pma_direct|auto_generated|pll_ch_dataout_wire [5],\pma_direct|auto_generated|pll_ch_dataout_wire [4]}), + .testbussel({gnd,vcc,vcc,gnd}), + .extra10gin(38'b00000000000000000000000000000000000000), + .signaldetect(), + .clockout(\pma_direct|auto_generated|wire_receive_pma2_clockout ), + .ppmdetectclkrel(), + .locktorefout(\pma_direct|auto_generated|rx_pma_locktorefout [2]), + .dataout(\pma_direct|auto_generated|rx_pma_dataout [2]), + .revserialfdbkout(), + .reverselpbkout(), + .adaptdone(), + .dprioout(\pma_direct|auto_generated|receive_pma2_DPRIOOUT_bus ), + .recoverdataout(\pma_direct|auto_generated|receive_pma2_RECOVERDATAOUT_bus ), + .analogtestbus(\pma_direct|auto_generated|receive_pma2_ANALOGTESTBUS_bus ), + .dataoutfull()); +// synopsys translate_off +defparam \pma_direct|auto_generated|receive_pma2 .adaptive_equalization_mode = "none"; +defparam \pma_direct|auto_generated|receive_pma2 .allow_serial_loopback = "false"; +defparam \pma_direct|auto_generated|receive_pma2 .allow_vco_bypass = 0; +defparam \pma_direct|auto_generated|receive_pma2 .analog_power = "1.4v"; +defparam \pma_direct|auto_generated|receive_pma2 .channel_number = 2; +defparam \pma_direct|auto_generated|receive_pma2 .channel_type = "regular"; +defparam \pma_direct|auto_generated|receive_pma2 .common_mode = "0.82v"; +defparam \pma_direct|auto_generated|receive_pma2 .deserialization_factor = 20; +defparam \pma_direct|auto_generated|receive_pma2 .dfe_piclk_bandwidth = 5; +defparam \pma_direct|auto_generated|receive_pma2 .dfe_piclk_phase = 16; +defparam \pma_direct|auto_generated|receive_pma2 .dfe_piclk_sel = 8; +defparam \pma_direct|auto_generated|receive_pma2 .dprio_config_mode = 6'b000001; +defparam \pma_direct|auto_generated|receive_pma2 .enable_ltd = "true"; +defparam \pma_direct|auto_generated|receive_pma2 .enable_ltr = "true"; +defparam \pma_direct|auto_generated|receive_pma2 .eq_adapt_seq_control = 0; +defparam \pma_direct|auto_generated|receive_pma2 .eq_dc_gain = 0; +defparam \pma_direct|auto_generated|receive_pma2 .eq_max_gradient_control = 0; +defparam \pma_direct|auto_generated|receive_pma2 .eqa_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma2 .eqb_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma2 .eqc_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma2 .eqd_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma2 .eqv_ctrl = 5; +defparam \pma_direct|auto_generated|receive_pma2 .eyemon_bandwidth = 3; +defparam \pma_direct|auto_generated|receive_pma2 .force_signal_detect = "true"; +defparam \pma_direct|auto_generated|receive_pma2 .ignore_lock_detect = "false"; +defparam \pma_direct|auto_generated|receive_pma2 .logical_channel_address = 8; +defparam \pma_direct|auto_generated|receive_pma2 .low_speed_test_select = 0; +defparam \pma_direct|auto_generated|receive_pma2 .offset_cancellation = 1; +defparam \pma_direct|auto_generated|receive_pma2 .ppm_gen1_2_xcnt_en = 0; +defparam \pma_direct|auto_generated|receive_pma2 .ppm_post_eidle = 0; +defparam \pma_direct|auto_generated|receive_pma2 .ppmselect = 32; +defparam \pma_direct|auto_generated|receive_pma2 .protocol_hint = "basic"; +defparam \pma_direct|auto_generated|receive_pma2 .send_direct_reverse_serial_loopback = "none"; +defparam \pma_direct|auto_generated|receive_pma2 .signal_detect_hysteresis = 2; +defparam \pma_direct|auto_generated|receive_pma2 .signal_detect_hysteresis_valid_threshold = 14; +defparam \pma_direct|auto_generated|receive_pma2 .signal_detect_loss_threshold = 9; +defparam \pma_direct|auto_generated|receive_pma2 .termination = "oct 100 ohms"; +defparam \pma_direct|auto_generated|receive_pma2 .use_deser_double_data_width = "true"; +defparam \pma_direct|auto_generated|receive_pma2 .use_external_termination = "false"; +defparam \pma_direct|auto_generated|receive_pma2 .use_pma_direct = "true"; +// synopsys translate_on + +// Location: HSSIPLL_X0_Y6_N135 +stratixiv_hssi_pll \pma_direct|auto_generated|rx_cdr_pll1 ( + .rateswitch(gnd), + .locktorefclk(\pma_direct|auto_generated|rx_pma_locktorefout [1]), + .datain(\pma_direct|auto_generated|rx_pma_dataout [1]), + .powerdown(\pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN1 ), + .areset(\pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT1 ), + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .earlyeios(gnd), + .pfdfbclk(gnd), + .inclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\pll_inclk[0]~inputclkctrl_outclk }), + .dprioin({\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [599],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [598],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [597], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [596],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [595],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [594], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [593],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [592],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [591], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [590],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [589],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [588], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [587],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [586],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [585], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [584],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [583],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [582], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [581],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [580],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [579], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [578],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [577],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [576], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [575],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [574],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [573], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [572],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [571],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [570], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [569],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [568],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [567], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [566],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [565],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [564], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [563],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [562],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [561], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [560],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [559],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [558], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [557],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [556],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [555], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [554],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [553],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [552], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [551],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [550],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [549], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [548],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [547],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [546], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [545],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [544],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [543], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [542],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [541],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [540], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [539],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [538],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [537], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [536],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [535],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [534], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [533],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [532],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [531], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [530],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [529],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [528], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [527],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [526],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [525], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [524],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [523],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [522], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [521],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [520],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [519], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [518],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [517],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [516], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [515],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [514],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [513], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [512],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [511],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [510], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [509],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [508],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [507], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [506],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [505],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [504], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [503],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [502],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [501], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [500],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [499],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [498], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [497],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [496],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [495], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [494],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [493],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [492], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [491],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [490],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [489], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [488],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [487],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [486], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [485],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [484],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [483], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [482],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [481],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [480], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [479],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [478],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [477], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [476],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [475],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [474], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [473],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [472],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [471], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [470],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [469],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [468], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [467],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [466],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [465], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [464],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [463],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [462], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [461],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [460],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [459], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [458],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [457],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [456], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [455],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [454],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [453], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [452],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [451],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [450], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [449],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [448],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [447], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [446],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [445],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [444], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [443],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [442],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [441], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [440],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [439],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [438], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [437],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [436],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [435], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [434],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [433],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [432], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [431],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [430],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [429], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [428],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [427],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [426], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [425],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [424],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [423], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [422],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [421],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [420], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [419],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [418],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [417], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [416],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [415],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [414], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [413],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [412],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [411], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [410],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [409],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [408], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [407],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [406],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [405], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [404],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [403],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [402], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [401],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [400],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [399], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [398],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [397],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [396], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [395],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [394],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [393], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [392],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [391],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [390], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [389],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [388],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [387], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [386],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [385],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [384], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [383],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [382],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [381], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [380],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [379],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [378], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [377],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [376],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [375], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [374],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [373],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [372], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [371],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [370],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [369], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [368],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [367],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [366], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [365],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [364],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [363], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [362],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [361],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [360], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [359],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [358],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [357], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [356],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [355],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [354], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [353],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [352],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [351], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [350],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [349],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [348], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [347],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [346],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [345], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [344],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [343],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [342], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [341],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [340],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [339], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [338],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [337],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [336], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [335],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [334],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [333], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [332],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [331],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [330], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [329],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [328],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [327], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [326],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [325],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [324], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [323],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [322],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [321], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [320],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [319],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [318], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [317],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [316],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [315], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [314],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [313],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [312], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [311],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [310],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [309], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [308],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [307],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [306], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [305],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [304],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [303], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [302],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [301],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [300]}), + .extra10gin(6'b000000), + .pfdfbclkout(), + .pfdrefclkout(\pma_direct|auto_generated|rx_pll_pfdrefclkout_wire [1]), + .vcobypassout(), + .locked(\pma_direct|auto_generated|rx_plllocked_wire [1]), + .freqlocked(\pma_direct|auto_generated|wire_rx_cdr_pll1_freqlocked ), + .clk(\pma_direct|auto_generated|rx_cdr_pll1_CLK_bus ), + .dataout(\pma_direct|auto_generated|rx_cdr_pll1_DATAOUT_bus ), + .dprioout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_cdr_pll1 .auto_settings = "true"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .bandwidth_type = "high"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .base_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .channel_num = 1; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .charge_pump_current_bits = 30; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .charge_pump_mode_bits = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .charge_pump_test_enable = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .dprio_config_mode = 6'b000000; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .effective_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .enable_dynamic_divider = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .fast_lock_control = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk0_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk1_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk2_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk3_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk4_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk5_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk6_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk7_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk8_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .inclk9_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .input_clock_frequency = "156.25 mhz"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .logical_channel_address = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .logical_tx_pll_number = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .loop_filter_c_bits = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .loop_filter_r_bits = 3200; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .m = 10; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .n = 1; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .pd_charge_pump_current_bits = 5; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .pd_loop_filter_r_bits = 300; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .pfd_clk_select = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .pfd_fb_select = "internal"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .pll_type = "rx cdr"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .sim_is_negative_ppm_drift = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .sim_net_ppm_variation = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .test_charge_pump_current_down = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .test_charge_pump_current_up = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .vco_data_rate = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .vco_post_scale = 2; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .vco_range = "high"; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .volt_reg_control_bits = 2; +defparam \pma_direct|auto_generated|rx_cdr_pll1 .volt_reg_output_bits = 0; +// synopsys translate_on + +// Location: RXPMA_X0_Y6_N137 +stratixiv_hssi_rx_pma \pma_direct|auto_generated|receive_pma1 ( + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .offsetcancellationen(gnd), + .powerdn(\pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN1 ), + .rxpmareset(\pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT1 ), + .plllocked(\pma_direct|auto_generated|rx_plllocked_wire [1]), + .ppmdetectrefclk(\pma_direct|auto_generated|rx_pll_pfdrefclkout_wire [1]), + .ppmdetectdividedclk(gnd), + .locktodata(\pma_direct|auto_generated|rx_locktodata_wire [1]), + .locktoref(\pma_direct|auto_generated|comb~1_combout ), + .freqlock(gnd), + .ignorephslck(gnd), + .datain(\rx_datain[1]~input_o ), + .seriallpbken(gnd), + .seriallpbkin(gnd), + .adcepowerdn(gnd), + .adcereset(gnd), + .adaptcapture(gnd), + .adcestandby(gnd), + .dprioin({\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT599 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT598 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT597 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT596 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT595 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT594 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT593 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT592 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT591 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT590 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT589 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT588 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT587 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT586 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT585 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT584 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT583 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT582 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT581 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT580 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT579 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT578 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT577 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT576 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT575 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT574 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT573 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT572 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT571 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT570 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT569 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT568 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT567 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT566 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT565 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT564 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT563 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT562 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT561 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT560 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT559 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT558 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT557 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT556 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT555 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT554 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT553 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT552 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT551 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT550 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT549 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT548 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT547 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT546 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT545 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT544 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT543 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT542 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT541 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT540 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT539 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT538 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT537 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT536 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT535 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT534 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT533 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT532 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT531 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT530 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT529 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT528 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT527 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT526 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT525 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT524 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT523 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT522 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT521 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT520 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT519 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT518 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT517 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT516 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT515 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT514 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT513 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT512 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT511 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT510 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT509 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT508 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT507 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT506 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT505 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT504 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT503 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT502 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT501 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT500 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT499 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT498 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT497 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT496 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT495 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT494 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT493 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT492 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT491 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT490 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT489 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT488 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT487 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT486 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT485 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT484 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT483 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT482 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT481 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT480 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT479 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT478 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT477 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT476 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT475 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT474 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT473 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT472 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT471 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT470 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT469 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT468 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT467 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT466 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT465 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT464 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT463 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT462 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT461 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT460 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT459 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT458 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT457 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT456 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT455 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT454 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT453 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT452 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT451 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT450 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT449 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT448 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT447 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT446 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT445 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT444 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT443 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT442 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT441 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT440 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT439 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT438 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT437 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT436 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT435 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT434 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT433 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT432 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT431 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT430 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT429 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT428 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT427 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT426 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT425 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT424 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT423 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT422 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT421 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT420 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT419 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT418 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT417 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT416 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT415 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT414 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT413 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT412 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT411 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT410 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT409 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT408 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT407 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT406 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT405 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT404 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT403 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT402 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT401 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT400 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT399 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT398 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT397 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT396 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT395 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT394 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT393 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT392 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT391 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT390 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT389 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT388 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT387 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT386 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT385 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT384 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT383 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT382 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT381 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT380 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT379 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT378 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT377 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT376 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT375 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT374 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT373 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT372 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT371 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT370 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT369 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT368 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT367 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT366 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT365 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT364 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT363 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT362 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT361 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT360 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT359 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT358 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT357 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT356 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT355 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT354 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT353 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT352 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT351 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT350 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT349 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT348 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT347 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT346 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT345 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT344 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT343 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT342 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT341 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT340 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT339 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT338 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT337 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT336 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT335 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT334 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT333 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT332 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT331 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT330 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT329 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT328 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT327 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT326 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT325 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT324 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT323 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT322 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT321 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT320 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT319 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT318 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT317 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT316 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT315 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT314 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT313 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT312 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT311 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT310 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT309 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT308 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT307 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT306 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT305 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT304 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT303 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT302 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT301 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT300 }), + .deserclock({\pma_direct|auto_generated|rx_deserclock_in [7],\pma_direct|auto_generated|rx_deserclock_in [6],\pma_direct|auto_generated|rx_deserclock_in [5],\pma_direct|auto_generated|rx_deserclock_in [4]}), + .recoverdatain({\pma_direct|auto_generated|pll_ch_dataout_wire [3],\pma_direct|auto_generated|pll_ch_dataout_wire [2]}), + .testbussel({gnd,vcc,vcc,gnd}), + .extra10gin(38'b00000000000000000000000000000000000000), + .signaldetect(), + .clockout(\pma_direct|auto_generated|wire_receive_pma1_clockout ), + .ppmdetectclkrel(), + .locktorefout(\pma_direct|auto_generated|rx_pma_locktorefout [1]), + .dataout(\pma_direct|auto_generated|rx_pma_dataout [1]), + .revserialfdbkout(), + .reverselpbkout(), + .adaptdone(), + .dprioout(\pma_direct|auto_generated|receive_pma1_DPRIOOUT_bus ), + .recoverdataout(\pma_direct|auto_generated|receive_pma1_RECOVERDATAOUT_bus ), + .analogtestbus(\pma_direct|auto_generated|receive_pma1_ANALOGTESTBUS_bus ), + .dataoutfull()); +// synopsys translate_off +defparam \pma_direct|auto_generated|receive_pma1 .adaptive_equalization_mode = "none"; +defparam \pma_direct|auto_generated|receive_pma1 .allow_serial_loopback = "false"; +defparam \pma_direct|auto_generated|receive_pma1 .allow_vco_bypass = 0; +defparam \pma_direct|auto_generated|receive_pma1 .analog_power = "1.4v"; +defparam \pma_direct|auto_generated|receive_pma1 .channel_number = 1; +defparam \pma_direct|auto_generated|receive_pma1 .channel_type = "regular"; +defparam \pma_direct|auto_generated|receive_pma1 .common_mode = "0.82v"; +defparam \pma_direct|auto_generated|receive_pma1 .deserialization_factor = 20; +defparam \pma_direct|auto_generated|receive_pma1 .dfe_piclk_bandwidth = 5; +defparam \pma_direct|auto_generated|receive_pma1 .dfe_piclk_phase = 16; +defparam \pma_direct|auto_generated|receive_pma1 .dfe_piclk_sel = 8; +defparam \pma_direct|auto_generated|receive_pma1 .dprio_config_mode = 6'b000001; +defparam \pma_direct|auto_generated|receive_pma1 .enable_ltd = "true"; +defparam \pma_direct|auto_generated|receive_pma1 .enable_ltr = "true"; +defparam \pma_direct|auto_generated|receive_pma1 .eq_adapt_seq_control = 0; +defparam \pma_direct|auto_generated|receive_pma1 .eq_dc_gain = 0; +defparam \pma_direct|auto_generated|receive_pma1 .eq_max_gradient_control = 0; +defparam \pma_direct|auto_generated|receive_pma1 .eqa_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma1 .eqb_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma1 .eqc_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma1 .eqd_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma1 .eqv_ctrl = 5; +defparam \pma_direct|auto_generated|receive_pma1 .eyemon_bandwidth = 3; +defparam \pma_direct|auto_generated|receive_pma1 .force_signal_detect = "true"; +defparam \pma_direct|auto_generated|receive_pma1 .ignore_lock_detect = "false"; +defparam \pma_direct|auto_generated|receive_pma1 .logical_channel_address = 4; +defparam \pma_direct|auto_generated|receive_pma1 .low_speed_test_select = 0; +defparam \pma_direct|auto_generated|receive_pma1 .offset_cancellation = 1; +defparam \pma_direct|auto_generated|receive_pma1 .ppm_gen1_2_xcnt_en = 0; +defparam \pma_direct|auto_generated|receive_pma1 .ppm_post_eidle = 0; +defparam \pma_direct|auto_generated|receive_pma1 .ppmselect = 32; +defparam \pma_direct|auto_generated|receive_pma1 .protocol_hint = "basic"; +defparam \pma_direct|auto_generated|receive_pma1 .send_direct_reverse_serial_loopback = "none"; +defparam \pma_direct|auto_generated|receive_pma1 .signal_detect_hysteresis = 2; +defparam \pma_direct|auto_generated|receive_pma1 .signal_detect_hysteresis_valid_threshold = 14; +defparam \pma_direct|auto_generated|receive_pma1 .signal_detect_loss_threshold = 9; +defparam \pma_direct|auto_generated|receive_pma1 .termination = "oct 100 ohms"; +defparam \pma_direct|auto_generated|receive_pma1 .use_deser_double_data_width = "true"; +defparam \pma_direct|auto_generated|receive_pma1 .use_external_termination = "false"; +defparam \pma_direct|auto_generated|receive_pma1 .use_pma_direct = "true"; +// synopsys translate_on + +// Location: HSSIPLL_X0_Y3_N135 +stratixiv_hssi_pll \pma_direct|auto_generated|rx_cdr_pll0 ( + .rateswitch(gnd), + .locktorefclk(\pma_direct|auto_generated|rx_pma_locktorefout [0]), + .datain(\pma_direct|auto_generated|rx_pma_dataout [0]), + .powerdown(\pma_direct|auto_generated|tx_pll_cent_unit0~RXCRUPOWERDN0 ), + .areset(\pma_direct|auto_generated|tx_pll_cent_unit0~RXCRURESETOUT0 ), + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .earlyeios(gnd), + .pfdfbclk(gnd), + .inclk({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\pll_inclk[0]~inputclkctrl_outclk }), + .dprioin({\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [299],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [298],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [297], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [296],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [295],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [294], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [293],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [292],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [291], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [290],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [289],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [288], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [287],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [286],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [285], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [284],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [283],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [282], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [281],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [280],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [279], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [278],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [277],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [276], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [275],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [274],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [273], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [272],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [271],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [270], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [269],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [268],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [267], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [266],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [265],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [264], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [263],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [262],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [261], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [260],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [259],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [258], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [257],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [256],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [255], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [254],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [253],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [252], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [251],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [250],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [249], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [248],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [247],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [246], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [245],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [244],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [243], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [242],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [241],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [240], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [239],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [238],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [237], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [236],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [235],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [234], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [233],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [232],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [231], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [230],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [229],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [228], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [227],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [226],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [225], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [224],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [223],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [222], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [221],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [220],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [219], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [218],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [217],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [216], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [215],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [214],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [213], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [212],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [211],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [210], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [209],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [208],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [207], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [206],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [205],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [204], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [203],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [202],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [201], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [200],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [199],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [198], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [197],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [196],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [195], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [194],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [193],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [192], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [191],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [190],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [189], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [188],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [187],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [186], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [185],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [184],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [183], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [182],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [181],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [180], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [179],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [178],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [177], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [176],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [175],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [174], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [173],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [172],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [171], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [170],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [169],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [168], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [167],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [166],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [165], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [164],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [163],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [162], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [161],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [160],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [159], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [158],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [157],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [156], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [155],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [154],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [153], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [152],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [151],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [150], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [149],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [148],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [147], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [146],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [145],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [144], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [143],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [142],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [141], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [140],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [139],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [138], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [137],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [136],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [135], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [134],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [133],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [132], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [131],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [130],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [129], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [128],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [127],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [126], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [125],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [124],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [123], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [122],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [121],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [120], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [119],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [118],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [117], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [116],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [115],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [114], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [113],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [112],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [111], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [110],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [109],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [108], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [107],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [106],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [105], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [104],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [103],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [102], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [101],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [100],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [99], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [98],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [97],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [96], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [95],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [94],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [93], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [92],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [91],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [90], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [89],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [88],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [87], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [86],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [85],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [84], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [83],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [82],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [81], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [80],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [79],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [78], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [77],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [76],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [75], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [74],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [73],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [72], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [71],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [70],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [69], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [68],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [67],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [66], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [65],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [64],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [63], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [62],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [61],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [60], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [59],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [58],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [57], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [56],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [55],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [54], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [53],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [52],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [51], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [50],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [49],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [48], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [47],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [46],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [45], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [44],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [43],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [42], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [41],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [40],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [39], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [38],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [37],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [36], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [35],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [34],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [33], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [32],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [31],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [30], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [29],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [28],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [27], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [26],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [25],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [24], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [23],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [22],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [21], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [20],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [19],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [18], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [17],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [16],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [15], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [14],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [13],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [12], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [11],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [10],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [9], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [8],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [7],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [6], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [5],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [4],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [3], +\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [2],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [1],\pma_direct|auto_generated|wire_tx_pll_cent_unit0_cmuplldprioout [0]}), + .extra10gin(6'b000000), + .pfdfbclkout(), + .pfdrefclkout(\pma_direct|auto_generated|rx_pll_pfdrefclkout_wire [0]), + .vcobypassout(), + .locked(\pma_direct|auto_generated|rx_plllocked_wire [0]), + .freqlocked(\pma_direct|auto_generated|wire_rx_cdr_pll0_freqlocked ), + .clk(\pma_direct|auto_generated|rx_cdr_pll0_CLK_bus ), + .dataout(\pma_direct|auto_generated|rx_cdr_pll0_DATAOUT_bus ), + .dprioout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_cdr_pll0 .auto_settings = "true"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .bandwidth_type = "high"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .base_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .channel_num = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .charge_pump_current_bits = 30; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .charge_pump_mode_bits = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .charge_pump_test_enable = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .dprio_config_mode = 6'b000000; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .effective_data_rate = "3125.0 mbps"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .enable_dynamic_divider = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .fast_lock_control = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk0_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk1_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk2_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk3_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk4_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk5_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk6_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk7_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk8_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .inclk9_input_period = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .input_clock_frequency = "156.25 mhz"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .logical_channel_address = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .logical_tx_pll_number = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .loop_filter_c_bits = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .loop_filter_r_bits = 3200; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .m = 10; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .n = 1; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .pd_charge_pump_current_bits = 5; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .pd_loop_filter_r_bits = 300; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .pfd_clk_select = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .pfd_fb_select = "internal"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .pll_type = "rx cdr"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .sim_is_negative_ppm_drift = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .sim_net_ppm_variation = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .test_charge_pump_current_down = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .test_charge_pump_current_up = "false"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .vco_data_rate = 0; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .vco_post_scale = 2; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .vco_range = "high"; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .volt_reg_control_bits = 2; +defparam \pma_direct|auto_generated|rx_cdr_pll0 .volt_reg_output_bits = 0; +// synopsys translate_on + +// Location: RXPMA_X0_Y3_N137 +stratixiv_hssi_rx_pma \pma_direct|auto_generated|receive_pma0 ( + .dpriodisable(\pma_direct|auto_generated|edge_cmu_dpriodisableout [0]), + .offsetcancellationen(gnd), + .powerdn(\pma_direct|auto_generated|tx_pll_cent_unit0~RXIBPOWERDN0 ), + .rxpmareset(\pma_direct|auto_generated|tx_pll_cent_unit0~RXANALOGRESETOUT0 ), + .plllocked(\pma_direct|auto_generated|rx_plllocked_wire [0]), + .ppmdetectrefclk(\pma_direct|auto_generated|rx_pll_pfdrefclkout_wire [0]), + .ppmdetectdividedclk(gnd), + .locktodata(\pma_direct|auto_generated|rx_locktodata_wire [0]), + .locktoref(\pma_direct|auto_generated|comb~0_combout ), + .freqlock(gnd), + .ignorephslck(gnd), + .datain(\rx_datain[0]~input_o ), + .seriallpbken(gnd), + .seriallpbkin(gnd), + .adcepowerdn(gnd), + .adcereset(gnd), + .adaptcapture(gnd), + .adcestandby(gnd), + .dprioin({\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT299 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT298 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT297 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT296 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT295 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT294 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT293 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT292 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT291 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT290 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT289 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT288 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT287 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT286 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT285 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT284 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT283 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT282 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT281 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT280 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT279 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT278 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT277 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT276 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT275 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT274 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT273 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT272 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT271 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT270 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT269 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT268 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT267 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT266 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT265 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT264 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT263 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT262 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT261 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT260 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT259 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT258 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT257 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT256 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT255 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT254 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT253 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT252 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT251 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT250 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT249 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT248 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT247 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT246 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT245 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT244 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT243 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT242 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT241 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT240 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT239 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT238 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT237 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT236 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT235 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT234 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT233 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT232 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT231 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT230 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT229 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT228 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT227 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT226 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT225 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT224 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT223 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT222 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT221 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT220 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT219 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT218 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT217 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT216 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT215 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT214 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT213 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT212 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT211 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT210 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT209 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT208 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT207 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT206 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT205 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT204 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT203 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT202 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT201 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT200 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT199 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT198 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT197 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT196 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT195 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT194 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT193 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT192 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT191 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT190 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT189 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT188 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT187 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT186 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT185 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT184 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT183 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT182 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT181 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT180 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT179 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT178 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT177 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT176 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT175 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT174 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT173 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT172 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT171 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT170 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT169 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT168 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT167 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT166 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT165 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT164 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT163 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT162 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT161 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT160 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT159 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT158 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT157 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT156 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT155 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT154 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT153 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT152 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT151 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT150 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT149 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT148 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT147 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT146 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT145 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT144 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT143 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT142 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT141 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT140 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT139 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT138 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT137 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT136 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT135 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT134 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT133 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT132 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT131 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT130 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT129 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT128 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT127 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT126 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT125 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT124 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT123 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT122 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT121 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT120 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT119 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT118 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT117 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT116 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT115 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT114 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT113 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT112 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT111 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT110 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT109 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT108 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT107 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT106 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT105 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT104 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT103 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT102 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT101 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT100 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT99 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT98 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT97 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT96 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT95 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT94 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT93 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT92 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT91 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT90 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT89 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT88 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT87 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT86 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT85 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT84 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT83 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT82 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT81 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT80 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT79 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT78 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT77 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT76 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT75 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT74 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT73 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT72 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT71 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT70 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT69 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT68 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT67 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT66 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT65 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT64 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT63 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT62 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT61 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT60 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT59 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT58 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT57 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT56 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT55 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT54 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT53 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT52 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT51 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT50 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT49 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT48 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT47 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT46 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT45 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT44 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT43 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT42 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT41 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT40 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT39 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT38 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT37 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT36 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT35 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT34 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT33 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT32 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT31 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT30 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT29 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT28 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT27 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT26 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT25 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT24 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT23 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT22 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT21 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT20 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT19 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT18 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT17 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT16 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT15 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT14 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT13 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT12 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT11 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT10 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT9 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT8 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT7 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT6 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT5 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT4 , +\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT3 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT2 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT1 ,\pma_direct|auto_generated|tx_pll_cent_unit0~RXPMADRPIOOUT0 }), + .deserclock({\pma_direct|auto_generated|rx_deserclock_in [3],\pma_direct|auto_generated|rx_deserclock_in [2],\pma_direct|auto_generated|rx_deserclock_in [1],\pma_direct|auto_generated|rx_deserclock_in [0]}), + .recoverdatain({\pma_direct|auto_generated|pll_ch_dataout_wire [1],\pma_direct|auto_generated|pll_ch_dataout_wire [0]}), + .testbussel({gnd,vcc,vcc,gnd}), + .extra10gin(38'b00000000000000000000000000000000000000), + .signaldetect(), + .clockout(\pma_direct|auto_generated|wire_receive_pma0_clockout ), + .ppmdetectclkrel(), + .locktorefout(\pma_direct|auto_generated|rx_pma_locktorefout [0]), + .dataout(\pma_direct|auto_generated|rx_pma_dataout [0]), + .revserialfdbkout(), + .reverselpbkout(), + .adaptdone(), + .dprioout(\pma_direct|auto_generated|receive_pma0_DPRIOOUT_bus ), + .recoverdataout(\pma_direct|auto_generated|receive_pma0_RECOVERDATAOUT_bus ), + .analogtestbus(\pma_direct|auto_generated|receive_pma0_ANALOGTESTBUS_bus ), + .dataoutfull()); +// synopsys translate_off +defparam \pma_direct|auto_generated|receive_pma0 .adaptive_equalization_mode = "none"; +defparam \pma_direct|auto_generated|receive_pma0 .allow_serial_loopback = "false"; +defparam \pma_direct|auto_generated|receive_pma0 .allow_vco_bypass = 0; +defparam \pma_direct|auto_generated|receive_pma0 .analog_power = "1.4v"; +defparam \pma_direct|auto_generated|receive_pma0 .channel_number = 0; +defparam \pma_direct|auto_generated|receive_pma0 .channel_type = "regular"; +defparam \pma_direct|auto_generated|receive_pma0 .common_mode = "0.82v"; +defparam \pma_direct|auto_generated|receive_pma0 .deserialization_factor = 20; +defparam \pma_direct|auto_generated|receive_pma0 .dfe_piclk_bandwidth = 5; +defparam \pma_direct|auto_generated|receive_pma0 .dfe_piclk_phase = 16; +defparam \pma_direct|auto_generated|receive_pma0 .dfe_piclk_sel = 8; +defparam \pma_direct|auto_generated|receive_pma0 .dprio_config_mode = 6'b000001; +defparam \pma_direct|auto_generated|receive_pma0 .enable_ltd = "true"; +defparam \pma_direct|auto_generated|receive_pma0 .enable_ltr = "true"; +defparam \pma_direct|auto_generated|receive_pma0 .eq_adapt_seq_control = 0; +defparam \pma_direct|auto_generated|receive_pma0 .eq_dc_gain = 0; +defparam \pma_direct|auto_generated|receive_pma0 .eq_max_gradient_control = 0; +defparam \pma_direct|auto_generated|receive_pma0 .eqa_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma0 .eqb_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma0 .eqc_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma0 .eqd_ctrl = 7; +defparam \pma_direct|auto_generated|receive_pma0 .eqv_ctrl = 5; +defparam \pma_direct|auto_generated|receive_pma0 .eyemon_bandwidth = 3; +defparam \pma_direct|auto_generated|receive_pma0 .force_signal_detect = "true"; +defparam \pma_direct|auto_generated|receive_pma0 .ignore_lock_detect = "false"; +defparam \pma_direct|auto_generated|receive_pma0 .logical_channel_address = 0; +defparam \pma_direct|auto_generated|receive_pma0 .low_speed_test_select = 0; +defparam \pma_direct|auto_generated|receive_pma0 .offset_cancellation = 1; +defparam \pma_direct|auto_generated|receive_pma0 .ppm_gen1_2_xcnt_en = 0; +defparam \pma_direct|auto_generated|receive_pma0 .ppm_post_eidle = 0; +defparam \pma_direct|auto_generated|receive_pma0 .ppmselect = 32; +defparam \pma_direct|auto_generated|receive_pma0 .protocol_hint = "basic"; +defparam \pma_direct|auto_generated|receive_pma0 .send_direct_reverse_serial_loopback = "none"; +defparam \pma_direct|auto_generated|receive_pma0 .signal_detect_hysteresis = 2; +defparam \pma_direct|auto_generated|receive_pma0 .signal_detect_hysteresis_valid_threshold = 14; +defparam \pma_direct|auto_generated|receive_pma0 .signal_detect_loss_threshold = 9; +defparam \pma_direct|auto_generated|receive_pma0 .termination = "oct 100 ohms"; +defparam \pma_direct|auto_generated|receive_pma0 .use_deser_double_data_width = "true"; +defparam \pma_direct|auto_generated|receive_pma0 .use_external_termination = "false"; +defparam \pma_direct|auto_generated|receive_pma0 .use_pma_direct = "true"; +// synopsys translate_on + +// Location: LABCELL_X113_Y128_N0 +stratixiv_lcell_comb \~QUARTUS_CREATED_GND~I ( +// Equation(s): +// \~QUARTUS_CREATED_GND~I_combout = GND + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\~QUARTUS_CREATED_GND~I_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \~QUARTUS_CREATED_GND~I .extended_lut = "off"; +defparam \~QUARTUS_CREATED_GND~I .lut_mask = 64'h0000000000000000; +defparam \~QUARTUS_CREATED_GND~I .shared_arith = "off"; +// synopsys translate_on + +// Location: CLKCTRL_X0_Y19_N127 +stratixiv_clkena \pma_direct|auto_generated|wire_transmit_pma0_clockout~clkctrl ( + .inclk(\pma_direct|auto_generated|wire_transmit_pma0_clockout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\pma_direct|auto_generated|wire_transmit_pma0_clockout~clkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|wire_transmit_pma0_clockout~clkctrl .clock_type = "periphery clock"; +defparam \pma_direct|auto_generated|wire_transmit_pma0_clockout~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: CLKCTRL_X0_Y22_N127 +stratixiv_clkena \pma_direct|auto_generated|wire_transmit_pma1_clockout~clkctrl ( + .inclk(\pma_direct|auto_generated|wire_transmit_pma1_clockout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\pma_direct|auto_generated|wire_transmit_pma1_clockout~clkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|wire_transmit_pma1_clockout~clkctrl .clock_type = "periphery clock"; +defparam \pma_direct|auto_generated|wire_transmit_pma1_clockout~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: CLKCTRL_X0_Y33_N127 +stratixiv_clkena \pma_direct|auto_generated|wire_transmit_pma2_clockout~clkctrl ( + .inclk(\pma_direct|auto_generated|wire_transmit_pma2_clockout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\pma_direct|auto_generated|wire_transmit_pma2_clockout~clkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|wire_transmit_pma2_clockout~clkctrl .clock_type = "periphery clock"; +defparam \pma_direct|auto_generated|wire_transmit_pma2_clockout~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: CLKCTRL_X0_Y37_N127 +stratixiv_clkena \pma_direct|auto_generated|wire_transmit_pma3_clockout~clkctrl ( + .inclk(\pma_direct|auto_generated|wire_transmit_pma3_clockout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\pma_direct|auto_generated|wire_transmit_pma3_clockout~clkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|wire_transmit_pma3_clockout~clkctrl .clock_type = "periphery clock"; +defparam \pma_direct|auto_generated|wire_transmit_pma3_clockout~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: CLKCTRL_X0_Y18_N127 +stratixiv_clkena \pma_direct|auto_generated|wire_receive_pma0_clockout~clkctrl ( + .inclk(\pma_direct|auto_generated|wire_receive_pma0_clockout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\pma_direct|auto_generated|wire_receive_pma0_clockout~clkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|wire_receive_pma0_clockout~clkctrl .clock_type = "periphery clock"; +defparam \pma_direct|auto_generated|wire_receive_pma0_clockout~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: CLKCTRL_X0_Y21_N127 +stratixiv_clkena \pma_direct|auto_generated|wire_receive_pma1_clockout~clkctrl ( + .inclk(\pma_direct|auto_generated|wire_receive_pma1_clockout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\pma_direct|auto_generated|wire_receive_pma1_clockout~clkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|wire_receive_pma1_clockout~clkctrl .clock_type = "periphery clock"; +defparam \pma_direct|auto_generated|wire_receive_pma1_clockout~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: CLKCTRL_X0_Y32_N127 +stratixiv_clkena \pma_direct|auto_generated|wire_receive_pma2_clockout~clkctrl ( + .inclk(\pma_direct|auto_generated|wire_receive_pma2_clockout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\pma_direct|auto_generated|wire_receive_pma2_clockout~clkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|wire_receive_pma2_clockout~clkctrl .clock_type = "periphery clock"; +defparam \pma_direct|auto_generated|wire_receive_pma2_clockout~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: CLKCTRL_X0_Y35_N127 +stratixiv_clkena \pma_direct|auto_generated|wire_receive_pma3_clockout~clkctrl ( + .inclk(\pma_direct|auto_generated|wire_receive_pma3_clockout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .outclk(\pma_direct|auto_generated|wire_receive_pma3_clockout~clkctrl_outclk ), + .enaout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|wire_receive_pma3_clockout~clkctrl .clock_type = "periphery clock"; +defparam \pma_direct|auto_generated|wire_receive_pma3_clockout~clkctrl .ena_register_mode = "none"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N22 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_freqlocked[0] ( +// Equation(s): +// \pma_direct|auto_generated|rx_freqlocked [0] = ( \pma_direct|auto_generated|wire_rx_cdr_pll0_freqlocked & ( !\rx_analogreset[0]~input_o ) ) + + .dataa(!\rx_analogreset[0]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\pma_direct|auto_generated|wire_rx_cdr_pll0_freqlocked ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_freqlocked [0]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_freqlocked[0] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_freqlocked[0] .lut_mask = 64'h00000000AAAAAAAA; +defparam \pma_direct|auto_generated|rx_freqlocked[0] .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N4 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_freqlocked[1] ( +// Equation(s): +// \pma_direct|auto_generated|rx_freqlocked [1] = (!\rx_analogreset[1]~input_o & \pma_direct|auto_generated|wire_rx_cdr_pll1_freqlocked ) + + .dataa(gnd), + .datab(!\rx_analogreset[1]~input_o ), + .datac(!\pma_direct|auto_generated|wire_rx_cdr_pll1_freqlocked ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_freqlocked [1]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_freqlocked[1] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_freqlocked[1] .lut_mask = 64'h0C0C0C0C0C0C0C0C; +defparam \pma_direct|auto_generated|rx_freqlocked[1] .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N28 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_freqlocked[2] ( +// Equation(s): +// \pma_direct|auto_generated|rx_freqlocked [2] = (!\rx_analogreset[2]~input_o & \pma_direct|auto_generated|wire_rx_cdr_pll2_freqlocked ) + + .dataa(gnd), + .datab(!\rx_analogreset[2]~input_o ), + .datac(gnd), + .datad(!\pma_direct|auto_generated|wire_rx_cdr_pll2_freqlocked ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_freqlocked [2]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_freqlocked[2] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_freqlocked[2] .lut_mask = 64'h00CC00CC00CC00CC; +defparam \pma_direct|auto_generated|rx_freqlocked[2] .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N12 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_freqlocked[3] ( +// Equation(s): +// \pma_direct|auto_generated|rx_freqlocked [3] = ( \pma_direct|auto_generated|wire_rx_cdr_pll3_freqlocked & ( !\rx_analogreset[3]~input_o ) ) + + .dataa(!\rx_analogreset[3]~input_o ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\pma_direct|auto_generated|wire_rx_cdr_pll3_freqlocked ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_freqlocked [3]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_freqlocked[3] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_freqlocked[3] .lut_mask = 64'h00000000AAAAAAAA; +defparam \pma_direct|auto_generated|rx_freqlocked[3] .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N20 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_pll_locked[0] ( +// Equation(s): +// \pma_direct|auto_generated|rx_pll_locked [0] = (!\rx_analogreset[0]~input_o & \pma_direct|auto_generated|rx_plllocked_wire [0]) + + .dataa(!\rx_analogreset[0]~input_o ), + .datab(gnd), + .datac(!\pma_direct|auto_generated|rx_plllocked_wire [0]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_pll_locked [0]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_pll_locked[0] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_pll_locked[0] .lut_mask = 64'h0A0A0A0A0A0A0A0A; +defparam \pma_direct|auto_generated|rx_pll_locked[0] .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N6 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_pll_locked[1] ( +// Equation(s): +// \pma_direct|auto_generated|rx_pll_locked [1] = (!\rx_analogreset[1]~input_o & \pma_direct|auto_generated|rx_plllocked_wire [1]) + + .dataa(gnd), + .datab(!\rx_analogreset[1]~input_o ), + .datac(gnd), + .datad(!\pma_direct|auto_generated|rx_plllocked_wire [1]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_pll_locked [1]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_pll_locked[1] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_pll_locked[1] .lut_mask = 64'h00CC00CC00CC00CC; +defparam \pma_direct|auto_generated|rx_pll_locked[1] .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N30 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_pll_locked[2] ( +// Equation(s): +// \pma_direct|auto_generated|rx_pll_locked [2] = (!\rx_analogreset[2]~input_o & \pma_direct|auto_generated|rx_plllocked_wire [2]) + + .dataa(gnd), + .datab(!\rx_analogreset[2]~input_o ), + .datac(!\pma_direct|auto_generated|rx_plllocked_wire [2]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_pll_locked [2]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_pll_locked[2] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_pll_locked[2] .lut_mask = 64'h0C0C0C0C0C0C0C0C; +defparam \pma_direct|auto_generated|rx_pll_locked[2] .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X5_Y12_N14 +stratixiv_lcell_comb \pma_direct|auto_generated|rx_pll_locked[3] ( +// Equation(s): +// \pma_direct|auto_generated|rx_pll_locked [3] = (!\rx_analogreset[3]~input_o & \pma_direct|auto_generated|rx_plllocked_wire [3]) + + .dataa(!\rx_analogreset[3]~input_o ), + .datab(gnd), + .datac(!\pma_direct|auto_generated|rx_plllocked_wire [3]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\pma_direct|auto_generated|rx_pll_locked [3]), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \pma_direct|auto_generated|rx_pll_locked[3] .extended_lut = "off"; +defparam \pma_direct|auto_generated|rx_pll_locked[3] .lut_mask = 64'h0A0A0A0A0A0A0A0A; +defparam \pma_direct|auto_generated|rx_pll_locked[3] .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y102_N32 +stratixiv_io_ibuf \rx_locktodata[4]~input ( + .i(rx_locktodata[4]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[4]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[4]~input .bus_hold = "false"; +defparam \rx_locktodata[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y113_N1 +stratixiv_io_ibuf \rx_locktodata[5]~input ( + .i(rx_locktodata[5]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[5]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[5]~input .bus_hold = "false"; +defparam \rx_locktodata[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y45_N1 +stratixiv_io_ibuf \rx_locktodata[6]~input ( + .i(rx_locktodata[6]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[6]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[6]~input .bus_hold = "false"; +defparam \rx_locktodata[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X169_Y129_N94 +stratixiv_io_ibuf \rx_locktodata[7]~input ( + .i(rx_locktodata[7]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[7]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[7]~input .bus_hold = "false"; +defparam \rx_locktodata[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y83_N32 +stratixiv_io_ibuf \rx_locktodata[8]~input ( + .i(rx_locktodata[8]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[8]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[8]~input .bus_hold = "false"; +defparam \rx_locktodata[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y26_N94 +stratixiv_io_ibuf \rx_locktodata[9]~input ( + .i(rx_locktodata[9]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[9]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[9]~input .bus_hold = "false"; +defparam \rx_locktodata[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y54_N1 +stratixiv_io_ibuf \rx_locktodata[10]~input ( + .i(rx_locktodata[10]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[10]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[10]~input .bus_hold = "false"; +defparam \rx_locktodata[10]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X162_Y129_N32 +stratixiv_io_ibuf \rx_locktodata[11]~input ( + .i(rx_locktodata[11]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[11]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[11]~input .bus_hold = "false"; +defparam \rx_locktodata[11]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y21_N63 +stratixiv_io_ibuf \rx_locktodata[12]~input ( + .i(rx_locktodata[12]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[12]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[12]~input .bus_hold = "false"; +defparam \rx_locktodata[12]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X80_Y129_N1 +stratixiv_io_ibuf \rx_locktodata[13]~input ( + .i(rx_locktodata[13]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[13]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[13]~input .bus_hold = "false"; +defparam \rx_locktodata[13]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X110_Y0_N63 +stratixiv_io_ibuf \rx_locktodata[14]~input ( + .i(rx_locktodata[14]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[14]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[14]~input .bus_hold = "false"; +defparam \rx_locktodata[14]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X108_Y0_N94 +stratixiv_io_ibuf \rx_locktodata[15]~input ( + .i(rx_locktodata[15]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[15]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[15]~input .bus_hold = "false"; +defparam \rx_locktodata[15]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y113_N63 +stratixiv_io_ibuf \rx_locktodata[16]~input ( + .i(rx_locktodata[16]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[16]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[16]~input .bus_hold = "false"; +defparam \rx_locktodata[16]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X64_Y129_N32 +stratixiv_io_ibuf \rx_locktodata[17]~input ( + .i(rx_locktodata[17]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[17]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[17]~input .bus_hold = "false"; +defparam \rx_locktodata[17]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y98_N63 +stratixiv_io_ibuf \rx_locktodata[18]~input ( + .i(rx_locktodata[18]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[18]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[18]~input .bus_hold = "false"; +defparam \rx_locktodata[18]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y83_N1 +stratixiv_io_ibuf \rx_locktodata[19]~input ( + .i(rx_locktodata[19]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[19]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[19]~input .bus_hold = "false"; +defparam \rx_locktodata[19]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X68_Y129_N32 +stratixiv_io_ibuf \rx_locktodata[20]~input ( + .i(rx_locktodata[20]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[20]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[20]~input .bus_hold = "false"; +defparam \rx_locktodata[20]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X73_Y0_N1 +stratixiv_io_ibuf \rx_locktodata[21]~input ( + .i(rx_locktodata[21]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[21]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[21]~input .bus_hold = "false"; +defparam \rx_locktodata[21]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X129_Y0_N1 +stratixiv_io_ibuf \rx_locktodata[22]~input ( + .i(rx_locktodata[22]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[22]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[22]~input .bus_hold = "false"; +defparam \rx_locktodata[22]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X36_Y129_N1 +stratixiv_io_ibuf \rx_locktodata[23]~input ( + .i(rx_locktodata[23]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[23]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[23]~input .bus_hold = "false"; +defparam \rx_locktodata[23]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X119_Y129_N94 +stratixiv_io_ibuf \rx_locktodata[24]~input ( + .i(rx_locktodata[24]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[24]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[24]~input .bus_hold = "false"; +defparam \rx_locktodata[24]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X159_Y0_N63 +stratixiv_io_ibuf \rx_locktodata[25]~input ( + .i(rx_locktodata[25]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[25]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[25]~input .bus_hold = "false"; +defparam \rx_locktodata[25]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X157_Y0_N63 +stratixiv_io_ibuf \rx_locktodata[26]~input ( + .i(rx_locktodata[26]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[26]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[26]~input .bus_hold = "false"; +defparam \rx_locktodata[26]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y19_N32 +stratixiv_io_ibuf \rx_locktodata[27]~input ( + .i(rx_locktodata[27]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[27]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[27]~input .bus_hold = "false"; +defparam \rx_locktodata[27]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y74_N32 +stratixiv_io_ibuf \rx_locktodata[28]~input ( + .i(rx_locktodata[28]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[28]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[28]~input .bus_hold = "false"; +defparam \rx_locktodata[28]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y102_N1 +stratixiv_io_ibuf \rx_locktodata[29]~input ( + .i(rx_locktodata[29]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[29]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[29]~input .bus_hold = "false"; +defparam \rx_locktodata[29]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y98_N94 +stratixiv_io_ibuf \rx_locktodata[30]~input ( + .i(rx_locktodata[30]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[30]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[30]~input .bus_hold = "false"; +defparam \rx_locktodata[30]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X80_Y0_N32 +stratixiv_io_ibuf \rx_locktodata[31]~input ( + .i(rx_locktodata[31]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktodata[31]~input_o )); +// synopsys translate_off +defparam \rx_locktodata[31]~input .bus_hold = "false"; +defparam \rx_locktodata[31]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X125_Y129_N32 +stratixiv_io_ibuf \rx_analogreset[4]~input ( + .i(rx_analogreset[4]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[4]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[4]~input .bus_hold = "false"; +defparam \rx_analogreset[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X169_Y0_N94 +stratixiv_io_ibuf \rx_analogreset[5]~input ( + .i(rx_analogreset[5]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[5]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[5]~input .bus_hold = "false"; +defparam \rx_analogreset[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y102_N63 +stratixiv_io_ibuf \rx_analogreset[6]~input ( + .i(rx_analogreset[6]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[6]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[6]~input .bus_hold = "false"; +defparam \rx_analogreset[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X169_Y129_N1 +stratixiv_io_ibuf \rx_analogreset[7]~input ( + .i(rx_analogreset[7]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[7]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[7]~input .bus_hold = "false"; +defparam \rx_analogreset[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X19_Y129_N94 +stratixiv_io_ibuf \rx_analogreset[8]~input ( + .i(rx_analogreset[8]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[8]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[8]~input .bus_hold = "false"; +defparam \rx_analogreset[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y106_N1 +stratixiv_io_ibuf \rx_analogreset[9]~input ( + .i(rx_analogreset[9]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[9]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[9]~input .bus_hold = "false"; +defparam \rx_analogreset[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y28_N1 +stratixiv_io_ibuf \rx_analogreset[10]~input ( + .i(rx_analogreset[10]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[10]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[10]~input .bus_hold = "false"; +defparam \rx_analogreset[10]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y72_N32 +stratixiv_io_ibuf \rx_analogreset[11]~input ( + .i(rx_analogreset[11]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[11]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[11]~input .bus_hold = "false"; +defparam \rx_analogreset[11]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y76_N63 +stratixiv_io_ibuf \rx_analogreset[12]~input ( + .i(rx_analogreset[12]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[12]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[12]~input .bus_hold = "false"; +defparam \rx_analogreset[12]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y115_N1 +stratixiv_io_ibuf \rx_analogreset[13]~input ( + .i(rx_analogreset[13]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[13]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[13]~input .bus_hold = "false"; +defparam \rx_analogreset[13]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X175_Y0_N94 +stratixiv_io_ibuf \rx_analogreset[14]~input ( + .i(rx_analogreset[14]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[14]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[14]~input .bus_hold = "false"; +defparam \rx_analogreset[14]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X110_Y0_N32 +stratixiv_io_ibuf \rx_analogreset[15]~input ( + .i(rx_analogreset[15]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[15]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[15]~input .bus_hold = "false"; +defparam \rx_analogreset[15]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X84_Y0_N63 +stratixiv_io_ibuf \rx_analogreset[16]~input ( + .i(rx_analogreset[16]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[16]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[16]~input .bus_hold = "false"; +defparam \rx_analogreset[16]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X33_Y129_N1 +stratixiv_io_ibuf \rx_analogreset[17]~input ( + .i(rx_analogreset[17]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[17]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[17]~input .bus_hold = "false"; +defparam \rx_analogreset[17]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X25_Y129_N94 +stratixiv_io_ibuf \rx_analogreset[18]~input ( + .i(rx_analogreset[18]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[18]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[18]~input .bus_hold = "false"; +defparam \rx_analogreset[18]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X108_Y129_N94 +stratixiv_io_ibuf \rx_analogreset[19]~input ( + .i(rx_analogreset[19]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[19]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[19]~input .bus_hold = "false"; +defparam \rx_analogreset[19]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X139_Y0_N32 +stratixiv_io_ibuf \rx_analogreset[20]~input ( + .i(rx_analogreset[20]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[20]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[20]~input .bus_hold = "false"; +defparam \rx_analogreset[20]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X25_Y129_N63 +stratixiv_io_ibuf \rx_analogreset[21]~input ( + .i(rx_analogreset[21]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[21]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[21]~input .bus_hold = "false"; +defparam \rx_analogreset[21]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y81_N32 +stratixiv_io_ibuf \rx_analogreset[22]~input ( + .i(rx_analogreset[22]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[22]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[22]~input .bus_hold = "false"; +defparam \rx_analogreset[22]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X157_Y129_N63 +stratixiv_io_ibuf \rx_analogreset[23]~input ( + .i(rx_analogreset[23]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[23]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[23]~input .bus_hold = "false"; +defparam \rx_analogreset[23]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y107_N63 +stratixiv_io_ibuf \rx_analogreset[24]~input ( + .i(rx_analogreset[24]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[24]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[24]~input .bus_hold = "false"; +defparam \rx_analogreset[24]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X17_Y129_N32 +stratixiv_io_ibuf \rx_analogreset[25]~input ( + .i(rx_analogreset[25]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[25]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[25]~input .bus_hold = "false"; +defparam \rx_analogreset[25]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X148_Y0_N94 +stratixiv_io_ibuf \rx_analogreset[26]~input ( + .i(rx_analogreset[26]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[26]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[26]~input .bus_hold = "false"; +defparam \rx_analogreset[26]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X73_Y129_N63 +stratixiv_io_ibuf \rx_analogreset[27]~input ( + .i(rx_analogreset[27]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[27]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[27]~input .bus_hold = "false"; +defparam \rx_analogreset[27]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y81_N63 +stratixiv_io_ibuf \rx_analogreset[28]~input ( + .i(rx_analogreset[28]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[28]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[28]~input .bus_hold = "false"; +defparam \rx_analogreset[28]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y54_N32 +stratixiv_io_ibuf \rx_analogreset[29]~input ( + .i(rx_analogreset[29]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[29]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[29]~input .bus_hold = "false"; +defparam \rx_analogreset[29]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X169_Y129_N63 +stratixiv_io_ibuf \rx_analogreset[30]~input ( + .i(rx_analogreset[30]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[30]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[30]~input .bus_hold = "false"; +defparam \rx_analogreset[30]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X157_Y0_N94 +stratixiv_io_ibuf \rx_analogreset[31]~input ( + .i(rx_analogreset[31]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_analogreset[31]~input_o )); +// synopsys translate_off +defparam \rx_analogreset[31]~input .bus_hold = "false"; +defparam \rx_analogreset[31]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X133_Y129_N94 +stratixiv_io_ibuf \rx_seriallpbken[0]~input ( + .i(rx_seriallpbken[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[0]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[0]~input .bus_hold = "false"; +defparam \rx_seriallpbken[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X125_Y0_N32 +stratixiv_io_ibuf \rx_seriallpbken[1]~input ( + .i(rx_seriallpbken[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[1]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[1]~input .bus_hold = "false"; +defparam \rx_seriallpbken[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y76_N94 +stratixiv_io_ibuf \rx_seriallpbken[2]~input ( + .i(rx_seriallpbken[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[2]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[2]~input .bus_hold = "false"; +defparam \rx_seriallpbken[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y52_N63 +stratixiv_io_ibuf \rx_seriallpbken[3]~input ( + .i(rx_seriallpbken[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[3]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[3]~input .bus_hold = "false"; +defparam \rx_seriallpbken[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X164_Y0_N32 +stratixiv_io_ibuf \rx_seriallpbken[4]~input ( + .i(rx_seriallpbken[4]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[4]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[4]~input .bus_hold = "false"; +defparam \rx_seriallpbken[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X135_Y129_N1 +stratixiv_io_ibuf \rx_seriallpbken[5]~input ( + .i(rx_seriallpbken[5]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[5]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[5]~input .bus_hold = "false"; +defparam \rx_seriallpbken[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y60_N1 +stratixiv_io_ibuf \rx_seriallpbken[6]~input ( + .i(rx_seriallpbken[6]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[6]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[6]~input .bus_hold = "false"; +defparam \rx_seriallpbken[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X68_Y129_N63 +stratixiv_io_ibuf \rx_seriallpbken[7]~input ( + .i(rx_seriallpbken[7]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[7]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[7]~input .bus_hold = "false"; +defparam \rx_seriallpbken[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X119_Y0_N1 +stratixiv_io_ibuf \rx_seriallpbken[8]~input ( + .i(rx_seriallpbken[8]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[8]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[8]~input .bus_hold = "false"; +defparam \rx_seriallpbken[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X137_Y0_N1 +stratixiv_io_ibuf \rx_seriallpbken[9]~input ( + .i(rx_seriallpbken[9]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[9]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[9]~input .bus_hold = "false"; +defparam \rx_seriallpbken[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X142_Y129_N94 +stratixiv_io_ibuf \rx_seriallpbken[10]~input ( + .i(rx_seriallpbken[10]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[10]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[10]~input .bus_hold = "false"; +defparam \rx_seriallpbken[10]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X145_Y0_N94 +stratixiv_io_ibuf \rx_seriallpbken[11]~input ( + .i(rx_seriallpbken[11]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[11]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[11]~input .bus_hold = "false"; +defparam \rx_seriallpbken[11]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X100_Y0_N1 +stratixiv_io_ibuf \rx_seriallpbken[12]~input ( + .i(rx_seriallpbken[12]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[12]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[12]~input .bus_hold = "false"; +defparam \rx_seriallpbken[12]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X121_Y0_N32 +stratixiv_io_ibuf \rx_seriallpbken[13]~input ( + .i(rx_seriallpbken[13]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[13]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[13]~input .bus_hold = "false"; +defparam \rx_seriallpbken[13]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y98_N63 +stratixiv_io_ibuf \rx_seriallpbken[14]~input ( + .i(rx_seriallpbken[14]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[14]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[14]~input .bus_hold = "false"; +defparam \rx_seriallpbken[14]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X150_Y129_N94 +stratixiv_io_ibuf \rx_seriallpbken[15]~input ( + .i(rx_seriallpbken[15]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[15]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[15]~input .bus_hold = "false"; +defparam \rx_seriallpbken[15]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X70_Y129_N94 +stratixiv_io_ibuf \rx_seriallpbken[16]~input ( + .i(rx_seriallpbken[16]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[16]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[16]~input .bus_hold = "false"; +defparam \rx_seriallpbken[16]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X64_Y0_N94 +stratixiv_io_ibuf \rx_seriallpbken[17]~input ( + .i(rx_seriallpbken[17]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[17]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[17]~input .bus_hold = "false"; +defparam \rx_seriallpbken[17]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y31_N94 +stratixiv_io_ibuf \rx_seriallpbken[18]~input ( + .i(rx_seriallpbken[18]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[18]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[18]~input .bus_hold = "false"; +defparam \rx_seriallpbken[18]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y69_N1 +stratixiv_io_ibuf \rx_seriallpbken[19]~input ( + .i(rx_seriallpbken[19]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[19]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[19]~input .bus_hold = "false"; +defparam \rx_seriallpbken[19]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y96_N32 +stratixiv_io_ibuf \rx_seriallpbken[20]~input ( + .i(rx_seriallpbken[20]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[20]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[20]~input .bus_hold = "false"; +defparam \rx_seriallpbken[20]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X85_Y0_N1 +stratixiv_io_ibuf \rx_seriallpbken[21]~input ( + .i(rx_seriallpbken[21]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[21]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[21]~input .bus_hold = "false"; +defparam \rx_seriallpbken[21]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X137_Y129_N1 +stratixiv_io_ibuf \rx_seriallpbken[22]~input ( + .i(rx_seriallpbken[22]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[22]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[22]~input .bus_hold = "false"; +defparam \rx_seriallpbken[22]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X42_Y129_N1 +stratixiv_io_ibuf \rx_seriallpbken[23]~input ( + .i(rx_seriallpbken[23]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[23]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[23]~input .bus_hold = "false"; +defparam \rx_seriallpbken[23]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y106_N32 +stratixiv_io_ibuf \rx_seriallpbken[24]~input ( + .i(rx_seriallpbken[24]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[24]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[24]~input .bus_hold = "false"; +defparam \rx_seriallpbken[24]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y112_N94 +stratixiv_io_ibuf \rx_seriallpbken[25]~input ( + .i(rx_seriallpbken[25]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[25]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[25]~input .bus_hold = "false"; +defparam \rx_seriallpbken[25]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X125_Y0_N94 +stratixiv_io_ibuf \rx_seriallpbken[26]~input ( + .i(rx_seriallpbken[26]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[26]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[26]~input .bus_hold = "false"; +defparam \rx_seriallpbken[26]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y112_N1 +stratixiv_io_ibuf \rx_seriallpbken[27]~input ( + .i(rx_seriallpbken[27]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[27]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[27]~input .bus_hold = "false"; +defparam \rx_seriallpbken[27]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y76_N32 +stratixiv_io_ibuf \rx_seriallpbken[28]~input ( + .i(rx_seriallpbken[28]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[28]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[28]~input .bus_hold = "false"; +defparam \rx_seriallpbken[28]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X110_Y0_N1 +stratixiv_io_ibuf \rx_seriallpbken[29]~input ( + .i(rx_seriallpbken[29]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[29]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[29]~input .bus_hold = "false"; +defparam \rx_seriallpbken[29]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X127_Y129_N32 +stratixiv_io_ibuf \rx_seriallpbken[30]~input ( + .i(rx_seriallpbken[30]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[30]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[30]~input .bus_hold = "false"; +defparam \rx_seriallpbken[30]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y37_N32 +stratixiv_io_ibuf \rx_seriallpbken[31]~input ( + .i(rx_seriallpbken[31]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_seriallpbken[31]~input_o )); +// synopsys translate_off +defparam \rx_seriallpbken[31]~input .bus_hold = "false"; +defparam \rx_seriallpbken[31]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X164_Y0_N63 +stratixiv_io_ibuf \tx_digitalreset[0]~input ( + .i(tx_digitalreset[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[0]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[0]~input .bus_hold = "false"; +defparam \tx_digitalreset[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y57_N32 +stratixiv_io_ibuf \tx_digitalreset[1]~input ( + .i(tx_digitalreset[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[1]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[1]~input .bus_hold = "false"; +defparam \tx_digitalreset[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y57_N1 +stratixiv_io_ibuf \tx_digitalreset[2]~input ( + .i(tx_digitalreset[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[2]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[2]~input .bus_hold = "false"; +defparam \tx_digitalreset[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y71_N63 +stratixiv_io_ibuf \tx_digitalreset[3]~input ( + .i(tx_digitalreset[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[3]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[3]~input .bus_hold = "false"; +defparam \tx_digitalreset[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y100_N32 +stratixiv_io_ibuf \tx_digitalreset[4]~input ( + .i(tx_digitalreset[4]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[4]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[4]~input .bus_hold = "false"; +defparam \tx_digitalreset[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X73_Y0_N94 +stratixiv_io_ibuf \tx_digitalreset[5]~input ( + .i(tx_digitalreset[5]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[5]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[5]~input .bus_hold = "false"; +defparam \tx_digitalreset[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X121_Y0_N63 +stratixiv_io_ibuf \tx_digitalreset[6]~input ( + .i(tx_digitalreset[6]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[6]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[6]~input .bus_hold = "false"; +defparam \tx_digitalreset[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X121_Y0_N94 +stratixiv_io_ibuf \tx_digitalreset[7]~input ( + .i(tx_digitalreset[7]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[7]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[7]~input .bus_hold = "false"; +defparam \tx_digitalreset[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X76_Y129_N1 +stratixiv_io_ibuf \tx_digitalreset[8]~input ( + .i(tx_digitalreset[8]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[8]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[8]~input .bus_hold = "false"; +defparam \tx_digitalreset[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X39_Y129_N1 +stratixiv_io_ibuf \tx_digitalreset[9]~input ( + .i(tx_digitalreset[9]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[9]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[9]~input .bus_hold = "false"; +defparam \tx_digitalreset[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y47_N94 +stratixiv_io_ibuf \tx_digitalreset[10]~input ( + .i(tx_digitalreset[10]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[10]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[10]~input .bus_hold = "false"; +defparam \tx_digitalreset[10]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X104_Y129_N63 +stratixiv_io_ibuf \tx_digitalreset[11]~input ( + .i(tx_digitalreset[11]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[11]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[11]~input .bus_hold = "false"; +defparam \tx_digitalreset[11]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X164_Y0_N94 +stratixiv_io_ibuf \tx_digitalreset[12]~input ( + .i(tx_digitalreset[12]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[12]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[12]~input .bus_hold = "false"; +defparam \tx_digitalreset[12]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y109_N1 +stratixiv_io_ibuf \tx_digitalreset[13]~input ( + .i(tx_digitalreset[13]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[13]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[13]~input .bus_hold = "false"; +defparam \tx_digitalreset[13]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X135_Y129_N94 +stratixiv_io_ibuf \tx_digitalreset[14]~input ( + .i(tx_digitalreset[14]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[14]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[14]~input .bus_hold = "false"; +defparam \tx_digitalreset[14]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y80_N1 +stratixiv_io_ibuf \tx_digitalreset[15]~input ( + .i(tx_digitalreset[15]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[15]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[15]~input .bus_hold = "false"; +defparam \tx_digitalreset[15]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y54_N94 +stratixiv_io_ibuf \tx_digitalreset[16]~input ( + .i(tx_digitalreset[16]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[16]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[16]~input .bus_hold = "false"; +defparam \tx_digitalreset[16]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y59_N32 +stratixiv_io_ibuf \tx_digitalreset[17]~input ( + .i(tx_digitalreset[17]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[17]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[17]~input .bus_hold = "false"; +defparam \tx_digitalreset[17]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y44_N63 +stratixiv_io_ibuf \tx_digitalreset[18]~input ( + .i(tx_digitalreset[18]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[18]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[18]~input .bus_hold = "false"; +defparam \tx_digitalreset[18]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X153_Y129_N63 +stratixiv_io_ibuf \tx_digitalreset[19]~input ( + .i(tx_digitalreset[19]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[19]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[19]~input .bus_hold = "false"; +defparam \tx_digitalreset[19]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X84_Y129_N32 +stratixiv_io_ibuf \tx_digitalreset[20]~input ( + .i(tx_digitalreset[20]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[20]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[20]~input .bus_hold = "false"; +defparam \tx_digitalreset[20]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y98_N32 +stratixiv_io_ibuf \tx_digitalreset[21]~input ( + .i(tx_digitalreset[21]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[21]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[21]~input .bus_hold = "false"; +defparam \tx_digitalreset[21]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y76_N63 +stratixiv_io_ibuf \tx_digitalreset[22]~input ( + .i(tx_digitalreset[22]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[22]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[22]~input .bus_hold = "false"; +defparam \tx_digitalreset[22]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X173_Y129_N63 +stratixiv_io_ibuf \tx_digitalreset[23]~input ( + .i(tx_digitalreset[23]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[23]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[23]~input .bus_hold = "false"; +defparam \tx_digitalreset[23]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X117_Y129_N32 +stratixiv_io_ibuf \tx_digitalreset[24]~input ( + .i(tx_digitalreset[24]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[24]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[24]~input .bus_hold = "false"; +defparam \tx_digitalreset[24]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X104_Y0_N32 +stratixiv_io_ibuf \tx_digitalreset[25]~input ( + .i(tx_digitalreset[25]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[25]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[25]~input .bus_hold = "false"; +defparam \tx_digitalreset[25]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y74_N94 +stratixiv_io_ibuf \tx_digitalreset[26]~input ( + .i(tx_digitalreset[26]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[26]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[26]~input .bus_hold = "false"; +defparam \tx_digitalreset[26]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y84_N32 +stratixiv_io_ibuf \tx_digitalreset[27]~input ( + .i(tx_digitalreset[27]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[27]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[27]~input .bus_hold = "false"; +defparam \tx_digitalreset[27]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X84_Y129_N63 +stratixiv_io_ibuf \tx_digitalreset[28]~input ( + .i(tx_digitalreset[28]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[28]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[28]~input .bus_hold = "false"; +defparam \tx_digitalreset[28]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y32_N1 +stratixiv_io_ibuf \tx_digitalreset[29]~input ( + .i(tx_digitalreset[29]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[29]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[29]~input .bus_hold = "false"; +defparam \tx_digitalreset[29]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X145_Y129_N63 +stratixiv_io_ibuf \tx_digitalreset[30]~input ( + .i(tx_digitalreset[30]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[30]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[30]~input .bus_hold = "false"; +defparam \tx_digitalreset[30]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y81_N94 +stratixiv_io_ibuf \tx_digitalreset[31]~input ( + .i(tx_digitalreset[31]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\tx_digitalreset[31]~input_o )); +// synopsys translate_off +defparam \tx_digitalreset[31]~input .bus_hold = "false"; +defparam \tx_digitalreset[31]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X137_Y129_N63 +stratixiv_io_ibuf \rx_digitalreset[0]~input ( + .i(rx_digitalreset[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[0]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[0]~input .bus_hold = "false"; +defparam \rx_digitalreset[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y102_N94 +stratixiv_io_ibuf \rx_digitalreset[1]~input ( + .i(rx_digitalreset[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[1]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[1]~input .bus_hold = "false"; +defparam \rx_digitalreset[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X139_Y129_N63 +stratixiv_io_ibuf \rx_digitalreset[2]~input ( + .i(rx_digitalreset[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[2]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[2]~input .bus_hold = "false"; +defparam \rx_digitalreset[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X17_Y129_N94 +stratixiv_io_ibuf \rx_digitalreset[3]~input ( + .i(rx_digitalreset[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[3]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[3]~input .bus_hold = "false"; +defparam \rx_digitalreset[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y113_N32 +stratixiv_io_ibuf \rx_digitalreset[4]~input ( + .i(rx_digitalreset[4]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[4]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[4]~input .bus_hold = "false"; +defparam \rx_digitalreset[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y31_N1 +stratixiv_io_ibuf \rx_digitalreset[5]~input ( + .i(rx_digitalreset[5]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[5]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[5]~input .bus_hold = "false"; +defparam \rx_digitalreset[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X78_Y129_N94 +stratixiv_io_ibuf \rx_digitalreset[6]~input ( + .i(rx_digitalreset[6]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[6]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[6]~input .bus_hold = "false"; +defparam \rx_digitalreset[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X162_Y0_N63 +stratixiv_io_ibuf \rx_digitalreset[7]~input ( + .i(rx_digitalreset[7]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[7]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[7]~input .bus_hold = "false"; +defparam \rx_digitalreset[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X132_Y0_N1 +stratixiv_io_ibuf \rx_digitalreset[8]~input ( + .i(rx_digitalreset[8]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[8]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[8]~input .bus_hold = "false"; +defparam \rx_digitalreset[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X132_Y129_N94 +stratixiv_io_ibuf \rx_digitalreset[9]~input ( + .i(rx_digitalreset[9]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[9]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[9]~input .bus_hold = "false"; +defparam \rx_digitalreset[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X153_Y0_N32 +stratixiv_io_ibuf \rx_digitalreset[10]~input ( + .i(rx_digitalreset[10]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[10]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[10]~input .bus_hold = "false"; +defparam \rx_digitalreset[10]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y110_N63 +stratixiv_io_ibuf \rx_digitalreset[11]~input ( + .i(rx_digitalreset[11]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[11]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[11]~input .bus_hold = "false"; +defparam \rx_digitalreset[11]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y97_N32 +stratixiv_io_ibuf \rx_digitalreset[12]~input ( + .i(rx_digitalreset[12]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[12]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[12]~input .bus_hold = "false"; +defparam \rx_digitalreset[12]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X25_Y129_N32 +stratixiv_io_ibuf \rx_digitalreset[13]~input ( + .i(rx_digitalreset[13]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[13]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[13]~input .bus_hold = "false"; +defparam \rx_digitalreset[13]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y45_N63 +stratixiv_io_ibuf \rx_digitalreset[14]~input ( + .i(rx_digitalreset[14]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[14]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[14]~input .bus_hold = "false"; +defparam \rx_digitalreset[14]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y107_N1 +stratixiv_io_ibuf \rx_digitalreset[15]~input ( + .i(rx_digitalreset[15]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[15]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[15]~input .bus_hold = "false"; +defparam \rx_digitalreset[15]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y59_N94 +stratixiv_io_ibuf \rx_digitalreset[16]~input ( + .i(rx_digitalreset[16]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[16]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[16]~input .bus_hold = "false"; +defparam \rx_digitalreset[16]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y57_N94 +stratixiv_io_ibuf \rx_digitalreset[17]~input ( + .i(rx_digitalreset[17]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[17]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[17]~input .bus_hold = "false"; +defparam \rx_digitalreset[17]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X122_Y0_N94 +stratixiv_io_ibuf \rx_digitalreset[18]~input ( + .i(rx_digitalreset[18]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[18]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[18]~input .bus_hold = "false"; +defparam \rx_digitalreset[18]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y22_N94 +stratixiv_io_ibuf \rx_digitalreset[19]~input ( + .i(rx_digitalreset[19]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[19]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[19]~input .bus_hold = "false"; +defparam \rx_digitalreset[19]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X68_Y0_N63 +stratixiv_io_ibuf \rx_digitalreset[20]~input ( + .i(rx_digitalreset[20]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[20]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[20]~input .bus_hold = "false"; +defparam \rx_digitalreset[20]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X125_Y0_N63 +stratixiv_io_ibuf \rx_digitalreset[21]~input ( + .i(rx_digitalreset[21]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[21]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[21]~input .bus_hold = "false"; +defparam \rx_digitalreset[21]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X173_Y0_N94 +stratixiv_io_ibuf \rx_digitalreset[22]~input ( + .i(rx_digitalreset[22]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[22]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[22]~input .bus_hold = "false"; +defparam \rx_digitalreset[22]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y107_N1 +stratixiv_io_ibuf \rx_digitalreset[23]~input ( + .i(rx_digitalreset[23]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[23]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[23]~input .bus_hold = "false"; +defparam \rx_digitalreset[23]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X59_Y129_N63 +stratixiv_io_ibuf \rx_digitalreset[24]~input ( + .i(rx_digitalreset[24]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[24]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[24]~input .bus_hold = "false"; +defparam \rx_digitalreset[24]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X62_Y129_N32 +stratixiv_io_ibuf \rx_digitalreset[25]~input ( + .i(rx_digitalreset[25]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[25]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[25]~input .bus_hold = "false"; +defparam \rx_digitalreset[25]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y40_N63 +stratixiv_io_ibuf \rx_digitalreset[26]~input ( + .i(rx_digitalreset[26]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[26]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[26]~input .bus_hold = "false"; +defparam \rx_digitalreset[26]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y72_N63 +stratixiv_io_ibuf \rx_digitalreset[27]~input ( + .i(rx_digitalreset[27]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[27]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[27]~input .bus_hold = "false"; +defparam \rx_digitalreset[27]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y74_N1 +stratixiv_io_ibuf \rx_digitalreset[28]~input ( + .i(rx_digitalreset[28]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[28]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[28]~input .bus_hold = "false"; +defparam \rx_digitalreset[28]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X80_Y0_N1 +stratixiv_io_ibuf \rx_digitalreset[29]~input ( + .i(rx_digitalreset[29]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[29]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[29]~input .bus_hold = "false"; +defparam \rx_digitalreset[29]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X119_Y0_N63 +stratixiv_io_ibuf \rx_digitalreset[30]~input ( + .i(rx_digitalreset[30]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[30]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[30]~input .bus_hold = "false"; +defparam \rx_digitalreset[30]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y102_N32 +stratixiv_io_ibuf \rx_digitalreset[31]~input ( + .i(rx_digitalreset[31]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_digitalreset[31]~input_o )); +// synopsys translate_off +defparam \rx_digitalreset[31]~input .bus_hold = "false"; +defparam \rx_digitalreset[31]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y78_N1 +stratixiv_io_ibuf \rx_locktorefclk[4]~input ( + .i(rx_locktorefclk[4]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[4]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[4]~input .bus_hold = "false"; +defparam \rx_locktorefclk[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X155_Y129_N94 +stratixiv_io_ibuf \rx_locktorefclk[5]~input ( + .i(rx_locktorefclk[5]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[5]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[5]~input .bus_hold = "false"; +defparam \rx_locktorefclk[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X133_Y0_N94 +stratixiv_io_ibuf \rx_locktorefclk[6]~input ( + .i(rx_locktorefclk[6]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[6]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[6]~input .bus_hold = "false"; +defparam \rx_locktorefclk[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X157_Y129_N94 +stratixiv_io_ibuf \rx_locktorefclk[7]~input ( + .i(rx_locktorefclk[7]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[7]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[7]~input .bus_hold = "false"; +defparam \rx_locktorefclk[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y60_N1 +stratixiv_io_ibuf \rx_locktorefclk[8]~input ( + .i(rx_locktorefclk[8]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[8]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[8]~input .bus_hold = "false"; +defparam \rx_locktorefclk[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X76_Y0_N1 +stratixiv_io_ibuf \rx_locktorefclk[9]~input ( + .i(rx_locktorefclk[9]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[9]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[9]~input .bus_hold = "false"; +defparam \rx_locktorefclk[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X148_Y129_N94 +stratixiv_io_ibuf \rx_locktorefclk[10]~input ( + .i(rx_locktorefclk[10]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[10]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[10]~input .bus_hold = "false"; +defparam \rx_locktorefclk[10]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X117_Y0_N32 +stratixiv_io_ibuf \rx_locktorefclk[11]~input ( + .i(rx_locktorefclk[11]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[11]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[11]~input .bus_hold = "false"; +defparam \rx_locktorefclk[11]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y52_N1 +stratixiv_io_ibuf \rx_locktorefclk[12]~input ( + .i(rx_locktorefclk[12]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[12]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[12]~input .bus_hold = "false"; +defparam \rx_locktorefclk[12]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X159_Y0_N1 +stratixiv_io_ibuf \rx_locktorefclk[13]~input ( + .i(rx_locktorefclk[13]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[13]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[13]~input .bus_hold = "false"; +defparam \rx_locktorefclk[13]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y59_N63 +stratixiv_io_ibuf \rx_locktorefclk[14]~input ( + .i(rx_locktorefclk[14]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[14]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[14]~input .bus_hold = "false"; +defparam \rx_locktorefclk[14]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X167_Y129_N63 +stratixiv_io_ibuf \rx_locktorefclk[15]~input ( + .i(rx_locktorefclk[15]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[15]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[15]~input .bus_hold = "false"; +defparam \rx_locktorefclk[15]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X133_Y0_N1 +stratixiv_io_ibuf \rx_locktorefclk[16]~input ( + .i(rx_locktorefclk[16]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[16]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[16]~input .bus_hold = "false"; +defparam \rx_locktorefclk[16]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y26_N1 +stratixiv_io_ibuf \rx_locktorefclk[17]~input ( + .i(rx_locktorefclk[17]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[17]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[17]~input .bus_hold = "false"; +defparam \rx_locktorefclk[17]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y96_N32 +stratixiv_io_ibuf \rx_locktorefclk[18]~input ( + .i(rx_locktorefclk[18]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[18]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[18]~input .bus_hold = "false"; +defparam \rx_locktorefclk[18]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y38_N94 +stratixiv_io_ibuf \rx_locktorefclk[19]~input ( + .i(rx_locktorefclk[19]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[19]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[19]~input .bus_hold = "false"; +defparam \rx_locktorefclk[19]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y81_N94 +stratixiv_io_ibuf \rx_locktorefclk[20]~input ( + .i(rx_locktorefclk[20]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[20]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[20]~input .bus_hold = "false"; +defparam \rx_locktorefclk[20]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X60_Y129_N1 +stratixiv_io_ibuf \rx_locktorefclk[21]~input ( + .i(rx_locktorefclk[21]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[21]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[21]~input .bus_hold = "false"; +defparam \rx_locktorefclk[21]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y33_N1 +stratixiv_io_ibuf \rx_locktorefclk[22]~input ( + .i(rx_locktorefclk[22]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[22]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[22]~input .bus_hold = "false"; +defparam \rx_locktorefclk[22]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y78_N94 +stratixiv_io_ibuf \rx_locktorefclk[23]~input ( + .i(rx_locktorefclk[23]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[23]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[23]~input .bus_hold = "false"; +defparam \rx_locktorefclk[23]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X122_Y129_N1 +stratixiv_io_ibuf \rx_locktorefclk[24]~input ( + .i(rx_locktorefclk[24]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[24]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[24]~input .bus_hold = "false"; +defparam \rx_locktorefclk[24]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y83_N32 +stratixiv_io_ibuf \rx_locktorefclk[25]~input ( + .i(rx_locktorefclk[25]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[25]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[25]~input .bus_hold = "false"; +defparam \rx_locktorefclk[25]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y24_N63 +stratixiv_io_ibuf \rx_locktorefclk[26]~input ( + .i(rx_locktorefclk[26]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[26]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[26]~input .bus_hold = "false"; +defparam \rx_locktorefclk[26]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X145_Y0_N1 +stratixiv_io_ibuf \rx_locktorefclk[27]~input ( + .i(rx_locktorefclk[27]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[27]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[27]~input .bus_hold = "false"; +defparam \rx_locktorefclk[27]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y69_N32 +stratixiv_io_ibuf \rx_locktorefclk[28]~input ( + .i(rx_locktorefclk[28]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[28]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[28]~input .bus_hold = "false"; +defparam \rx_locktorefclk[28]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y110_N32 +stratixiv_io_ibuf \rx_locktorefclk[29]~input ( + .i(rx_locktorefclk[29]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[29]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[29]~input .bus_hold = "false"; +defparam \rx_locktorefclk[29]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y35_N63 +stratixiv_io_ibuf \rx_locktorefclk[30]~input ( + .i(rx_locktorefclk[30]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[30]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[30]~input .bus_hold = "false"; +defparam \rx_locktorefclk[30]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y68_N1 +stratixiv_io_ibuf \rx_locktorefclk[31]~input ( + .i(rx_locktorefclk[31]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_locktorefclk[31]~input_o )); +// synopsys translate_off +defparam \rx_locktorefclk[31]~input .bus_hold = "false"; +defparam \rx_locktorefclk[31]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X164_Y129_N1 +stratixiv_io_ibuf \rst~input ( + .i(rst), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rst~input_o )); +// synopsys translate_off +defparam \rst~input .bus_hold = "false"; +defparam \rst~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y80_N94 +stratixiv_io_ibuf \clk~input ( + .i(clk), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\clk~input_o )); +// synopsys translate_off +defparam \clk~input .bus_hold = "false"; +defparam \clk~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X64_Y129_N94 +stratixiv_io_ibuf \ch_ctrl_address[0]~input ( + .i(ch_ctrl_address[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_address[0]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_address[0]~input .bus_hold = "false"; +defparam \ch_ctrl_address[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y33_N63 +stratixiv_io_ibuf \ch_ctrl_address[1]~input ( + .i(ch_ctrl_address[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_address[1]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_address[1]~input .bus_hold = "false"; +defparam \ch_ctrl_address[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X157_Y129_N32 +stratixiv_io_ibuf \ch_ctrl_address[2]~input ( + .i(ch_ctrl_address[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_address[2]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_address[2]~input .bus_hold = "false"; +defparam \ch_ctrl_address[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y112_N1 +stratixiv_io_ibuf \ch_ctrl_read~input ( + .i(ch_ctrl_read), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_read~input_o )); +// synopsys translate_off +defparam \ch_ctrl_read~input .bus_hold = "false"; +defparam \ch_ctrl_read~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X113_Y0_N1 +stratixiv_io_ibuf \ch_ctrl_write~input ( + .i(ch_ctrl_write), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_write~input_o )); +// synopsys translate_off +defparam \ch_ctrl_write~input .bus_hold = "false"; +defparam \ch_ctrl_write~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X169_Y0_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[0]~input ( + .i(ch_ctrl_writedata[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[0]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[0]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X80_Y129_N94 +stratixiv_io_ibuf \ch_ctrl_writedata[1]~input ( + .i(ch_ctrl_writedata[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[1]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[1]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y68_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[2]~input ( + .i(ch_ctrl_writedata[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[2]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[2]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y57_N63 +stratixiv_io_ibuf \ch_ctrl_writedata[3]~input ( + .i(ch_ctrl_writedata[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[3]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[3]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X14_Y129_N1 +stratixiv_io_ibuf \ch_ctrl_writedata[4]~input ( + .i(ch_ctrl_writedata[4]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[4]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[4]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y109_N63 +stratixiv_io_ibuf \ch_ctrl_writedata[5]~input ( + .i(ch_ctrl_writedata[5]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[5]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[5]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X22_Y129_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[6]~input ( + .i(ch_ctrl_writedata[6]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[6]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[6]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X27_Y129_N1 +stratixiv_io_ibuf \ch_ctrl_writedata[7]~input ( + .i(ch_ctrl_writedata[7]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[7]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[7]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y26_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[8]~input ( + .i(ch_ctrl_writedata[8]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[8]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[8]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X164_Y129_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[9]~input ( + .i(ch_ctrl_writedata[9]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[9]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[9]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y31_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[10]~input ( + .i(ch_ctrl_writedata[10]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[10]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[10]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[10]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y113_N94 +stratixiv_io_ibuf \ch_ctrl_writedata[11]~input ( + .i(ch_ctrl_writedata[11]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[11]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[11]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[11]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y71_N94 +stratixiv_io_ibuf \ch_ctrl_writedata[12]~input ( + .i(ch_ctrl_writedata[12]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[12]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[12]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[12]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y37_N1 +stratixiv_io_ibuf \ch_ctrl_writedata[13]~input ( + .i(ch_ctrl_writedata[13]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[13]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[13]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[13]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y38_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[14]~input ( + .i(ch_ctrl_writedata[14]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[14]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[14]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[14]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X122_Y129_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[15]~input ( + .i(ch_ctrl_writedata[15]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[15]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[15]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[15]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X132_Y0_N63 +stratixiv_io_ibuf \ch_ctrl_writedata[16]~input ( + .i(ch_ctrl_writedata[16]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[16]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[16]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[16]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X68_Y0_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[17]~input ( + .i(ch_ctrl_writedata[17]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[17]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[17]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[17]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y54_N63 +stratixiv_io_ibuf \ch_ctrl_writedata[18]~input ( + .i(ch_ctrl_writedata[18]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[18]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[18]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[18]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X33_Y129_N63 +stratixiv_io_ibuf \ch_ctrl_writedata[19]~input ( + .i(ch_ctrl_writedata[19]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[19]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[19]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[19]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X57_Y129_N1 +stratixiv_io_ibuf \ch_ctrl_writedata[20]~input ( + .i(ch_ctrl_writedata[20]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[20]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[20]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[20]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y28_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[21]~input ( + .i(ch_ctrl_writedata[21]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[21]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[21]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[21]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X162_Y0_N1 +stratixiv_io_ibuf \ch_ctrl_writedata[22]~input ( + .i(ch_ctrl_writedata[22]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[22]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[22]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[22]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X59_Y129_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[23]~input ( + .i(ch_ctrl_writedata[23]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[23]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[23]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[23]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y109_N1 +stratixiv_io_ibuf \ch_ctrl_writedata[24]~input ( + .i(ch_ctrl_writedata[24]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[24]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[24]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[24]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y110_N94 +stratixiv_io_ibuf \ch_ctrl_writedata[25]~input ( + .i(ch_ctrl_writedata[25]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[25]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[25]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[25]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y104_N63 +stratixiv_io_ibuf \ch_ctrl_writedata[26]~input ( + .i(ch_ctrl_writedata[26]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[26]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[26]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[26]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X30_Y129_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[27]~input ( + .i(ch_ctrl_writedata[27]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[27]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[27]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[27]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y21_N94 +stratixiv_io_ibuf \ch_ctrl_writedata[28]~input ( + .i(ch_ctrl_writedata[28]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[28]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[28]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[28]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X152_Y0_N94 +stratixiv_io_ibuf \ch_ctrl_writedata[29]~input ( + .i(ch_ctrl_writedata[29]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[29]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[29]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[29]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X14_Y129_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[30]~input ( + .i(ch_ctrl_writedata[30]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[30]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[30]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[30]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y110_N32 +stratixiv_io_ibuf \ch_ctrl_writedata[31]~input ( + .i(ch_ctrl_writedata[31]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\ch_ctrl_writedata[31]~input_o )); +// synopsys translate_off +defparam \ch_ctrl_writedata[31]~input .bus_hold = "false"; +defparam \ch_ctrl_writedata[31]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X27_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[0]~input ( + .i(aeq_to_gxb[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[0]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[0]~input .bus_hold = "false"; +defparam \aeq_to_gxb[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y22_N32 +stratixiv_io_ibuf \aeq_to_gxb[1]~input ( + .i(aeq_to_gxb[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[1]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[1]~input .bus_hold = "false"; +defparam \aeq_to_gxb[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X104_Y0_N1 +stratixiv_io_ibuf \aeq_to_gxb[2]~input ( + .i(aeq_to_gxb[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[2]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[2]~input .bus_hold = "false"; +defparam \aeq_to_gxb[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y102_N94 +stratixiv_io_ibuf \aeq_to_gxb[3]~input ( + .i(aeq_to_gxb[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[3]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[3]~input .bus_hold = "false"; +defparam \aeq_to_gxb[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y78_N32 +stratixiv_io_ibuf \aeq_to_gxb[4]~input ( + .i(aeq_to_gxb[4]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[4]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[4]~input .bus_hold = "false"; +defparam \aeq_to_gxb[4]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X54_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[5]~input ( + .i(aeq_to_gxb[5]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[5]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[5]~input .bus_hold = "false"; +defparam \aeq_to_gxb[5]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X150_Y0_N63 +stratixiv_io_ibuf \aeq_to_gxb[6]~input ( + .i(aeq_to_gxb[6]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[6]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[6]~input .bus_hold = "false"; +defparam \aeq_to_gxb[6]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X78_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[7]~input ( + .i(aeq_to_gxb[7]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[7]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[7]~input .bus_hold = "false"; +defparam \aeq_to_gxb[7]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y76_N1 +stratixiv_io_ibuf \aeq_to_gxb[8]~input ( + .i(aeq_to_gxb[8]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[8]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[8]~input .bus_hold = "false"; +defparam \aeq_to_gxb[8]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X110_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[9]~input ( + .i(aeq_to_gxb[9]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[9]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[9]~input .bus_hold = "false"; +defparam \aeq_to_gxb[9]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X152_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[10]~input ( + .i(aeq_to_gxb[10]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[10]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[10]~input .bus_hold = "false"; +defparam \aeq_to_gxb[10]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X78_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[11]~input ( + .i(aeq_to_gxb[11]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[11]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[11]~input .bus_hold = "false"; +defparam \aeq_to_gxb[11]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y115_N1 +stratixiv_io_ibuf \aeq_to_gxb[12]~input ( + .i(aeq_to_gxb[12]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[12]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[12]~input .bus_hold = "false"; +defparam \aeq_to_gxb[12]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y109_N32 +stratixiv_io_ibuf \aeq_to_gxb[13]~input ( + .i(aeq_to_gxb[13]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[13]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[13]~input .bus_hold = "false"; +defparam \aeq_to_gxb[13]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X142_Y0_N63 +stratixiv_io_ibuf \aeq_to_gxb[14]~input ( + .i(aeq_to_gxb[14]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[14]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[14]~input .bus_hold = "false"; +defparam \aeq_to_gxb[14]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y59_N63 +stratixiv_io_ibuf \aeq_to_gxb[15]~input ( + .i(aeq_to_gxb[15]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[15]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[15]~input .bus_hold = "false"; +defparam \aeq_to_gxb[15]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X108_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[16]~input ( + .i(aeq_to_gxb[16]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[16]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[16]~input .bus_hold = "false"; +defparam \aeq_to_gxb[16]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X150_Y0_N1 +stratixiv_io_ibuf \aeq_to_gxb[17]~input ( + .i(aeq_to_gxb[17]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[17]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[17]~input .bus_hold = "false"; +defparam \aeq_to_gxb[17]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y28_N63 +stratixiv_io_ibuf \aeq_to_gxb[18]~input ( + .i(aeq_to_gxb[18]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[18]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[18]~input .bus_hold = "false"; +defparam \aeq_to_gxb[18]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y57_N63 +stratixiv_io_ibuf \aeq_to_gxb[19]~input ( + .i(aeq_to_gxb[19]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[19]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[19]~input .bus_hold = "false"; +defparam \aeq_to_gxb[19]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y104_N94 +stratixiv_io_ibuf \aeq_to_gxb[20]~input ( + .i(aeq_to_gxb[20]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[20]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[20]~input .bus_hold = "false"; +defparam \aeq_to_gxb[20]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y110_N94 +stratixiv_io_ibuf \aeq_to_gxb[21]~input ( + .i(aeq_to_gxb[21]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[21]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[21]~input .bus_hold = "false"; +defparam \aeq_to_gxb[21]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y84_N94 +stratixiv_io_ibuf \aeq_to_gxb[22]~input ( + .i(aeq_to_gxb[22]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[22]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[22]~input .bus_hold = "false"; +defparam \aeq_to_gxb[22]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y13_N1 +stratixiv_io_ibuf \aeq_to_gxb[23]~input ( + .i(aeq_to_gxb[23]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[23]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[23]~input .bus_hold = "false"; +defparam \aeq_to_gxb[23]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X84_Y0_N94 +stratixiv_io_ibuf \aeq_to_gxb[24]~input ( + .i(aeq_to_gxb[24]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[24]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[24]~input .bus_hold = "false"; +defparam \aeq_to_gxb[24]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X145_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[25]~input ( + .i(aeq_to_gxb[25]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[25]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[25]~input .bus_hold = "false"; +defparam \aeq_to_gxb[25]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X108_Y129_N63 +stratixiv_io_ibuf \aeq_to_gxb[26]~input ( + .i(aeq_to_gxb[26]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[26]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[26]~input .bus_hold = "false"; +defparam \aeq_to_gxb[26]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y69_N1 +stratixiv_io_ibuf \aeq_to_gxb[27]~input ( + .i(aeq_to_gxb[27]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[27]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[27]~input .bus_hold = "false"; +defparam \aeq_to_gxb[27]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y109_N94 +stratixiv_io_ibuf \aeq_to_gxb[28]~input ( + .i(aeq_to_gxb[28]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[28]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[28]~input .bus_hold = "false"; +defparam \aeq_to_gxb[28]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X153_Y0_N94 +stratixiv_io_ibuf \aeq_to_gxb[29]~input ( + .i(aeq_to_gxb[29]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[29]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[29]~input .bus_hold = "false"; +defparam \aeq_to_gxb[29]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y40_N1 +stratixiv_io_ibuf \aeq_to_gxb[30]~input ( + .i(aeq_to_gxb[30]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[30]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[30]~input .bus_hold = "false"; +defparam \aeq_to_gxb[30]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y100_N1 +stratixiv_io_ibuf \aeq_to_gxb[31]~input ( + .i(aeq_to_gxb[31]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[31]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[31]~input .bus_hold = "false"; +defparam \aeq_to_gxb[31]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y97_N32 +stratixiv_io_ibuf \aeq_to_gxb[32]~input ( + .i(aeq_to_gxb[32]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[32]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[32]~input .bus_hold = "false"; +defparam \aeq_to_gxb[32]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X9_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[33]~input ( + .i(aeq_to_gxb[33]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[33]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[33]~input .bus_hold = "false"; +defparam \aeq_to_gxb[33]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y33_N32 +stratixiv_io_ibuf \aeq_to_gxb[34]~input ( + .i(aeq_to_gxb[34]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[34]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[34]~input .bus_hold = "false"; +defparam \aeq_to_gxb[34]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X64_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[35]~input ( + .i(aeq_to_gxb[35]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[35]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[35]~input .bus_hold = "false"; +defparam \aeq_to_gxb[35]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y13_N32 +stratixiv_io_ibuf \aeq_to_gxb[36]~input ( + .i(aeq_to_gxb[36]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[36]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[36]~input .bus_hold = "false"; +defparam \aeq_to_gxb[36]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y26_N63 +stratixiv_io_ibuf \aeq_to_gxb[37]~input ( + .i(aeq_to_gxb[37]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[37]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[37]~input .bus_hold = "false"; +defparam \aeq_to_gxb[37]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X159_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[38]~input ( + .i(aeq_to_gxb[38]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[38]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[38]~input .bus_hold = "false"; +defparam \aeq_to_gxb[38]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X42_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[39]~input ( + .i(aeq_to_gxb[39]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[39]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[39]~input .bus_hold = "false"; +defparam \aeq_to_gxb[39]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y102_N1 +stratixiv_io_ibuf \aeq_to_gxb[40]~input ( + .i(aeq_to_gxb[40]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[40]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[40]~input .bus_hold = "false"; +defparam \aeq_to_gxb[40]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X110_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[41]~input ( + .i(aeq_to_gxb[41]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[41]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[41]~input .bus_hold = "false"; +defparam \aeq_to_gxb[41]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y32_N32 +stratixiv_io_ibuf \aeq_to_gxb[42]~input ( + .i(aeq_to_gxb[42]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[42]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[42]~input .bus_hold = "false"; +defparam \aeq_to_gxb[42]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X19_Y129_N63 +stratixiv_io_ibuf \aeq_to_gxb[43]~input ( + .i(aeq_to_gxb[43]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[43]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[43]~input .bus_hold = "false"; +defparam \aeq_to_gxb[43]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X167_Y0_N94 +stratixiv_io_ibuf \aeq_to_gxb[44]~input ( + .i(aeq_to_gxb[44]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[44]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[44]~input .bus_hold = "false"; +defparam \aeq_to_gxb[44]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X119_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[45]~input ( + .i(aeq_to_gxb[45]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[45]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[45]~input .bus_hold = "false"; +defparam \aeq_to_gxb[45]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X85_Y129_N63 +stratixiv_io_ibuf \aeq_to_gxb[46]~input ( + .i(aeq_to_gxb[46]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[46]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[46]~input .bus_hold = "false"; +defparam \aeq_to_gxb[46]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X33_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[47]~input ( + .i(aeq_to_gxb[47]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[47]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[47]~input .bus_hold = "false"; +defparam \aeq_to_gxb[47]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y71_N32 +stratixiv_io_ibuf \aeq_to_gxb[48]~input ( + .i(aeq_to_gxb[48]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[48]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[48]~input .bus_hold = "false"; +defparam \aeq_to_gxb[48]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X108_Y0_N32 +stratixiv_io_ibuf \aeq_to_gxb[49]~input ( + .i(aeq_to_gxb[49]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[49]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[49]~input .bus_hold = "false"; +defparam \aeq_to_gxb[49]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y97_N1 +stratixiv_io_ibuf \aeq_to_gxb[50]~input ( + .i(aeq_to_gxb[50]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[50]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[50]~input .bus_hold = "false"; +defparam \aeq_to_gxb[50]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X173_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[51]~input ( + .i(aeq_to_gxb[51]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[51]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[51]~input .bus_hold = "false"; +defparam \aeq_to_gxb[51]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X38_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[52]~input ( + .i(aeq_to_gxb[52]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[52]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[52]~input .bus_hold = "false"; +defparam \aeq_to_gxb[52]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y96_N1 +stratixiv_io_ibuf \aeq_to_gxb[53]~input ( + .i(aeq_to_gxb[53]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[53]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[53]~input .bus_hold = "false"; +defparam \aeq_to_gxb[53]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X47_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[54]~input ( + .i(aeq_to_gxb[54]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[54]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[54]~input .bus_hold = "false"; +defparam \aeq_to_gxb[54]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X57_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[55]~input ( + .i(aeq_to_gxb[55]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[55]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[55]~input .bus_hold = "false"; +defparam \aeq_to_gxb[55]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y60_N32 +stratixiv_io_ibuf \aeq_to_gxb[56]~input ( + .i(aeq_to_gxb[56]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[56]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[56]~input .bus_hold = "false"; +defparam \aeq_to_gxb[56]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X117_Y129_N63 +stratixiv_io_ibuf \aeq_to_gxb[57]~input ( + .i(aeq_to_gxb[57]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[57]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[57]~input .bus_hold = "false"; +defparam \aeq_to_gxb[57]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y76_N1 +stratixiv_io_ibuf \aeq_to_gxb[58]~input ( + .i(aeq_to_gxb[58]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[58]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[58]~input .bus_hold = "false"; +defparam \aeq_to_gxb[58]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X110_Y129_N63 +stratixiv_io_ibuf \aeq_to_gxb[59]~input ( + .i(aeq_to_gxb[59]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[59]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[59]~input .bus_hold = "false"; +defparam \aeq_to_gxb[59]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X162_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[60]~input ( + .i(aeq_to_gxb[60]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[60]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[60]~input .bus_hold = "false"; +defparam \aeq_to_gxb[60]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X22_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[61]~input ( + .i(aeq_to_gxb[61]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[61]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[61]~input .bus_hold = "false"; +defparam \aeq_to_gxb[61]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X113_Y0_N94 +stratixiv_io_ibuf \aeq_to_gxb[62]~input ( + .i(aeq_to_gxb[62]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[62]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[62]~input .bus_hold = "false"; +defparam \aeq_to_gxb[62]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X155_Y129_N63 +stratixiv_io_ibuf \aeq_to_gxb[63]~input ( + .i(aeq_to_gxb[63]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[63]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[63]~input .bus_hold = "false"; +defparam \aeq_to_gxb[63]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X39_Y129_N63 +stratixiv_io_ibuf \aeq_to_gxb[64]~input ( + .i(aeq_to_gxb[64]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[64]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[64]~input .bus_hold = "false"; +defparam \aeq_to_gxb[64]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y38_N63 +stratixiv_io_ibuf \aeq_to_gxb[65]~input ( + .i(aeq_to_gxb[65]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[65]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[65]~input .bus_hold = "false"; +defparam \aeq_to_gxb[65]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X31_Y129_N63 +stratixiv_io_ibuf \aeq_to_gxb[66]~input ( + .i(aeq_to_gxb[66]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[66]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[66]~input .bus_hold = "false"; +defparam \aeq_to_gxb[66]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y37_N94 +stratixiv_io_ibuf \aeq_to_gxb[67]~input ( + .i(aeq_to_gxb[67]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[67]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[67]~input .bus_hold = "false"; +defparam \aeq_to_gxb[67]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y109_N63 +stratixiv_io_ibuf \aeq_to_gxb[68]~input ( + .i(aeq_to_gxb[68]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[68]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[68]~input .bus_hold = "false"; +defparam \aeq_to_gxb[68]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X155_Y0_N1 +stratixiv_io_ibuf \aeq_to_gxb[69]~input ( + .i(aeq_to_gxb[69]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[69]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[69]~input .bus_hold = "false"; +defparam \aeq_to_gxb[69]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X150_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[70]~input ( + .i(aeq_to_gxb[70]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[70]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[70]~input .bus_hold = "false"; +defparam \aeq_to_gxb[70]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X148_Y129_N63 +stratixiv_io_ibuf \aeq_to_gxb[71]~input ( + .i(aeq_to_gxb[71]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[71]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[71]~input .bus_hold = "false"; +defparam \aeq_to_gxb[71]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X39_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[72]~input ( + .i(aeq_to_gxb[72]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[72]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[72]~input .bus_hold = "false"; +defparam \aeq_to_gxb[72]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y47_N32 +stratixiv_io_ibuf \aeq_to_gxb[73]~input ( + .i(aeq_to_gxb[73]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[73]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[73]~input .bus_hold = "false"; +defparam \aeq_to_gxb[73]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X9_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[74]~input ( + .i(aeq_to_gxb[74]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[74]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[74]~input .bus_hold = "false"; +defparam \aeq_to_gxb[74]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y72_N32 +stratixiv_io_ibuf \aeq_to_gxb[75]~input ( + .i(aeq_to_gxb[75]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[75]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[75]~input .bus_hold = "false"; +defparam \aeq_to_gxb[75]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X31_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[76]~input ( + .i(aeq_to_gxb[76]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[76]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[76]~input .bus_hold = "false"; +defparam \aeq_to_gxb[76]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X117_Y0_N94 +stratixiv_io_ibuf \aeq_to_gxb[77]~input ( + .i(aeq_to_gxb[77]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[77]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[77]~input .bus_hold = "false"; +defparam \aeq_to_gxb[77]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y24_N1 +stratixiv_io_ibuf \aeq_to_gxb[78]~input ( + .i(aeq_to_gxb[78]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[78]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[78]~input .bus_hold = "false"; +defparam \aeq_to_gxb[78]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X60_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[79]~input ( + .i(aeq_to_gxb[79]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[79]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[79]~input .bus_hold = "false"; +defparam \aeq_to_gxb[79]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X62_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[80]~input ( + .i(aeq_to_gxb[80]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[80]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[80]~input .bus_hold = "false"; +defparam \aeq_to_gxb[80]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X175_Y0_N32 +stratixiv_io_ibuf \aeq_to_gxb[81]~input ( + .i(aeq_to_gxb[81]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[81]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[81]~input .bus_hold = "false"; +defparam \aeq_to_gxb[81]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X19_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[82]~input ( + .i(aeq_to_gxb[82]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[82]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[82]~input .bus_hold = "false"; +defparam \aeq_to_gxb[82]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X117_Y0_N63 +stratixiv_io_ibuf \aeq_to_gxb[83]~input ( + .i(aeq_to_gxb[83]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[83]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[83]~input .bus_hold = "false"; +defparam \aeq_to_gxb[83]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X150_Y0_N32 +stratixiv_io_ibuf \aeq_to_gxb[84]~input ( + .i(aeq_to_gxb[84]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[84]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[84]~input .bus_hold = "false"; +defparam \aeq_to_gxb[84]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y106_N1 +stratixiv_io_ibuf \aeq_to_gxb[85]~input ( + .i(aeq_to_gxb[85]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[85]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[85]~input .bus_hold = "false"; +defparam \aeq_to_gxb[85]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X70_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[86]~input ( + .i(aeq_to_gxb[86]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[86]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[86]~input .bus_hold = "false"; +defparam \aeq_to_gxb[86]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y19_N94 +stratixiv_io_ibuf \aeq_to_gxb[87]~input ( + .i(aeq_to_gxb[87]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[87]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[87]~input .bus_hold = "false"; +defparam \aeq_to_gxb[87]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X30_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[88]~input ( + .i(aeq_to_gxb[88]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[88]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[88]~input .bus_hold = "false"; +defparam \aeq_to_gxb[88]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X121_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[89]~input ( + .i(aeq_to_gxb[89]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[89]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[89]~input .bus_hold = "false"; +defparam \aeq_to_gxb[89]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X155_Y129_N32 +stratixiv_io_ibuf \aeq_to_gxb[90]~input ( + .i(aeq_to_gxb[90]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[90]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[90]~input .bus_hold = "false"; +defparam \aeq_to_gxb[90]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X159_Y129_N1 +stratixiv_io_ibuf \aeq_to_gxb[91]~input ( + .i(aeq_to_gxb[91]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[91]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[91]~input .bus_hold = "false"; +defparam \aeq_to_gxb[91]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X42_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[92]~input ( + .i(aeq_to_gxb[92]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[92]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[92]~input .bus_hold = "false"; +defparam \aeq_to_gxb[92]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X117_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[93]~input ( + .i(aeq_to_gxb[93]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[93]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[93]~input .bus_hold = "false"; +defparam \aeq_to_gxb[93]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y50_N32 +stratixiv_io_ibuf \aeq_to_gxb[94]~input ( + .i(aeq_to_gxb[94]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[94]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[94]~input .bus_hold = "false"; +defparam \aeq_to_gxb[94]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X175_Y129_N94 +stratixiv_io_ibuf \aeq_to_gxb[95]~input ( + .i(aeq_to_gxb[95]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\aeq_to_gxb[95]~input_o )); +// synopsys translate_off +defparam \aeq_to_gxb[95]~input .bus_hold = "false"; +defparam \aeq_to_gxb[95]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X85_Y0_N94 +stratixiv_io_ibuf \rx_cruclk[0]~input ( + .i(rx_cruclk[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_cruclk[0]~input_o )); +// synopsys translate_off +defparam \rx_cruclk[0]~input .bus_hold = "false"; +defparam \rx_cruclk[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y35_N32 +stratixiv_io_ibuf \rx_cruclk[1]~input ( + .i(rx_cruclk[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_cruclk[1]~input_o )); +// synopsys translate_off +defparam \rx_cruclk[1]~input .bus_hold = "false"; +defparam \rx_cruclk[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X185_Y59_N1 +stratixiv_io_ibuf \rx_cruclk[2]~input ( + .i(rx_cruclk[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_cruclk[2]~input_o )); +// synopsys translate_off +defparam \rx_cruclk[2]~input .bus_hold = "false"; +defparam \rx_cruclk[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X11_Y129_N63 +stratixiv_io_ibuf \rx_cruclk[3]~input ( + .i(rx_cruclk[3]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rx_cruclk[3]~input_o )); +// synopsys translate_off +defparam \rx_cruclk[3]~input .bus_hold = "false"; +defparam \rx_cruclk[3]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X0_Y109_N32 +stratixiv_io_ibuf \reconfig_togxb[0]~input ( + .i(reconfig_togxb[0]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\reconfig_togxb[0]~input_o )); +// synopsys translate_off +defparam \reconfig_togxb[0]~input .bus_hold = "false"; +defparam \reconfig_togxb[0]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X104_Y0_N63 +stratixiv_io_ibuf \reconfig_togxb[2]~input ( + .i(reconfig_togxb[2]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\reconfig_togxb[2]~input_o )); +// synopsys translate_off +defparam \reconfig_togxb[2]~input .bus_hold = "false"; +defparam \reconfig_togxb[2]~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: IOIBUF_X108_Y0_N63 +stratixiv_io_ibuf \reconfig_togxb[1]~input ( + .i(reconfig_togxb[1]), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\reconfig_togxb[1]~input_o )); +// synopsys translate_off +defparam \reconfig_togxb[1]~input .bus_hold = "false"; +defparam \reconfig_togxb[1]~input .simulate_z_as = "z"; +// synopsys translate_on + +assign ch_ctrl_readdata[0] = \ch_ctrl_readdata[0]~output_o ; + +assign ch_ctrl_readdata[1] = \ch_ctrl_readdata[1]~output_o ; + +assign ch_ctrl_readdata[2] = \ch_ctrl_readdata[2]~output_o ; + +assign ch_ctrl_readdata[3] = \ch_ctrl_readdata[3]~output_o ; + +assign ch_ctrl_readdata[4] = \ch_ctrl_readdata[4]~output_o ; + +assign ch_ctrl_readdata[5] = \ch_ctrl_readdata[5]~output_o ; + +assign ch_ctrl_readdata[6] = \ch_ctrl_readdata[6]~output_o ; + +assign ch_ctrl_readdata[7] = \ch_ctrl_readdata[7]~output_o ; + +assign ch_ctrl_readdata[8] = \ch_ctrl_readdata[8]~output_o ; + +assign ch_ctrl_readdata[9] = \ch_ctrl_readdata[9]~output_o ; + +assign ch_ctrl_readdata[10] = \ch_ctrl_readdata[10]~output_o ; + +assign ch_ctrl_readdata[11] = \ch_ctrl_readdata[11]~output_o ; + +assign ch_ctrl_readdata[12] = \ch_ctrl_readdata[12]~output_o ; + +assign ch_ctrl_readdata[13] = \ch_ctrl_readdata[13]~output_o ; + +assign ch_ctrl_readdata[14] = \ch_ctrl_readdata[14]~output_o ; + +assign ch_ctrl_readdata[15] = \ch_ctrl_readdata[15]~output_o ; + +assign ch_ctrl_readdata[16] = \ch_ctrl_readdata[16]~output_o ; + +assign ch_ctrl_readdata[17] = \ch_ctrl_readdata[17]~output_o ; + +assign ch_ctrl_readdata[18] = \ch_ctrl_readdata[18]~output_o ; + +assign ch_ctrl_readdata[19] = \ch_ctrl_readdata[19]~output_o ; + +assign ch_ctrl_readdata[20] = \ch_ctrl_readdata[20]~output_o ; + +assign ch_ctrl_readdata[21] = \ch_ctrl_readdata[21]~output_o ; + +assign ch_ctrl_readdata[22] = \ch_ctrl_readdata[22]~output_o ; + +assign ch_ctrl_readdata[23] = \ch_ctrl_readdata[23]~output_o ; + +assign ch_ctrl_readdata[24] = \ch_ctrl_readdata[24]~output_o ; + +assign ch_ctrl_readdata[25] = \ch_ctrl_readdata[25]~output_o ; + +assign ch_ctrl_readdata[26] = \ch_ctrl_readdata[26]~output_o ; + +assign ch_ctrl_readdata[27] = \ch_ctrl_readdata[27]~output_o ; + +assign ch_ctrl_readdata[28] = \ch_ctrl_readdata[28]~output_o ; + +assign ch_ctrl_readdata[29] = \ch_ctrl_readdata[29]~output_o ; + +assign ch_ctrl_readdata[30] = \ch_ctrl_readdata[30]~output_o ; + +assign ch_ctrl_readdata[31] = \ch_ctrl_readdata[31]~output_o ; + +assign pll_locked = \pll_locked~output_o ; + +assign reconfig_fromgxb[0] = \reconfig_fromgxb[0]~output_o ; + +assign reconfig_fromgxb[1] = \reconfig_fromgxb[1]~output_o ; + +assign reconfig_fromgxb[2] = \reconfig_fromgxb[2]~output_o ; + +assign reconfig_fromgxb[3] = \reconfig_fromgxb[3]~output_o ; + +assign reconfig_fromgxb[4] = \reconfig_fromgxb[4]~output_o ; + +assign reconfig_fromgxb[5] = \reconfig_fromgxb[5]~output_o ; + +assign reconfig_fromgxb[6] = \reconfig_fromgxb[6]~output_o ; + +assign reconfig_fromgxb[7] = \reconfig_fromgxb[7]~output_o ; + +assign reconfig_fromgxb[8] = \reconfig_fromgxb[8]~output_o ; + +assign reconfig_fromgxb[9] = \reconfig_fromgxb[9]~output_o ; + +assign reconfig_fromgxb[10] = \reconfig_fromgxb[10]~output_o ; + +assign reconfig_fromgxb[11] = \reconfig_fromgxb[11]~output_o ; + +assign reconfig_fromgxb[12] = \reconfig_fromgxb[12]~output_o ; + +assign reconfig_fromgxb[13] = \reconfig_fromgxb[13]~output_o ; + +assign reconfig_fromgxb[14] = \reconfig_fromgxb[14]~output_o ; + +assign reconfig_fromgxb[15] = \reconfig_fromgxb[15]~output_o ; + +assign reconfig_fromgxb[16] = \reconfig_fromgxb[16]~output_o ; + +assign reconfig_fromgxb[17] = \reconfig_fromgxb[17]~output_o ; + +assign reconfig_fromgxb[18] = \reconfig_fromgxb[18]~output_o ; + +assign reconfig_fromgxb[19] = \reconfig_fromgxb[19]~output_o ; + +assign reconfig_fromgxb[20] = \reconfig_fromgxb[20]~output_o ; + +assign reconfig_fromgxb[21] = \reconfig_fromgxb[21]~output_o ; + +assign reconfig_fromgxb[22] = \reconfig_fromgxb[22]~output_o ; + +assign reconfig_fromgxb[23] = \reconfig_fromgxb[23]~output_o ; + +assign reconfig_fromgxb[24] = \reconfig_fromgxb[24]~output_o ; + +assign reconfig_fromgxb[25] = \reconfig_fromgxb[25]~output_o ; + +assign reconfig_fromgxb[26] = \reconfig_fromgxb[26]~output_o ; + +assign reconfig_fromgxb[27] = \reconfig_fromgxb[27]~output_o ; + +assign reconfig_fromgxb[28] = \reconfig_fromgxb[28]~output_o ; + +assign reconfig_fromgxb[29] = \reconfig_fromgxb[29]~output_o ; + +assign reconfig_fromgxb[30] = \reconfig_fromgxb[30]~output_o ; + +assign reconfig_fromgxb[31] = \reconfig_fromgxb[31]~output_o ; + +assign reconfig_fromgxb[32] = \reconfig_fromgxb[32]~output_o ; + +assign reconfig_fromgxb[33] = \reconfig_fromgxb[33]~output_o ; + +assign reconfig_fromgxb[34] = \reconfig_fromgxb[34]~output_o ; + +assign reconfig_fromgxb[35] = \reconfig_fromgxb[35]~output_o ; + +assign reconfig_fromgxb[36] = \reconfig_fromgxb[36]~output_o ; + +assign reconfig_fromgxb[37] = \reconfig_fromgxb[37]~output_o ; + +assign reconfig_fromgxb[38] = \reconfig_fromgxb[38]~output_o ; + +assign reconfig_fromgxb[39] = \reconfig_fromgxb[39]~output_o ; + +assign reconfig_fromgxb[40] = \reconfig_fromgxb[40]~output_o ; + +assign reconfig_fromgxb[41] = \reconfig_fromgxb[41]~output_o ; + +assign reconfig_fromgxb[42] = \reconfig_fromgxb[42]~output_o ; + +assign reconfig_fromgxb[43] = \reconfig_fromgxb[43]~output_o ; + +assign reconfig_fromgxb[44] = \reconfig_fromgxb[44]~output_o ; + +assign reconfig_fromgxb[45] = \reconfig_fromgxb[45]~output_o ; + +assign reconfig_fromgxb[46] = \reconfig_fromgxb[46]~output_o ; + +assign reconfig_fromgxb[47] = \reconfig_fromgxb[47]~output_o ; + +assign reconfig_fromgxb[48] = \reconfig_fromgxb[48]~output_o ; + +assign reconfig_fromgxb[49] = \reconfig_fromgxb[49]~output_o ; + +assign reconfig_fromgxb[50] = \reconfig_fromgxb[50]~output_o ; + +assign reconfig_fromgxb[51] = \reconfig_fromgxb[51]~output_o ; + +assign reconfig_fromgxb[52] = \reconfig_fromgxb[52]~output_o ; + +assign reconfig_fromgxb[53] = \reconfig_fromgxb[53]~output_o ; + +assign reconfig_fromgxb[54] = \reconfig_fromgxb[54]~output_o ; + +assign reconfig_fromgxb[55] = \reconfig_fromgxb[55]~output_o ; + +assign reconfig_fromgxb[56] = \reconfig_fromgxb[56]~output_o ; + +assign reconfig_fromgxb[57] = \reconfig_fromgxb[57]~output_o ; + +assign reconfig_fromgxb[58] = \reconfig_fromgxb[58]~output_o ; + +assign reconfig_fromgxb[59] = \reconfig_fromgxb[59]~output_o ; + +assign reconfig_fromgxb[60] = \reconfig_fromgxb[60]~output_o ; + +assign reconfig_fromgxb[61] = \reconfig_fromgxb[61]~output_o ; + +assign reconfig_fromgxb[62] = \reconfig_fromgxb[62]~output_o ; + +assign reconfig_fromgxb[63] = \reconfig_fromgxb[63]~output_o ; + +assign reconfig_fromgxb[64] = \reconfig_fromgxb[64]~output_o ; + +assign reconfig_fromgxb[65] = \reconfig_fromgxb[65]~output_o ; + +assign reconfig_fromgxb[66] = \reconfig_fromgxb[66]~output_o ; + +assign reconfig_fromgxb[67] = \reconfig_fromgxb[67]~output_o ; + +assign aeq_from_gxb[0] = \aeq_from_gxb[0]~output_o ; + +assign aeq_from_gxb[1] = \aeq_from_gxb[1]~output_o ; + +assign aeq_from_gxb[2] = \aeq_from_gxb[2]~output_o ; + +assign aeq_from_gxb[3] = \aeq_from_gxb[3]~output_o ; + +assign aeq_from_gxb[4] = \aeq_from_gxb[4]~output_o ; + +assign aeq_from_gxb[5] = \aeq_from_gxb[5]~output_o ; + +assign aeq_from_gxb[6] = \aeq_from_gxb[6]~output_o ; + +assign aeq_from_gxb[7] = \aeq_from_gxb[7]~output_o ; + +assign aeq_from_gxb[8] = \aeq_from_gxb[8]~output_o ; + +assign aeq_from_gxb[9] = \aeq_from_gxb[9]~output_o ; + +assign aeq_from_gxb[10] = \aeq_from_gxb[10]~output_o ; + +assign aeq_from_gxb[11] = \aeq_from_gxb[11]~output_o ; + +assign aeq_from_gxb[12] = \aeq_from_gxb[12]~output_o ; + +assign aeq_from_gxb[13] = \aeq_from_gxb[13]~output_o ; + +assign aeq_from_gxb[14] = \aeq_from_gxb[14]~output_o ; + +assign aeq_from_gxb[15] = \aeq_from_gxb[15]~output_o ; + +assign aeq_from_gxb[16] = \aeq_from_gxb[16]~output_o ; + +assign aeq_from_gxb[17] = \aeq_from_gxb[17]~output_o ; + +assign aeq_from_gxb[18] = \aeq_from_gxb[18]~output_o ; + +assign aeq_from_gxb[19] = \aeq_from_gxb[19]~output_o ; + +assign aeq_from_gxb[20] = \aeq_from_gxb[20]~output_o ; + +assign aeq_from_gxb[21] = \aeq_from_gxb[21]~output_o ; + +assign aeq_from_gxb[22] = \aeq_from_gxb[22]~output_o ; + +assign aeq_from_gxb[23] = \aeq_from_gxb[23]~output_o ; + +assign aeq_from_gxb[24] = \aeq_from_gxb[24]~output_o ; + +assign aeq_from_gxb[25] = \aeq_from_gxb[25]~output_o ; + +assign aeq_from_gxb[26] = \aeq_from_gxb[26]~output_o ; + +assign aeq_from_gxb[27] = \aeq_from_gxb[27]~output_o ; + +assign aeq_from_gxb[28] = \aeq_from_gxb[28]~output_o ; + +assign aeq_from_gxb[29] = \aeq_from_gxb[29]~output_o ; + +assign aeq_from_gxb[30] = \aeq_from_gxb[30]~output_o ; + +assign aeq_from_gxb[31] = \aeq_from_gxb[31]~output_o ; + +assign tx_dataout[0] = \tx_dataout[0]~output_o ; + +assign tx_dataout[1] = \tx_dataout[1]~output_o ; + +assign tx_dataout[2] = \tx_dataout[2]~output_o ; + +assign tx_dataout[3] = \tx_dataout[3]~output_o ; + +assign tx_clkout[0] = \tx_clkout[0]~output_o ; + +assign tx_clkout[1] = \tx_clkout[1]~output_o ; + +assign tx_clkout[2] = \tx_clkout[2]~output_o ; + +assign tx_clkout[3] = \tx_clkout[3]~output_o ; + +assign rx_dataout[0] = \rx_dataout[0]~output_o ; + +assign rx_dataout[1] = \rx_dataout[1]~output_o ; + +assign rx_dataout[2] = \rx_dataout[2]~output_o ; + +assign rx_dataout[3] = \rx_dataout[3]~output_o ; + +assign rx_dataout[4] = \rx_dataout[4]~output_o ; + +assign rx_dataout[5] = \rx_dataout[5]~output_o ; + +assign rx_dataout[6] = \rx_dataout[6]~output_o ; + +assign rx_dataout[7] = \rx_dataout[7]~output_o ; + +assign rx_dataout[8] = \rx_dataout[8]~output_o ; + +assign rx_dataout[9] = \rx_dataout[9]~output_o ; + +assign rx_dataout[10] = \rx_dataout[10]~output_o ; + +assign rx_dataout[11] = \rx_dataout[11]~output_o ; + +assign rx_dataout[12] = \rx_dataout[12]~output_o ; + +assign rx_dataout[13] = \rx_dataout[13]~output_o ; + +assign rx_dataout[14] = \rx_dataout[14]~output_o ; + +assign rx_dataout[15] = \rx_dataout[15]~output_o ; + +assign rx_dataout[16] = \rx_dataout[16]~output_o ; + +assign rx_dataout[17] = \rx_dataout[17]~output_o ; + +assign rx_dataout[18] = \rx_dataout[18]~output_o ; + +assign rx_dataout[19] = \rx_dataout[19]~output_o ; + +assign rx_dataout[20] = \rx_dataout[20]~output_o ; + +assign rx_dataout[21] = \rx_dataout[21]~output_o ; + +assign rx_dataout[22] = \rx_dataout[22]~output_o ; + +assign rx_dataout[23] = \rx_dataout[23]~output_o ; + +assign rx_dataout[24] = \rx_dataout[24]~output_o ; + +assign rx_dataout[25] = \rx_dataout[25]~output_o ; + +assign rx_dataout[26] = \rx_dataout[26]~output_o ; + +assign rx_dataout[27] = \rx_dataout[27]~output_o ; + +assign rx_dataout[28] = \rx_dataout[28]~output_o ; + +assign rx_dataout[29] = \rx_dataout[29]~output_o ; + +assign rx_dataout[30] = \rx_dataout[30]~output_o ; + +assign rx_dataout[31] = \rx_dataout[31]~output_o ; + +assign rx_dataout[32] = \rx_dataout[32]~output_o ; + +assign rx_dataout[33] = \rx_dataout[33]~output_o ; + +assign rx_dataout[34] = \rx_dataout[34]~output_o ; + +assign rx_dataout[35] = \rx_dataout[35]~output_o ; + +assign rx_dataout[36] = \rx_dataout[36]~output_o ; + +assign rx_dataout[37] = \rx_dataout[37]~output_o ; + +assign rx_dataout[38] = \rx_dataout[38]~output_o ; + +assign rx_dataout[39] = \rx_dataout[39]~output_o ; + +assign rx_dataout[40] = \rx_dataout[40]~output_o ; + +assign rx_dataout[41] = \rx_dataout[41]~output_o ; + +assign rx_dataout[42] = \rx_dataout[42]~output_o ; + +assign rx_dataout[43] = \rx_dataout[43]~output_o ; + +assign rx_dataout[44] = \rx_dataout[44]~output_o ; + +assign rx_dataout[45] = \rx_dataout[45]~output_o ; + +assign rx_dataout[46] = \rx_dataout[46]~output_o ; + +assign rx_dataout[47] = \rx_dataout[47]~output_o ; + +assign rx_dataout[48] = \rx_dataout[48]~output_o ; + +assign rx_dataout[49] = \rx_dataout[49]~output_o ; + +assign rx_dataout[50] = \rx_dataout[50]~output_o ; + +assign rx_dataout[51] = \rx_dataout[51]~output_o ; + +assign rx_dataout[52] = \rx_dataout[52]~output_o ; + +assign rx_dataout[53] = \rx_dataout[53]~output_o ; + +assign rx_dataout[54] = \rx_dataout[54]~output_o ; + +assign rx_dataout[55] = \rx_dataout[55]~output_o ; + +assign rx_dataout[56] = \rx_dataout[56]~output_o ; + +assign rx_dataout[57] = \rx_dataout[57]~output_o ; + +assign rx_dataout[58] = \rx_dataout[58]~output_o ; + +assign rx_dataout[59] = \rx_dataout[59]~output_o ; + +assign rx_dataout[60] = \rx_dataout[60]~output_o ; + +assign rx_dataout[61] = \rx_dataout[61]~output_o ; + +assign rx_dataout[62] = \rx_dataout[62]~output_o ; + +assign rx_dataout[63] = \rx_dataout[63]~output_o ; + +assign rx_dataout[64] = \rx_dataout[64]~output_o ; + +assign rx_dataout[65] = \rx_dataout[65]~output_o ; + +assign rx_dataout[66] = \rx_dataout[66]~output_o ; + +assign rx_dataout[67] = \rx_dataout[67]~output_o ; + +assign rx_dataout[68] = \rx_dataout[68]~output_o ; + +assign rx_dataout[69] = \rx_dataout[69]~output_o ; + +assign rx_dataout[70] = \rx_dataout[70]~output_o ; + +assign rx_dataout[71] = \rx_dataout[71]~output_o ; + +assign rx_dataout[72] = \rx_dataout[72]~output_o ; + +assign rx_dataout[73] = \rx_dataout[73]~output_o ; + +assign rx_dataout[74] = \rx_dataout[74]~output_o ; + +assign rx_dataout[75] = \rx_dataout[75]~output_o ; + +assign rx_dataout[76] = \rx_dataout[76]~output_o ; + +assign rx_dataout[77] = \rx_dataout[77]~output_o ; + +assign rx_dataout[78] = \rx_dataout[78]~output_o ; + +assign rx_dataout[79] = \rx_dataout[79]~output_o ; + +assign rx_clkout[0] = \rx_clkout[0]~output_o ; + +assign rx_clkout[1] = \rx_clkout[1]~output_o ; + +assign rx_clkout[2] = \rx_clkout[2]~output_o ; + +assign rx_clkout[3] = \rx_clkout[3]~output_o ; + +assign rx_freqlocked[0] = \rx_freqlocked[0]~output_o ; + +assign rx_freqlocked[1] = \rx_freqlocked[1]~output_o ; + +assign rx_freqlocked[2] = \rx_freqlocked[2]~output_o ; + +assign rx_freqlocked[3] = \rx_freqlocked[3]~output_o ; + +assign rx_pll_locked[0] = \rx_pll_locked[0]~output_o ; + +assign rx_pll_locked[1] = \rx_pll_locked[1]~output_o ; + +assign rx_pll_locked[2] = \rx_pll_locked[2]~output_o ; + +assign rx_pll_locked[3] = \rx_pll_locked[3]~output_o ; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_dprio.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_dprio.v new file mode 100644 index 0000000000000000000000000000000000000000..c8cb550d6c519e9fddf949f789fe95544e45690e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_dprio.v @@ -0,0 +1,199 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//synopsys translate_off +`timescale 1 ps / 1 ps +//synopsys translate_on +(* ALTERA_ATTRIBUTE = {"{-to addr_shift_reg[31]} DPRIO_INTERFACE_REG=ON;{-to wr_out_data_shift_reg[31]} DPRIO_INTERFACE_REG=ON;{-to rd_out_data_shift_reg[13]} DPRIO_INTERFACE_REG=ON;{-to in_data_shift_reg[0]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[0]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[1]} DPRIO_INTERFACE_REG=ON;{-to startup_cntr[2]} DPRIO_INTERFACE_REG=ON"} *) +module alt_dprio ( + input wire [15:0] address, + output wire busy, + input wire [15:0] datain, + output wire [15:0] dataout, + input wire dpclk, + output wire dpriodisable, + output wire dprioin, + output wire dprioload, + input wire dprioout, + input wire [6:0] quad_address, + input wire rden, + input wire wren, + input wire wren_data + ); + + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [31:0] addr_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [15:0] in_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [15:0] rd_out_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [2:0] startup_cntr; + (* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) + reg [2:0] state_mc_reg; + (* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON;POWER_UP_LEVEL=LOW"} *) + reg [31:0] wr_out_data_shift_reg; + (* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) + reg [5:0] state_mc_counter_q; + + wire pre_amble_cmpr_agb; + wire [7:0] state_mc_decode_eq; + wire dprioin_mux_dataout; + wire busy_state; + wire idle_state; + wire rd_addr_done; + wire rd_addr_state; + wire rd_data_done; + wire rd_data_input_state; + wire rd_data_output_state; + wire rd_data_state; + wire rdinc; + wire read_state; + wire s0_to_0; + wire s0_to_1; + wire s1_to_0; + wire s1_to_1; + wire s2_to_0; + wire s2_to_1; + wire startup_done; + wire startup_idle; + wire wr_addr_done; + wire wr_addr_state; + wire wr_data_done; + wire wr_data_state; + wire write_state; + wire [2:0] startup_cntr_d; + wire [2:0] startup_cntr_ena; + + // synopsys translate_off + initial + begin + addr_shift_reg = 0; + in_data_shift_reg = 0; + rd_out_data_shift_reg = 0; + startup_cntr[2:0] = 3'b0; + state_mc_reg = 0; + wr_out_data_shift_reg = 0; + state_mc_counter_q = 6'b0; + end + // synopsys translate_on + + always @ (posedge dpclk) + begin + if (state_mc_counter_q == 6'b011111) + begin + addr_shift_reg <= {{2{{2{1'b0}}}}, {3{1'b0}}, quad_address, 2'b10, address}; + rd_out_data_shift_reg <= {{2{1'b0}}, {2{1'b1}}, {3{1'b0}}, quad_address, 2'b10}; + wr_out_data_shift_reg <= {{2{1'b0}}, 2'b01, {3{1'b0}}, quad_address, 2'b10, datain}; + end + else begin + addr_shift_reg <= {addr_shift_reg[30:0], 1'b0}; + rd_out_data_shift_reg <= {rd_out_data_shift_reg[14:0], 1'b0}; + wr_out_data_shift_reg <= {wr_out_data_shift_reg[30:0], 1'b0}; + end + + if (rd_data_input_state == 1'b1) + in_data_shift_reg <= {in_data_shift_reg[14:0], dprioout}; + end + + always @ (posedge dpclk) + begin + if (startup_cntr_ena[0] == 1'b1) + startup_cntr[0] <= startup_cntr_d[0]; + if (startup_cntr_ena[1:1] == 1'b1) + startup_cntr[1] <= startup_cntr_d[1]; + if (startup_cntr_ena[2:2] == 1'b1) + startup_cntr[2] <= startup_cntr_d[2]; + end + + assign startup_cntr_d = {(startup_cntr[2] ^ (startup_cntr[1] & startup_cntr[0])), (startup_cntr[0] ^ startup_cntr[1]), (~ startup_cntr[0])}; + assign startup_cntr_ena = {3{((((rden | wren) | rdinc) | (~ startup_idle)) & (~ startup_done))}}; + + always @ ( posedge dpclk) + begin + state_mc_reg <= {(s2_to_1 | (((~ s2_to_0) & (~ s2_to_1)) & state_mc_reg[2])), (s1_to_1 | (((~ s1_to_0) & (~ s1_to_1)) & state_mc_reg[1])), (s0_to_1 | (((~ s0_to_0) & (~ s0_to_1)) & state_mc_reg[0]))}; + end + + always @(posedge dpclk) + begin + if (write_state | read_state) + begin + if (state_mc_counter_q == 6'b111111) + state_mc_counter_q <= 6'b0; + else + state_mc_counter_q <= state_mc_counter_q[5:0] + 6'b1; + end + end + + lpm_decode #( + .lpm_decodes (8), + .lpm_width (3), + .lpm_type ("lpm_decode")) + state_mc_decode( + .data(state_mc_reg), + .eq(state_mc_decode_eq) + `ifndef FORMAL_VERIFICATION + // synopsys translate_off + `endif + , + .aclr(1'b0), + .clken(1'b1), + .clock(1'b0), + .enable(1'b1) + `ifndef FORMAL_VERIFICATION + // synopsys translate_on + `endif + ); + + or(dprioin_mux_dataout, ((((((wr_addr_state | rd_addr_state) & addr_shift_reg[31]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & (wr_addr_state | rd_addr_state))) | (((wr_data_state & wr_out_data_shift_reg[31]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & wr_data_state))) | (((rd_data_output_state & rd_out_data_shift_reg[15]) & pre_amble_cmpr_agb) | ((~ pre_amble_cmpr_agb) & rd_data_output_state))), ~(((write_state | rd_addr_state) | rd_data_output_state))); + + assign + pre_amble_cmpr_agb = (state_mc_counter_q > 6'b011111), + busy = busy_state, + busy_state = (write_state | read_state), + dataout = in_data_shift_reg, + dpriodisable = (~ (startup_cntr[2] & (startup_cntr[0] | startup_cntr[1]))), + dprioin = dprioin_mux_dataout, + dprioload = (~ ((startup_cntr[0] ^ startup_cntr[1]) & (~ startup_cntr[2]))), + idle_state = state_mc_decode_eq[0], + + rd_addr_done = (rd_addr_state & (state_mc_counter_q == 6'b111111)), + + rd_addr_state = (state_mc_decode_eq[5] & startup_done), + rd_data_done = (rd_data_state & (state_mc_counter_q == 6'b111111)), + + rd_data_input_state = ((state_mc_counter_q >= 6'b110000) & rd_data_state), + + rd_data_output_state = (state_mc_counter_q < 6'b110000 & rd_data_state), + rd_data_state = (state_mc_decode_eq[7] & startup_done), + rdinc = 1'b0, + read_state = (rd_addr_state | rd_data_state), + s0_to_0 = ((wr_data_state & wr_data_done) | (rd_data_state & rd_data_done)), + s0_to_1 = (((idle_state & (wren | ((~ wren) & ((rden | rdinc) | wren_data)))) | (wr_addr_state & wr_addr_done)) | (rd_addr_state & rd_addr_done)), + s1_to_0 = (((wr_data_state & wr_data_done) | (rd_data_state & rd_data_done)) | (idle_state & (wren | (((~ wren) & (~ wren_data)) & rden)))), + s1_to_1 = (((idle_state & ((~ wren) & (rdinc | wren_data))) | (wr_addr_state & wr_addr_done)) | (rd_addr_state & rd_addr_done)), + s2_to_0 = ((((wr_addr_state & wr_addr_done) | (wr_data_state & wr_data_done)) | (rd_data_state & rd_data_done)) | (idle_state & (wren | wren_data))), + s2_to_1 = ((idle_state & (((~ wren) & (~ wren_data)) & (rdinc | rden))) | (rd_addr_state & rd_addr_done)), + startup_done = ((startup_cntr[2] & (~ startup_cntr[0])) & startup_cntr[1]), + startup_idle = ((~ startup_cntr[0]) & (~ (startup_cntr[2] ^ startup_cntr[1]))), + + wr_addr_done = (wr_addr_state & (state_mc_counter_q == 6'b111111)), + + wr_addr_state = (state_mc_decode_eq[1] & startup_done), + + wr_data_done = (wr_data_state & (state_mc_counter_q == 6'b111111)), + + wr_data_state = (state_mc_decode_eq[3] & startup_done), + write_state = (wr_addr_state | wr_data_state); +endmodule //alt_dprio + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_mutex_acq.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_mutex_acq.v new file mode 100644 index 0000000000000000000000000000000000000000..c5d020f703904fbb94916ef75bd1fda144424ba9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_mutex_acq.v @@ -0,0 +1,221 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_mutex_acq #( + parameter mutex_wait_time = 101, + parameter mutex_wait_time_cntr_width = 7, // do we need this parameter? + parameter addr_width = 5, + parameter data_width = 32, + parameter read_mutex_addr_offset = 0 // address of the mutex word +) +( + +input wire clk, // this will be the reconfig clk +input wire reset, + +// Internal interface for the bigger controlling module, like analog reconfig +input wire [addr_width-1:0] address, // MM address +input wire [data_width-1:0] writedata, +input wire write, +input wire read, +output reg waitrequest, // can use to tell internal master to wait when auto-request+release +output wire [data_width-1:0] readdata, + + +// MM master external interface, that connects to mutex-slave, like the reconfig_basic block +output wire [addr_width-1:0] master_address, // MM address +output wire [data_width-1:0] master_writedata, +output wire master_write, +output wire master_read, +input wire master_waitrequest, // needed for a valid master interface +input wire [data_width-1:0] master_readdata, // from mutex-slave + + + +// request mutex access and should be held high as long as mutex is used +input wire mutex_req, +// output indicating whether mutex access was granted or not +output reg mutex_grant + +); + + + +localparam IDLE = 2'b00; +localparam GET_MUTEX = 2'b01; +localparam RELEASE_MUTEX = 2'b10; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [9:0] mutex_timeout_cntr; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) // should we make this variable +reg [mutex_wait_time_cntr_width-1:0] mutex_wait_cntr; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [addr_width-1:0] mutex_int_address; // MM address +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [data_width-1:0] mutex_int_writedata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_int_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_int_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_base_readwrite; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=HIGH"} *) +reg mutex_mux_ctrl; + +// synopsys translate_off +initial +begin + mutex_grant = 0; + mutex_mux_ctrl = 1'b1; + mutex_timeout_cntr = 0; + mutex_wait_cntr = 0; + mutex_int_address = 0; + mutex_int_writedata = 0; + mutex_int_read = 0; + mutex_int_write = 0; + waitrequest = 0; + do_base_readwrite = 0; + state = 0; +end +// synopsys translate_on + +always @(posedge clk or posedge reset) +begin + if (reset === 1'b1) + begin + mutex_grant <= 0; + mutex_mux_ctrl <= 1'b1; + mutex_timeout_cntr <= 0; + mutex_wait_cntr <= 0; + mutex_int_address <= 'b0; + mutex_int_writedata <= 'b0; + mutex_int_read <= 'b0; + mutex_int_write <= 'b0; + waitrequest <= 'b0; + do_base_readwrite <= 'b0; + state <= 'b0; + end + else begin + case (state) + IDLE:begin +// fix SPR:343567 - Avalon slaves are permitted to keep waitrequest asserted during idle cycles. +// The state machine will still work correctly by simply removing this condition. +// The next state is GET_MUTEX, and it will wait there if waitrequest is legitimately asserted. +// if (master_waitrequest == 1'b1) +// state <= IDLE; // wait till the waitrequest goes low +// else if (mutex_grant == 0 && + if (mutex_grant == 0 && + (mutex_req == 1'b1 || write == 1'b1 || read == 1'b1)) + begin + state <= GET_MUTEX; + mutex_int_read <= 1'b1; + mutex_int_address <= read_mutex_addr_offset; + mutex_int_write <= 1'b0; + mutex_wait_cntr <= 0; + mutex_mux_ctrl <= 1'b1; + waitrequest <= 1'b1; // pull the waitrequest high + if (write == 1'b1 || read == 1'b1) + do_base_readwrite <= 1'b1; + else + do_base_readwrite <= 1'b0; + end + else if (mutex_grant == 1'b1) + begin + do_base_readwrite <= 1'b0; + if (mutex_req == 1'b1 || write == 1'b1 || read == 1'b1) + begin + mutex_mux_ctrl <= 1'b0; // relinquish control of the mux + if (write == 1'b1 || read == 1'b1) + waitrequest <= master_waitrequest; + else + waitrequest <= 1'b0; + if (read == 1'b1 || write == 1'b1) + mutex_timeout_cntr <= 0; + else if (mutex_timeout_cntr == 10'd1000) + state <= RELEASE_MUTEX; + else + mutex_timeout_cntr <= mutex_timeout_cntr[9:0] + 10'b1; + end + else + state <= RELEASE_MUTEX; + end + else + begin + mutex_mux_ctrl <= 1'b1; // choke the inputs going to basic as mux is not available + mutex_int_read <= 1'b0; + mutex_int_write <= 1'b0; + waitrequest <= 1'b0; + end + end + RELEASE_MUTEX: begin + // release the mutex + mutex_mux_ctrl <= 1'b1; + mutex_int_read <= 1'b0; + mutex_int_write <= 1'b1; + mutex_int_address <= read_mutex_addr_offset; + mutex_int_writedata <= 16'b0; + mutex_grant <= 1'b0; + state <= IDLE; + mutex_timeout_cntr <= 0; + waitrequest <= 1'b0; + end + GET_MUTEX: begin + if (master_waitrequest == 1'b1) // wait in this state itself + begin + state <= GET_MUTEX; + end + else if (mutex_int_read == 1'b1 && master_readdata[0] == 1'b0) // check mutex bit + begin + mutex_grant <= 1; // mutex is available + state <= IDLE; + mutex_int_read <= 1'b0; // reset the read and mux ctrl bits + mutex_mux_ctrl <= 0; + if (do_base_readwrite == 1'b1) + waitrequest <= master_waitrequest; + else + waitrequest <= 1'b0; + end + else if (mutex_wait_cntr != mutex_wait_time) + begin // continue waiting till the wait time is hit + mutex_wait_cntr <= mutex_wait_cntr[mutex_wait_time_cntr_width-1:0] + 1; + mutex_int_read <= 1'b0; // pull down the read + state <= GET_MUTEX; + end + else // try and read again + begin + mutex_wait_cntr <= 0; // reset the wait cntr and try and read again + mutex_int_read <= 1'b1; + state <= GET_MUTEX; + end + end + default: begin + state <= IDLE; + end + endcase + end + +end + + +assign master_address = mutex_mux_ctrl ? mutex_int_address : address; +assign master_writedata = mutex_mux_ctrl ? mutex_int_writedata : writedata; +assign master_write = mutex_mux_ctrl ? mutex_int_write : write; +assign master_read = mutex_mux_ctrl ? mutex_int_read : read; +assign readdata = master_readdata; // pipe the readdata out + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_pma_ch_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_pma_ch_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..6347c1ae0190510fae1e3cec2d4c58e3c11c0d34 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_pma_ch_controller_tgx.v @@ -0,0 +1,164 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1ns/10ps +module alt_pma_ch_controller_tgx #( + parameter + number_of_channels = 4, + sys_clk_in_mhz = 50, // used to calculate internal delays in terms of system clock cycles + manual_reset = "false", + sync_depth =2 + ) + ( + //controller standard interface to user + input tri0 rst, // controller logic reset +// input wire powerdown_all, // save power + input tri0 rx_rst_digital, // tx_digitalreset + input tri0 tx_rst_digital, // rx_digitalreset + output wire tx_pma_ready, // pma tx pll_locked + output wire rx_pma_ready, // pma rx pll is locked to data + input tri0 tx_cal_busy, // tx calibration in progress + input tri0 rx_cal_busy, // rx calibration in progress + input tri1 pll_locked, // tx pll_locked + + // user data (avalon-MM formatted) + input wire clk, + input tri0 [5:0] ch_mgmt_address, + input tri1 ch_mgmt_read, + output wire [31:0] ch_mgmt_readdata, + input tri0 ch_mgmt_write, + input tri0 [31:0] ch_mgmt_writedata, + output wire ch_mgmt_waitrequest, + + input tri1 [number_of_channels-1:0] rx_is_lockedtodata, + input tri1 [number_of_channels-1:0] rx_is_lockedtoref, + + output wire [number_of_channels-1:0] rx_set_locktodata, + output wire [number_of_channels-1:0] rx_set_locktoref, + output wire [number_of_channels-1:0] rx_seriallpbken, + + output wire [number_of_channels-1:0] rx_analog_rst, + output wire [number_of_channels-1:0] tx_digital_rst, + output wire [number_of_channels-1:0] rx_digital_rst, + output wire pll_powerdown +); + // reset controller outputs + wire reset_controller_tx_ready; + wire reset_controller_rx_ready; + wire reset_controller_pll_powerdown; + wire reset_controller_tx_digitalreset; + wire reset_controller_rx_analogreset; + wire reset_controller_rx_digitalreset; + wire reset_controller_manual_mode; + + // Control & status register map (CSR) outputs + wire csr_reset_tx_digital; // to reset controller + wire csr_reset_rx_digital; // to reset controller + wire csr_reset_all; // to reset controller + wire csr_pll_powerdown; + wire [number_of_channels - 1 : 0] csr_tx_digitalreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_analogreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_digitalreset; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_phy_loopback_serial; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_set_locktoref; // to xcvr instance + wire [number_of_channels - 1 : 0] csr_rx_set_locktodata; // to xcvr instance + + + /////////////////////////////////////////////////////////////////// + + // Implement waitrequest to match previous implementation + altera_wait_generate wait_gen( + .rst(rst), + .clk(clk), + .launch_signal(ch_mgmt_read), + .wait_req(ch_mgmt_waitrequest) + ); + // Instantiate memory map logic for given number of lanes & PLL's + // Includes all except PCS + alt_xcvr_csr_common #( + .lanes(number_of_channels), + .plls(1) + ) csr ( + .clk(clk), + .reset(rst), + .address(8'h40 | ch_mgmt_address), // map word 0 of this module to word 64 of alt_xcvr_csr_common + .read(ch_mgmt_read), + .write(ch_mgmt_write), + .writedata(ch_mgmt_writedata), + .pll_locked(pll_locked), + .rx_is_lockedtoref(rx_is_lockedtoref), + .rx_is_lockedtodata(rx_is_lockedtodata), + .rx_signaldetect({number_of_channels{1'b0}}), + .reset_controller_tx_ready(reset_controller_tx_ready), + .reset_controller_rx_ready(reset_controller_rx_ready), + .reset_controller_pll_powerdown(reset_controller_pll_powerdown), + .reset_controller_tx_digitalreset(reset_controller_tx_digitalreset), + .reset_controller_rx_analogreset(reset_controller_rx_analogreset), + .reset_controller_rx_digitalreset(reset_controller_rx_digitalreset), + .readdata(ch_mgmt_readdata), + .csr_reset_tx_digital(csr_reset_tx_digital), + .csr_reset_rx_digital(csr_reset_rx_digital), + .csr_reset_all(csr_reset_all), + .csr_pll_powerdown(csr_pll_powerdown), + .csr_tx_digitalreset(csr_tx_digitalreset), + .csr_rx_analogreset(csr_rx_analogreset), + .csr_rx_digitalreset(csr_rx_digitalreset), + .csr_phy_loopback_serial(csr_phy_loopback_serial), + .csr_rx_set_locktoref(csr_rx_set_locktoref), + .csr_rx_set_locktodata(csr_rx_set_locktodata) + ); + + + // Instantiate reset controller for PMA channels + alt_reset_ctrl_tgx_cdrauto #( + .sys_clk_in_mhz(sys_clk_in_mhz) + ) rc ( + .clock(clk), + .reset_all(csr_reset_all), + .reset_tx_digital(csr_reset_tx_digital | tx_rst_digital), + .reset_rx_digital(csr_reset_rx_digital | rx_rst_digital), + .powerdown_all(rst), + .tx_ready(reset_controller_tx_ready), + .rx_ready(reset_controller_rx_ready), + .pll_powerdown (reset_controller_pll_powerdown), + .tx_digitalreset(reset_controller_tx_digitalreset), + .rx_analogreset(reset_controller_rx_analogreset), + .rx_digitalreset(reset_controller_rx_digitalreset), + .gxb_powerdown(), + .pll_is_locked(pll_locked), + .tx_cal_busy(tx_cal_busy), + .rx_cal_busy(rx_cal_busy), + .rx_is_lockedtodata(&rx_is_lockedtodata), + .manual_mode(reset_controller_manual_mode) + ); + + // Assign outputs from reset controller + assign tx_pma_ready = reset_controller_tx_ready; + assign rx_pma_ready = reset_controller_rx_ready; + + // Assign outputs from CSR to channel control bits + assign rx_set_locktodata = csr_rx_set_locktodata; + assign rx_set_locktoref = csr_rx_set_locktoref; + assign rx_seriallpbken = csr_phy_loopback_serial; + + assign rx_analog_rst = csr_rx_analogreset; + assign tx_digital_rst = csr_tx_digitalreset; + assign rx_digital_rst = csr_rx_digitalreset; + + assign reset_controller_manual_mode = (manual_reset == "true") ? 1'b1 + : (csr_rx_set_locktoref || csr_rx_set_locktodata); + + assign pll_powerdown = csr_pll_powerdown; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_pma_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_pma_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..614ad6278ca4a3cbbfa013d414c4e6c8410c156e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_pma_controller_tgx.v @@ -0,0 +1,198 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1ns/10ps +module alt_pma_controller_tgx #( + parameter number_of_plls = 1,// One controller only control the possible merged pll. If more pll is needed, user can use multiplier controller for none share plls. + parameter tx_pll_reset_hold_time = 0,// ignored - now calculated according to system clock + parameter sync_depth = 2, + parameter sys_clk_in_mhz = 50 // needed for 1us and 4us delay timers +) +( + input rst, // controller logic reset + output tx_pll_ready, + + // user data (avalon-MM formatted) + input wire clk, + input wire [1:0] pma_mgmt_address, + input wire pma_mgmt_read, + output reg [31:0] pma_mgmt_readdata, + input wire pma_mgmt_write, + input wire [31:0] pma_mgmt_writedata, + output wire pma_mgmt_waitrequest, + + // user data (avalon-clock formatted) + input wire cal_blk_clk, + + // user data: pll control (avalon-ST formatted) + output wire cal_blk_pdn,//sync with cal_blk_clk + output wire gx_pdn,//sync with clk + output wire [number_of_plls-1:0] pll_pdn, //sync with clk + input wire [number_of_plls-1:0] pll_locked // +); + +localparam clk_in_mhz = +`ifdef QUARTUS__SIMGEN + 2; // simulation-only value +`elsif ALTERA_RESERVED_QIS + sys_clk_in_mhz; // use real counter lengths for normal Quartus synthesis +`else + 2; // simulation-only value +`endif +localparam t_pll_powerdown = clk_in_mhz; // 1 us minimum +localparam t_ltd_auto = clk_in_mhz*4; // 4 us minimum + +wire [number_of_plls-1:0] pll_locked_sync; +reg cal_blk_pdn_reg; +reg read_delay; +reg gx_pdn_reg; +reg pll_pdn_resetall_avmm; +wire pll_pdn_int; +reg pll_pdn_reg; + +wire gx_pdn_int; +wire gx_pdn_done; + +altera_wait_generate wait_gen( + .rst(rst), +.clk(clk), +.launch_signal(pma_mgmt_read), +.wait_req(pma_mgmt_waitrequest) + ); + +alt_reset_ctrl_lego +#( +.reset_hold_cycles (t_pll_powerdown) // reset pulse length in clock cycles +) pll_pdn_rst +( +.clock(clk), +.start(1'b1 ), +.reset(pll_pdn_int), +.rdone(pll_locked), // reset done signal +.aclr(rst), +.sdone(tx_pll_ready) // sequence done for this lego +); + +alt_reset_ctrl_lego +#( +.reset_hold_cycles (2) // reset pulse length in clock cycles +) gx_pdn_rst +( +.clock(clk), +.start(1'b1 ), +.reset(gx_pdn_int), +.rdone(1'b1), // reset done signal +.aclr(rst), +.sdone(gx_pdn_done) // sequence done for this lego +); + +assign pll_pdn= pll_pdn_reg | {number_of_plls{pll_pdn_int}} ; + +initial +begin + pll_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + pll_pdn_reg <= 1'b0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b00) begin + pll_pdn_reg <= pma_mgmt_writedata[number_of_plls -1 :0]; + end +end + +initial +begin + pma_mgmt_readdata <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + pma_mgmt_readdata <= 0; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b00) begin + pma_mgmt_readdata[number_of_plls -1 :0] <= pll_pdn; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b01) begin + pma_mgmt_readdata[0] <= cal_blk_pdn_reg; + pma_mgmt_readdata[1] <= gx_pdn; + end + else if (pma_mgmt_read==1'b1 & pma_mgmt_address==2'b10) begin + pma_mgmt_readdata[number_of_plls -1 :0] <= pll_locked_sync; + end + else + pma_mgmt_readdata <= 0; +end + +generate +genvar i; +for (i=0; i<number_of_plls; i=i+1) +begin: lock_sync + altera_std_synchronizer + #( + .depth (sync_depth) // reset pulse length in clock cycles + )stdsync + ( + .clk(clk), + .din(pll_locked[i]), + .dout(pll_locked_sync[i]), + .reset_n((~ rst)) + ); +end +endgenerate + +initial +begin + cal_blk_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + cal_blk_pdn_reg <= 0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b01) begin + cal_blk_pdn_reg <= pma_mgmt_writedata[0]; + end +end +altera_std_synchronizer +#( + .depth (sync_depth) // reset pulse length in clock cycles +)stdsync +( + .clk(cal_blk_clk), + .din(cal_blk_pdn_reg), + .dout(cal_blk_pdn), + .reset_n((~ rst)) +); + +assign gx_pdn = gx_pdn_reg | gx_pdn_int; +initial +begin + gx_pdn_reg <= 0; +end +always @ (posedge clk, posedge rst) +begin + if(rst) begin + gx_pdn_reg <= 0; + end + else if (pma_mgmt_write==1'b1 & pma_mgmt_address==2'b01) begin + gx_pdn_reg <= pma_mgmt_writedata[1]; + end + else begin + gx_pdn_reg <= gx_pdn_reg; + end + +end +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_pma_functions.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_pma_functions.sv new file mode 100644 index 0000000000000000000000000000000000000000..3b2ad149729abe8a029617dbbf1964bb6ce925dc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_pma_functions.sv @@ -0,0 +1,73 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common functions for PMA serdes +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_pma_functions; + + // convert "xx.xxx MHz" to ps + function integer freq2ps; + input [8*16:1] s; + + integer in_freq_khz; + integer period_ps; + + in_freq_khz = mega2k(s); + freq2ps = 1000000000/(in_freq_khz); + endfunction + + + // convert "xx.xxx MHz" string to integer with hz as unit + function integer mega2k; + input [8*16:1] s; + + reg [8*16:1] reg_s; + reg [8:1] digit; + reg [8:1] tmp; + integer m, magnitude; + integer final_mag; + integer unit_mag; + integer point_pos; + + begin + magnitude = 0; + reg_s = s; + unit_mag = 1000000; + point_pos = 0; + for (m=1; m<=16; m=m+1) + begin + tmp = reg_s[128:121]; + digit = tmp & 8'b00001111; + reg_s = reg_s << 8; + // Accumulate ascii digits 0-9 only. + if (tmp == 77) + unit_mag = 1000; // Found a 'M' character, Mhz + if (tmp == 46) + point_pos = 1; // Found a '.' character, point + if ((tmp>=48) && (tmp<=57)) begin + magnitude = (magnitude * 10) + digit; + point_pos = point_pos *10; + end + end + if(point_pos > 0) + mega2k = magnitude*unit_mag/point_pos; + else + mega2k = magnitude*unit_mag; + end + endfunction + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_reset_ctrl_lego.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_reset_ctrl_lego.sv new file mode 100644 index 0000000000000000000000000000000000000000..38d99a0658aa5380a62046e68a3b66cb9158881b --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_reset_ctrl_lego.sv @@ -0,0 +1,265 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Reset controller building block. +// +// Handles a single reset stage. Can be daisy-chained with other blocks for purely sequential resets. +// Options include reset pulse length in clock cycles, and a counter for sdone stability checking. +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module alt_reset_ctrl_lego +#( + parameter reset_hold_til_rdone = 0, // 1 means reset stays high until rdone arrives + // 0 means fixed pulse length, defined by reset_hold_cycles + parameter reset_hold_cycles = 1, // reset pulse length in clock cycles + parameter sdone_delay_cycles = 0, // optional delay from rdone received til sdone sent to next block + parameter rdone_is_edge_sensitive = 0 // default is level sensitive rdone +) +( + // clocks and PLLs + input wire clock, + input wire start, + input tri0 aclr, // active-high asynchronous reset + output wire reset, + input tri1 rdone, // reset done signal + output reg sdone // sequence done for this lego +); + localparam max_precision = 32; // VCS requires this declaration outside the function + function integer ceil_log2; + input [max_precision-1:0] input_num; + integer i; + reg [max_precision-1:0] try_result; + begin + i = 0; + try_result = 1; + while ((try_result << i) < input_num && i < max_precision) + i = i + 1; + ceil_log2 = i; + end + endfunction + + // How many bits are needed for 'reset_hold_cycles' counter? + localparam rhc_bits = ceil_log2(reset_hold_cycles); + localparam rhc_load_constant = (1 << rhc_bits) | (reset_hold_cycles-1); + // How many bits are needed for 'sdone_delay_cycles' counter? + localparam sdc_bits = ceil_log2(sdone_delay_cycles); + localparam sdc_load_constant = (1 << sdc_bits) + | ((rdone_is_edge_sensitive == 1 && sdone_delay_cycles > 1) ? sdone_delay_cycles-2 : sdone_delay_cycles-1); + localparam sdone_stable_cycles = (sdone_delay_cycles > 1 ? sdone_delay_cycles+1 : 0); + + wire spulse; // synchronous detection of 'start' 0-to-1 transition + wire rhold; + wire timed_reset_in_progress; + wire rinit_next; // combinatorial input to rinit DFF + wire rdonei; // internal selector between rdone and rdsave (rdone_is_edge_sensitive==1) + wire rdpulse; // synchronous detection of 'rdone' 0-to-1 transition, when rdone_is_edge_sensitive==1 + + reg zstart = 0; // delayed value of 'start' input, used for detection of 0-to-1 transition + reg rinit = 0; // state bit that indicates sequence is in progress + + initial begin + sdone = 0; // 1 indicates sequence is done + end + + + // 'start' input, detect 0-to-1 transition that triggers sequence + assign spulse = start & ~zstart; + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + zstart <= 0; + else + zstart <= start; + + // rinit state bit, triggered by spulse, waits while rhold = 1 + assign rinit_next = spulse | (rinit & (rhold | ~rdonei | rdpulse)) | timed_reset_in_progress; + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + rinit <= 0; + else + rinit <= rinit_next; + + // optional internal 'rdone' generation logic, if rdone_is_edge_sensitive==1 + generate + if (rdone_is_edge_sensitive == 0) begin + assign rdpulse = 0; + assign rdonei = rdone; + end + else begin + // instantiate synchronous edge-detection logic for rdone + reg zrdone = 0; // for edge-sensitive rdone, detect 0-to-1 transition synchronously + reg rdsave = 0; // for edge-sensitive rdone, use this as internal rdone + always @(posedge clock or posedge aclr) begin + if (aclr == 1'b1) begin + zrdone <= 0; + rdsave <= 0; + end + else begin + zrdone <= rdone; // previous value of rdone for synchronous edge detection + rdsave <= ~spulse & (rdpulse | rdsave); + end + end + assign rdpulse = rdone & ~zrdone; + assign rdonei = rdsave; + end + endgenerate + + // rhold depends on sdone_delay_cycles and rdone_is_edge_sensitive + generate + if (sdone_delay_cycles == 0 || (sdone_delay_cycles == 1 && rdone_is_edge_sensitive == 1)) + assign rhold = ~rdonei; // sdone_delay_cycles=0 + else begin + // declare only when needed to avoid Quartus synthesis warnings + reg [sdc_bits:0] rhold_reg = 0; // for sdone_delay_cycles > 0 + if (sdone_delay_cycles == 1) begin + always @(posedge clock or posedge aclr) begin + if (aclr == 1'b1) + rhold_reg <= 0; + else + rhold_reg <= ~(rinit & rdonei); + end + assign rhold = rhold_reg[0]; // sdone_delay_cycles=1 + end + else begin + // need to count cycles to make sure rdone is stable + always @(posedge clock or posedge aclr) + begin + if (aclr == 1'b1) + rhold_reg <= 0; + else if ((rinit & rdonei & ~rdpulse) == 0) + // keep load value until rinit & rdone both high, and no new rdone pulses + rhold_reg <= sdc_load_constant[sdc_bits:0]; + else + rhold_reg <= rhold_reg - 1'b1; + end + assign rhold = rhold_reg[sdc_bits]; // sdone_delay_cycles > 1 + end + end + endgenerate + + // sdone state bit indicates that reset sequence completed. Clear again on 'start' + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + sdone <= 0; + else + sdone <= ~spulse & (sdone | (rinit & ~rinit_next)); + + // reset pulse generation logic depends on 2 parameters + generate + if (reset_hold_til_rdone == 1) begin + assign reset = rinit; + assign timed_reset_in_progress = 0; + end + else if (reset_hold_cycles < 1) begin // 0 is legal, but catch negative (illegal) values too + assign reset = spulse; + assign timed_reset_in_progress = 0; + end + else begin + // declare only when needed to avoid Quartus synthesis warnings + reg [rhc_bits:0] zspulse = 0; // bits for reset pulse if fixed length + assign timed_reset_in_progress = zspulse[rhc_bits]; + assign reset = zspulse[rhc_bits]; + + if (reset_hold_cycles == 1) + // a single-cycle reset pulse needs 1 register + always @(posedge clock or posedge aclr) + if (aclr == 1'b1) + zspulse <= 0; + else + zspulse <= spulse; + else begin + // multi-cycle reset pulse needs a counter + always @(posedge clock or posedge aclr) + begin + if (aclr == 1'b1) + zspulse <= 0; + else if (spulse == 1) + zspulse <= rhc_load_constant[rhc_bits:0]; + else if (zspulse[rhc_bits] == 1) + zspulse <= zspulse - 1'b1; + end + end + end + endgenerate + +// generate +// case (reset_hold_til_rdone) +// 0 : m1 U1 (a, b, c); +// 2 : m2 U1 (a, b, c); +// default : m3 U1 (a, b, c); +// endcase +// endgenerate + + // general assertions + //synopsys translate_off + // vlog/vcs/ncverilog: +define+ALTERA_XCVR_ASSERTIONS +`ifdef ALTERA_XCVR_ASSERTIONS + // when rdone is edge sensitive, last rdone +ve edge triggers sdone +ve edge, + // 'sdone_delay_cycles' later. "##1 1" is an always-true cycle to match $rise(sdone) + sequence rdone_last_edge; + @(posedge clock) $rose(rdone) ##1 !$rose(rdone) [*sdone_delay_cycles] ##1 1; + endsequence + + // when rdone is level sensitive, stable rdone for 'sdone_delay_cycles' consecutive cycles + // triggers sdone +ve edge. "##1 1" is an always-true cycle to match $rise(sdone) + sequence rdone_stable_level; + @(posedge clock) rdone [*(sdone_delay_cycles+1)] ##1 1; + endsequence + +// Most assertions aren't valid when 'aclr' is active +//`define assert_awake(arg) assert property (disable iff (aclr) arg ) + always @(aclr) + if (aclr) $assertkill; + else $asserton; + + generate + always @(posedge clock) begin + // A rising edge on start will result in reset high within 1 clock cycle + assert property ($rose(start & ~aclr) |-> ##[0:1] reset); + // A rising edge on reset will result in sdone low within 1 clock cycle + assert property ($rose(reset) |-> ##[0:1] !sdone); + + // assertions for optional behavior: reset pulse length options + if (reset_hold_til_rdone == 0 && reset_hold_cycles > 1) + // Verify fixed-length reset pulse option + assert property ($rose(reset) |-> reset [*reset_hold_cycles] ##1 !reset) + else $error("Reset pulse length should be %d", reset_hold_cycles); + if (reset_hold_til_rdone == 0 && reset_hold_cycles == 1) + // Verify fixed 1-length reset pulse option + assert property ($rose(reset) |=> !reset); + if (reset_hold_til_rdone == 0 && reset_hold_cycles == 0) + // Verify minimal-length reset pulse option, which mirrors 'start' edge detection + assert property ($rose(start & ~aclr) |-> reset ##1 !reset); + if (reset_hold_til_rdone == 1) begin + // with hold-til-rdone, reset should not deassert until after rdone asserts, then deassert immediately + assert property ($rose(reset) && !rdone |=> $stable(reset) [*0:$] ##1 (reset && rdone) ##1 !reset); + assert property ($rose(reset) && rdone ##1 rdone [*sdone_delay_cycles] |=> !reset); // rdone was already high + //assert property ($rose(reset) && !rdone |-> ##[0:$] rdone ##1 !reset); + end + + // assertions for optional behavior: sdone delay options and rdone edge sensitive option + if (rdone_is_edge_sensitive == 1) + // rdone edge-sensitive option only has an effect when sdone_delay_cycles > 0 + assert property ($rose(sdone) |-> rdone_last_edge.ended); + if (rdone_is_edge_sensitive == 0) + // rdone defaults to level-sensitive + assert property ($rose(sdone) |-> (rdone_stable_level.ended or $past($fell(reset),1))); + end + endgenerate +`endif // ALTERA_XCVR_ASSERTIONS + //synopsys translate_on +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_reset_ctrl_tgx_cdrauto.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_reset_ctrl_tgx_cdrauto.sv new file mode 100644 index 0000000000000000000000000000000000000000..d785de6ee8eb397584ea1833c7e8a7ddf5c3b045 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_reset_ctrl_tgx_cdrauto.sv @@ -0,0 +1,201 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Reset controller for Stratix IV transceivers with RX CDR in auto-lock mode. +// +// Uses alt_reset_ctrl_lego to handle each reset stage, with 3 required for the overall sequence. +// Parameter defaults for pll-powerdown and lock-to-data-auto timers assume 50 MHz system clock +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +(* altera_attribute = "-name MERGE_TX_PLL_DRIVEN_BY_REGISTERS_WITH_SAME_CLEAR ON -to \"alt_reset_ctrl_lego:lego_pll_powerdown|zspulse\" " *) +module alt_reset_ctrl_tgx_cdrauto +#( + parameter sys_clk_in_mhz = 50 // needed for 1us and 4us delay timers +) +( + // User inputs and outputs + input wire clock, + input wire reset_all, + input tri0 reset_tx_digital, + input tri0 reset_rx_digital, + input wire powerdown_all, + output wire tx_ready, + output wire rx_ready, + + // I/O to Stratix IV transceiver control & status + output wire pll_powerdown, // reset TX PLL + output wire tx_digitalreset, // reset TX PCS + output wire rx_analogreset, // reset RX PMA + output wire rx_digitalreset, // reset RX PCS + output wire gxb_powerdown, // powerdown whole quad + input wire pll_is_locked, // TX PLL is locked status + input tri0 tx_cal_busy, // TX channel calibration status + input tri0 rx_cal_busy, // RX channel calibration status + input tri1 rx_is_lockedtodata, // RX CDR PLL is locked to data status + input tri0 manual_mode // 0=Automatically reset RX after loss of rx_is_lockedtodata +); + +localparam clk_in_mhz = +`ifdef QUARTUS__SIMGEN + 2; // simulation-only value +`elsif ALTERA_RESERVED_QIS + sys_clk_in_mhz; // use real counter lengths for normal Quartus synthesis +`else + 2; // simulation-only value +`endif +localparam t_pll_powerdown = clk_in_mhz; // 1 us minimum +localparam t_ltd_auto = clk_in_mhz*4; // 4 us minimum + + +wire pll_is_locked_r; // pll_is_locked resynchronized +wire tx_cal_busy_r; // tx_cal_busy resynchronized +wire rx_cal_busy_r; // rx_cal_busy resynchronized +wire rx_is_lockedtodata_r; // rx_is_lockedtodata resynchronized + +wire sdone_lego_pll_powerdown; // 'sequence done' output of pll_powerdown lego +wire sdone_lego_tx_digitalreset;// 'sequence done' output of tx_digitalreset lego +wire sdone_lego_rx_digitalreset;// 'sequence done' output of rx_digitalreset lego +wire sdone_lego_rx_analogreset; // 'sequence done' output of rx_analogreset lego +wire wire_tx_digital_only_reset;// reset output for TX digital-only +wire wire_rx_digital_only_reset;// reset output for RX digital-only +wire wire_tx_digitalreset; // TX digital full-reset source +wire wire_rx_digitalreset; // RX digital full-reset source +wire wire_rx_digital_retrigger; // Trigger new RX digital sequence after main sequence completes, and lose lock-to-data + +// Resynchronize input signals +alt_xcvr_resync #( + .WIDTH(2) + ) alt_xcvr_resync_inst_0 ( + .clk (clock), + .reset (1'b0), + .d ({pll_is_locked ,rx_is_lockedtodata }), + .q ({pll_is_locked_r,rx_is_lockedtodata_r}) +); + +alt_xcvr_resync #( + .WIDTH(2), + .INIT_VALUE(1) + ) alt_xcvr_resync_inst_1 ( + .clk (clock), + .reset (1'b0), + .d ({rx_cal_busy,tx_cal_busy}), + .q ({rx_cal_busy_r,tx_cal_busy_r}) +); + +// First reset ctrl sequencer lego is for pll_powerdown generation +alt_reset_ctrl_lego #( + .reset_hold_cycles(t_pll_powerdown) // hold pll_powerdown for 1us + ) lego_pll_powerdown ( .clock(clock), + .start(reset_all), // Do not use resynched version of reset_all here + .aclr(powerdown_all), + .reset(pll_powerdown), + .rdone(pll_is_locked_r), + .sdone(sdone_lego_pll_powerdown)); + +// next reset ctrl sequencer lego is for tx_digitalreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1) // hold until rdone arrives for this test case + ) lego_tx_digitalreset ( .clock(clock), + .start(reset_all), + .aclr(powerdown_all), + .reset(wire_tx_digitalreset), + .rdone(sdone_lego_pll_powerdown & ~tx_cal_busy_r), + .sdone(sdone_lego_tx_digitalreset)); + +// next reset ctrl sequencer lego is for rx_analogreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1), // hold until rdone arrives for this test case + .sdone_delay_cycles(2) // hold rx_analogreset 2 parallel_clock cycles after offset cancellation done + ) lego_rx_analogreset ( .clock(clock), + .start(reset_all), + .aclr(powerdown_all), + .reset(rx_analogreset), + .rdone(sdone_lego_tx_digitalreset & ~rx_cal_busy_r), + .sdone(sdone_lego_rx_analogreset)); + +// last reset ctrl sequencer lego is for rx_digitalreset generation +alt_reset_ctrl_lego #( + .reset_hold_til_rdone(1), // hold until rdone arrives for this test case + .sdone_delay_cycles(t_ltd_auto) // hold rx_digitalreset for 4us + ) lego_rx_digitalreset ( .clock(clock), + .start(~manual_mode & reset_all | wire_rx_digital_retrigger), + .aclr(powerdown_all), + .reset(wire_rx_digitalreset), + .rdone(sdone_lego_rx_analogreset & rx_is_lockedtodata_r), + .sdone(sdone_lego_rx_digitalreset)); + +//////////// digital-only reset //////////// +// separate reset ctrl sequencer lego for digital-only reset generation +alt_reset_ctrl_lego #( + .reset_hold_cycles(3) // hold 2 parallel clock cycles (assumes sysclk slower or same freq as parallel clock) + ) lego_tx_digitalonly ( .clock(clock), + .start(reset_tx_digital | reset_all), + .aclr(powerdown_all), + .reset(wire_tx_digital_only_reset), + .rdone(sdone_lego_tx_digitalreset), + .sdone(tx_ready)); // TX status indicator for user + +alt_reset_ctrl_lego #( + .reset_hold_cycles(3) // hold 2 parallel clock cycles (assumes sysclk slower or same freq as parallel clock) + ) lego_rx_digitalonly ( .clock(clock), + .start(reset_rx_digital | (reset_all & ~manual_mode) | wire_rx_digital_retrigger), + .aclr(powerdown_all), + .reset(wire_rx_digital_only_reset), + .rdone(sdone_lego_rx_digitalreset), + .sdone(rx_ready)); // RX status indicator for user + +// digital resets have 2 possible sources: full-reset or digital-only +assign tx_digitalreset = wire_tx_digitalreset | wire_tx_digital_only_reset; +assign rx_digitalreset = wire_rx_digitalreset | wire_rx_digital_only_reset; + +// re-trigger RX digital sequence when main sequence is complete (indicated by sdone_lego_rx_digitalreset) +// not manual mode, and lose lock-to-data +assign wire_rx_digital_retrigger = ~manual_mode & sdone_lego_rx_digitalreset & ~rx_is_lockedtodata_r; + +// Quad power-down +assign gxb_powerdown = powerdown_all; + + +//////////////////////// +// general assertions +//synopsys translate_off +// vlog/vcs/ncverilog: +define+ALTERA_XCVR_ASSERTIONS +`ifdef ALTERA_XCVR_ASSERTIONS +always @(posedge clock) begin + // reset_all starts by triggering CMU PLL powerdown + assert property ($rose(reset_all) |=> $rose(pll_powerdown)); + // While CMU PLL powerdown is asserted, all other resets must be asserted + assert property (pll_powerdown |-> (tx_digitalreset & rx_analogreset & rx_digitalreset)); + // While rx_analogreset is asserted, rx_digitalreset must be asserted + assert property (rx_analogreset |-> rx_digitalreset); + // When pll_is_locked is asserted, tx_digitalreset must be deasserted + assert property ($rose(pll_is_locked_r) |-> ##[0:2] !tx_digitalreset); + // During a reset, rx_digitalreset should remain high for t_ltd_auto after rx_is_lockedtodata rising edge + assert property ($rose(rx_is_lockedtodata_r) & ~manual_mode|-> rx_digitalreset [*(t_ltd_auto+1)] ##1 !rx_digitalreset); + assert property ($rose(rx_is_lockedtodata_r) & manual_mode|->!rx_digitalreset); + // reset_tx_digital results in only a brief pulse on tx_digitalreset + assert property ($rose(reset_tx_digital) |=> tx_digitalreset [*3] ); + assert property ($rose(reset_tx_digital) & tx_ready |=> tx_digitalreset [*3] ##1 ~tx_digitalreset ##1 $rose(tx_ready) ); + // reset_rx_digital results in only a brief pulse on rx_digitalreset + assert property ($rose(reset_rx_digital) |=> rx_digitalreset [*3] ); + assert property ($rose(reset_rx_digital) & rx_ready |=> rx_digitalreset [*3] ##1 ~rx_digitalreset ##1 $rose(rx_ready) ); +end +`endif +//synopsys translate_on + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_arbiter.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_arbiter.sv new file mode 100644 index 0000000000000000000000000000000000000000..5b0e914d4dea0be5e022a29a6df73240a4f4f29e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_arbiter.sv @@ -0,0 +1,64 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Clocked priority encoder with state +// +// On each clock cycle, updates state to show which request is granted. +// Most recent grant holder is always the highest priority. +// If current grant holder is not making a request, while others are, +// then new grant holder is always the requester with lowest bit number. +// If no requests, current grant holder retains grant state + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_arbiter #( + parameter width = 2 +) ( + input wire clock, + input wire [width-1:0] req, // req[n] requests for this cycle + output reg [width-1:0] grant // grant[n] means requester n is grantee in this cycle +); + + wire idle; // idle when no requests + wire [width-1:0] keep; // keep[n] means requester n is requesting, and already has the grant + // Note: current grantee is always highest priority for next grant + wire [width-1:0] take; // take[n] means requester n is requesting, and there are no higher-priority requests + + assign keep = req & grant; // current grantee is always highest priority for next grant + assign idle = ~| req; // idle when no requests + + initial begin + grant = 0; + end + + // grant next state depends on current grant and take priority + always @(posedge clock) begin + grant <= keep // if current grantee is requesting, gets to keep grant + | ({width{idle}} & grant) // if no requests, grant state remains unchanged + | take; // take applies only if current grantee is not requesting + end + + // 'take' bus encodes priority. Request with lowest bit number wins when current grantee not requesting + assign take[0] = req[0] + & (~| (keep & ({width{1'b1}} << 1))); // no 'keep' from lower-priority inputs + genvar i; + generate + for (i=1; i < width; i = i + 1) begin : arb + assign take[i] = req[i] + & (~| (keep & ({width{1'b1}} << (i+1)))) // no 'keep' from lower-priority inputs + & (~| (req & {i{1'b1}})); // no 'req' from higher-priority inputs + end + endgenerate +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_common.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_common.sv new file mode 100644 index 0000000000000000000000000000000000000000..dbe3e5a5fcf1db4a9ce64efc08efa2005e19cbe8 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_common.sv @@ -0,0 +1,209 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// + +`timescale 1 ps / 1 ps + +module alt_xcvr_csr_common #( + parameter lanes = 1, + parameter plls = 1 +) +( + // user data (avalon-MM formatted) + input wire clk, + input tri0 reset, + input wire [7:0] address, + input tri1 read, + output reg [31:0] readdata = 0, + input tri0 write, + input wire [31:0] writedata, + + // transceiver status inputs to this CSR + input wire [plls - 1 : 0] pll_locked, + input wire [lanes - 1 : 0] rx_is_lockedtoref, + input wire [lanes - 1 : 0] rx_is_lockedtodata, + input wire [lanes - 1 : 0] rx_signaldetect, + + // reset controller outputs + input wire reset_controller_tx_ready, + input wire reset_controller_rx_ready, + input wire reset_controller_pll_powerdown, + input wire reset_controller_tx_digitalreset, + input wire reset_controller_rx_analogreset, + input wire reset_controller_rx_digitalreset, + + // read/write control registers + // to reset controller + output reg csr_reset_tx_digital = 0, + output reg csr_reset_rx_digital = 0, + output reg csr_reset_all = 1, // power-up to 1 to trigger auto-init sequence + // to PMA and PCS reset inputs + output wire csr_pll_powerdown, // reset controller or manual + output wire [lanes - 1 : 0] csr_tx_digitalreset, // reset controller or manual + output wire [lanes - 1 : 0] csr_rx_analogreset, // reset controller or manual + output wire [lanes - 1 : 0] csr_rx_digitalreset, // reset controller or manual + // common PMA controls + output reg [lanes - 1 : 0] csr_phy_loopback_serial = 0, + output reg [lanes - 1 : 0] csr_rx_set_locktoref = 0, + output reg [lanes - 1 : 0] csr_rx_set_locktodata = 0 +); + import alt_xcvr_csr_common_h::*; + + localparam sync_stages = 2; // number of sync stages for transceiver status signals + localparam sync_stages_str = "2"; // number of sync stages specified as string (for timing constraints) + integer stage; + + // Parameter strings for embedded timing constraints + localparam CSR_PLLLOCKED_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_pll_locked*[",sync_stages_str,"]*]\""}; + localparam CSR_RXISLOCKED_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_rx_is_locked*[",sync_stages_str,"]*]\""}; + localparam CSR_RXSIGNALDET_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_common*csr_rx_signaldetect*[",sync_stages_str,"]*]\""}; + localparam SDC_CONSTRAINTS = {CSR_PLLLOCKED_CONSTRAINT,";",CSR_RXISLOCKED_CONSTRAINT,";",CSR_RXSIGNALDET_CONSTRAINT}; + + // internal control registers + reg [lanes - 1 : 0] csr_interrupt_ch_bitmask = {lanes{1'b1}}; + // fine reset control. 'OR' with reset controller equivalent signals + reg csr_reset_or_pll_powerdown = 0; // fine reset control + reg csr_reset_or_reset_tx_digital = 0; // fine reset control + reg csr_reset_or_reset_rx_analog = 0; // fine reset control + reg csr_reset_or_reset_rx_digital = 0; // fine reset control + reg [lanes - 1 : 0] csr_reset_ch_bitmask = {lanes{1'b1}}; + + // read-only status registers + // These are synchronized forms of transceiver status signals + // async inputs go to reg [sync_stages], and come out synchronized at reg [1] + (* altera_attribute = SDC_CONSTRAINTS *) // Apply timing constraints (does not matter which node) + reg [plls - 1 : 0] csr_pll_locked [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_is_lockedtoref [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_is_lockedtodata [sync_stages:1]; + reg [lanes - 1 : 0] csr_rx_signaldetect [sync_stages:1]; + + always @(posedge clk or posedge reset) begin + if (reset == 1) begin + readdata <= 0; + csr_interrupt_ch_bitmask <= {lanes{1'b1}}; + + csr_reset_tx_digital <= 0; + csr_reset_rx_digital <= 0; + csr_reset_all <= 1; // reset to 1 to trigger auto-init sequence + csr_reset_ch_bitmask <= {lanes{1'b1}}; + csr_reset_or_pll_powerdown <= 0; // fine reset control + csr_reset_or_reset_tx_digital <= 0; // fine reset control + csr_reset_or_reset_rx_analog <= 0; // fine reset control + csr_reset_or_reset_rx_digital <= 0; // fine reset control + + csr_phy_loopback_serial <= 0; + csr_rx_set_locktoref <= 0; + csr_rx_set_locktodata <= 0; + end + else begin + // decode read & write for each supported address + case (address) + // interrupt control + ADDR_INTERRUPT_CH_BITMASK: begin + readdata <= (32'd0 | csr_interrupt_ch_bitmask); + if (write) csr_interrupt_ch_bitmask <= writedata[lanes-1:0]; + end + + // reset control + ADDR_RESET_CONTROL: begin + // on read, returns two bits: bit0: TX ready, bit1: RX ready + readdata <= (32'd0 | {reset_controller_rx_ready, reset_controller_tx_ready}); + // on write, bit0 is 'reset_tx_digital', bit1 is 'reset_rx_digital', bit2 is 'reset_all' + //if (write) begin + // reset_all write side-effect: when write a '1', force all reset_ch_bitmask bits to '1' + // csr_reset_ch_bitmask <= csr_reset_ch_bitmask | {(lanes){writedata[2]}}; + //end + end + ADDR_RESET_CH_BITMASK: begin + readdata <= (32'd0 | csr_reset_ch_bitmask); + if (write) csr_reset_ch_bitmask <= writedata[lanes-1:0]; + end + + // loopback control + ADDR_PHY_LOOPBACK_SERIAL, + ADDR_PMA_LOOPBACK_SERIAL: begin + readdata <= (32'd0 | csr_phy_loopback_serial); + if (write) csr_phy_loopback_serial <= writedata[lanes-1:0]; + end + + // PMA control and status + ADDR_PMA_RX_SET_LOCKTOREF: begin + readdata <= (32'd0 | csr_rx_set_locktoref); + if (write) csr_rx_set_locktoref <= writedata[lanes-1:0]; + end + ADDR_PMA_RX_SET_LOCKTODATA: begin + readdata <= (32'd0 | csr_rx_set_locktodata); + if (write) csr_rx_set_locktodata <= writedata[lanes-1:0]; + end + // PMA status (read-only) + ADDR_PMA_PLL_IS_LOCKED: readdata <= (32'd0 | csr_pll_locked[1]); + ADDR_PMA_RX_IS_LOCKEDTOREF: readdata <= (32'd0 | csr_rx_is_lockedtoref[1]); + ADDR_PMA_RX_IS_LOCKEDTODATA: readdata <= (32'd0 | csr_rx_is_lockedtodata[1]); + ADDR_PMA_RX_SIGNALDETECT: readdata <= (32'd0 | csr_rx_signaldetect[1]); + + // Fine reset control - device dependent + ADDR_RESET_FINE_CONTROL: begin + // bit 0: 'pll_powerdown', 1: 'reset_tx_digital', 2: 'reset_rx_analog', 3: 'reset_rx_digital' + + readdata <= (32'd0 | {csr_reset_or_reset_rx_digital, csr_reset_or_reset_rx_analog, + csr_reset_or_reset_tx_digital, csr_reset_or_pll_powerdown}); + if (write) begin + csr_reset_or_pll_powerdown <= writedata[0]; // fine reset control + csr_reset_or_reset_tx_digital <= writedata[1]; // fine reset control + csr_reset_or_reset_rx_analog <= writedata[2]; // fine reset control + csr_reset_or_reset_rx_digital <= writedata[3]; // fine reset control + end + end + + + default: readdata <= ~ 32'd0; // use too many LEs? + endcase + + // special handling for registers that must auto-clear on cycle after a write + // reset control. on write, bit0 is 'reset_tx_digital', bit1 is 'reset_rx_digital', bit2 is 'reset_all' + csr_reset_tx_digital <= (address == ADDR_RESET_CONTROL) & write & writedata[0]; + csr_reset_rx_digital <= (address == ADDR_RESET_CONTROL) & write & writedata[1]; + csr_reset_all <= (address == ADDR_RESET_CONTROL) & write & writedata[2]; + + // synchronization registers for status signals from transceivers + csr_pll_locked[sync_stages] <= pll_locked; // input from transceiver + csr_rx_is_lockedtoref[sync_stages] <= rx_is_lockedtoref; + csr_rx_is_lockedtodata[sync_stages] <= rx_is_lockedtodata; + csr_rx_signaldetect[sync_stages] <= rx_signaldetect; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + csr_pll_locked[stage-1] <= csr_pll_locked[stage]; + csr_rx_is_lockedtoref[stage-1] <= csr_rx_is_lockedtoref[stage]; + csr_rx_is_lockedtodata[stage-1] <= csr_rx_is_lockedtodata[stage]; + csr_rx_signaldetect[stage-1] <= csr_rx_signaldetect[stage]; + end + end + end + + // combine reset controller and CSR manual reset control settings + assign csr_pll_powerdown = reset_controller_pll_powerdown; // cut manual PLL reset path + // | csr_reset_or_pll_powerdown; + assign csr_tx_digitalreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_tx_digitalreset | csr_reset_or_reset_tx_digital}}; + assign csr_rx_analogreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_rx_analogreset | csr_reset_or_reset_rx_analog}}; + assign csr_rx_digitalreset = csr_reset_ch_bitmask & {(lanes) + {reset_controller_rx_digitalreset | csr_reset_or_reset_rx_digital}}; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_common_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_common_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..a323c0a2a16722d20880e2fd2d694fe5031fe780 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_common_h.sv @@ -0,0 +1,61 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// +// PACKAGE DECLARATION + +`timescale 1 ps / 1 ps + +package alt_xcvr_csr_common_h; + + localparam alt_xcvr_csr_addr_width = 8; + + // register bitmap --------------------------------------------------------- + // common blocks, interrupt control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_CH_BITMASK = 1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_ENABLE_BITMASK = 2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_INTERRUPT_SOURCE = 3; + + // common blocks, loopback control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PHY_LOOPBACK_SERIAL = 6; + + // common blocks, reset control + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CONTROL_BASE = 64; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CH_BITMASK = ADDR_RESET_CONTROL_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_CONTROL = ADDR_RESET_CONTROL_BASE + 8'd2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_RESET_FINE_CONTROL = ADDR_RESET_CONTROL_BASE + 8'd4; + + // common blocks, PMA common control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_COMMON_BASE = 32; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_PLL_IS_LOCKED = ADDR_PMA_COMMON_BASE + 8'd2; + // common blocks, PMA channel control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_CHANNEL_BASE = 96; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_LOOPBACK_SERIAL = ADDR_PMA_CHANNEL_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SIGNALDETECT = ADDR_PMA_CHANNEL_BASE + 8'd3; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SET_LOCKTODATA = ADDR_PMA_CHANNEL_BASE + 8'd4; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_SET_LOCKTOREF = ADDR_PMA_CHANNEL_BASE + 8'd5; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_IS_LOCKEDTODATA = ADDR_PMA_CHANNEL_BASE + 8'd6; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PMA_RX_IS_LOCKEDTOREF = ADDR_PMA_CHANNEL_BASE + 8'd7; + + // external block for PCS control & status + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS_BASE = 128; // 128-255 + + // First word in every PCS CSR is lane # (or lane group # as appropriate) + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS_LANE_GROUP = ADDR_PCS_BASE + 8'd0; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g.sv new file mode 100644 index 0000000000000000000000000000000000000000..62ddfa2dce74f10cf83c30cd54f72573bbf216be --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g.sv @@ -0,0 +1,350 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module alt_xcvr_csr_pcs8g #( + parameter lanes = 1, + parameter words = 2 // for status bits that are per-word, like 8B10B status +) +( + // user data (avalon-MM formatted) + input wire clk, + input tri0 reset, + input wire [7:0] address, + input tri1 read, + output reg [31:0] readdata = 0, + input tri0 write, + input wire [31:0] writedata, + + input wire rx_clk, // to synchronize rx control outputs + input wire tx_clk, // to synchronize tx control outputs + + // transceiver status inputs to this CSR + input wire [lanes*words - 1 : 0] rx_patterndetect, + input wire [lanes*words - 1 : 0] rx_syncstatus, + input wire [lanes*words - 1 : 0] rx_errdetect, + input wire [lanes*words - 1 : 0] rx_disperr, + input wire [lanes - 1 : 0] rx_phase_comp_fifo_error, + input wire [lanes - 1 : 0] tx_phase_comp_fifo_error, + input wire [lanes*5 - 1: 0] rx_bitslipboundaryselectout, + input wire [lanes - 1 : 0] rlv, + input wire [lanes*words - 1 : 0] rx_a1a2sizeout, + + // read/write control outputs + // PCS controls + output wire [lanes - 1 : 0] csr_tx_invpolarity, + output wire [lanes*5 - 1 : 0] csr_tx_bitslipboundaryselect, + output wire [lanes - 1 : 0] csr_rx_invpolarity, + output wire [lanes - 1 : 0] csr_rx_enapatternalign, + output wire [lanes - 1 : 0] csr_rx_bitreversalenable, + output wire [lanes - 1 : 0] csr_rx_bytereversalenable, + output wire [lanes - 1 : 0] csr_rx_bitslip, + output wire [lanes - 1 : 0] csr_rx_a1a2size +); + import alt_xcvr_csr_common_h::*; + import alt_xcvr_csr_pcs8g_h::*; + + localparam sync_stages = 2; // number of sync stages for transceiver status signals + localparam sync_stages_str = "2"; // number of sync stages specified as string (for timing constraints) + localparam LANE_REGW = 5; + + // Parameter strings for embedded timing constraints + localparam SYNC_RX_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*sync_rx_*[",sync_stages_str,"]*]\""}; + localparam SYNC_TX_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*sync_tx_*[",sync_stages_str,"]*]\""}; + localparam CSR_REG_CONSTRAINT = {"-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_csr_pcs8g*csr_indexed_read_only_reg*sreg[",sync_stages_str,"]*]\""}; + localparam SDC_CONSTRAINTS = {SYNC_RX_CONSTRAINT,";",SYNC_TX_CONSTRAINT,";",CSR_REG_CONSTRAINT}; + + // internal registers + reg [LANE_REGW-1:0] reg_lane_number = 0; // lane or group number for indirection + + //////////////////////////////////////////////////////// + // Read/Write CSR registers with lane indirection + //////////////////////////////////////////////////////// + // Apply false path timing constraints to synchronization registers. (It does not matter as to which node these are applied). + (* altera_attribute = SDC_CONSTRAINTS *) + reg [lanes - 1 : 0] reg_tx_invpolarity = 0; + reg [lanes - 1 : 0] sync_tx_invpolarity [sync_stages:1]; // synchronize to tx_clk + wire [lanes - 1 : 0] write_tx_invpolarity; // indexed write group muxed in + wire [0 : 0] lane_tx_invpolarity; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_tx_invpolarity(.in_narrow(writedata[0]), + .in_wide(reg_tx_invpolarity), .sel(reg_lane_number), + .out_narrow(lane_tx_invpolarity), .out_wide(write_tx_invpolarity)); + + reg [lanes*5 - 1 : 0] reg_tx_bitslipboundaryselect = 0; + reg [lanes*5 - 1 : 0] sync_tx_bitslipboundaryselect [sync_stages:1]; //synchronize to tx_clk + wire [lanes*5 - 1 : 0] write_tx_bitslipboundaryselect; //indexed write group muxed in + wire [4:0] lane_tx_bitslipboundaryselect; //selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(5), .sel_size(LANE_REGW), .init_value(0)) + wmux_tx_bitslipboundaryselect(.in_narrow(writedata[5:1]), + .in_wide(reg_tx_bitslipboundaryselect), .sel(reg_lane_number), + .out_narrow(lane_tx_bitslipboundaryselect), .out_wide(write_tx_bitslipboundaryselect)); + + reg [lanes - 1 : 0] reg_rx_invpolarity = 0; + reg [lanes - 1 : 0] sync_rx_invpolarity [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_invpolarity; // indexed write group muxed in + wire [0 : 0] lane_rx_invpolarity; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_invpolarity(.in_narrow(writedata[0]), + .in_wide(reg_rx_invpolarity), .sel(reg_lane_number), + .out_narrow(lane_rx_invpolarity), .out_wide(write_rx_invpolarity)); + + reg [lanes - 1 : 0] reg_rx_enapatternalign = 0; + reg [lanes - 1 : 0] sync_rx_enapatternalign [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_enapatternalign; // indexed write group muxed in + wire [0 : 0] lane_rx_enapatternalign; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_enapatternalign(.in_narrow(writedata[0]), + .in_wide(reg_rx_enapatternalign), .sel(reg_lane_number), + .out_narrow(lane_rx_enapatternalign), .out_wide(write_rx_enapatternalign)); + + reg [lanes - 1 : 0] reg_rx_bitreversalenable = 0; + reg [lanes - 1 : 0] sync_rx_bitreversalenable [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bitreversalenable; // indexed write group muxed in + wire [0 : 0] lane_rx_bitreversalenable; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bitreversalenable(.in_narrow(writedata[1]), + .in_wide(reg_rx_bitreversalenable), .sel(reg_lane_number), + .out_narrow(lane_rx_bitreversalenable), .out_wide(write_rx_bitreversalenable)); + + reg [lanes - 1 : 0] reg_rx_bytereversalenable = 0; + reg [lanes - 1 : 0] sync_rx_bytereversalenable [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bytereversalenable; // indexed write group muxed in + wire [0 : 0] lane_rx_bytereversalenable; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bytereversalenable(.in_narrow(writedata[2]), + .in_wide(reg_rx_bytereversalenable), .sel(reg_lane_number), + .out_narrow(lane_rx_bytereversalenable), .out_wide(write_rx_bytereversalenable)); + + reg [lanes - 1 : 0] reg_rx_bitslip = 0; + reg [lanes - 1 : 0] sync_rx_bitslip [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_bitslip; // indexed write group muxed in + wire [0 : 0] lane_rx_bitslip; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_bitslip(.in_narrow(writedata[3]), + .in_wide(reg_rx_bitslip), .sel(reg_lane_number), + .out_narrow(lane_rx_bitslip), .out_wide(write_rx_bitslip)); + + reg [lanes - 1 : 0] reg_rx_a1a2size = 0; + reg [lanes - 1 : 0] sync_rx_a1a2size [sync_stages:1]; // synchronize to rx_clk + wire [lanes - 1 : 0] write_rx_a1a2size; // indexed write group muxed in + wire [0 : 0] lane_rx_a1a2size; // selected group indexed for output + csr_indexed_write_mux #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .init_value(0)) + wmux_rx_a1a2size(.in_narrow(writedata[3]), + .in_wide(reg_rx_a1a2size), .sel(reg_lane_number), + .out_narrow(lane_rx_a1a2size), .out_wide(write_rx_a1a2size)); + + //////////////////////////////////////////////////////// + // Read-only CSR registers with lane indirection + //////////////////////////////////////////////////////// + // read-only status registers are synchronized forms of transceiver status signals + // async inputs go to reg [sync_stages], and come out synchronized at reg [1] + //////////////////////////////////////////////////////// + // read selectors (muxes) that index using the indirect lane (group) number + wire [words-1 : 0] lane_rx_patterndetect; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_patterndetect(.clk(clk), .async_in_wide(rx_patterndetect), + .sel(reg_lane_number), .out_narrow(lane_rx_patterndetect)); + + wire [words-1 : 0] lane_rx_syncstatus; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_syncstatus(.clk(clk), .async_in_wide(rx_syncstatus), + .sel(reg_lane_number), .out_narrow(lane_rx_syncstatus)); + + wire [words-1 : 0] lane_rx_errdetect; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_errdetect(.clk(clk), .async_in_wide(rx_errdetect), + .sel(reg_lane_number), .out_narrow(lane_rx_errdetect)); + + wire [words-1 : 0] lane_rx_disperr; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_disperr(.clk(clk), .async_in_wide(rx_disperr), + .sel(reg_lane_number), .out_narrow(lane_rx_disperr)); + + wire [words-1 : 0] lane_rx_a1a2sizeout; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(words), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_a1a2sizeout(.clk(clk), .async_in_wide(rx_a1a2sizeout), + .sel(reg_lane_number), .out_narrow(lane_rx_a1a2sizeout)); + + wire [0 : 0] lane_rx_phase_comp_fifo_error; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_phase_comp_fifo_error(.clk(clk), .async_in_wide(rx_phase_comp_fifo_error), + .sel(reg_lane_number), .out_narrow(lane_rx_phase_comp_fifo_error)); + + wire [4 : 0] lane_rx_bitslipboundaryselectout; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(5), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rx_bitslipboundaryselectout(.clk(clk), .async_in_wide(rx_bitslipboundaryselectout), + .sel(reg_lane_number), .out_narrow(lane_rx_bitslipboundaryselectout)); + + wire [0 : 0] lane_tx_phase_comp_fifo_error; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_tx_phase_comp_fifo_error(.clk(clk), .async_in_wide(tx_phase_comp_fifo_error), + .sel(reg_lane_number), .out_narrow(lane_tx_phase_comp_fifo_error)); + + wire [0 : 0] lane_rlv; + csr_indexed_read_only_reg #(.groups(lanes), .grp_size(1), .sel_size(LANE_REGW), .sync_stages(sync_stages)) + mux_rlv(.clk(clk), .async_in_wide(rlv), + .sel(reg_lane_number), .out_narrow(lane_rlv)); + + + always @(posedge clk or posedge reset) begin + if (reset == 1) begin + readdata <= 0; + reg_lane_number <= 0; + reg_tx_invpolarity <= 0; + reg_tx_bitslipboundaryselect <= 0; + reg_rx_invpolarity <= 0; + reg_rx_enapatternalign <= 0; + reg_rx_bitreversalenable <= 0; + reg_rx_bytereversalenable <= 0; + reg_rx_bitslip <= 0; + reg_rx_a1a2size <= 0; + + // no need to clear synchronization registers, since they do not store state + end + else begin + // decode read & write for each supported address + case (address) + // lane or group number for indirection + ADDR_PCS_LANE_GROUP: begin + readdata <= (32'd0 | reg_lane_number); + if (write) reg_lane_number <= writedata[LANE_REGW-1:0]; + end + + // offset + 1, read-only RX status bits + // bit 0, rx_phase_comp_fifo_error + // bit 5:1, rx_bitslipboundaryselectout + ADDR_PCS8G_RX_STATUS: begin + readdata <= (32'd0 | + {lane_rx_bitslipboundaryselectout, //bit 5-1 + lane_rx_phase_comp_fifo_error}); // bit 0 + end + + // offset + 2, read-only TX status bits + // bit 0, tx_phase_comp_fifo_error + ADDR_PCS8G_TX_STATUS: begin + readdata <= (32'd0 | lane_tx_phase_comp_fifo_error); // bit 0 + end + + // offset + 3, read/write TX control bits + // bit 0, tx_invpolarity + // bit 5:1, tx_bitslipboundaryselect + ADDR_PCS8G_TX_CONTROL: begin + readdata <= (32'd0 | {lane_tx_bitslipboundaryselect, lane_tx_invpolarity}); + if (write) + begin + reg_tx_invpolarity <= write_tx_invpolarity; + reg_tx_bitslipboundaryselect <= write_tx_bitslipboundaryselect; + end + end + + // offset + 4, read/write RX control bits + // bit 0, rx_invpolarity + ADDR_PCS8G_RX_CONTROL: begin + readdata <= (32'd0 | lane_rx_invpolarity); + if (write) reg_rx_invpolarity <= write_rx_invpolarity; + end + + // offset + 5, read/write RX WA control bits + // bit 0, rx_enapatternalign + // bit 1, rx_bitreversalenable + // bit 2, rx_bytereversalenable + // bit 3, rx_bitslip + // bit 4, rx_a1a2size + ADDR_PCS8G_RX_WA_CONTROL: begin + readdata <= (32'd0 | { lane_rx_a1a2size, // bit 4 + lane_rx_bitslip, // bit 3 + lane_rx_bytereversalenable, // bit 2 + lane_rx_bitreversalenable, // bit 1 + lane_rx_enapatternalign}); // bit 0 + if (write) + begin + reg_rx_enapatternalign <= write_rx_enapatternalign; + reg_rx_bitreversalenable <= write_rx_bitreversalenable; + reg_rx_bytereversalenable <= write_rx_bytereversalenable; + reg_rx_bitslip <= write_rx_bitslip; + reg_rx_a1a2size <= write_rx_a1a2size; + end + end + + // offset + 5, read RX WA status bits + // bit 3:0, rx_errdetect + // bit 7:4, rx_syncstatus + // bit 11:8, rx_disperr + // bit 15:12, rx_patterndetect + // bit 16, rlv + // bit 23:20, rx_a1a2sizeout + ADDR_PCS8G_RX_WA_STATUS: begin + readdata <= (32'd0 | {(4'b0 | lane_rx_a1a2sizeout), // bit 23:20 + (4'b0 | lane_rlv), // bit 16 + (4'b0 | lane_rx_patterndetect), // bit 15:12 + (4'b0 | lane_rx_disperr), // bit 11:8 + (4'b0 | lane_rx_syncstatus), // bit 7:4 + (4'b0 | lane_rx_errdetect)}); // bit 3:0 + end + + default: readdata <= ~ 32'd0; // use too many LEs? + endcase + end + end + + // synchronize TX controls to tx_clk before generating output + // sysclk-sync'ed input enters at [sync_stages], and tx_clk-sync'ed output exist at [1] + integer stage; + always @(posedge tx_clk) begin + sync_tx_invpolarity[sync_stages] <= reg_tx_invpolarity; + sync_tx_bitslipboundaryselect[sync_stages] <= reg_tx_bitslipboundaryselect; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sync_tx_invpolarity[stage-1] <= sync_tx_invpolarity[stage]; + sync_tx_bitslipboundaryselect[stage-1] <= sync_tx_bitslipboundaryselect[stage]; + end + end + assign csr_tx_invpolarity = sync_tx_invpolarity[1]; + assign csr_tx_bitslipboundaryselect = sync_tx_bitslipboundaryselect[1]; + + // synchronize RX controls to rx_clk before generating output + // sysclk-sync'ed input enters at [sync_stages], and rx_clk-sync'ed output exist at [1] + always @(posedge rx_clk) begin + sync_rx_invpolarity[sync_stages] <= reg_rx_invpolarity; + sync_rx_enapatternalign[sync_stages] <= reg_rx_enapatternalign; + sync_rx_bitreversalenable[sync_stages] <= reg_rx_bitreversalenable; + sync_rx_bytereversalenable[sync_stages] <= reg_rx_bytereversalenable; + sync_rx_bitslip[sync_stages] <= reg_rx_bitslip; + sync_rx_a1a2size[sync_stages] <= reg_rx_a1a2size; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sync_rx_invpolarity[stage-1] <= sync_rx_invpolarity[stage]; + sync_rx_enapatternalign[stage-1] <= sync_rx_enapatternalign[stage]; + sync_rx_bitreversalenable[stage-1] <= sync_rx_bitreversalenable[stage]; + sync_rx_bytereversalenable[stage-1] <= sync_rx_bytereversalenable[stage]; + sync_rx_bitslip[stage-1] <= sync_rx_bitslip[stage]; + sync_rx_a1a2size[stage-1] <= sync_rx_a1a2size[stage]; + end + end + assign csr_rx_invpolarity = sync_rx_invpolarity[1]; + assign csr_rx_enapatternalign = sync_rx_enapatternalign[1]; + assign csr_rx_bitreversalenable = sync_rx_bitreversalenable[1]; + assign csr_rx_bytereversalenable = sync_rx_bytereversalenable[1]; + assign csr_rx_bitslip = sync_rx_bitslip[1]; + assign csr_rx_a1a2size = sync_rx_a1a2size[1]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..09f65ef3aee3227b1f9f9ba7d3359a300eb092ac --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_pcs8g_h.sv @@ -0,0 +1,33 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common control & status register map for transceiver PHY IP +// Applies to Stratix V-generation basic PHY components +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_xcvr_csr_pcs8g_h; + + import alt_xcvr_csr_common_h::*; + + // 8G PCS, a.k.a. "Standard PCS", control and status bits + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_STATUS = ADDR_PCS_BASE + 8'd1; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_TX_STATUS = ADDR_PCS_BASE + 8'd2; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_TX_CONTROL = ADDR_PCS_BASE + 8'd3; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_CONTROL = ADDR_PCS_BASE + 8'd4; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_WA_CONTROL = ADDR_PCS_BASE + 8'd5; + localparam [alt_xcvr_csr_addr_width-1:0] ADDR_PCS8G_RX_WA_STATUS = ADDR_PCS_BASE + 8'd6; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_selector.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_selector.sv new file mode 100644 index 0000000000000000000000000000000000000000..2e843e4597c8292f41a2d244cf5bb2dae2d70abc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_csr_selector.sv @@ -0,0 +1,116 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Select a sub-group from a wide register +// Useful for indirection indexing +// +// $Header$ +// + +`timescale 1 ns / 1 ns + +module csr_mux #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1 +) +( + input wire [groups*grp_size-1:0] in_wide, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow +); +// lpm_mux #(.lpm_size(groups), .lpm_width(grp_size), .lpm_widths(sel_size)) +// mux (.data(in_wide), .sel(sel), .result(out_narrow)); + wire [grp_size-1:0] in_groups [groups-1:0]; + + // a synthesizable mux, with a parameterized number of inputs + genvar i; + assign in_groups[0] = in_wide[grp_size-1:0] & {grp_size{sel == 0}}; + generate for (i=1; i<groups; i = i+1) begin: mux + assign in_groups[i] = in_groups[i-1] | in_wide[i*grp_size +: grp_size] & {grp_size{sel == i}}; + end + endgenerate + assign out_narrow = in_groups[groups-1]; +endmodule + +// +// write to a sub-group of a wide register +// Useful for indirection indexing on write +// +module csr_indexed_write_mux #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1, + parameter init_value = 0 +) +( + input wire [grp_size-1:0] in_narrow, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow, // for read back to mgmt interface + input wire [groups*grp_size-1:0] in_wide, // full-width control reg state + output wire [groups*grp_size-1:0] out_wide // to write to full-width control reg +); + wire [groups*grp_size-1:0] wire_wide [groups-1:0]; + + // in_narrow is output in the group position indicated by .sel() input + genvar i; + assign wire_wide[0] = (in_wide & {grp_size{sel != 0}}) | (in_narrow & {grp_size{sel == 0}}); + generate for (i=1; i<groups; i = i+1) begin: mux + assign wire_wide[i] = wire_wide[i-1] + | (in_wide & {{grp_size{sel != i}}, {(grp_size*i){1'b0}}}) + | ({in_narrow & {grp_size{sel == i}}, {(grp_size*i){1'b0}}}); + end + endgenerate + assign out_wide = wire_wide[groups-1]; + + // generate out_narrow as ordinary mux of in_wide + csr_mux #(.groups(groups), .grp_size(grp_size), .sel_size(sel_size)) + o_narrow(.in_wide(in_wide), .sel(sel), .out_narrow(out_narrow)); + +endmodule + +// +// read from a sub-group of a wide, async status input +// Creates synchronization logic to sample in local clock domain +// Useful for indirection indexing on read-only status bits +// +module csr_indexed_read_only_reg #( + parameter groups = 2, + parameter grp_size = 1, + parameter sel_size = 1, + parameter sync_stages = 2 +) +( + input wire clk, + input tri0 [sel_size-1:0] sel, + output wire [grp_size-1:0] out_narrow, // for read back to mgmt interface + input wire [groups*grp_size-1:0] async_in_wide // full-width async status inputs +); + // read-only status registers are synchronized forms of async status signals + // async inputs go to sreg [sync_stages], and come out synchronized at sreg [1] + reg [groups*grp_size-1:0] sreg [sync_stages:1]; + integer stage; + always @(posedge clk) begin + sreg[sync_stages] <= async_in_wide; + for (stage=2; stage <= sync_stages; stage = stage + 1) begin + // additional sync stages + sreg[stage-1] <= sreg[stage]; + end + end + + // generate out_narrow as ordinary mux of out_wide + csr_mux #(.groups(groups), .grp_size(grp_size), .sel_size(sel_size)) + o_narrow(.in_wide(sreg[1]), .sel(sel), .out_narrow(out_narrow)); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_m2s.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_m2s.sv new file mode 100644 index 0000000000000000000000000000000000000000..143f8260ab27069cf2eb8fd54be2d08f84f018ec --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_m2s.sv @@ -0,0 +1,61 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Master-to-slave access fabric +// +// Combined with external multi-master arbitration, this block gates +// master read, write, address, and writedata outputs, and generates +// a waitrequest when arbiter indicates access is not granted + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_m2s #( + parameter width_addr = 3, + parameter width_data = 32 +) ( + input wire clock, + output wire req, // request to arbiter for slave access + input wire grant, + + // signals from/to master + input wire m_read, + input wire m_write, + input wire [width_addr-1:0] m_address, + input wire [width_data-1:0] m_writedata, + output wire [width_data-1:0] m_readdata, + output wire m_waitrequest, + + // signals from/to slave + output wire s_read, + output wire s_write, + output wire [width_addr-1:0] s_address, + output wire [width_data-1:0] s_writedata, + input wire [width_data-1:0] s_readdata, + input wire s_waitrequest +); + + // If master is requesting access, generate waitreq until granted + assign req = m_read | m_write; // master access requests + assign m_waitrequest = grant ? s_waitrequest : req; + + // gate outputs to slave with grant signal + assign s_read = m_read & grant; + assign s_write = m_write & grant; + assign s_address = m_address & {width_addr{grant}}; + assign s_writedata = m_writedata & {width_data{grant}}; + + // slave data outputs pass through directly + assign m_readdata = s_readdata; +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec.sv new file mode 100644 index 0000000000000000000000000000000000000000..93ce24e6bb50518c47c242026699fb45dcee975a --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec.sv @@ -0,0 +1,90 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Management interface address decoder for Altera Transceiver PHY +// +// Addresses common case of 2 modules to be stitched together: +// - 'top' PHY channel blocks (includes reset control, CSR, ...) +// - dynamic reconfiguration block + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_mgmt2dec ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [8:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to 'top' csr block + output wire [7:0] topcsr_address, + output wire topcsr_read, + input wire [31:0] topcsr_readdata, + input wire topcsr_waitrequest, + output wire topcsr_write, + + // internal interface to 'top' csr block + output wire [7:0] reconf_address, + output wire reconf_read, + input wire [31:0] reconf_readdata, + input wire reconf_waitrequest, + output wire reconf_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for top.CSR and 1 for reconfig) + localparam dec_count = 2; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + localparam dec_topcsr = 0; // uses 2 128-word address blocks + localparam dec_reconf = 1; // uses 1 128-word address block + + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; + assign r_decode = + (mgmt_address[8:width_swa+1] == dec_topcsr) ? (({dec_count-dec_topcsr{1'b0}} | 1'b1) << dec_topcsr) + : (mgmt_address[8:width_swa] == 2'd2) ? (({dec_count-dec_reconf{1'b0}} | 1'b1) << dec_reconf) + : {dec_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + if (r_decode[dec_topcsr] == 1'b1) begin + mgmt_readdata = topcsr_readdata; + mgmt_waitrequest = topcsr_waitrequest; + end else if (r_decode[dec_reconf] == 1'b1) begin + mgmt_readdata = reconf_readdata; + mgmt_waitrequest = reconf_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to 'top' csr block + assign topcsr_address = mgmt_address[width_swa:0]; // top.csr uses 2 128-word blocks + assign topcsr_read = mgmt_read & r_decode[dec_topcsr]; + assign topcsr_write = mgmt_write & r_decode[dec_topcsr]; + + // internal interface to 'top' csr block + assign reconf_address = mgmt_address[width_swa-1:0]; // reconfig uses 1 128-word block + assign reconf_read = mgmt_read & r_decode[dec_reconf]; + assign reconf_write = mgmt_write & r_decode[dec_reconf]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_phyreconfig.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_phyreconfig.sv new file mode 100644 index 0000000000000000000000000000000000000000..3993651a7280e45e8ed8823d62ce37b8836861c2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_phyreconfig.sv @@ -0,0 +1,110 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: Custom management interface address decoder for Altera +// transciever PHY. Addresses common case of 2 modules to be stitched together: +// - 'top' PHY channel blocks (includes reset control, CSR, ...) +// - dynamic reconfiguration block +// +// Authors: dunnikri 19-Aug-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +//`timescale 1 ns / 1 ns + +module alt_xcvr_mgmt2dec_phyreconfig ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [8:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to xaui phy block + output wire [7:0] sc_phy_address, + output wire sc_phy_read, + input wire [31:0] sc_phy_readdata, + input wire sc_phy_waitrequest, + output wire sc_phy_write, + + // internal interface to reconfig block + output wire [6:0] sc_reconf_address, + output wire sc_reconf_read, + input wire [31:0] sc_reconf_readdata, + input wire sc_reconf_waitrequest, + output wire sc_reconf_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for phy and 1 for reconfig) + localparam dec_count = 2; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + localparam dec_sc_phy = 0; // + localparam dec_reconf = 1; // + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; //1-hot encoding + + //-----------------------Memory Map Reference------------------------- + //-------------------------------------------------------------------- + //Block | Word Address | Byte Address | + //------------------------------------------------------------------- + //PHY Common | 0x0 | 0x0 | + //PMA Controller | 0x20 | 0x80 | + //Reset Controller | 0x40 | 0x100 | + //PMA Channel Controller | 0x60 | 0x180 | + //PCS | 0x80 | 0x200 | + //XCVR Reconfig | 0x100 | 0x400 | + //-------------------------------------------------------------------- + + //Decoding is based on memory map word address + assign r_decode = + (mgmt_address[8:width_swa+1] == dec_sc_phy) ? (({dec_count-dec_sc_phy{1'b0}} | 1'b1) << dec_sc_phy) + : (mgmt_address[8:width_swa] == 2'd2) ? (({dec_count-dec_reconf{1'b0}} | 1'b1) << dec_reconf) + : {dec_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + if (r_decode[dec_sc_phy] == 1'b1) begin + mgmt_readdata = sc_phy_readdata; + mgmt_waitrequest = sc_phy_waitrequest; + end else if (r_decode[dec_reconf] == 1'b1) begin + mgmt_readdata = sc_reconf_readdata; + mgmt_waitrequest = sc_reconf_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to 'top' phy block + assign sc_phy_address = mgmt_address[width_swa:0]; + assign sc_phy_read = mgmt_read & r_decode[dec_sc_phy]; + assign sc_phy_write = mgmt_write & r_decode[dec_sc_phy]; + + // internal interface to 'top' reconfig block + assign sc_reconf_address = mgmt_address[width_swa-1:0]; + assign sc_reconf_read = mgmt_read & r_decode[dec_reconf]; + assign sc_reconf_write = mgmt_write & r_decode[dec_reconf]; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..5720dddc8ee64986827d0db95c96c90eec94cdf3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_mgmt2dec_xaui.sv @@ -0,0 +1,134 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: Custom management interface address decoder for Altera +// transciever PHY. Addresses common case of 3 modules to be stitched together: +// - CSR, Alt_PMA controller, Alt_PMA_Channel controller +// +// Authors: dunnikri 19-Aug-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module alt_xcvr_mgmt2dec_xaui ( + + // user-visible external management interface + input wire mgmt_clk_reset, + input wire mgmt_clk, + + input wire [7:0] mgmt_address, + input wire mgmt_read, + output reg [31:0] mgmt_readdata = ~32'd0, + output reg mgmt_waitrequest = 0, + input wire mgmt_write, + + // internal interface to xaui pma channel controller + output wire [5:0] sc_pma_ch_controller_address, + output wire sc_pma_ch_controller_read, + input wire [31:0] sc_pma_ch_controller_readdata, + input wire sc_pma_ch_controller_waitrequest, + output wire sc_pma_ch_controller_write, + + // internal interface to pma controller block + output wire [1:0] sc_pma_controller_address, + output wire sc_pma_controller_read, + input wire [31:0] sc_pma_controller_readdata, + input wire sc_pma_controller_waitrequest, + output wire sc_pma_controller_write, + + // internal interface to hxaui csr block + output wire [4:0] sc_csr_address, + output wire sc_csr_read, + input wire [31:0] sc_csr_readdata, + input wire sc_csr_waitrequest, + output wire sc_csr_write +); + localparam width_swa = 7; // word address width of interface to slaves (2 for phy and 1 for reconfig) + localparam dec_count = 3; // count of the total number of sub-components that can act + // as slaves to the mgmt interface + + localparam dec_pma_control = 0; + localparam dec_csr = 1; + localparam dec_pma_ch_control = 2; + + //-------------------------------------------------------------------- + //Block | Word Address | Byte Address | + //------------------------------------------------------------------- + //PHY Common | 0x0 | 0x0 | + //PMA Controller | 0x20 | 0x80 | + //Reset Controller | 0x40 | 0x100 | + //PMA Channel Controller | 0x60 | 0x180 | + //PCS | 0x80 | 0x200 | + //XCVR Reconfig | 0x100 | 0x400 | + //------------------------------------------------------------------- + + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [dec_count-1:0] r_decode; //1-hot encoding + + //PMA Controller - 0x20 (0010 0000) + //Reset Controller - 0x40 (0100 0000) + //Channel Controller - 0x60 (0110 0000) + //PCS - 0x80 (1000 0000) + //Consider first 3 MSBs for decoding + /*assign r_decode = + (mgmt_address[7:5] == 3'd1) ? (({dec_count-dec_pma_control{1'b0}} | 1'b1) << dec_pma_control) + : (mgmt_address[7:5] == 3'd4) ? (({dec_count-dec_csr{1'b0}} | 1'b1) << dec_csr) + : (mgmt_address[7:5] == 3'd3) ? (({dec_count-dec_pma_ch_control{1'b0}} | 1'b1) << dec_pma_ch_control) + : {dec_count{1'b0}};*/ + + assign r_decode[0] = (!mgmt_address[7]) & (!mgmt_address[6]) & (mgmt_address[5]); + assign r_decode[1] = (mgmt_address[7]) & (!mgmt_address[6]) & (!mgmt_address[5]); + assign r_decode[2] = (!mgmt_address[7]) & (mgmt_address[6]); + + + always @(*) begin + if (r_decode[dec_pma_ch_control] == 1'b1) begin + mgmt_readdata = sc_pma_ch_controller_readdata; + mgmt_waitrequest = sc_pma_ch_controller_waitrequest; + end else if (r_decode[dec_pma_control] == 1'b1) begin + mgmt_readdata = sc_pma_controller_readdata; + mgmt_waitrequest = sc_pma_controller_waitrequest; + end else if (r_decode[dec_csr] == 1'b1) begin + mgmt_readdata = sc_csr_readdata; + mgmt_waitrequest = sc_csr_waitrequest; + end else begin + mgmt_readdata = -1; + mgmt_waitrequest = 1'b0; + end + end + + // internal interface to alt_pma_ch_control block + assign sc_pma_ch_controller_address = mgmt_address[5:0]; //6 bit address + assign sc_pma_ch_controller_read = mgmt_read & r_decode[dec_pma_ch_control]; + assign sc_pma_ch_controller_write = mgmt_write & r_decode[dec_pma_ch_control]; + + // internal interface to pma_control block + assign sc_pma_controller_address = mgmt_address[1:0]; // 2 bit address + assign sc_pma_controller_read = mgmt_read & r_decode[dec_pma_control]; + assign sc_pma_controller_write = mgmt_write & r_decode[dec_pma_control]; + + // internal interface to csr block + assign sc_csr_address = mgmt_address[4:0]; // 5 bit address + assign sc_csr_read = mgmt_read & r_decode[dec_csr]; + assign sc_csr_write = mgmt_write & r_decode[dec_csr]; +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog.sv new file mode 100644 index 0000000000000000000000000000000000000000..c11f7aa371601873a1d6fc77d0c67623f66bff56 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog.sv @@ -0,0 +1,146 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_analog #( + parameter device_family = "Stratix V" +) +( + +input wire reconfig_clk, // this will be the reconfig clk +input wire reset, + +//avalon MM slave +input wire [2:0] analog_reconfig_address, // MM address +input wire [31:0] analog_reconfig_writedata, +input wire analog_reconfig_write, +input wire analog_reconfig_read, + +//output MM slave +output wire [31:0] analog_reconfig_readdata, // from MM +output wire analog_reconfig_waitrequest, +//output wire analog_reconfig_error, +output wire analog_reconfig_done, + +// input from base_reconfig +input wire analog_reconfig_irq_from_base, +input wire analog_reconfig_waitrequest_from_base, + + +// output to base_reconfig +// Avalon MM Master +output wire [2:0] analog_reconfig_address_base, // 3 bit MM +output wire [31:0] analog_reconfig_writedata_base, +output wire analog_reconfig_write_base, // start write to GXB +output wire analog_reconfig_read_base, // start read from GXB + +// input from base reconfig +input wire [31:0] analog_reconfig_readdata_base, // data from read command + output wire arb_req, + input wire arb_grant +); + +//parameter device_family = "StratixIV"; // or ArriaII + + +generate + //Deepak - added namespace support for CIVGX and AII GX + if((device_family == "Stratix IV") || (device_family == "Arria II") || (device_family == "Cyclone IV GX") || (device_family == "Arria II GX") || (device_family == "Arria II GZ") || (device_family == "HardCopy IV")) + begin + wire [4:0] w_tgx_analog_reconfig_address_base; + alt_xcvr_reconfig_analog_tgx reconfig_analog_tgx( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base) + ); + assign analog_reconfig_address_base = w_tgx_analog_reconfig_address_base[4:2]; + assign arb_req = 1'b0 & arb_grant; // not currently used in S4 architecture + end + else if(device_family == "Stratix V") + begin + alt_xcvr_reconfig_analog_sv reconfig_analog_sv( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base), + .arb_req(arb_req), + .arb_grant(arb_grant) + + ); + end + else if(device_family == "Arria V") + begin + alt_xcvr_reconfig_analog_av reconfig_analog_av( + .reconfig_clk(reconfig_clk), + .reset(reset), + .analog_reconfig_address(analog_reconfig_address), + .analog_reconfig_writedata(analog_reconfig_writedata), + .analog_reconfig_write(analog_reconfig_write), + .analog_reconfig_read(analog_reconfig_read), + .analog_reconfig_readdata(analog_reconfig_readdata), + .analog_reconfig_waitrequest(analog_reconfig_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + .analog_reconfig_irq_from_base(analog_reconfig_irq_from_base), + .analog_reconfig_waitrequest_from_base(analog_reconfig_waitrequest_from_base), + .analog_reconfig_address_base(analog_reconfig_address_base), + .analog_reconfig_writedata_base(analog_reconfig_writedata_base), + .analog_reconfig_write_base(analog_reconfig_write_base), + .analog_reconfig_read_base(analog_reconfig_read_base), + .analog_reconfig_readdata_base(analog_reconfig_readdata_base), + .arb_req(arb_req), + .arb_grant(arb_grant) + + ); + end + else + begin + assign analog_reconfig_readdata = 32'd0; + assign analog_reconfig_waitrequest = 1'd0; + assign analog_reconfig_done = 1'd1; + assign analog_reconfig_address_base = 3'd0; + assign analog_reconfig_writedata_base = 32'd0; + assign analog_reconfig_write_base = 1'd0; + assign analog_reconfig_read_base = 1'd0; + assign arb_req = 1'd0; + end + +endgenerate + + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..b124cab8495ba45a26198a9b5ab25318441e6f01 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_analog_tgx.v @@ -0,0 +1,763 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_analog_tgx ( + +input wire reconfig_clk, // this will be the reconfig clk +input wire reset, + +//avalon MM slave +input wire [2:0] analog_reconfig_address, // MM address +input wire [31:0] analog_reconfig_writedata, +input wire analog_reconfig_write, +input wire analog_reconfig_read, + +//output MM slave +output reg [31:0] analog_reconfig_readdata, // from MM +output wire analog_reconfig_waitrequest, +//output wire analog_reconfig_error, +output reg analog_reconfig_done, + +// input from base_reconfig + input wire analog_reconfig_irq_from_base, + input wire analog_reconfig_waitrequest_from_base, + + +// output to base_reconfig +// Avalon MM Master +output wire [4:0] analog_reconfig_address_base, // 3 bit MM +output wire [31:0] analog_reconfig_writedata_base, +output wire analog_reconfig_write_base, // start write to GXB +output wire analog_reconfig_read_base, // start read from GXB + +// input from base reconfig +input wire [31:0] analog_reconfig_readdata_base // data from read command +); + +parameter device_family = "Stratix IV"; // or ArriaII + +// new memory map -- register indirection +//---------------------------------------------- +// address data[15:0] +// 0 wr/rd logical_channel_address +// 1 rd physical_chnl +// 2 wr/rd control (write, read) / status (error, datavalid, busy) +// 3 wr/rd addr_offset +// 4 wr/rd data +// +// addr_offset ( upto 16 bits) +// 0-15 TX parameters : vod, preemph_0t, preemph_1t, preemph_2t +// 16-31 RX parameters : dcgain, eqctrl + +//local parameters +localparam ADDR_WIDTH = 3; + +localparam IDLE = 4'b0000; +localparam READ_FROM_GXB = 4'b0001; +localparam WRITE_TO_GXB = 4'b0010; +localparam SET_INFO_ON_BASIC = 4'b0011; +localparam WRITE_DONE = 4'b0100; +localparam READ_FROM_BASIC = 4'b0101; +localparam READ_FROM_BASIC_DONE = 4'b0110; +localparam READ_IDLE = 4'b0111; +localparam GET_MUTEX = 4'b1000; +localparam WRITE_CHL_ADDR = 4'b1001; +localparam READ_OR_SET_INFO = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 12'b111111111111; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +//reg [3:0] prev_state; +genvar i; +integer j; +wire [(2**ADDR_WIDTH)-1:0] address_decode; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [4:0] master_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_writedata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] chnl_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] phys_chnl_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg logical_chnl_addr_updated; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] status_reg; +reg [1:0] control_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] data_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg needs_second_access; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [4:0] addr_offset; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg needs_second_write_access; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_read_before_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg have_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_read_phys_chnl; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg do_write_chnl_addr; + +wire mutex_grant; +wire mutex_acq_waitrequest; +wire [31:0] mutex_acq_readdata; +wire accessing_rxeqctrl; +wire accessing_rxdcgain; +wire accessing_vod; +wire accessing_preemph1t; +wire accessing_preemph0t; +wire accessing_preemph2t; + +// Implement waitrequest to match previous implementation +altera_wait_generate wait_gen( + .rst(reset), + .clk(reconfig_clk), + .launch_signal(analog_reconfig_read), + .wait_req(analog_reconfig_waitrequest) +); + +// synopsys translate_off +initial begin + state <= 3'b000; + master_write <= 1'b0; + master_read <= 1'b0; + master_writedata <= 16'b0; + master_addr <= 5'b0; + status_reg <= 2'b0; + control_reg <= 2'b0; + data_reg <= 16'b0; + needs_second_access <= 1'b0; + do_read_before_write <= 1'b0; + addr_offset <= 5'b0; + set_addr <= 1'b0; + needs_second_write_access <= 1'b0; + have_mutex <= 0; + req_and_use_mutex <= 0; + do_read_phys_chnl <= 0; + do_write_chnl_addr <= 0; + logical_chnl_addr_updated <= 0; +end +// synopsys translate_on + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + state <= IDLE; + analog_reconfig_readdata[31:0] <= 32'b0; + analog_reconfig_done <= 1'b0; + status_reg <= 2'b0; + control_reg <= 2'b0; + needs_second_access <= 1'b0; + needs_second_write_access <= 1'b0; + set_addr <= 1'b0; + do_read_before_write <= 1'b0; + data_reg <= 16'b0; + have_mutex <= 0; + req_and_use_mutex <= 0; + do_read_phys_chnl <= 0; + do_write_chnl_addr <= 0; + logical_chnl_addr_updated <= 0; + end + else begin + have_mutex <= mutex_grant; + if (analog_reconfig_read == 1'b1) // allow status read during GX read + begin + if (analog_reconfig_address == 3'b000) // logical channel address + analog_reconfig_readdata <= {{16{1'b0}}, chnl_addr_reg}; + else if (analog_reconfig_address == 3'b001) // physical channel address + analog_reconfig_readdata <= {{20{1'b0}}, phys_chnl_addr_reg}; + else if (analog_reconfig_address == 3'b010) // status + analog_reconfig_readdata <= {{22{1'b0}}, status_reg[1:0], {8{1'b0}}}; + else if (analog_reconfig_address == 3'b011) // TX/RX offset + analog_reconfig_readdata <= {{16{1'b0}}, addr_offset}; + else if (analog_reconfig_address == 3'b100) // previously read data + analog_reconfig_readdata <= {{16{1'b0}}, data_reg}; + else + analog_reconfig_readdata <= {32{1'b0}}; + end + case (state) + IDLE: begin + needs_second_access <= 1'b0; + if (analog_reconfig_address == 3'b0) + begin + if (analog_reconfig_write == 1'b1) + begin + chnl_addr_reg <= {{4{1'b0}},analog_reconfig_writedata[11:0]}; // write logical_ch_addr + logical_chnl_addr_updated <= 1'b1; + end + end + else if (analog_reconfig_write == 1'b1 && analog_reconfig_address == 3'b011) // addr_offset + begin + addr_offset <= analog_reconfig_writedata[4:0]; + end + else if (analog_reconfig_address == 3'b100) // DPRIO write data + begin + if (analog_reconfig_write == 1'b1) + data_reg[15:0] <= analog_reconfig_writedata[15:0]; + end + else if (analog_reconfig_read == 1'b1 && analog_reconfig_address == 3'b001) // physical chnl + begin + if (logical_chnl_addr_updated == 1'b1) // if logical chnl addr was updated from the last time we read the phys addr, go do the read again + begin + status_reg <= {1'b0, 1'b1}; //set busy bit + if (have_mutex) + begin + state <= WRITE_CHL_ADDR; + do_read_phys_chnl <= 1; + end + else begin + state <= READ_IDLE; + req_and_use_mutex <= 1'b1; + do_write_chnl_addr <= 1'b1; + do_read_phys_chnl <= 1; + end + logical_chnl_addr_updated <= 1'b0; + end + end + else if (analog_reconfig_address == 3'b010 && analog_reconfig_write == 1'b1) // control reg bit 1 rd, bit 0 wr + begin + status_reg <= {1'b0, 1'b1}; // set busy bit + control_reg <= analog_reconfig_writedata[1:0]; + if (analog_reconfig_writedata[0] == 1'b1) + do_read_before_write <= 1'b1; + if (have_mutex) + begin + state <= WRITE_CHL_ADDR; + end + else begin + state <= READ_IDLE; + req_and_use_mutex <= 1'b1; + do_write_chnl_addr <= 1'b1; + status_reg <= {1'b0, 1'b1}; // set busy bit + end + end + else if (analog_reconfig_address > 3'b100 && analog_reconfig_write == 1'b1) // + begin + // synopsys translate_off + $display ("Illegal operation to reserved address %h", analog_reconfig_address); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + end + else if (have_mutex == 1'b1) + begin + // holding the mutex in idle state, release it + req_and_use_mutex <= 1'b0; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + end + end + WRITE_CHL_ADDR: begin + master_addr <= 5'b00100; + master_write <= 1'b1; + master_writedata <= chnl_addr_reg; + state <= READ_OR_SET_INFO; + end + READ_OR_SET_INFO: begin + if (analog_reconfig_waitrequest_from_base == 1'b1) + state <= READ_OR_SET_INFO; + else + begin + master_write <= 1'b0; + if (do_read_phys_chnl) + begin + state <= READ_FROM_BASIC; + // do_read_phys_chnl = 0; + end + else begin + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + master_read <= 0; + end + end + end + GET_MUTEX: + begin + if (have_mutex == 1'b1) + begin + if (do_write_chnl_addr == 1'b1) + state <= WRITE_CHL_ADDR; + else + state <= READ_OR_SET_INFO; + end + else + begin + state <= GET_MUTEX; + end + end + READ_FROM_BASIC: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + master_write <= 1'b0; + master_read <= 1'b1; + if (analog_reconfig_irq_from_base == 1'b1) // start read access to data reg. of base_reconfig + begin + master_addr <= 5'b10100; + end + else begin + master_addr <= 5'b01000; + end + state <= READ_IDLE; + end + else + begin + state <= READ_FROM_BASIC; + end + end + READ_IDLE: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + if (have_mutex) + state <= READ_FROM_BASIC_DONE; + else + begin + state <= GET_MUTEX; + end + end + else + begin + state <= READ_IDLE; + end + end + READ_FROM_BASIC_DONE: begin + if (analog_reconfig_waitrequest == 1'b0) + begin + master_read <= 1'b0; + if (do_read_phys_chnl) + begin + do_read_phys_chnl <= 0; + phys_chnl_addr_reg <= mutex_acq_readdata[11:0]; + if (mutex_acq_readdata[11:0] == ILLEGAL_PHYSICAL_CHNL) + begin + //set error bit + status_reg <= {1'b1, 1'b0}; + // error message for sim + //$display(); + //$display(); + end + else begin + // unset busy bit + status_reg <= 2'b0; + end + state <= IDLE; + end + else if (accessing_vod) + begin + + // This is for Stratix IV only + ////////////////////////////////////////////////// + //Port | DPRIO CRAM bit value + //2..0 | 2..0 + ////////////////////////////////////////////////// + //000 | 000 + //001 | 001 + //010 | 010 + //011 | 110 + //100 | 011 + //101 | 111 + //110 | 100 + //111 | 101 + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) // this was a write cycle to begin with + begin + master_writedata[31:16] <= {16{1'b0}}; + master_writedata[15:13] <= {(data_reg[2] & data_reg[1]) | (data_reg[2] & data_reg[0]) | (data_reg[1] & data_reg[0]), data_reg[2] ^ data_reg[1], (~data_reg[1] & data_reg[0]) | (data_reg[2] & data_reg[0]) | (data_reg[2] & ~data_reg[1])}; + master_writedata[12:0] <= mutex_acq_readdata[12:0]; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + data_reg <= {{13{1'b0}}, (mutex_acq_readdata[15] & ~mutex_acq_readdata[14]) | (mutex_acq_readdata[14] & mutex_acq_readdata[13]), (mutex_acq_readdata[15] & ~mutex_acq_readdata[14]) | (mutex_acq_readdata[14] & ~mutex_acq_readdata[13]), (~mutex_acq_readdata[14] & mutex_acq_readdata[13]) | (mutex_acq_readdata[15] & mutex_acq_readdata[14])}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_rxdcgain) + begin + // This is for Stratix IV only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //2..0 | 10..7 + ////////////////////////////////////////////////// + //000 | 0000 + //001 | 0001 + //010 | 0011 + //011 | 0111 + //100 | 1111 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + + // This is for Arria II only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //1..0 | 10..7 + ////////////////////////////////////////////////// + //00 | 0000 + //01 | 0001 + //10 | 0011 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + if ((device_family == "Stratix IV") || (device_family == "HardCopy IV")) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:11], data_reg[2], data_reg[1] & data_reg[0] | data_reg[2], data_reg[2] | data_reg[1], data_reg[2] | data_reg[1] | data_reg[0], mutex_acq_readdata[6:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:11], 1'b0, 1'b0, data_reg[1], data_reg[1] | data_reg[0], mutex_acq_readdata[6:0]}; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if ((device_family == "Stratix IV") || (device_family == "HardCopy IV")) + data_reg <= {{13{1'b0}}, mutex_acq_readdata[10], ~mutex_acq_readdata[10] & mutex_acq_readdata[8], mutex_acq_readdata[10] ^ mutex_acq_readdata[9] ^ mutex_acq_readdata[8] ^ mutex_acq_readdata[7]}; + else + data_reg <= {{14{1'b0}}, mutex_acq_readdata[8], mutex_acq_readdata[7] ^ mutex_acq_readdata[8]}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_rxeqctrl) // encode/decode rx_eqctrl + begin + //////////////////////////////////////// + // Wiz A B C D V + // 3210 EDC BA9 876 543 210 + // 0000 000 000 000 000 000 + // L0 0001 011 000 000 000 111 + // L1 0010 100 000 000 000 000 + // L2 0011 111 000 000 000 000 + // L3 0100 111 000 000 000 111 + // L4 0101 101 101 000 000 000 + // M0 0110 111 111 000 000 000 + // M1 0111 111 111 000 000 100 + // M2 1000 111 111 000 000 111 + // M3 1001 111 111 111 000 001 + // M4 1010 111 111 111 000 100 + // H0 1011 111 111 111 111 000 + // H1 1100 111 111 111 111 001 + // H2 1101 111 111 111 111 100 + // H3 1110 111 111 111 111 110 + // H4 1111 111 111 111 111 111 + //////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + master_writedata[31:16] <= 0; + master_writedata[15] <= mutex_acq_readdata[15]; + if (data_reg[3:0] > 4'b1010) + master_writedata[14:3] <= {12{1'b1}}; + else if (data_reg[3:0] > 4'b0110) + master_writedata[14:3] <= {{3{1'b0}}, {9{1'b1}}}; + else if (data_reg[3:0] > 4'b0011) + master_writedata[14:3] <= {{6{1'b0}}, {6{1'b1}}}; + else if (data_reg[3:0] > 4'b0) + master_writedata[14:3] <= {{9{1'b0}}, {3{1'b1}}}; + else + master_writedata[14:3] <= {12{1'b0}}; + master_writedata[2] <= (data_reg[1] & ~data_reg[0]) | (~data_reg[3] & ~data_reg[2] & data_reg[1]) | (data_reg[2] & ~data_reg[1] & data_reg[0] | (data_reg[3] & data_reg[2] & data_reg[0]) | (data_reg[3] & ~data_reg[2] & ~data_reg[1])); + master_writedata[1] <= ( data_reg[1] & (data_reg[0] ^ (data_reg[2] ^ data_reg[3]))) | (data_reg[3] & data_reg[2] & ~data_reg[1] & ~data_reg[0]); + master_writedata[0] <= (~(data_reg[3] ^ data_reg[2]) & data_reg[1] & data_reg[0]) | (data_reg[2] & data_reg[1] & ~data_reg[0]) | (data_reg[3] & data_reg[1] & ~data_reg[0]) | (data_reg[3] & data_reg[2] & ~data_reg[0]) | (data_reg[3] & ~data_reg[2] & ~data_reg[1] & data_reg[0]); + + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (mutex_acq_readdata[14:12] == 3'b111) // > 10 + data_reg <= {{12{1'b0}}, 1'b1, (mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1]), (mutex_acq_readdata[2] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[0] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[2]), (~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0])}; + else if (mutex_acq_readdata[11:9] == 3'b111) // > 6 only + data_reg <= {{12{1'b0}}, mutex_acq_readdata[2], ~mutex_acq_readdata[2], (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]), (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & mutex_acq_readdata[0])}; + else if (mutex_acq_readdata[8:6] == 3'b111) // > 3 only + data_reg <= {{12{1'b0}}, 1'b0, 1'b1, mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0], mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]}; + else if (mutex_acq_readdata[5:3] == 3'b111) // > 0 only + data_reg <= {{12{1'b0}}, {2{1'b0}}, (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]), (mutex_acq_readdata[2] & mutex_acq_readdata[1] & mutex_acq_readdata[0]) | (~mutex_acq_readdata[2] & ~mutex_acq_readdata[1] & ~mutex_acq_readdata[0]) }; + else + data_reg <= {16{1'b0}}; + + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_preemph1t) + begin + // This is for Arria II only + ////////////////////////////////////////////////// + //Port | DRIO CRAM bit value + //4..0 | 15..11 + ////////////////////////////////////////////////// + //00000 | 00000 + //00001 | 00001 + //00010 | 00101 + //00011 | 01001 + //00100 | 01101 + //00101 | 10001 + //00110 | 10101 + //Others | Assume to be 1's + ///////////////////////////////////////////////// + if (control_reg[0] == 1'b1) + begin + if (device_family == "Stratix IV") + master_writedata <= {{16{1'b0}}, data_reg[4:0], mutex_acq_readdata[10:0]}; + else + master_writedata <= {{16{1'b0}}, data_reg[2] & data_reg[1] ^ data_reg[0], data_reg[2] & ~data_reg[1] & ~data_reg[0] | (data_reg[1] & data_reg[0]), (data_reg[2] | data_reg[1]) & ~data_reg[0], 1'b0, (data_reg[2] | data_reg[1] | data_reg[0]), mutex_acq_readdata[10:0]}; + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (device_family == "Stratix IV") + data_reg <= {{11{1'b0}}, mutex_acq_readdata[15:11]}; + else + data_reg <= {{11{1'b0}}, 1'b0, 1'b0, mutex_acq_readdata[15] | (mutex_acq_readdata[14] & mutex_acq_readdata[13]), mutex_acq_readdata[14] ^ mutex_acq_readdata[13], mutex_acq_readdata[13] ^ mutex_acq_readdata[11]}; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else if (accessing_preemph0t || accessing_preemph2t) + begin + if (control_reg[0] == 1'b1) + begin + if (accessing_preemph0t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:5], ~data_reg[4], mutex_acq_readdata[3:0]}; + end + else begin + if (data_reg[4] == 1'b0) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], 4'b0000 - data_reg[3:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], data_reg[3:0]}; + end + end + else if (accessing_preemph2t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:4], ~data_reg[4], mutex_acq_readdata[2:0]}; + end + else begin + if (data_reg[4] == 1'b0) + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:8], 4'b0000 - data_reg[3:0], mutex_acq_readdata[3:0]}; + else + master_writedata <= {{16{1'b0}}, mutex_acq_readdata[15:8], data_reg[3:0], mutex_acq_readdata[3:0]}; + end + end + master_addr <= 5'b10100; + master_write <= 1'b1; + state <= SET_INFO_ON_BASIC; + set_addr <= 1'b1; + end + else begin + if (needs_second_access) + begin + data_reg <= mutex_acq_readdata[15:0]; + master_write <= 1'b1; // start access for INV bit + master_addr <= 5'b10000; + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; // DPRIO register address + state <= SET_INFO_ON_BASIC; + needs_second_access <= 1'b0; + end + else begin + if (accessing_preemph0t) + begin + if (mutex_acq_readdata[4] == 1'b1) + data_reg <= {{11{1'b0}}, 1'b0, 4'b0000 - data_reg[3:0]}; + else + data_reg <= {{11{1'b0}}, 1'b1, data_reg[3:0]}; + end + else begin + if (mutex_acq_readdata[3] == 1'b1) + data_reg <= {{11{1'b0}}, 1'b0, 4'b0000 - data_reg[7:4]}; + else + data_reg <= {{11{1'b0}}, 1'b1, data_reg[7:4]}; + end + // unset busy + status_reg <= 2'b0; + state <= IDLE; + end + end + end + else begin // dont know what the operation is + analog_reconfig_readdata <= mutex_acq_readdata; + // unset busy bit + status_reg <= 2'b0; + state <= IDLE; + end + end + else + begin + state <= READ_FROM_BASIC_DONE; + end + end + SET_INFO_ON_BASIC: begin + if (set_addr) + begin + master_addr <= 5'b10000; + master_write <= 1'b1; + if (accessing_vod) + begin + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {10{1'b0}}}; + end + else if (accessing_preemph1t) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b01}; + else if (accessing_rxdcgain) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b11, 10'b0}; + else if (accessing_rxeqctrl) + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b11, 10'b0000001011}; + else if (accessing_preemph0t || accessing_preemph2t) + begin + if (needs_second_write_access) + begin + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; + end + else + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b10}; + if (control_reg[1] == 1'b1) // pure read cycle + needs_second_access <= 1'b1; + end + set_addr <= 1'b0; + end + else if (control_reg[1] == 1'b1 || (control_reg[0] == 1'b1 & do_read_before_write)) // read + begin + master_addr <= 5'b01100; + master_write <= 1'b1; + master_writedata <= {{16{1'b0}}, {14{1'b0}}, 2'b10}; // initiate read first + state <= READ_FROM_GXB; + do_read_before_write <= 1'b0; + end + else if (control_reg[0] == 1'b1) // actual write + begin + master_write <= 1'b1; + master_addr <= 5'b01100; + master_writedata <= {{16{1'b0}}, {14{1'b0}}, 2'b01}; // issue write to basic's control_reg to start WRITE + state <= WRITE_TO_GXB; + end + end + READ_FROM_GXB: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + state <= READ_FROM_BASIC; + end + WRITE_TO_GXB: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + begin + //start access to clear interrupt control reg. on slave + master_addr <= 5'b01100; + master_write <= 1'b1; + master_writedata <= {{16{1'b0}}, {13{1'b0}}, 3'b000}; + state <= WRITE_DONE; + end + end + WRITE_DONE: begin + master_write <= 1'b0; + if (analog_reconfig_irq_from_base == 1'b1) + begin + if ((accessing_preemph0t || accessing_preemph2t) & (!needs_second_write_access)) + begin + needs_second_write_access <= 1'b1; + do_read_before_write <= 1'b1; + master_write <= 1'b1; + master_addr <= 5'b10000; + master_writedata <= {{16{1'b0}}, {4{1'b0}}, 2'b10, {8{1'b0}}, 2'b11}; // DPRIO register address for INV + state <= SET_INFO_ON_BASIC; + end + else begin + needs_second_write_access <= 1'b0; + status_reg <= {1'b0, 1'b0}; // unset busy + analog_reconfig_done <= 1'b1; // send INTERRUPT + state <= IDLE; + end + end + end + default:begin + state <= IDLE; + end + endcase + end +// prev_state <= state; +end + +assign accessing_vod = (addr_offset[4:0] == 5'b0); +assign accessing_preemph0t = (addr_offset[4:0] == 5'b00001); +assign accessing_preemph1t = (addr_offset[4:0] == 5'b00010); +assign accessing_preemph2t = (addr_offset[4:0] == 5'b00011); +assign accessing_rxdcgain = (addr_offset[4] == 1'b1 && addr_offset[3:0] == 4'b0000); +assign accessing_rxeqctrl = (addr_offset[4] == 1'b1 && addr_offset[3:0] == 4'b0001); + +//assign analog_reconfig_address_base = master_addr; +//assign analog_reconfig_write_base = master_write; +//assign analog_reconfig_read_base = master_read; +//assign analog_reconfig_writedata_base = master_writedata; + + + alt_mutex_acq #( + .mutex_wait_time(101), + .addr_width(5), + .data_width(32) + ) + mutex_inst ( + .clk(reconfig_clk), + .reset(reset), + // inputs to the base that should be routed through the mutex + .address(master_addr), + .writedata(master_writedata), + .write(master_write), + .read(master_read), + // output from the mutex which is processed form of output from base + .waitrequest(mutex_acq_waitrequest), + .readdata(mutex_acq_readdata), + + + // outputs from mutex to be routed to the base + .master_address(analog_reconfig_address_base), + .master_writedata(analog_reconfig_writedata_base), + .master_write(analog_reconfig_write_base), + .master_read(analog_reconfig_read_base), + + // these ports are from the base routed to the mutex + .master_waitrequest(analog_reconfig_waitrequest_from_base), + .master_readdata(analog_reconfig_readdata_base), // from MM + + //request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), + // output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) + ); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_basic_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_basic_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..2c4f79b384db04a1ab6911dc33951886c61d0f08 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_basic_tgx.v @@ -0,0 +1,367 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +(* ALTERA_ATTRIBUTE = {"{-to address_pres_reg[11]} DPRIO_CHANNEL_NUM=11;{-to address_pres_reg[10]} DPRIO_CHANNEL_NUM=10;{-to address_pres_reg[9]} DPRIO_CHANNEL_NUM=9;{-to address_pres_reg[8]} DPRIO_CHANNEL_NUM=8;{-to address_pres_reg[7]} DPRIO_CHANNEL_NUM=7;{-to address_pres_reg[6]} DPRIO_CHANNEL_NUM=6;{-to address_pres_reg[5]} DPRIO_CHANNEL_NUM=5;{-to address_pres_reg[4]} DPRIO_CHANNEL_NUM=4;{-to address_pres_reg[3]} DPRIO_CHANNEL_NUM=3;{-to address_pres_reg[2]} DPRIO_CHANNEL_NUM=2;{-to address_pres_reg[1]} DPRIO_CHANNEL_NUM=1;{-to address_pres_reg[0]} DPRIO_CHANNEL_NUM=0; disable_da_rule=\"S102,R102\""} *) +module alt_xcvr_reconfig_basic_tgx +#( parameter number_of_reconfig_interfaces = 1 + ) +( + // avalon clock interface + input wire reconfig_clk, + input wire reset, + + // avalon MM + input wire basic_reconfig_write, + input wire basic_reconfig_read, + input wire [31:0] basic_reconfig_writedata, + input wire [2:0] basic_reconfig_address, // address to MM described below + + output reg [31:0] basic_reconfig_readdata, // reconfig_fromgxb_data + output wire basic_reconfig_waitrequest, + + // avalon MM +// output wire reconfig_write_base, +// output wire reconfig_read_base, +// output wire [31:0] reconfig_writedata_base, +// output wire [4:0] reconfig_address_base, // address to MM described below + +// input wire [31:0] reconfig_readdata_base, // reconfig_fromgxb_data +// input wire reconfig_waitrequest_from_base, + + + // avalon ST interface + input wire [number_of_reconfig_interfaces*17 - 1 : 0] basic_reconfig_fromgxb_data, // dprioout, testbus from altgx : (17+4 bits/quad) + input wire [number_of_reconfig_interfaces*8 - 1 : 0] aeq_fromgxb_data, // for ADCE dprio + output wire [3:0] basic_reconfig_togxb_data, // busy, dprioin, dprioload, dpriodisable and aeq to altgx + output reg [number_of_reconfig_interfaces*24 - 1 : 0] aeq_togxb_data, // for ADCE + output reg [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data, + + output reg basic_reconfig_irq // interrupt to Master +); + +//assign reconfig_write_base = 1'b0; +//assign reconfig_read_base = 1'b0; +//assign reconfig_writedata_base = 32'd0; +//assign reconfig_address_base = 5'd0; + + +// Memeory Map for register indirection +// addr wr/rd description +// ------------------------------------------------------ +// 0 wr/rd mutex : bit[0] +// 1 wr/rd logical_ch_addr (10 bits) +// 2 rd physical_chnl_map +// 3 rd/wr status/control -- bit 0 busy/bit 1 read, bit 0 write, bit 3 = absolute addressing +// 4 wr/rd DPRIO addr_offset +// 5 wr/rd DPRIO data +// 6 rd/wr adce_standby, adce_capture, testbussel : bit 7 -- adcedone, bit 6 -- adcetestbus, bits 5,4 -- adce, 3 to 0 -- bussel +// 7 rd/wr offset cancellation busy output to GX bit 0 + +//local parameters +localparam quad_address_width = 9; +localparam IDLE_STATE = 2'b00; +localparam WRITE_STATE = 2'b01; +localparam READ_STATE = 2'b10; + + +(* ALTERA_ATTRIBUTE = {"PRESERVE_REGISTER=ON"} *) +reg [11:0] address_pres_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] logical_channel_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [14:0] dprio_table_addr_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] pma_pcs_id_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg dprio_busy_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] data_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [5:0] testbussel; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] adce_testdata; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [1:0] control_reg; +// mutex +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg mutex_value; +//reg [15:0] mutex_owner; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [10:0] mutex_timeout_cntr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg offset_cancellation_busy; + +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg basic_reconfig_absolute_addressing; + + +wire [quad_address_width-1:0] quad_address; +wire [quad_address_width-1:0] dprio_quad_address; + +wire busy_state; +wire [15:0] dprio_address; +wire dprio_busy; +wire [15:0] dprio_datain; +wire [15:0] dprio_dataout; +wire dprio_dprioout; +wire dprio_rden; +wire dprio_pulse; +wire rd_pulse; +wire read_done; +wire read_word_done; +wire reset_system; +wire dprio_wren; +wire write_done; +wire wr_pulse; +wire read_data_valid; +wire write_word_done; + +integer i,j; + + +assign basic_reconfig_waitrequest = 1'b0; + +// synopsys translate_off +initial +begin + state = 2'b00; + basic_reconfig_irq = 1'b0; + logical_channel_addr_reg = 12'b0; + testbussel = 0; + control_reg = 0; + mutex_value = 0; + mutex_timeout_cntr = 0; + offset_cancellation_busy = 0; + data_reg = 16'h0000; + dprio_table_addr_reg = 15'h0000; + pma_pcs_id_reg = 2'b00; + +end +// synopsys translate_on + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset === 1'b1) + adce_testdata <= 0; + else begin + for (i=0; i<=1; i=i+1) + begin + adce_testdata[i] <= aeq_fromgxb_data[(logical_channel_addr_reg) + i]; + end + end +end + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset === 1'b1) + begin + state <= IDLE_STATE; + basic_reconfig_readdata <= 16'b0; + basic_reconfig_irq <= 1'b0; + testbussel <= 0; + control_reg <= 0; + // mutex registers + mutex_value <= 0; + mutex_timeout_cntr <= 0; + end + else begin + // mutex + if (basic_reconfig_address == 3'b0) + begin + //read first only update the mutex_value + if (basic_reconfig_read == 1'b1) + begin + basic_reconfig_readdata <= {{31{1'b0}}, mutex_value}; + // if (basic_reconfig_waitrequest == 1'b0) + if (mutex_value == 1'b0) // mutex is free, so grant access + mutex_value <= 1'b1; + end + else if (basic_reconfig_write == 1'b1) + begin + mutex_value <= 0; + end + end + + case (state) + IDLE_STATE: begin + if (basic_reconfig_write == 1'b1) + begin + mutex_timeout_cntr <= 0; // reset the mutex_timeout counter + if (basic_reconfig_address == 3'b001) + begin + logical_channel_addr_reg <= basic_reconfig_writedata[11:0]; + address_pres_reg <= {basic_reconfig_writedata[10:2], 1'b0, basic_reconfig_writedata[1:0]}; //chestan + end + else if (basic_reconfig_address == 3'b100) + begin + dprio_table_addr_reg <= basic_reconfig_writedata[14:0]; + pma_pcs_id_reg <= basic_reconfig_writedata[11:10]; + end + else if (basic_reconfig_address == 3'b011) // control reg + begin + if (basic_reconfig_writedata[0] == 1'b1) // write + begin + state <= WRITE_STATE; + control_reg <= 2'b01; + end + else if (basic_reconfig_writedata[1] == 1'b1) // read + begin + state <= READ_STATE; + control_reg <= 2'b10; + end + if (basic_reconfig_writedata[2] == 1'b0) begin + basic_reconfig_irq <= 1'b0; // clear WRITE interrupt + end + basic_reconfig_absolute_addressing <= basic_reconfig_writedata[3]; // use the full address from dprio_addr, not the derived address + end + else if (basic_reconfig_address == 3'b101) // data + begin + data_reg <= basic_reconfig_writedata[15:0]; + end + else if (basic_reconfig_address == 3'b110) // testbussel + testbussel <= basic_reconfig_writedata[5:0]; + else if (basic_reconfig_address == 3'b111) + offset_cancellation_busy <= basic_reconfig_writedata[0]; + begin + end + end + else if (basic_reconfig_read == 1'b1) + begin + if (basic_reconfig_address == 3'b001) + basic_reconfig_readdata <= {{20{1'b0}}, logical_channel_addr_reg}; + else if (basic_reconfig_address == 3'b010) // physical chnl + basic_reconfig_readdata <= {{21{1'b0}}, address_pres_reg[11:3], address_pres_reg[1:0]}; // check this + else if (basic_reconfig_address == 3'b011) // status + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + else if (basic_reconfig_address == 3'b100) + basic_reconfig_readdata <= {{20{1'b0}}, pma_pcs_id_reg, dprio_table_addr_reg}; + else if (basic_reconfig_address == 3'b101) // DPRIO readdata + begin + basic_reconfig_readdata <= {{16{1'b0}}, data_reg}; + basic_reconfig_irq <= 1'b0; // clear READ interrupt + end + else if (basic_reconfig_address == 3'b110) + basic_reconfig_readdata <= {{24{1'b0}}, adce_testdata, testbussel}; + else if (basic_reconfig_address == 3'b111) // testbusdata + basic_reconfig_readdata <= {{31{1'b0}}, offset_cancellation_busy}; + if (basic_reconfig_address != 3'b0) // if not reading the mutex val + mutex_timeout_cntr <= 0; // reset the mutex counter + end + else if (mutex_value == 1'b1) // if mutex was granted to someone + if (mutex_timeout_cntr == 10'd1000) // and they didnt do anything for 1000 cycles + begin + mutex_value <= 1'b0; // reset the mutex and give it to the next person + mutex_timeout_cntr <= 0; + end + else + mutex_timeout_cntr <= mutex_timeout_cntr[9:0] + 10'b1; // count up the timer from the last access + end + WRITE_STATE: begin + if (write_done) + begin + state <= IDLE_STATE; + basic_reconfig_irq <= 1'b1; // send interrupt to master + end + // allow status_register read here + if (basic_reconfig_read == 1'b1 && basic_reconfig_address == 3'b011) + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + end + READ_STATE: begin + if (read_word_done == 1'b1) + begin + data_reg <= dprio_dataout; + basic_reconfig_irq <= 1'b1; // send interrupt + state <= IDLE_STATE; + end + // allow status_register read here + if (basic_reconfig_read == 1'b1 && basic_reconfig_address == 3'b011) + basic_reconfig_readdata <= {{23{1'b0}}, busy_state, {5{1'b0}}, basic_reconfig_irq, control_reg}; + end + default: begin + state <= IDLE_STATE; + end + endcase + end +end + +// synopsys translate_off +initial address_pres_reg = 0; +// synopsys translate_on + +assign quad_address = logical_channel_addr_reg[10:2]; +assign dprio_address[15:0] = basic_reconfig_absolute_addressing ? {1'b0, dprio_table_addr_reg[14:0]} : {1'b0, address_pres_reg[2], address_pres_reg[1:0], pma_pcs_id_reg[1:0], dprio_table_addr_reg[9:0]}; +assign dprio_quad_address = address_pres_reg[11:3]; +assign dprio_dprioout = basic_reconfig_fromgxb_data[quad_address * 17]; +assign dprio_datain = data_reg; +assign dprio_rden = rd_pulse; +assign rd_pulse = (state == READ_STATE) & ~dprio_pulse & ~read_done; +assign read_done = read_word_done | reset_system; +assign read_word_done = (state == READ_STATE) & read_data_valid; +assign read_data_valid = (state == READ_STATE) & dprio_pulse; +assign dprio_wren = wr_pulse; +assign wr_pulse = (state == WRITE_STATE) & ~dprio_pulse & ~write_done; +assign write_done = write_word_done | reset_system; +assign write_word_done = (state == WRITE_STATE) & dprio_pulse; +assign reset_system = reset; +assign busy_state = (state == READ_STATE) | (state == WRITE_STATE); + +// synopsys translate_off +initial dprio_busy_reg = 0; +// synopsys translate_on + +always @(posedge reconfig_clk) dprio_busy_reg <= dprio_busy; +assign dprio_pulse = (dprio_busy_reg ^ dprio_busy) & (~dprio_busy); + +alt_dprio inst_alt_dprio (.dpclk(reconfig_clk), + .datain(dprio_datain), + .address(dprio_address), + .wren(dprio_wren), + .rden(dprio_rden), +// .rdinc(), + .wren_data(1'b0), + .dprioout(dprio_dprioout), // reconfig_fromgxb_data[0] + .quad_address(dprio_quad_address), + // outputs + .dprioin(basic_reconfig_togxb_data[0]), + .dpriodisable(basic_reconfig_togxb_data[1]), + .dprioload(basic_reconfig_togxb_data[2]), + .dataout(dprio_dataout), + .busy(dprio_busy) + ); + +//assign testbus_data = (testbussel == 3'b110) ? basic_reconfig_fromgxb_data : 'b0; + +assign basic_reconfig_togxb_data[3] = offset_cancellation_busy; + +always @(basic_reconfig_fromgxb_data) +begin + for (i=0; i<number_of_reconfig_interfaces; i=i+1) + begin + for (j=0; j<= 15; j=j+1) + begin + testbus_data[(i*16) + j] = basic_reconfig_fromgxb_data[(i*17) + j+1]; + end + end +end + +always @(logical_channel_addr_reg or testbussel) +begin + aeq_togxb_data = {number_of_reconfig_interfaces*24{1'b0}}; + for (i=0; i<=5; i=i+1) + begin + aeq_togxb_data[(logical_channel_addr_reg*6) + i] = testbussel[i]; + end +end +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_dfe_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_dfe_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..107fa27aa9d4e7684eaf3ff65891450a40db21ac --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_dfe_tgx.sv @@ -0,0 +1,502 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_dfe_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] dfe_address, // Check this! fix me! + input wire [31:0] dfe_writedata, + input wire dfe_write, + input wire dfe_read, + + //output MM slave + output wire [31:0] dfe_readdata, // from MM + output wire dfe_waitrequest, // from MM + + output reg dfe_irq, + + // input from base_reconfig + input wire dfe_irq_from_base, + input wire dfe_waitrequest_from_base, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] dfe_address_base, // 3 bit MM + output wire [31:0] dfe_writedata_base, + output wire dfe_write_base, // start write to GXB + output wire dfe_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] dfe_readdata_base // data from read command + +); + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; +wire [4:0] dfe_addr_all; // 5 bit MM + +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_dfe instantiation +wire alt_dfe_busy; +wire [15:0] alt_dfe_dprio_dataout; +wire alt_dfe_dprio_wren; +wire alt_dfe_dprio_rden; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_dfe_error; + +wire [15:0] alt_dfe_dprio_addr; +wire [8:0] alt_dfe_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_dfe_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_dfe_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_dfe_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_dfe_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// synopsys translate_off +initial begin + state = 3'b000; + alt_dfe_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + alt_dfe_dprio_datain = 16'h0000; + alt_dfe_dprio_busy = 1'b0; + alt_dfe_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + dfe_irq = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_dfe_dprio_busy_int<= 1'b0; + dfe_irq <= 1'b0; + end + else begin + if(alt_dfe_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + dfe_irq <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_dfe_remap_addr <= 12'h000; + + //alt_dfe will not assert wren and rden at the same time + if((alt_dfe_dprio_wren == 1'b1) || (alt_dfe_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_dfe_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_dfe_quad_addr, alt_dfe_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_dfe_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_dfe_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{20{1'b0}}, alt_dfe_dprio_addr[11:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_dfe_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio + master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_dfe_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(dfe_irq_from_base == 1'b1) + begin + alt_dfe_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_dfe_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(dfe_irq_from_base == 1'b1) + begin + if(alt_dfe_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_dfe_dprio_busy_int <= master_read_data[8]; + alt_dfe_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_dfe_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_dfe_dprio_busy <= 1'b0; + dfe_irq <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(dfe_irq_from_base == 1'b1) + begin + if(alt_dfe_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_dfe_dprio_busy_int <= master_read_data[8]; + alt_dfe_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_dfe_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + dfe_irq <= 1'b1; // read done + alt_dfe_dprio_datain <= master_read_data[15:0]; + alt_dfe_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + +alt_dfe #( + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)), + .avmm_slave_addr_width (3), + .avmm_slave_rdata_width ( 32), + .avmm_slave_wdata_width (32) +)alt_dfe_inst( + .i_resetn (~reset), + .i_avmm_clk (reconfig_clk), + .i_avmm_saddress (dfe_address), + .i_avmm_sread (dfe_read), + .i_avmm_swrite (dfe_write), + .i_avmm_swritedata (dfe_writedata), +//. i_avmm_sbegintransfer, + .o_avmm_sreaddata (dfe_readdata), + .o_avmm_swaitrequest (dfe_waitrequest), + + .i_remap_address (alt_dfe_remap_addr), + .o_quad_address (alt_dfe_quad_addr), + .o_reconfig_busy (alt_dfe_busy), + + .i_dprio_busy (alt_dfe_dprio_busy), + .i_dprio_in (alt_dfe_dprio_datain), + .o_dprio_wren (alt_dfe_dprio_wren), + .o_dprio_rden (alt_dfe_dprio_rden), + .o_dprio_addr (alt_dfe_dprio_addr), + .o_dprio_data (alt_dfe_dprio_dataout) +); +alt_mutex_acq #( + .mutex_wait_time(103), //analog uses 101, offset cancellation uses 102 + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address(dfe_addr_all), + .master_writedata(dfe_writedata_base), + .master_write(dfe_write_base), + .master_read(dfe_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(dfe_waitrequest_from_base), + .master_readdata(dfe_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); +assign dfe_address_base = dfe_addr_all[ 4:2]; +//wire assignment +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_eyemon_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_eyemon_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..cb0a8d147058a8522ece5c1f9b7c0eb17b8ec6bc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_eyemon_tgx.sv @@ -0,0 +1,504 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_eyemon_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] eyemon_address, // Check this! fix me! + input wire [31:0] eyemon_writedata, + input wire eyemon_write, + input wire eyemon_read, + + //output MM slave + output wire [31:0] eyemon_readdata, // from MM + output wire eyemon_waitrequest, // from MM + + output reg eyemon_irq, + + // input from base_reconfig + input wire eyemon_irq_from_base, + input wire eyemon_waitrequest_from_base, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] eyemon_address_base, // 3 bit MM + output wire [31:0] eyemon_writedata_base, + output wire eyemon_write_base, // start write to GXB + output wire eyemon_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] eyemon_readdata_base // data from read command + +); + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; + +wire [4:0] eyemon_address_all; // 5 bit MM +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_eyemon instantiation +wire alt_eyemon_busy; +wire [15:0] alt_eyemon_dprio_dataout; +wire alt_eyemon_dprio_wren; +wire alt_eyemon_dprio_rden; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_eyemon_error; + +wire [15:0] alt_eyemon_dprio_addr; +wire [8:0] alt_eyemon_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_eyemon_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_eyemon_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_eyemon_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_eyemon_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// synopsys translate_off +initial begin + state = 3'b000; + alt_eyemon_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + alt_eyemon_dprio_datain = 16'h0000; + alt_eyemon_dprio_busy = 1'b0; + alt_eyemon_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + eyemon_irq = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_eyemon_dprio_busy_int<= 1'b0; + eyemon_irq <= 1'b0; + end + else begin + if(alt_eyemon_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + eyemon_irq <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_eyemon_remap_addr <= 12'h000; + + //alt_eyemon will not assert wren and rden at the same time + if((alt_eyemon_dprio_wren == 1'b1) || (alt_eyemon_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_eyemon_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_eyemon_quad_addr, alt_eyemon_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_eyemon_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_eyemon_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{20{1'b0}}, alt_eyemon_dprio_addr[11:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_eyemon_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio + master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_eyemon_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(eyemon_irq_from_base == 1'b1) + begin + alt_eyemon_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_eyemon_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(eyemon_irq_from_base == 1'b1) + begin + if(alt_eyemon_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_eyemon_dprio_busy_int <= master_read_data[8]; + alt_eyemon_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_eyemon_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_eyemon_dprio_busy <= 1'b0; + eyemon_irq <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(eyemon_irq_from_base == 1'b1) + begin + if(alt_eyemon_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_eyemon_dprio_busy_int <= master_read_data[8]; + alt_eyemon_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_eyemon_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + eyemon_irq <= 1'b1; // read done + alt_eyemon_dprio_datain <= master_read_data[15:0]; + alt_eyemon_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + +alt_eyemon #( + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)), + .avmm_slave_addr_width (3), + .avmm_slave_rdata_width ( 32), + .avmm_slave_wdata_width (32) +)alt_eyemon_inst( + .i_resetn (~reset), + .i_avmm_clk (reconfig_clk), + .i_avmm_saddress (eyemon_address), + .i_avmm_sread (eyemon_read), + .i_avmm_swrite (eyemon_write), + .i_avmm_swritedata (eyemon_writedata), +//. i_avmm_sbegintransfer, + .o_avmm_sreaddata (eyemon_readdata), + .o_avmm_swaitrequest (eyemon_waitrequest), + + .i_remap_phase (1'b1), // need to figure out best way to control this - for now, always remap - fix me! + .i_remap_address (alt_eyemon_remap_addr), + .o_quad_address (alt_eyemon_quad_addr), + .o_reconfig_busy (alt_eyemon_busy), + + .i_dprio_busy (alt_eyemon_dprio_busy), + .i_dprio_in (alt_eyemon_dprio_datain), + .o_dprio_wren (alt_eyemon_dprio_wren), + .o_dprio_rden (alt_eyemon_dprio_rden), + .o_dprio_addr (alt_eyemon_dprio_addr), + .o_dprio_data (alt_eyemon_dprio_dataout) +); +alt_mutex_acq #( + .mutex_wait_time(103), //analog uses 101, offset cancellation uses 102 + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address({eyemon_address_all}), + .master_writedata(eyemon_writedata_base), + .master_write(eyemon_write_base), + .master_read(eyemon_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(eyemon_waitrequest_from_base), + .master_readdata(eyemon_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); + +//wire assignment +assign eyemon_address_base = eyemon_address_all[4:2]; +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..102856f4162133620df696f7e6ccb75bc2dca7f4 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_h.sv @@ -0,0 +1,266 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Top-level definitions for transceiver reconfig IP +// +// $Header$ +// +// PACKAGE DECLARATION +package alt_xcvr_reconfig_h; + + //////////////////////////////////////////////////////// + // Top-level register map for transceiver reconfig IP + //////////////////////////////////////////////////////// + localparam W_XR_ADDR = 7; // address width on mgmt interface + localparam W_XR_FEATURE_LADDR = 3; // address width of standard feature block, and basic logical interface + typedef bit [W_XR_ADDR -1:0] t_xreconf_addr; + typedef bit [W_XR_FEATURE_LADDR-1:0] t_xr_feature_addr; + + // Feature block indices (used for address decoding for each block) + localparam INDEX_XR_OFFSET = 0; + localparam INDEX_XR_ANALOG = 1; + localparam INDEX_XR_EYEMON = 2; + localparam INDEX_XR_DFE = 3; + localparam INDEX_XR_DIRECT = 4; + localparam INDEX_XR_ADCE = 5; + localparam INDEX_XR_LC = 6; + localparam INDEX_XR_MIF = 7; + localparam INDEX_XR_PLL = 8; + localparam INDEX_XR_DCD = 9; + localparam INDEX_XR_END = 10; // must always mark end of address space + + // Each feature block is allocated an 8-word address range + localparam [W_XR_ADDR-1:0] ADDR_XR_OFFSET_BASE = t_xreconf_addr'(INDEX_XR_OFFSET << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_BASE = t_xreconf_addr'(INDEX_XR_ANALOG << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_BASE = t_xreconf_addr'(INDEX_XR_EYEMON << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_BASE = t_xreconf_addr'(INDEX_XR_DFE << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_BASE = t_xreconf_addr'(INDEX_XR_DIRECT << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_BASE = t_xreconf_addr'(INDEX_XR_ADCE << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_LC_BASE = t_xreconf_addr'(INDEX_XR_LC << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_BASE = t_xreconf_addr'(INDEX_XR_MIF << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_BASE = t_xreconf_addr'(INDEX_XR_PLL << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_BASE = t_xreconf_addr'(INDEX_XR_DCD << W_XR_FEATURE_LADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_END_BASE = t_xreconf_addr'(INDEX_XR_END << W_XR_FEATURE_LADDR); // must always mark end of address space + + localparam [W_XR_FEATURE_LADDR-1:0] XR_STATUS_OFST = t_xr_feature_addr'(2); + localparam XR_STATUS_OFST_COMB_BUSY = 8; + + //////////////////////////////////////////////////////// + // Offset Cancellation block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_OFFSET_STATUS = t_xreconf_addr'(ADDR_XR_OFFSET_BASE + 2); + + + //////////////////////////////////////////////////////// + // Analog block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_LCH = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_PCH = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_STATUS = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_OFFSET = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_ANALOG_DATA = t_xreconf_addr'(ADDR_XR_ANALOG_BASE + 4); + + // Analog internal register offsets + // These are to be written to the analog offset address register, ADDR_XR_ANALOG_OFFSET + localparam XR_ANALOG_OFFSET_VOD = 0; + localparam XR_ANALOG_OFFSET_PREEMPH0T = 1; + localparam XR_ANALOG_OFFSET_PREEMPH1T = 2; + localparam XR_ANALOG_OFFSET_PREEMPH2T = 3; + localparam XR_ANALOG_OFFSET_RXDCGAIN = 16; + localparam XR_ANALOG_OFFSET_RXEQCTRL = 17; + localparam XR_ANALOG_OFFSET_PRECDRLPBK = 32; + localparam XR_ANALOG_OFFSET_POSTCDRLPBK = 33; + + //////////////////////////////////////////////////////// + // DFE block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_LCH = t_xreconf_addr'(ADDR_XR_DFE_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_PCH = t_xreconf_addr'(ADDR_XR_DFE_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_STATUS = t_xreconf_addr'(ADDR_XR_DFE_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_OFFSET = t_xreconf_addr'(ADDR_XR_DFE_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_DFE_DATA = t_xreconf_addr'(ADDR_XR_DFE_BASE + 4); + + // DFE internal register offsets + // These are to be written to the DFE offset address register, ADDR_XR_DFE_OFFSET + localparam XR_DFE_OFFSET_CTRL = 0; + localparam XR_DFE_OFFSET_TAP1 = 1; + localparam XR_DFE_OFFSET_TAP2 = 2; + localparam XR_DFE_OFFSET_TAP3 = 3; + localparam XR_DFE_OFFSET_TAP4 = 4; + localparam XR_DFE_OFFSET_TAP5 = 5; + localparam XR_DFE_OFFSET_REF = 6; + localparam XR_DFE_OFFSET_STEP = 7; + localparam XR_DFE_OFFSET_DFE12 = 18; + localparam XR_DFE_OFFSET_DFE13 = 19; + localparam XR_DFE_OFFSET_DFE14 = 20; + localparam XR_DFE_OFFSET_DFE15 = 21; + localparam XR_DFE_OFFSET_CAL_PLL = 22; + localparam XR_DFE_OFFSET_CAL_TBUS = 23; + localparam XR_DFE_OFFSET_CAL_SAMPL = 24; + //////////////////////////////////////////////////////// + // Eyemon block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_LCH = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_PCH = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_STATUS = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_OFFSET = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_EYEMON_DATA = t_xreconf_addr'(ADDR_XR_EYEMON_BASE + 4); + + // EYEMON internal register offsets + // These are to be written to the EYEMON offset address register, ADDR_XR_EYEMON_OFFSET + localparam XR_EYEMON_OFFSET_CTRL = 0; + localparam XR_EYEMON_OFFSET_HPHASE = 1; + localparam XR_EYEMON_OFFSET_VHEIGHT = 2; + localparam XR_EYEMON_OFFSET_EYEMON16 = 3; + localparam XR_EYEMON_OFFSET_EYEMON17 = 4; + + //////////////////////////////////////////////////////// + // ADCE block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_LCH = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 0); // Logical channel number + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_PCH = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 1); // Physical channel number + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_STATUS = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_OFFSET = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_ADCE_DATA = t_xreconf_addr'(ADDR_XR_ADCE_BASE + 4); + + // ADCE internal register offsets + // These are to be written to the ADCE offset address register, ADDR_XR_ADCE_OFFSET + localparam XR_ADCE_OFFSET_CTRL = 0; + localparam XR_ADCE_OFFSET_RESULTS = 1; // Manual setting equivalent to ADCE results + localparam XR_ADCE_OFFSET_RADCE_ATT_0 = 9; // radce_att[15: 0] + localparam XR_ADCE_OFFSET_RADCE_ATT_1 = 10; // radce_att[31:15] + localparam XR_ADCE_OFFSET_RADCE_ATT_2 = 11; // radce_att[47:32] + localparam XR_ADCE_OFFSET_RADCE_ATT_3 = 12; // radce_att[63:48] + localparam XR_ADCE_OFFSET_RADCE_ATT_4 = 13; // radce_att[79:64] + localparam XR_ADCE_OFFSET_RADCE_ATT_5 = 14; // radce_att[95:80] + localparam XR_ADCE_OFFSET_RADCE_ATT_6 = 15; // radce_att[111:96] + + //////////////////////////////////////////////////////// + // DCD block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_LCH = t_xreconf_addr'(ADDR_XR_DCD_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_PCH = t_xreconf_addr'(ADDR_XR_DCD_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_STATUS = t_xreconf_addr'(ADDR_XR_DCD_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_OFFSET = t_xreconf_addr'(ADDR_XR_DCD_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_DCD_DATA = t_xreconf_addr'(ADDR_XR_DCD_BASE + 4); + + // DCD internal register offsets + // These are to be written to the DFE offset address register, ADDR_XR_DCD_OFFSET + localparam XR_DCD_OFFSET_CTRL = 0; + + //////////////////////////////////////////////////////// + // MIF block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_LCH = t_xreconf_addr'(ADDR_XR_MIF_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_PCH = t_xreconf_addr'(ADDR_XR_MIF_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_STATUS = t_xreconf_addr'(ADDR_XR_MIF_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_OFFSET = t_xreconf_addr'(ADDR_XR_MIF_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_MIF_DATA = t_xreconf_addr'(ADDR_XR_MIF_BASE + 4); + + //////////////////////////////////////////////////////// + // PLL block addresses + //////////////////////////////////////////////////////// + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_LCH = t_xreconf_addr'(ADDR_XR_PLL_BASE + 0); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_PCH = t_xreconf_addr'(ADDR_XR_PLL_BASE + 1); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_STATUS = t_xreconf_addr'(ADDR_XR_PLL_BASE + 2); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_OFFSET = t_xreconf_addr'(ADDR_XR_PLL_BASE + 3); + localparam [W_XR_ADDR-1:0] ADDR_XR_PLL_DATA = t_xreconf_addr'(ADDR_XR_PLL_BASE + 4); + + + + + //////////////////////////////////////////////////////// + // Basic block addresses (internal, private addresses) + //////////////////////////////////////////////////////// + // The 'basic' interface block is the switch that routes requests to + // an appropriate physical reconfiguration interface. A logical channel + // number acts as a channel ID, which allows the basic block to find + // the corresponding physical reconfiguration interface, and a physical + // channel index within a physical interface. + // + // The Basic (B) block features are also available via the direct access block. + // All users of the basic block, including the direct access block, must + // acquire appropriate semaphores before using an interface, and release + // the semaphores when done, to avoid locking out other feature blocks. + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_MUTEX = t_xr_feature_addr'(0); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_LOGICAL_CHANNEL = t_xr_feature_addr'(1); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_PHYSICAL_CHANNEL = t_xr_feature_addr'(2); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_CONTROL = t_xr_feature_addr'(3); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_OFFSET_ADDR = t_xr_feature_addr'(4); + localparam [W_XR_FEATURE_LADDR-1:0] ADDR_XCVR_RECONFIG_BASIC_DATA = t_xr_feature_addr'(5); + + + //////////////////////////////////////////////////////// + // Direct Access & Basic block addresses + //////////////////////////////////////////////////////// + // + // The minimum steps to read & write a reconfiguration word are the following: + // Step 1 - acquire basic arbiter lock (write 1 to ADDR_XR_DIRECT_ARB_ACQ) + // Step 2 - write logical channel number to ADDR_XR_DIRECT_LCH + // Step 3 - acquire channel lock + // 3a - request channel lock (write XR_DIRECT_CONTROL_PHYS_LOCK_SET to ADDR_XR_DIRECT_CONTROL) + // 3b - confirm channel lock (read ADDR_XR_DIRECT_CONTROL, mask with XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_GRANTED) + // -- repeat step 3b until result after applying mask is != 0 + // Step 4 - write channel offset address to ADDR_XR_DIRECT_OFFSET + // Step 5 - read existing value from reconfig space, modify, then write back + // 5a (read cycle part 1) - write XR_DIRECT_CONTROL_RECONF_READ to ADDR_XR_DIRECT_CONTROL + // 5b (read cycle part 2) - read data from ADDR_XR_DIRECT_DATA + // 5c (write cycle part 1) - write modified value to ADDR_XR_DIRECT_DATA + // 5d (write cycle part 2) - write XR_DIRECT_CONTROL_RECONF_WRITE to ADDR_XR_DIRECT_CONTROL + // -- addtional read-modify-write cycles, repeat from step 2 or 4 (can skip step 3a) + // Step 6 - release channel lock (write XR_DIRECT_CONTROL_PHYS_LOCK_CLEAR to ADDR_XR_DIRECT_CONTROL) + // Step 7 - release basic arbiter lock (write 0 to ADDR_XR_DIRECT_ARB_ACQ) + // + // Direct/Basic register bitmap --------------------------------------------------------- + // word addr wr/rd description + // ------------------------------------------------------ + // 0 wr basic arbiter, 1 to request access, 0 to release lock + // 1 wr/rd logical channel number + // 2 rd physical channel number. When lower 3 bits are 3'b111, means ch is not present + // 3 wr/rd status/control -- see XR_DIRECT_CONTROL_* opcodes and XR_DIRECT_STATUS_* bitfield definitions + // 4 wr/rd offset_addr -- for opcode-based reads & writes + // 5 wr/rd data -- for opcode-based reads & writes + // 6 -- reserved + // 7 -- reserved + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_ARB_ACQ = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + 0); // write 1 to request B access, 0 to release + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_LCH = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_LOGICAL_CHANNEL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_PCH = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_PHYSICAL_CHANNEL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_CONTROL = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_CONTROL); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_OFFSET = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_OFFSET_ADDR); + localparam [W_XR_ADDR-1:0] ADDR_XR_DIRECT_DATA = t_xreconf_addr'(ADDR_XR_DIRECT_BASE + ADDR_XCVR_RECONFIG_BASIC_DATA); + + // Opcode values for writes to control word, ADDR_XR_DIRECT_CONTROL + localparam XR_DIRECT_CONTROL_RECONF_WRITE = 32'b0000; // reconfig space: write current DATA to OFFSET addr (as physical addr or ch offset addr) + localparam XR_DIRECT_CONTROL_RECONF_READ = 32'b0001; // reconfig space: read from OFFSET_ADDR, save result in DATA + localparam XR_DIRECT_CONTROL_LADDR_SET = 32'b0010; // interpret OFFSET_ADDR as logical addr, with automatic ch addr offset + localparam XR_DIRECT_CONTROL_PADDR_SET = 32'b0011; // interpret OFFSET_ADDR as physical addr, with no automatic addr offset + localparam XR_DIRECT_CONTROL_PHYS_LOCK_CLEAR = 32'b0100; // clear lock request for current channel + localparam XR_DIRECT_CONTROL_PHYS_LOCK_SET = 32'b0101; // set lock request for current channel + localparam XR_DIRECT_CONTROL_ADDR_AUTO_INCR_CLEAR = 32'b0110; // clear auto-write-and-address-increment mode for data writes + localparam XR_DIRECT_CONTROL_ADDR_AUTO_INCR_SET = 32'b0111; // set auto-write-and-address-increment mode for data writes + localparam XR_DIRECT_CONTROL_INTERNAL_WRITE = 32'b1000; // Internal registers, mainly testbus control + //localparam XR_DIRECT_CONTROL_INTERNAL_READ = 32'b1001; // internal reg space: read from OFFSET_ADDR, save result in DATA + localparam XR_DIRECT_CONTROL_TABLE_READ = 32'b1011; // ROM table lookup, especially for PLL and clock mux remapping + + // Read of control/status reg returns this bitfield data + localparam XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_GRANTED = 32'b0001; // on read, bit 0 is grant status + localparam XR_DIRECT_STATUS_BITMASK_PHYS_LOCK_REQUESTED = 32'b0010; // on read, bit 1 is physical lock request flag + localparam XR_DIRECT_STATUS_BITMASK_USING_PHYS_ADDR = 32'b0100; // on read, bit 2 is physical addr mode indicator (0 mean logical addr) + localparam XR_DIRECT_STATUS_BITMASK_USING_ADDR_AUTO_INCR = 32'b1000; // on read, bit 3 is auto-write-and-addr-incr mode indicator + + // Internal register addresses, for read/write via these opcodes: XR_DIRECT_CONTROL_INTERNAL_* + localparam XR_DIRECT_OFFSET_TESTBUS_SEL = 2'd0; // internal register for testbus sel + + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation.sv new file mode 100644 index 0000000000000000000000000000000000000000..0dd5517bb661acbd542861fa584fe89ae3aa336c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation.sv @@ -0,0 +1,174 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_offset_cancellation +#( + parameter device_family = "StratixV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire [2:0] offset_cancellation_address, // MM address + input wire [31:0] offset_cancellation_writedata, + input wire offset_cancellation_write, + input wire offset_cancellation_read, + + //output MM slave + output wire [31:0] offset_cancellation_readdata, // from MM + + output wire offset_cancellation_done, + + // input from base_reconfig + input wire offset_cancellation_irq_from_base, + input wire offset_cancellation_waitrequest_from_base, + output wire offset_cancellation_waitrequest, + + // output to base_reconfig + // Avalon MM Master + output wire [2:0] offset_cancellation_address_base, // 3 bit MM + output wire [31:0] offset_cancellation_writedata_base, + output wire offset_cancellation_write_base, // start write to GXB + output wire offset_cancellation_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] offset_cancellation_readdata_base, // data from read command + + // Avalon ST + input wire [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data, + + // external connect to switch fabric: request basic access from arbiter + output wire arb_req, + input wire arb_grant + +); + +import altera_xcvr_functions::*; +localparam is_s4 = has_s4_style_hssi(device_family); +localparam is_s5 = has_s5_style_hssi(device_family); +localparam is_a5 = has_a5_style_hssi(device_family); + + +generate + //Deepak - Namespace support for AII GX and CIVGX + if(is_s4) + begin + wire [4:0] w_tgx_offset_cancellation_address_base; + alt_xcvr_reconfig_offset_cancellation_tgx + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_tgx + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(w_tgx_offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data) + ); + assign offset_cancellation_address_base = w_tgx_offset_cancellation_address_base[4:2]; + assign arb_req = 1'b0 & arb_grant; // not currently used in S4 architecture + end + else if (is_s5) + begin + alt_xcvr_reconfig_offset_cancellation_sv + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_sv + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data[7:0]), // testbus data is now provided on a per-channel basis from the 'B' - only need the lower 8 bits + .arb_req(arb_req), + .arb_grant(arb_grant) + ); + end + else if (is_a5) + begin + alt_xcvr_reconfig_offset_cancellation_av + #( + .device_family(device_family), + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) offset_cancellation_av + ( + .reconfig_clk(reconfig_clk), + .reset(reset), + .offset_cancellation_address(offset_cancellation_address), + .offset_cancellation_writedata(offset_cancellation_writedata), + .offset_cancellation_write(offset_cancellation_write), + .offset_cancellation_read(offset_cancellation_read), + .offset_cancellation_readdata(offset_cancellation_readdata), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_irq_from_base(offset_cancellation_irq_from_base), + .offset_cancellation_waitrequest_from_base(offset_cancellation_waitrequest_from_base), + .offset_cancellation_waitrequest(offset_cancellation_waitrequest), + .offset_cancellation_address_base(offset_cancellation_address_base), + .offset_cancellation_writedata_base(offset_cancellation_writedata_base), + .offset_cancellation_write_base(offset_cancellation_write_base), + .offset_cancellation_read_base(offset_cancellation_read_base), + .offset_cancellation_readdata_base(offset_cancellation_readdata_base), + .testbus_data(testbus_data[7:0]), // testbus data is now provided on a per-channel basis from the 'B' - only need the lower 8 bits + .arb_req(arb_req), + .arb_grant(arb_grant) + ); + end + else + begin + assign offset_cancellation_readdata = 32'd0; + assign offset_cancellation_done = 1'd0; + assign offset_cancellation_waitrequest = 1'd0; + assign offset_cancellation_address_base = 3'd0; + assign offset_cancellation_writedata_base = 32'd0; + assign offset_cancellation_write_base = 1'd0; + assign offset_cancellation_read_base = 1'd0; + assign arb_req = 1'd0; + end + + + +endgenerate + +endmodule + + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..01ee1edc16393c8b5f525ce12734b754321f57b7 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation_tgx.v @@ -0,0 +1,581 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module alt_xcvr_reconfig_offset_cancellation_tgx +#( + parameter device_family = "StratixIV", + parameter number_of_reconfig_interfaces = 1 +) +( + input wire reconfig_clk, // this will be the reconfig clk + input wire reset, + + //avalon MM slave + input wire offset_cancellation_address, // MM address + input wire [31:0] offset_cancellation_writedata, + input wire offset_cancellation_write, + input wire offset_cancellation_read, + + //output MM slave + output reg [31:0] offset_cancellation_readdata, // from MM + + output reg offset_cancellation_done, + + // input from base_reconfig + input wire offset_cancellation_irq_from_base, + input wire offset_cancellation_waitrequest_from_base, + + output wire offset_cancellation_waitrequest, + + // output to base_reconfig + // Avalon MM Master + output wire [4:0] offset_cancellation_address_base, // 3 bit MM + output wire [31:0] offset_cancellation_writedata_base, + output wire offset_cancellation_write_base, // start write to GXB + output wire offset_cancellation_read_base, // start read from GXB + + // input from base reconfig + input wire [31:0] offset_cancellation_readdata_base, // data from read command + + // Avalon ST + input wire [number_of_reconfig_interfaces*16 - 1 : 0] testbus_data +); + +/////////////////////////////////////////////////////////////////// +// Memory map | wr/rd | Description +//---------------------------------------------------------------- +// 0 | wr/rd | [31:10] Reserved +// | | [9] Error +// | | [8] Busy +// | | [7:1] Reserved +// | | [0] Start (hidden for QII 9.1) +/////////////////////////////////////////////////////////////////// + +//local parameters +//state +localparam IDLE_STATE = 4'b0000; +localparam LOGICAL_ADDRESS_STATE = 4'b0001; +localparam WRITE_DATA_STATE = 4'b0010; +localparam CONTROL_STATE = 4'b0011; +localparam BUSY_STATE = 4'b0100; +localparam READ_PHY_ADDR_STATE = 4'b0101; +localparam CHECK_PHY_ADDR_STATE = 4'b0110; +localparam ADDRESS_OFFSET_STATE = 4'b0111; +localparam BASE_BUSY_STATE = 4'b1000; +localparam READ_DATA_STATE = 4'b1001; +localparam WRITE_DONE_STATE = 4'b1010; + +localparam ILLEGAL_PHYSICAL_CHNL = 10'b1111111111; + +wire mutex_grant; +wire [31:0] master_read_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [3:0] state; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg write_read_control; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_write; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg master_read; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [31:0] master_write_data; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [2:0] master_address; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg req_and_use_mutex; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg set_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_error_reg; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg wait_phy_addr_ready; + +wire [4:0] master_address_shifted; + +//alt_cal instantiation +wire alt_cal_busy; +wire [15:0] alt_cal_dprio_dataout; +wire alt_cal_dprio_wren; +wire alt_cal_dprio_rden; +wire alt_cal_retain_addr; +wire [(number_of_reconfig_interfaces*4-1) : 0] alt_cal_error; + +wire [number_of_reconfig_interfaces*16 - 1 : 0] alt_cal_testbuses; +wire [15:0] alt_cal_dprio_addr; +wire [8:0] alt_cal_quad_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [11:0] alt_cal_remap_addr; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_dprio_busy; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg alt_cal_dprio_busy_int; +(* ALTERA_ATTRIBUTE = {"POWER_UP_LEVEL=LOW"} *) +reg [15:0] alt_cal_dprio_datain; + +function integer CLogB2; +input integer Depth; +integer i; +begin +i = Depth; +for(CLogB2 = 0; i > 0; CLogB2 = CLogB2 + 1) +i = i >> 1; +end +endfunction + +// Implement waitrequest to match previous implementation +altera_wait_generate wait_gen( + .rst(reset), + .clk(reconfig_clk), + .launch_signal(offset_cancellation_read), + .wait_req(offset_cancellation_waitrequest) +); + +// synopsys translate_off +initial begin + state = 3'b000; + alt_cal_remap_addr = 12'h000; + req_and_use_mutex = 1'b0; + wait_phy_addr_ready = 1'b0; + // alt_cal_testbuses = 0; + alt_cal_dprio_datain = 16'h0000; + alt_cal_dprio_busy = 1'b0; + alt_cal_dprio_busy_int = 1'b0; + + master_write = 1'b0; + master_read = 1'b0; + master_write_data = {32{1'b0}}; + master_address = 3'h0; + + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy = 1'b0; // 1 for assert busy, 0 for deassert busy + + alt_cal_error_reg = 1'b0; + offset_cancellation_done = 1'b0; + +end +// synopsys translate_on + +integer count; + +always @(posedge reconfig_clk or posedge reset) +begin + if (reset) + begin + master_write <= 1'b0; + master_read <= 1'b0; + master_write_data <= {32{1'b0}}; + master_address <= 3'h0; + write_read_control = 1'b0; // 1 for write, 0 for read + set_busy <= 1'b0; + state <= IDLE_STATE; + offset_cancellation_readdata[31:0] <= {32{1'b0}}; + alt_cal_error_reg <= 1'b0; + req_and_use_mutex <= 1'b0; + wait_phy_addr_ready <= 1'b0; + alt_cal_dprio_busy_int<= 1'b0; + offset_cancellation_done <= 1'b0; + end + else begin + if(offset_cancellation_read == 1'b1) + begin + if(offset_cancellation_address == 1'b0) + begin + offset_cancellation_readdata <= {{22{1'b0}}, alt_cal_error_reg, alt_cal_busy , {8{1'b0}}}; + end + end + + for(count=0; count < (number_of_reconfig_interfaces*4); count=count+1) + begin + alt_cal_error_reg = alt_cal_error[count] | alt_cal_error_reg; + end + + if(alt_cal_busy == 1'b0) + req_and_use_mutex <= 1'b0; + else + req_and_use_mutex <= 1'b1; + + case (state) + IDLE_STATE: + begin + offset_cancellation_done <= 1'b0; // reset write/read done flag + //reset the signal + set_busy <= 1'b0; + master_write <= 1'b0; + master_read <= 1'b0; + alt_cal_remap_addr <= 12'h000; + + //al_cal will not assert wren and rden at the same time + if((alt_cal_dprio_wren == 1'b1) || (alt_cal_dprio_rden == 1'b1)) + begin + if(mutex_grant == 1'b1) + begin + if(alt_cal_dprio_wren == 1'b1) + write_read_control <= 1'b1; // 1 for write + else + write_read_control <= 1'b0; // 0 for read + state <= LOGICAL_ADDRESS_STATE; + end + else + state <= IDLE_STATE; + end + else if(alt_cal_retain_addr == 1'b1) + begin + if(mutex_grant == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= IDLE_STATE; + end + else + begin + state <= IDLE_STATE; + end + end + LOGICAL_ADDRESS_STATE: //sending channel and quad address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h1; + master_write_data <= {{21{1'b0}}, alt_cal_quad_addr, alt_cal_dprio_addr[13:12]}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= READ_PHY_ADDR_STATE; + end + else + begin + state <= LOGICAL_ADDRESS_STATE; + end + end + READ_PHY_ADDR_STATE: //read phsical address + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h2; + master_read <= 1'b1; + master_write <= 1'b0; + if (wait_phy_addr_ready == 1'b1) + begin + wait_phy_addr_ready <= 1'b0; + state <= CHECK_PHY_ADDR_STATE; + end + else + begin + wait_phy_addr_ready <= 1'b1; + state <= READ_PHY_ADDR_STATE; + //state <= CHECK_PHY_ADDR_STATE; + end + end + else + begin + state <= READ_PHY_ADDR_STATE; + end + end + CHECK_PHY_ADDR_STATE: //check physical address correct or not + begin + if(mutex_grant == 1'b1) + begin + master_read <= 1'b0; + master_write <= 1'b0; + if (master_read_data[9:0] == ILLEGAL_PHYSICAL_CHNL) + begin + // synopsys translate_off + $display ("Illegal physical address: 10'h%h", master_read_data); + $display ("Time: %0t Instance: %m", $time); + // synopsys translate_on + alt_cal_remap_addr <= 12'hfff; + state <= IDLE_STATE; + end + else + begin + alt_cal_remap_addr <= master_read_data[11:0]; + state <= ADDRESS_OFFSET_STATE; + end + end + else + begin + state <= CHECK_PHY_ADDR_STATE; + end + end + ADDRESS_OFFSET_STATE: // send address offset to alt_dprio + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h4; + master_write_data <= {{17{1'b0}}, alt_cal_dprio_addr[14:0]}; + master_write <= 1'b1; + master_read <= 1'b0; + if(write_read_control == 1'b1) + state <= WRITE_DATA_STATE; + else + state <= CONTROL_STATE; + end + else + begin + state <= ADDRESS_OFFSET_STATE; + end + end + WRITE_DATA_STATE: + begin + master_address <= 3'h5; + master_write_data = {{16{1'b0}}, alt_cal_dprio_dataout}; + master_write <= 1'b1; // send address to alt_dprio + master_read <= 1'b0; + state <= CONTROL_STATE; + end + CONTROL_STATE: // sending write/read command + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h3; // get ready to send control command to alt_dprio +// master_write_data <= {{29{1'b0}}, (~write_read_control), write_read_control}; + master_write_data <= {{27{1'b0}}, 1'b1, 1'b0, (~write_read_control), write_read_control}; // use absolute addressing + master_write <= 1'b1; + master_read <= 1'b0; + state <= BASE_BUSY_STATE; + end + else + begin + state <= CONTROL_STATE; + end + end + BASE_BUSY_STATE: // send busy to reconfig_togxb + begin + if(mutex_grant == 1'b1) + begin + master_address <= 3'h7; // set offset cancellation busy to reconfig_togxb + master_write_data <= {{30{1'b0}}, alt_cal_busy}; + master_write <= 1'b1; + master_read <= 1'b0; + if(set_busy == 1'b0) + begin + set_busy <= 1'b1; + state <= BUSY_STATE; + end + else + begin + set_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= BASE_BUSY_STATE; + end + end + BUSY_STATE: // wait for write done + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + alt_cal_dprio_busy <= 1'b1; + if(write_read_control == 1'b0) //read + begin + master_write <= 1'b0; + master_read <= 1'b0; + state <= READ_DATA_STATE; + end + else + begin + + master_write <= 1'b0; + master_read <= 1'b0; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_cal_dprio_busy <= 1'b1; + state <= BUSY_STATE; + end + end + WRITE_DONE_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + if(alt_cal_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_cal_dprio_busy_int <= master_read_data[8]; + alt_cal_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + else + begin + master_write <= 1'b1; + master_read <= 1'b0; + master_address <= 3'h3; + master_write_data <= {{16{1'b0}}, {16'h0000}}; //acknowledge write operation + alt_cal_dprio_busy <= 1'b1; + state <= WRITE_DONE_STATE; + end + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + alt_cal_dprio_busy <= 1'b0; + offset_cancellation_done <= 1'b1; // write done + state <= IDLE_STATE; + end + end + else + begin + state <= WRITE_DONE_STATE; + end + end + READ_DATA_STATE: + begin + if(mutex_grant == 1'b1) + begin + if(offset_cancellation_irq_from_base == 1'b1) + begin + if(alt_cal_dprio_busy_int == 1'b1) // wait for dprio_busy to go low + begin + master_write <= 1'b0; + master_read <= 1'b1; + master_address <= 3'h3; + alt_cal_dprio_busy_int <= master_read_data[8]; + alt_cal_dprio_busy <= 1'b1; + end + else + begin + master_address <= 3'h5; + master_write <= 1'b0; + master_read <= 1'b1; + alt_cal_dprio_busy <= 1'b1; + end + state <= READ_DATA_STATE; + end + else + begin + master_read <= 1'b0; + master_write <= 1'b0; + offset_cancellation_done <= 1'b1; // read done + alt_cal_dprio_datain <= master_read_data[15:0]; + alt_cal_dprio_busy <= 1'b0; + state <= IDLE_STATE; + end + end + else + begin + state <= READ_DATA_STATE; + end + end + default: + begin + state <= IDLE_STATE; + end + endcase + end +end + + + +generate + +//Deepak Use alt_cal_mm for SIV and AIIGX +if((device_family=="Stratix IV") || (device_family=="Arria II GX") || (device_family=="Arria II GZ") || (device_family=="HardCopy IV")) +begin + alt_cal_mm #( + .number_of_channels (number_of_reconfig_interfaces*4), + .channel_address_width (CLogB2(number_of_reconfig_interfaces*4)) + )alt_cal_inst + ( + .clock(reconfig_clk), + .reset(reset), + .start(), + .busy(alt_cal_busy), + .dprio_addr(alt_cal_dprio_addr), // only extract the logical channel address + .quad_addr(alt_cal_quad_addr), + .dprio_dataout(alt_cal_dprio_dataout), + .dprio_datain(alt_cal_dprio_datain), + .dprio_wren(alt_cal_dprio_wren), + .dprio_rden(alt_cal_dprio_rden), + .dprio_busy(alt_cal_dprio_busy), + .retain_addr(alt_cal_retain_addr), + .remap_addr(alt_cal_remap_addr), + .testbuses(alt_cal_testbuses), + .cal_error(alt_cal_error) + ); +end +//Deepak: Use alt_cal_c3gxb for Cyclone IV GX +if(device_family=="Cyclone IV GX") +begin + alt_cal_c3gxb alt_cal_inst + ( + .clock(reconfig_clk), + .reset(reset), + .start(), + .busy(alt_cal_busy), + .dprio_addr(alt_cal_dprio_addr), // only extract the logical channel address + .quad_addr(alt_cal_quad_addr), + .dprio_dataout(alt_cal_dprio_dataout), + .dprio_datain(alt_cal_dprio_datain), + .dprio_wren(alt_cal_dprio_wren), + .dprio_rden(alt_cal_dprio_rden), + .dprio_busy(alt_cal_dprio_busy), + .retain_addr(alt_cal_retain_addr), + .remap_addr(alt_cal_remap_addr), + .testbuses(alt_cal_testbuses), + .cal_error(alt_cal_error) + ); +defparam + alt_cal_inst.number_of_channels = number_of_reconfig_interfaces*4, + alt_cal_inst.channel_address_width = CLogB2(number_of_reconfig_interfaces*4); +end +endgenerate + +alt_mutex_acq #( + .mutex_wait_time(102), ///Thia: pick a different mutex wait time to avoid collision. Say 102. (since analog is already using 101) + .addr_width(5), + .data_width(32) +) +mutex_inst ( + .clk(reconfig_clk), + .reset(reset), +// inputs to the base that should be routed through the mutex + .address(master_address_shifted), + .writedata(master_write_data), + .write(master_write), + .read(master_read), +// output from the mutex which is processed form of output from base + .waitrequest(), + .readdata(master_read_data), + +// outputs from mutex to be routed to the base + .master_address(offset_cancellation_address_base), + .master_writedata(offset_cancellation_writedata_base), + .master_write(offset_cancellation_write_base), + .master_read(offset_cancellation_read_base), + +// these ports are from the base routed to the mutex + .master_waitrequest(offset_cancellation_waitrequest_from_base), + .master_readdata(offset_cancellation_readdata_base), // from MM + +//request signal to the mutex should be kept high as long as the mutex is being used + .mutex_req(req_and_use_mutex), +// output from mutex indicates whether you have mutex or not + .mutex_grant(mutex_grant) +); + +//wire assignment +assign alt_cal_testbuses = testbus_data; +assign master_address_shifted = {master_address, {2'b00}}; + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_siv.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_siv.sv new file mode 100644 index 0000000000000000000000000000000000000000..727be748a008f29b2f9649fef902f9787b9b1f47 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_reconfig_siv.sv @@ -0,0 +1,441 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Transceiver Reconfiguration Module for Stratix IV architectures +// +// Includes many function-specific sub-modules, such as: +// - analog reconfig (alt_xcvr_reconfig_analog) +// - offset cancellation (alt_xcvr_reconfig_offset_cancellation) +// - ... + +// $Header$ + +`timescale 1 ns / 1 ns + +module alt_xcvr_reconfig_siv #( + parameter number_of_reconfig_interfaces = 1 +) ( + input wire mgmt_clk_clk, // mgmt_clk.clk + input wire mgmt_rst_reset, // mgmt_rst.reset + + // user reconfiguration management interface + input wire [6:0] reconfig_mgmt_address, // reconfig_mgmt.address + output reg reconfig_mgmt_waitrequest = 0, // .waitrequest + input wire reconfig_mgmt_read, // .read + output reg [31:0] reconfig_mgmt_readdata = ~0, // .readdata + input wire reconfig_mgmt_write, // .write + input wire [31:0] reconfig_mgmt_writedata, // .writedata + output wire reconfig_done, // reconfig_done.export + + output wire [3:0] reconfig_togxb, // reconfig_togxb_data.data + input wire [number_of_reconfig_interfaces*17 - 1 : 0] reconfig_fromgxb // dprioout, testbus from altgx : (17+4 bits/quad) +); + + // master interface to basic reconfiguration block that interfaces to the transceiver channel + wire [2:0] basic_address; // basic.address // master interface must include 2 lower addr bits + wire basic_waitrequest; // .waitrequest + wire basic_irq; // .irq + wire basic_read; // .read + wire [31:0] basic_readdata; // .readdata + wire basic_write; // .write + wire [31:0] basic_writedata; // .writedata + + // native testbus input + wire [15:0] testbus_data; + + localparam l_dev_family = "Stratix IV"; + localparam width_awa = 3; // word address width of interface to analog reconfig block + localparam width_bwa = 3; // word address width of interface to basic reconfig block + localparam arb_count = 4; // count of the total number of sub-components that can act + // as slaves to the mgmt interface, and masters to the 'basic' block + localparam arb_offset = 0; + localparam arb_analog = 1; + localparam arb_eyemon = 2; + localparam arb_dfe = 3; + + // Analog controls output ports, mgmt facing + wire [31:0] sc_analog_readdata; + wire sc_analog_waitrequest; + + // Offset cancellation output ports, mgmt facing + wire [31:0] sc_offset_readdata; + wire sc_offset_waitrequest; + + // Eyemon output ports, mgmt facing + wire [31:0] sc_eyemon_readdata; + wire sc_eyemon_waitrequest; + + // DFE output ports, mgmt facing + wire [31:0] sc_dfe_readdata; + wire sc_dfe_waitrequest; + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of reconfig_mgmt interface + /////////////////////////////////////////////////////////////////////// + wire [arb_count-1:0] r_decode; + assign r_decode = + (reconfig_mgmt_address[6:width_awa] == arb_offset) ? (({arb_count-arb_offset{1'b0}} | 1'b1) << arb_offset) + : (reconfig_mgmt_address[6:width_awa] == arb_analog) ? (({arb_count-arb_analog{1'b0}} | 1'b1) << arb_analog) + : (reconfig_mgmt_address[6:width_awa] == arb_eyemon) ? (({arb_count-arb_eyemon{1'b0}} | 1'b1) << arb_eyemon) + : (reconfig_mgmt_address[6:width_awa] == arb_dfe) ? (({arb_count-arb_dfe{1'b0}} | 1'b1) << arb_dfe) + : {arb_count{1'b0}}; + + // reconfig_mgmt output generation is muxing of decoded slave output + always @(*) begin + case (reconfig_mgmt_address[6:width_awa]) + arb_offset: begin + reconfig_mgmt_readdata = sc_offset_readdata; + reconfig_mgmt_waitrequest = sc_offset_waitrequest; + end + arb_analog: begin + reconfig_mgmt_readdata = sc_analog_readdata; + reconfig_mgmt_waitrequest = sc_analog_waitrequest; + end + arb_eyemon: begin + reconfig_mgmt_readdata = sc_eyemon_readdata; + reconfig_mgmt_waitrequest = sc_eyemon_waitrequest; + end + arb_dfe: begin + reconfig_mgmt_readdata = sc_dfe_readdata; + reconfig_mgmt_waitrequest = sc_dfe_waitrequest; + end + default: begin + reconfig_mgmt_readdata = -1; + reconfig_mgmt_waitrequest = 1'b0; + end + endcase + end + + /////////////////////////////////////////////////////////////////////// + // Arbiter for multiple masters accessing 'basic' reconfig slave port + /////////////////////////////////////////////////////////////////////// + wire [arb_count-1:0] req; // req[0] is highest priority when current grantee is done + wire [arb_count-1:0] grant; + + alt_xcvr_arbiter #( + .width(arb_count) // count total number of sub-components that act as masters to 'basic' + ) arbiter ( + .clock(mgmt_clk_clk), + .req(req), + .grant(grant) + ); + + //////////////////////////////////// + // Sub-component: analog controls + // word address offset: +0 + //////////////////////////////////// + + // Analog controls output ports, mgmt facing + wire analog_reconfig_done; + // Analog controls output ports, basic slave facing + wire [width_bwa+1:0] scs_analog_address; + wire [31:0] scs_analog_writedata; + wire scs_analog_write; + wire scs_analog_read; + + // Analog controls master-to-slave access fabric outputs + tri0 m2s_analog_read; + tri0 m2s_analog_write; + tri0 [width_bwa-1:0] m2s_analog_address; + tri0 [31:0] m2s_analog_writedata; + wire [31:0] m2s_analog_readdata; + wire m2s_analog_waitrequest; + + alt_xcvr_reconfig_analog_tgx sc_analog ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .analog_reconfig_address(reconfig_mgmt_address[width_awa-1:0]), + .analog_reconfig_writedata(reconfig_mgmt_writedata), + .analog_reconfig_write(reconfig_mgmt_write & r_decode[arb_analog]), + .analog_reconfig_read(reconfig_mgmt_read & r_decode[arb_analog]), + .analog_reconfig_readdata(sc_analog_readdata), + .analog_reconfig_waitrequest(sc_analog_waitrequest), + .analog_reconfig_done(analog_reconfig_done), + // master-to-slave fabric facing, to basic reconfig + .analog_reconfig_irq_from_base(basic_irq), + .analog_reconfig_waitrequest_from_base(m2s_analog_waitrequest), + .analog_reconfig_readdata_base(m2s_analog_readdata), + .analog_reconfig_address_base(scs_analog_address), + .analog_reconfig_writedata_base(scs_analog_writedata), + .analog_reconfig_write_base(scs_analog_write), + .analog_reconfig_read_base(scs_analog_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_analog ( + .clock(mgmt_clk_clk), + .req(req[arb_analog]), + .grant(grant[arb_analog]), + // master ports, facing basic reconfig block + .m_read(scs_analog_read), + .m_write(scs_analog_write), + .m_address(scs_analog_address[2 +: width_bwa]), // drop 2 lower addr bits + .m_writedata(scs_analog_writedata), + .m_readdata(m2s_analog_readdata), + .m_waitrequest(m2s_analog_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_analog_read), + .s_write(m2s_analog_write), + .s_address(m2s_analog_address), + .s_writedata(m2s_analog_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: offset cancellation + // word address offset: +8 (0x20 in bytes) + /////////////////////////////////////////// + + // Offset cancellation output ports: + wire offset_cancellation_done; + wire [width_bwa-1:0] scs_offset_address; + wire [31:0] scs_offset_writedata; + wire scs_offset_write; + wire scs_offset_read; + + // Offset cancellation master-to-slave access fabric outputs + tri0 m2s_offset_read; + tri0 m2s_offset_write; + tri0 [width_bwa-1:0] m2s_offset_address; + tri0 [31:0] m2s_offset_writedata; + wire [31:0] m2s_offset_readdata; + wire m2s_offset_waitrequest; + + alt_xcvr_reconfig_offset_cancellation #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_offset ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .offset_cancellation_address(reconfig_mgmt_address[0]), // slave uses a single address bit + .offset_cancellation_writedata(reconfig_mgmt_writedata), + .offset_cancellation_write(reconfig_mgmt_write & r_decode[arb_offset]), + .offset_cancellation_read(reconfig_mgmt_read & r_decode[arb_offset]), + .offset_cancellation_readdata(sc_offset_readdata), + .offset_cancellation_waitrequest(sc_offset_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .offset_cancellation_irq_from_base(basic_irq), + .offset_cancellation_waitrequest_from_base(m2s_offset_waitrequest), + .offset_cancellation_readdata_base(m2s_offset_readdata), + .testbus_data(testbus_data), + .offset_cancellation_done(offset_cancellation_done), + .offset_cancellation_address_base(scs_offset_address), + .offset_cancellation_writedata_base(scs_offset_writedata), + .offset_cancellation_write_base(scs_offset_write), + .offset_cancellation_read_base(scs_offset_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_offset ( + .clock(mgmt_clk_clk), + .req(req[arb_offset]), + .grant(grant[arb_offset]), + // master ports, facing basic reconfig block + .m_read(scs_offset_read), + .m_write(scs_offset_write), + .m_address(scs_offset_address), // drop 2 lower addr bits + .m_writedata(scs_offset_writedata), + .m_readdata(m2s_offset_readdata), + .m_waitrequest(m2s_offset_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_offset_read), + .s_write(m2s_offset_write), + .s_address(m2s_offset_address), + .s_writedata(m2s_offset_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: "EyeQ" eye monitor + // word address offset: +16 (0x40 in bytes) + /////////////////////////////////////////// + + // Eyemon output ports: + wire eyemon_done; + wire [width_bwa+1:0] scs_eyemon_address; + wire [31:0] scs_eyemon_writedata; + wire scs_eyemon_write; + wire scs_eyemon_read; + + // eyemon master-to-slave access fabric outputs + tri0 m2s_eyemon_read; + tri0 m2s_eyemon_write; + tri0 [width_bwa-1:0] m2s_eyemon_address; + tri0 [31:0] m2s_eyemon_writedata; + wire [31:0] m2s_eyemon_readdata; + wire m2s_eyemon_waitrequest; + + alt_xcvr_reconfig_eyemon_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_eyemon ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .eyemon_address(reconfig_mgmt_address[width_awa-1:0]), // slave uses a 3-bit address + .eyemon_writedata(reconfig_mgmt_writedata), + .eyemon_write(reconfig_mgmt_write & r_decode[arb_eyemon]), + .eyemon_read(reconfig_mgmt_read & r_decode[arb_eyemon]), + .eyemon_readdata(sc_eyemon_readdata), + .eyemon_waitrequest(sc_eyemon_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .eyemon_irq_from_base(basic_irq), + .eyemon_waitrequest_from_base(m2s_eyemon_waitrequest), + .eyemon_readdata_base(m2s_eyemon_readdata), + .eyemon_irq(eyemon_done), + .eyemon_address_base(scs_eyemon_address), + .eyemon_writedata_base(scs_eyemon_writedata), + .eyemon_write_base(scs_eyemon_write), + .eyemon_read_base(scs_eyemon_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_eyemon ( + .clock(mgmt_clk_clk), + .req(req[arb_eyemon]), + .grant(grant[arb_eyemon]), + // master ports, facing basic reconfig block + .m_read(scs_eyemon_read), + .m_write(scs_eyemon_write), + .m_address(scs_eyemon_address[2 +: width_bwa]), // drop 2 lower addr bits + .m_writedata(scs_eyemon_writedata), + .m_readdata(m2s_eyemon_readdata), + .m_waitrequest(m2s_eyemon_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_eyemon_read), + .s_write(m2s_eyemon_write), + .s_address(m2s_eyemon_address), + .s_writedata(m2s_eyemon_writedata) + ); + + /////////////////////////////////////////// + // Sub-component: DFE + // word address offset: +24 (0x60 in bytes) + /////////////////////////////////////////// + + // DFE output ports: + wire dfe_done; + wire [width_bwa-1:0] scs_dfe_address; + wire [31:0] scs_dfe_writedata; + wire scs_dfe_write; + wire scs_dfe_read; + + // dfe master-to-slave access fabric outputs + tri0 m2s_dfe_read; + tri0 m2s_dfe_write; + tri0 [width_bwa-1:0] m2s_dfe_address; + tri0 [31:0] m2s_dfe_writedata; + wire [31:0] m2s_dfe_readdata; + wire m2s_dfe_waitrequest; + + alt_xcvr_reconfig_dfe_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces), + .device_family(l_dev_family) + ) sc_dfe ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + // external mgmt interface facing + .dfe_address(reconfig_mgmt_address[width_awa-1:0]), // slave uses a 3-bit address + .dfe_writedata(reconfig_mgmt_writedata), + .dfe_write(reconfig_mgmt_write & r_decode[arb_dfe]), + .dfe_read(reconfig_mgmt_read & r_decode[arb_dfe]), + .dfe_readdata(sc_dfe_readdata), + .dfe_waitrequest(sc_dfe_waitrequest), + // master-to-slave fabric facing, to basic reconfig + .dfe_irq_from_base(basic_irq), + .dfe_waitrequest_from_base(m2s_dfe_waitrequest), + .dfe_readdata_base(m2s_dfe_readdata), + .dfe_irq(dfe_done), + .dfe_address_base(scs_dfe_address), + .dfe_writedata_base(scs_dfe_writedata), + .dfe_write_base(scs_dfe_write), + .dfe_read_base(scs_dfe_read) + ); + + // master-to-slave access module + alt_xcvr_m2s #( + .width_addr(width_bwa), + .width_data(32) + ) m2s_dfe ( + .clock(mgmt_clk_clk), + .req(req[arb_dfe]), + .grant(grant[arb_dfe]), + // master ports, facing basic reconfig block + .m_read(scs_dfe_read), + .m_write(scs_dfe_write), + .m_address(scs_dfe_address), + .m_writedata(scs_dfe_writedata), + .m_readdata(m2s_dfe_readdata), + .m_waitrequest(m2s_dfe_waitrequest), + // slave-side ports, from basic reconfig block + .s_readdata(basic_readdata), + .s_waitrequest(basic_waitrequest), + .s_read(m2s_dfe_read), + .s_write(m2s_dfe_write), + .s_address(m2s_dfe_address), + .s_writedata(m2s_dfe_writedata) + ); + + + /////////////////////////////////////////// + // Outputs to basic block + /////////////////////////////////////////// + assign basic_address = m2s_analog_address | m2s_offset_address | m2s_eyemon_address | m2s_dfe_address; + assign basic_read = m2s_analog_read | m2s_offset_read | m2s_eyemon_read | m2s_dfe_read; + assign basic_write = m2s_analog_write | m2s_offset_write | m2s_eyemon_write | m2s_dfe_write; + assign basic_writedata = m2s_analog_writedata | m2s_offset_writedata | m2s_eyemon_writedata | m2s_dfe_writedata; + + wire [number_of_reconfig_interfaces*8 - 1 : 0] aeq_fromgxb_data=0; + wire [number_of_reconfig_interfaces*24 - 1 : 0] aeq_togxb_data; + + alt_xcvr_reconfig_basic_tgx #( + .number_of_reconfig_interfaces(number_of_reconfig_interfaces) + ) sc_basic ( + .reconfig_clk(mgmt_clk_clk), + .reset(mgmt_rst_reset), + .basic_reconfig_write(basic_write), + .basic_reconfig_read(basic_read), + .basic_reconfig_writedata(basic_writedata), + .basic_reconfig_address(basic_address), + .basic_reconfig_fromgxb_data(reconfig_fromgxb), + .aeq_fromgxb_data(aeq_fromgxb_data), + .basic_reconfig_readdata(basic_readdata), + .basic_reconfig_waitrequest(basic_waitrequest), + .basic_reconfig_togxb_data(reconfig_togxb), + .aeq_togxb_data(aeq_togxb_data), + .testbus_data(testbus_data), + .basic_reconfig_irq(basic_irq) + ); + + + /////////////////////////////////////////// + // Status to external mgmt interface + /////////////////////////////////////////// + assign reconfig_done = analog_reconfig_done | offset_cancellation_done | eyemon_done | dfe_done; + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_resync.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_resync.sv new file mode 100644 index 0000000000000000000000000000000000000000..5c723372b22da2640f7283962c5acdd598a91dfa --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/alt_xcvr_resync.sv @@ -0,0 +1,98 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// Module: alt_xcvr_resync +// +// Description: +// A general purpose resynchronization module. +// +// Parameters: +// SYNC_CHAIN_LENGTH +// - Specifies the length of the synchronizer chain for metastability +// retiming. +// WIDTH +// - Specifies the number of bits you want to synchronize. Controls the width of the +// d and q ports. +// SLOW_CLOCK - USE WITH CAUTION. +// - Leaving this setting at its default will create a standard resynch circuit that +// merely passes the input data through a chain of flip-flops. This setting assumes +// that the input data has a pulse width longer than one clock cycle sufficient to +// satisfy setup and hold requirements on at least one clock edge. +// - By setting this to 1 (USE CAUTION) you are creating an asynchronous +// circuit that will capture the input data regardless of the pulse width and +// its relationship to the clock. However it is more difficult to apply static +// timing constraints as it ties the data input to the clock input of the flop. +// This implementation assumes the data rate is slow enough +// INIT_VALUE +// - Specifies the initial values of the synchronization registers. +// +// Apply embedded false path timing constraint +(* altera_attribute = "-name SDC_STATEMENT \"set_false_path -to [get_registers *alt_xcvr_resync*sync_r[0]]\"" *) + +`timescale 1ps/1ps + +module alt_xcvr_resync #( + parameter SYNC_CHAIN_LENGTH = 2, // Number of flip-flops for retiming + parameter WIDTH = 1, // Number of bits to resync + parameter SLOW_CLOCK = 0, // See description above + parameter INIT_VALUE = 0 + ) ( + input wire clk, + input wire reset, + input wire [WIDTH-1:0] d, + output wire [WIDTH-1:0] q + ); + +localparam INT_LEN = (SYNC_CHAIN_LENGTH > 0) ? SYNC_CHAIN_LENGTH : 1; +localparam [INT_LEN-1:0] L_INIT_VALUE = (INIT_VALUE == 1) ? {INT_LEN{1'b1}} : {INT_LEN{1'b0}}; + +genvar ig; + +// Generate a synchronizer chain for each bit +generate begin + for(ig=0;ig<WIDTH;ig=ig+1) begin : resync_chains + wire d_in; // Input to sychronization chain. + reg [INT_LEN-1:0] sync_r = L_INIT_VALUE; + wire [INT_LEN :0] next_r; // One larger than real chain + + assign q[ig] = sync_r[INT_LEN-1]; // Output signal + assign next_r = {sync_r,d_in}; + + always @(posedge clk or posedge reset) + if(reset) + sync_r <= L_INIT_VALUE; + else + sync_r <= next_r[INT_LEN-1:0]; + + // Generate asynchronous capture circuit if specified. + if(SLOW_CLOCK == 0) begin + assign d_in = d[ig]; + end else begin + wire d_clk; + reg d_r = L_INIT_VALUE[0]; + wire clr_n; + + assign d_clk = d[ig]; + assign d_in = d_r; + assign clr_n = ~q[ig] | d_clk; // Clear when output is logic 1 and input is logic 0 + + // Asynchronously latch the input signal. + always @(posedge d_clk or negedge clr_n) + if(!clr_n) d_r <= 1'b0; + else if(d_clk) d_r <= 1'b1; + end // SLOW_CLOCK + end // for loop +end // generate +endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/altera_wait_generate.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/altera_wait_generate.v new file mode 100644 index 0000000000000000000000000000000000000000..d11ec7e154387185d9a1d14b39c0410608a6c6ed --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/altera_wait_generate.v @@ -0,0 +1,38 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +`timescale 1 ps / 1 ps +module altera_wait_generate +//#( +//This code only works when wait_cycle =1, if need other case, you need to modify this code. +//please set wait_cycle default value as 1 to keep old design works. +// parameter wait_cycle =1 +// ) +( + input wire rst, + input wire clk, + input wire launch_signal, + output wire wait_req + ); +reg launch_reg = 0; +reg wait_reg = 0; +always @ (posedge clk, posedge rst) begin + if(rst) launch_reg <= 1'b0; + else launch_reg <= launch_signal; +end +always @ (posedge clk, posedge rst) begin + if(rst) wait_reg <= 1'b0; + else wait_reg <= launch_signal & launch_reg & (! wait_reg & !wait_req); +end +assign wait_req = (launch_signal & ~launch_reg) | (wait_reg & launch_signal ) ; +endmodule \ No newline at end of file diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/altera_xcvr_functions.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/altera_xcvr_functions.sv new file mode 100644 index 0000000000000000000000000000000000000000..5ef175a54cc3ce30e9dded8e494731600eb6ffda --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/altera_xcvr_functions.sv @@ -0,0 +1,746 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// Common functions for transceiver PHY IP +// +// $Header$ +// +// PACKAGE DECLARATION +package altera_xcvr_functions; + localparam integer MAX_CHARS = 32; + localparam integer MAX_STRS = 16; + localparam integer MAX_XCVR_CHANNELS = 64; + + // Reconfiguration bundle widths per family + localparam integer W_S5_RECONFIG_BUNDLE_TO_XCVR = 70; + localparam integer W_S5_RECONFIG_BUNDLE_FROM_XCVR = 46; + localparam integer W_A5_RECONFIG_BUNDLE_TO_XCVR = 70; + localparam integer W_A5_RECONFIG_BUNDLE_FROM_XCVR = 46; + localparam integer W_S4_RECONFIG_BUNDLE_TO_XCVR = 4; + localparam integer W_S4_RECONFIG_BUNDLE_FROM_XCVR = 17; + localparam integer W_C4_RECONFIG_BUNDLE_TO_XCVR = 4; + localparam integer W_C4_RECONFIG_BUNDLE_FROM_XCVR = 17; + + // Reconfiguration bundle widths per family + localparam integer W_S5_RECONFIG_BUNDLE_TO_GXB = W_S5_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_S5_RECONFIG_BUNDLE_FROM_GXB = W_S5_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_A5_RECONFIG_BUNDLE_TO_GXB = W_A5_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_A5_RECONFIG_BUNDLE_FROM_GXB = W_A5_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_S4_RECONFIG_BUNDLE_TO_GXB = W_S4_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_S4_RECONFIG_BUNDLE_FROM_GXB = W_S4_RECONFIG_BUNDLE_FROM_XCVR; + localparam integer W_C4_RECONFIG_BUNDLE_TO_GXB = W_C4_RECONFIG_BUNDLE_TO_XCVR; + localparam integer W_C4_RECONFIG_BUNDLE_FROM_GXB = W_C4_RECONFIG_BUNDLE_FROM_XCVR; + + // convert frequency string into integer Hz. Fractional Hz are truncated + // Must remain a constant function - can't use string.atoi(). + function time str2hz ( + input [8*MAX_CHARS:1] s + ); + + integer i; + integer c; // temp char storage for frequency conversion + integer unit_tens; // assume already Hz + integer is_numeric; + integer saw_dot; + + reg [8:1] c_dot; // = "."; + reg [8:1] c_space; // = " "; + reg [8:1] c_a; // = 8'h61; //"a"; + reg [8:1] c_z; // = 8'h7a; //"z"; + reg [8*4:1] s_unit; + reg [8*MAX_CHARS:1] s_shift; + + begin + // frequency ratio calculations + str2hz = 0; + unit_tens = 0; // assume already Hz + is_numeric = 1; + saw_dot = 0; + s_unit = ""; + + // Modelsim optimizer bug forces us to initialize these non-statically + c_dot = "."; + c_space = " "; + c_a = "a"; + c_z = "z"; + for (i=(MAX_CHARS-1); i>=0; i=i-1) begin + s_shift = (s >> (i*8)); + c = s_shift[8:1] & 8'hff; + if (c > 0) begin + //$display("[%d] => '%1s',", i, c); + if (c >= 8'h30 && c <= 8'h39 && is_numeric) begin + str2hz = (str2hz * 10) + (c & 8'h0f); + if (saw_dot) unit_tens = unit_tens - 1; // count digits after decimal point + end else if (c == c_dot) saw_dot = 1; + else if (c != c_space) begin + is_numeric = 0; // stop accepting new numeric digits in value + // if it's a-z, convert to upper case A-Z + if (c >= c_a && c <= c_z) c = (c & 8'h5f); // convert a-z (lower) to A-Z (upper) + s_unit = (s_unit << 8) | c; + end + end + end + //$display("numeric = %d x 10**(%2d), unit = '%0s'", str2hz, unit_tens, s_unit); + + // account for frequency unit + if (s_unit == "GHZ" || s_unit == "GBPS") unit_tens = unit_tens + 9; // 10**9 + else if (s_unit == "MHZ" || s_unit == "MBPS") unit_tens = unit_tens + 6; // 10**6 + else if (s_unit == "KHZ" || s_unit == "KBPS") unit_tens = unit_tens + 3; // 10**3 + else if (s_unit != "HZ" && s_unit != "BPS") begin + $display("Invalid frequency unit '%0s', assuming %d x 10**(%2d) 'Hz'", s_unit, str2hz, unit_tens); + end + //$display("numeric in Hz = %d x 10**(%2d)", str2hz, unit_tens); + + // align numeric to Hz + if (unit_tens < 0) begin + //str2hz = str2hz / (10**(-unit_tens)); + for (i=0; i>unit_tens; i=i-1) begin + str2hz = str2hz / 10; + end + end else begin + //str2hz = str2hz * (10**unit_tens); + for (i=0; i<unit_tens; i=i+1) begin + str2hz = str2hz * 10; + end + end + //$display("%d Hz", str2hz); + end + endfunction + + // convert integer Hz to a frequency string + // integer Hz as type time, and the frequency string will use MHz units + // Must remain a constant function - can't use $sformat or string.itoa(). + function [MAX_CHARS*8-1:0] hz2str ( + input time hz + ); + integer pos; + integer f_unit; // 10**f_unit is offset from Hz for larger unit + time hz_mod_10; + begin + hz2str = "0.000000 MHz"; // minimum string value + f_unit = 6; // MHz offsets Hz value by 6 decimal digits + + // convert time back to string with frequency units + // char positions 3 to 0 are used by " MHz", so start with digits at pos 4 + for (pos = 4; pos < MAX_CHARS && hz > 0; pos = pos + 1) begin + if (f_unit == 0) begin + hz2str[pos*8 +: 8] = 8'h2e; // add "." character + pos = pos + 1; + end + f_unit = f_unit - 1; + hz_mod_10 = (hz % 10); + hz2str[pos*8 +: 8] = hz_mod_10[7:0] | 8'h30; + hz = hz / 10; + //$display("hz2str() => so far '%s', pos (%d), f_unit(%d) ", hz2str, pos, f_unit); + end + //$display("hz2str() returns '%s'", hz2str); + end + endfunction + + // Convert a string to an integer + // Uses pre-existing str2hz function + function integer str2int( + input [MAX_CHARS*8-1:0] instring + ); + time temp; + temp = str2hz({instring,"Hz"}); + str2int = temp[31:0]; + endfunction + + + // Convert an integer to a string + function [MAX_CHARS*8-1:0] int2str( + input integer in_int + ); + integer i; + integer this_char; + i = 0; + int2str = ""; + do + begin + this_char = (in_int % 10) + 48; + int2str[i*8+:8] = this_char[7:0]; + i=i+1; + in_int = in_int / 10; + end + while(in_int > 0); + endfunction + + // function to convert at most 40-bit long string to binary + function [39 : 0] m_str_to_bin; + input [40*8 : 1] s; + reg [40*8 : 1] reg_s; + reg [40:1] res; + + integer m; + begin + + reg_s = s; + for (m = 40; m > 0; m = m-1 ) + begin + res[m] = reg_s[313]; + reg_s = reg_s << 8; + end + + m_str_to_bin = res; + end + endfunction + + //////////////////////////////////////////////////////////////////// + // Verify that the string value is contained in the legal set. + // + // The 'set' can consist of a single string with no delimiters, e.g. "individual", + // or multiple values, separated by commas, and surrounded by parens, e.g. "(one,two,three,four,five)" + // + // Returns 1 if the value is in the set, and 0 otherwise + function integer is_in_legal_set( + input [MAX_CHARS*8-1:0] value, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + if (value == "<auto_any>") + is_in_legal_set = 1; + else if (value == "<auto_single>") + is_in_legal_set = (set[7:0] == 8'h29) ? 0 : 1; // 8'h29 is closing parenthesis char + else if (value == set) + is_in_legal_set = 1; // value matches single value in set + else begin + // check value against each in set + integer close_pos; // end of string marker can be comma or closing paren + integer open_pos; // open paren is start of set, if appropriate + reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr; + + is_in_legal_set = 0; + open_pos = MAX_STRS*MAX_CHARS-1; + // Remove closing parenthesis if exists + if(set[7:0] == 8'h29) begin + set = (set >> 8); + set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00; + end + // look for first non-null and non open paren character + while (open_pos > 0 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null + open_pos = open_pos - 1; + + while (is_in_legal_set == 0 && open_pos >= 0) begin + close_pos = open_pos; + while (close_pos > 0 + && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c) + close_pos = close_pos - 1; + if (close_pos >= 0) begin + close_pos = close_pos == 0 ? 0 : close_pos + 1; + legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8); + if (value == legalstr) + is_in_legal_set = 1; + end + open_pos = close_pos-2; // prepare to look for next legal string + end + end + //$display("is_in_legal_set(): returns %d", is_in_legal_set); + endfunction + + + // Accepts a string list of comma seperated numbers and returns a binary + // field where each bit indicates whether the index corresponding to that bit + // was found in the legal set. + // + // @param count - The number of integer indexes to check for in the set + // or the highest integer minus 1. + // @param set - The list containing the integer values to search for + // @return - A bitfield where each bit indicates whether the corresponding + // integer was found in the legal set. + function [MAX_XCVR_CHANNELS-1:0] map_numerical_is_in_legal_set( + input integer count, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + integer index; + reg [MAX_XCVR_CHANNELS-1:0] retval; + + // Validate count parameter + if(count > MAX_XCVR_CHANNELS) + $display("Error: [map_numerical_is_in_legal_set]: Invalid value for count: %0d",count); + + map_numerical_is_in_legal_set = {MAX_XCVR_CHANNELS{1'b0}}; + retval = {MAX_XCVR_CHANNELS{1'b0}}; + for(index = 0; index < count; index = index + 1) begin + if(is_in_legal_set(int2str(index),set)) + retval = retval | (({MAX_XCVR_CHANNELS{1'b0}} | 1'b1) << index); + end + map_numerical_is_in_legal_set = retval; + endfunction + + + // Accepts a string list of comma seperated numbers and returns a binary + // field where each byte contains the corresponding number found in the + // list. + // + // @param count - The number of elements in the list. + // @param set - The list containing the integer values. + // @return - A bitfield where each byte contains the corresponding number found + // at that location in the list. + function [MAX_XCVR_CHANNELS*8-1:0] map_numerical_legal_set( + input integer count, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + integer index; + reg [MAX_XCVR_CHANNELS-1:0] retval; + reg [MAX_CHARS*8-1:0] str_val; + reg [7:0] int_val; + + // Validate count parameter + if(count > MAX_XCVR_CHANNELS || count > 256) + $display("Error: [map_numerical_legal_set]: Invalid value for count: %0d",count); + + map_numerical_legal_set = {MAX_XCVR_CHANNELS{8'd0}}; + retval = {MAX_XCVR_CHANNELS{8'd0}}; + for(index = 0; index < count; index = index + 1) begin + str_val = get_value_at_index(index,set); + if(str_val != "NA") begin + int_val = str2int(str_val); + if(int_val > 255) + $display("Error: [map_numerical_legal_set]: Invalid string contains non-numerical item or value:%0d",int_val); + else begin + retval = retval | ( ( {MAX_XCVR_CHANNELS{8'd0}} | int_val ) << (index * 8)); + end + end + end + map_numerical_legal_set = retval; + endfunction + + + // Accepts a comma separated list of string values and returns the element + // found at the specified index. If the index is invalid, "NA" is returned + // + // @param index - The index of the value to return within "set" + // @param set - A comma separated list of string values. The entire list may + // be surrounded by parenthesis("(item0,item1,item2)") + function [MAX_CHARS*8-1:0] get_value_at_index( + input integer index, + input [MAX_STRS*MAX_CHARS*8-1:0] set + ); + // check value against each in set + integer close_pos; // end of string marker can be comma or closing paren + integer open_pos; // open paren is start of set, if appropriate + reg [MAX_STRS*MAX_CHARS*8-1:0] legalstr; + integer cur_index = 0; + + get_value_at_index = ""; + legalstr = "NA"; + cur_index = 0; + open_pos = MAX_STRS*MAX_CHARS-1; + // Remove closing parenthesis if exists + if(set[7:0] == 8'h29) begin + set = (set >> 8); + set[(MAX_STRS*MAX_CHARS*8-1)-:8] = 8'h00; + end + // Find the start of the string + while (open_pos >= 1 && (set[open_pos*8 +: 8] == 8'h00 || set[open_pos*8 +: 8] == 8'h28)) // look for first non-null + open_pos = open_pos - 1; + + // Iterate through list until the string is found or we've reached the end of the list + while (legalstr == "NA" && open_pos >= 0 && cur_index <= index) begin + close_pos = open_pos; + // Move the close iterator to the end of the current value (or end of string) + while (close_pos > 0 + && set[close_pos*8 +: 8] != 8'h2c) // look for comma (8'h2c) + close_pos = close_pos - 1; + if (close_pos >= 0) begin + close_pos = close_pos == 0 ? 0 : close_pos + 1; + if(index == cur_index) begin + legalstr = ((set & ((1'b1 << open_pos*8+8)-1)) >> close_pos*8); + end + open_pos = close_pos-2; // prepare to look for next legal string + end + cur_index = cur_index + 1; + end + + cur_index = 0; + while(legalstr[cur_index*8+:8] != 0) begin + get_value_at_index[cur_index*8+:8] = legalstr[cur_index*8+:8]; + cur_index = cur_index + 1; + end + + //$display("is_in_legal_set(): returns %d", is_in_legal_set); + endfunction + + + //////////////////////////////////////////////////////////////////////// + // Calculate the minimum number of bits needed to hold an integer value + // + // Returns ceil_log2() value + localparam integer MAX_PRECISION = 32; // VCS requires this declaration outside the function + function integer ceil_log2; + input [MAX_PRECISION-1:0] input_num; + integer i; + reg [MAX_PRECISION-1:0] try_result; + begin + i = 0; + try_result = 1; + while ((try_result << i) < input_num && i < MAX_PRECISION) + i = i + 1; + ceil_log2 = i; + end + endfunction + + //////////////////////////////////////////////////////////////////// + // Return current device family string for display purposes + `ifndef XCVR_DEV_FAM + `ifdef ALTERA_RESERVED_QIS_FAMILY + `define XCVR_DEV_FAM `ALTERA_RESERVED_QIS_FAMILY // synthesis: use QIS-defined value + `else + `define XCVR_DEV_FAM device_family // simulation: use passed-in value + `endif + `endif + function [MAX_CHARS*8-1:0] current_device_family ( + input [MAX_CHARS*8-1:0] device_family + ); + current_device_family = `XCVR_DEV_FAM; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_s4_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_s4_style_hssi = ( (`XCVR_DEV_FAM == "Stratix IV") + || (`XCVR_DEV_FAM == "Arria II") + || (`XCVR_DEV_FAM == "Cyclone IV GX") // not exact, but close enough + || (`XCVR_DEV_FAM == "Arria II GX") + || (`XCVR_DEV_FAM == "Arria II GZ") + || (`XCVR_DEV_FAM == "HardCopy IV") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_s5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_s5_style_hssi = ( (`XCVR_DEV_FAM == "Stratix V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_a5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_a5_style_hssi = ( (`XCVR_DEV_FAM == "Arria V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_c5_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_c5_style_hssi = ( (`XCVR_DEV_FAM == "Cyclone V") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Match device family against standard family name strings + // + // Returns 1 if the names feature is present in the given device family + function integer has_c4_style_hssi ( + input [MAX_CHARS*8-1:0] device_family + ); + has_c4_style_hssi = ( (`XCVR_DEV_FAM == "Cyclone IV GX") + ) ? 1 : 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_gxb bundle width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_to_gxb bundle for that family + function integer get_reconfig_to_gxb_width ( + input [MAX_CHARS*8-1:0] device_family + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_S5_RECONFIG_BUNDLE_TO_XCVR; + else if (has_s4_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_S4_RECONFIG_BUNDLE_TO_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_to_gxb_width = W_C4_RECONFIG_BUNDLE_TO_XCVR; + else + get_reconfig_to_gxb_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_gxb bundle width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_gxb bundle for that family + function integer get_reconfig_from_gxb_width ( + input [MAX_CHARS*8-1:0] device_family + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_S5_RECONFIG_BUNDLE_FROM_XCVR; + else if (has_s4_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_S4_RECONFIG_BUNDLE_FROM_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_from_gxb_width = W_C4_RECONFIG_BUNDLE_FROM_XCVR; + else + get_reconfig_from_gxb_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_to_xcvr port for that family + function integer get_reconfig_to_width ( + input [MAX_CHARS*8-1:0] device_family, + input integer reconfig_interfaces + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + else if (has_s4_style_hssi(device_family)) + get_reconfig_to_width = W_S4_RECONFIG_BUNDLE_TO_XCVR; + else if (has_c4_style_hssi(device_family)) + get_reconfig_to_width = W_C4_RECONFIG_BUNDLE_TO_XCVR; + else + get_reconfig_to_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Stratix V device family + // + function integer get_s5_reconfig_to_width ( + input integer reconfig_interfaces + ); + get_s5_reconfig_to_width = reconfig_interfaces * get_reconfig_to_gxb_width("Stratix V"); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for specified device family + // + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_reconfig_from_width ( + input [MAX_CHARS*8-1:0] device_family, + input integer reconfig_interfaces + ); + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else if (has_s4_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else if (has_c4_style_hssi(device_family)) + get_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width(device_family); + else + get_reconfig_from_width = 0; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Stratix V device family + // + function integer get_s5_reconfig_from_width ( + input integer reconfig_interfaces + ); + get_s5_reconfig_from_width = reconfig_interfaces * get_reconfig_from_gxb_width("Stratix V"); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get number of reconfig interfaces for Custom PHY + // NOTE - !!Has since been used by other PHY IP!! + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of channels + // @param plls - Number of TX plls (per channel) + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function by overloading for ATT support + // - Carry on the abuse + // + // @return 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_interfaces( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = 0; + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin + // ATT specific calculations + if( data_path_type == "ATT" ) begin + if((operation_mode == "RX_ONLY") || (operation_mode == "rx_only") || (operation_mode == "Rx") || (operation_mode == "RX") || (operation_mode == "rx")) begin + reconfig_interfaces = lanes; + end else if((operation_mode == "TX_ONLY") || (operation_mode == "tx_only") || (operation_mode == "Tx") || (operation_mode == "TX") || (operation_mode == "tx")) begin + reconfig_interfaces = 2*lanes; + end else begin + reconfig_interfaces = 3*lanes; + end + end else begin + // Custom PHY calculations + if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + reconfig_interfaces = lanes; + else begin + bonded_group_size = (bonded_mode == "fb_compensation") ? 1 : bonded_group_size; + reconfig_interfaces = lanes+(plls*(lanes/bonded_group_size)); + end + end + end + get_custom_reconfig_interfaces = reconfig_interfaces; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // @param plls - Number of plls per bonded group + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function to support ATT + // + // @return - 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_to_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode ); + get_custom_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // @param plls - Number of plls per bonded group + // @param bonded_group_size - Size of bonded group (1 or lanes) + // @param data_path_type - Abuse of function to support ATT + // + // @return - 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_custom_reconfig_from_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer lanes, + input integer plls, + input integer bonded_group_size, + input [MAX_CHARS*8-1:0] data_path_type = "", + input [MAX_CHARS*8-1:0] bonded_mode = "xN" + ); + integer reconfig_interfaces; + reconfig_interfaces = get_custom_reconfig_interfaces(device_family,operation_mode,lanes,plls,bonded_group_size, data_path_type, bonded_mode); + get_custom_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces); + endfunction + + + //////////////////////////////////////////////////////////////////// + // Start Interlaken Specific functions for calculating reconfig interfaces + // and reconfig_to_gxb, reconfig_from_gxb widths + + //////////////////////////////////////////////////////////////////// + // Get number of reconfig interfaces for Interlaken PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_interfaces( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + integer xslices; + integer xremain; + integer totalplls; + + reconfig_interfaces = 0; + if (has_s5_style_hssi(device_family) || has_a5_style_hssi(device_family) || has_c5_style_hssi(device_family)) begin + if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + reconfig_interfaces = lanes; + else begin + xslices = lanes/bonded_group_size; + xremain = lanes % bonded_group_size; + + if (xremain >0) + totalplls = xslices +1; + else + totalplls = xslices; + reconfig_interfaces = lanes+totalplls; + end // else: !if((operation_mode == "RX") || (operation_mode == "Rx") || (operation_mode == "rx_only")) + end // if (has_s5_style_hssi(device_family)) + get_interlaken_reconfig_interfaces = reconfig_interfaces; + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_to_xcvr total port width for Interlaken PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // @param lanes - Number of transceiver channels + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_to_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes); + get_interlaken_reconfig_to_width = get_s5_reconfig_to_width(reconfig_interfaces); + endfunction + + //////////////////////////////////////////////////////////////////// + // Get reconfig_from_xcvr total port width for Custom PHY + // + // @param device_family - Desired device family + // @param operation_mode - "Duplex","Rx","Tx" or "duplex", "rx_only", "tx_only" in 10gbaser + // Returns 0 if the device_family argument is invalid, otherwise + // it returns the width of the reconfig_from_xcvr port for that family + function integer get_interlaken_reconfig_from_width( + input [MAX_CHARS*8-1:0] device_family, + input [MAX_CHARS*8-1:0] operation_mode, + input integer bonded_group_size, + input integer lanes + ); + integer reconfig_interfaces; + reconfig_interfaces = get_interlaken_reconfig_interfaces(device_family,operation_mode,bonded_group_size,lanes); + get_interlaken_reconfig_from_width = get_s5_reconfig_from_width(reconfig_interfaces); + endfunction + + // End Interlaken specific functions + //////////////////////////////////////////////////////////////////// + + + + + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/altera_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/altera_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..f5731672ef25f5db829bfa5138a5ea5bee0aec5c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/altera_xcvr_xaui.sv @@ -0,0 +1,510 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: alt_xaui static verilog top level +// +// Authors: bauyeung 7-Sep-2010 +// Modified: ishimony 13-Dec-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +// have separate generate statements for each component + +`timescale 1 ps / 1 ps +import altera_xcvr_functions::*; +(* altera_attribute = "-name IP_TOOL_NAME __ACDS_IP_CORE_NAME__; -name IP_TOOL_VERSION __ACDS_VERSION_SHORT__" *) +module altera_xcvr_xaui #( + parameter device_family = "Stratix IV", // default Stratix IV + parameter starting_channel_number = 0, // only applies to SIV + parameter interface_type = "Hard XAUI", + parameter data_rate = "3125 Mbps", + parameter xaui_pll_type = "CMU", + parameter BASE_DATA_RATE = "3125 Mbps", + parameter use_control_and_status_ports = 0, + parameter external_pma_ctrl_reconf = 0, + parameter recovered_clk_out = 0, + parameter number_of_interfaces = 1, + parameter reconfig_interfaces = 1, + parameter use_rx_rate_match = 0, + parameter tx_termination = "OCT_150_OHMS", + parameter tx_vod_selection = 1, + parameter tx_preemp_pretap = 0, + parameter tx_preemp_pretap_inv = 0, + parameter tx_preemp_tap_1 = 5, + parameter tx_preemp_tap_2 = 0, + parameter tx_preemp_tap_2_inv = 0, + parameter rx_common_mode = "0.82v", + parameter rx_termination = "OCT_150_OHMS", + parameter rx_eq_dc_gain = 0, + parameter rx_eq_ctrl = 14, + parameter mgmt_clk_in_mhz = 50 +) ( + input wire pll_ref_clk, + input wire xgmii_tx_clk, + output wire xgmii_rx_clk, + output wire tx_clk312_5, // dxaui: pma tx out clock, 312.5Mhz + input wire phy_mgmt_clk, + input wire phy_mgmt_clk_reset, + input wire [8:0] phy_mgmt_address, + output wire phy_mgmt_waitrequest, + input wire phy_mgmt_read, + output wire [31:0] phy_mgmt_readdata, + input wire phy_mgmt_write, + input wire [31:0] phy_mgmt_writedata, + input wire [71:0] xgmii_tx_dc, + output wire [71:0] xgmii_rx_dc, + output wire [3:0] xaui_tx_serial_data, + input wire [3:0] xaui_rx_serial_data, + output wire rx_ready, + output wire tx_ready, + output wire [3:0] rx_recovered_clk, // rx recovered clock from cdr + +// only used if use_control_and_status_ports is set + input tri0 rx_analogreset, + input tri0 rx_digitalreset, + input tri0 tx_digitalreset, + output tri0 rx_channelaligned, + input tri0 [3:0] rx_invpolarity, + input tri0 [3:0] rx_set_locktodata, + input tri0 [3:0] rx_set_locktoref, + input tri0 [3:0] rx_seriallpbken, + input tri0 [3:0] tx_invpolarity, + output tri1 [3:0] rx_is_lockedtodata, + output tri0 [3:0] rx_phase_comp_fifo_error, + output tri1 [3:0] rx_is_lockedtoref, + output tri0 [3:0] rx_rlv, + output tri0 [3:0] rx_rmfifoempty, + output tri0 [3:0] rx_rmfifofull, + output tri0 [3:0] tx_phase_comp_fifo_error, + output tri0 [7:0] rx_disperr, + output tri0 [7:0] rx_errdetect, + output tri0 [7:0] rx_patterndetect, + output tri0 [7:0] rx_rmfifodatadeleted, + output tri0 [7:0] rx_rmfifodatainserted, + output tri0 [7:0] rx_runningdisp, + output tri0 [7:0] rx_syncstatus, + + +// only used if external_pma_ctrl_reconf is set + output tri0 [altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces)-1:0] reconfig_from_xcvr, + input tri0 [altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces) -1:0] reconfig_to_xcvr, + output tri0 pll_locked, + input tri0 cal_blk_powerdown, + input tri0 gxb_powerdown, + input tri0 pll_powerdown +// need to add all possible port/param combinations +// these should be set to tri0/1 where possible, so unused ports don't need to be terminated by the user +); + +import altera_xcvr_functions::*; + +localparam reconfig_out_width = altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces); +localparam reconfig_in_width = altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces); + + wire [reconfig_out_width -1:0] l_reconfig_from_xcvr; // local reconfig_from_xcvr + wire [reconfig_in_width -1:0] l_reconfig_to_xcvr; // local reconfig_to_xcvr + + wire [7:0] sc_phy_address; // mgmt.address + wire sc_phy_waitrequest; // .waitrequest + wire sc_phy_read; // .read + wire [31:0] sc_phy_readdata; // .readdata + wire sc_phy_write; // .write + + wire [6:0] sc_reconf_address; // mgmt.address + wire sc_reconf_waitrequest; // .waitrequest + wire sc_reconf_read; // .read + wire [31:0] sc_reconf_readdata; // .readdata + wire sc_reconf_write; // .write + +/////////////////////////////////////////////////////////////////////// +// Custom decoder for multiple slaves of phy-reconfig interface +/////////////////////////////////////////////////////////////////////// +// should be consistent across all device families + alt_xcvr_mgmt2dec_phyreconfig mgmtdec_phyreconfig ( + .mgmt_clk_reset (phy_mgmt_clk_reset), + .mgmt_clk (phy_mgmt_clk), + + .mgmt_address (phy_mgmt_address), + .mgmt_read (phy_mgmt_read), + .mgmt_write (phy_mgmt_write), + .mgmt_readdata (phy_mgmt_readdata), + .mgmt_waitrequest (phy_mgmt_waitrequest), + + // internal interface to 'top' phy block + .sc_phy_readdata (sc_phy_readdata), + .sc_phy_waitrequest (sc_phy_waitrequest), + .sc_phy_address (sc_phy_address), + .sc_phy_read (sc_phy_read), + .sc_phy_write (sc_phy_write), + + // internal interface to 'top' reconfig block + .sc_reconf_readdata (sc_reconf_readdata), + .sc_reconf_waitrequest (sc_reconf_waitrequest), + .sc_reconf_address (sc_reconf_address), + .sc_reconf_read (sc_reconf_read), + .sc_reconf_write (sc_reconf_write) + ); + + +/////////////////////////////////////////////////////////////////////// +// alt_xcvr_reconfig +/////////////////////////////////////////////////////////////////////// +// add generate statement for this +// need to account for external pma reconfig + generate + if (external_pma_ctrl_reconf == 1) begin + assign l_reconfig_to_xcvr = reconfig_to_xcvr; + assign reconfig_from_xcvr = l_reconfig_from_xcvr; + end else if ((interface_type == "Hard XAUI") && ((device_family == "Stratix IV") || (device_family == "HardCopy IV") || (device_family == "Arria II GX") || (device_family == "Arria II GX") || (device_family == "Arria II GZ"))) begin + alt_xcvr_reconfig_siv #( + .number_of_reconfig_interfaces (1) + ) alt_xcvr_reconfig_0 ( + .mgmt_clk_clk (phy_mgmt_clk), + .mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr[16:0]) + ); + end else if ((interface_type == "Soft XAUI") && ((device_family == "Stratix IV") || (device_family == "HardCopy IV"))) begin // Arria II GX/GZ don't support soft xaui + alt_xcvr_reconfig_siv #( + .number_of_reconfig_interfaces (4) + ) alt_xcvr_reconfig_0 ( + .mgmt_clk_clk (phy_mgmt_clk), + .mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr) + ); + end else if ((interface_type == "DDR XAUI") && (device_family == "Stratix IV")) begin + // stub for now - nothing here + end else if (device_family == "Stratix V") begin + // stub for now - nothing here + assign l_reconfig_to_xcvr = reconfig_to_xcvr; + assign reconfig_from_xcvr = l_reconfig_from_xcvr; + end else if (device_family == "Cyclone IV GX") begin + alt_xcvr_reconfig_civ #( + .number_of_reconfig_interfaces (1) + ) alt_xcvr_reconfig_0 ( + .reconfig_mgmt_clk_clk (phy_mgmt_clk), + .reconfig_mgmt_rst_reset (phy_mgmt_clk_reset), + .reconfig_mgmt_address (sc_reconf_address), + .reconfig_mgmt_waitrequest (sc_reconf_waitrequest), + .reconfig_mgmt_read (sc_reconf_read), + .reconfig_mgmt_readdata (sc_reconf_readdata), + .reconfig_mgmt_write (sc_reconf_write), + .reconfig_mgmt_writedata (phy_mgmt_writedata), + .reconfig_togxb (l_reconfig_to_xcvr), + .reconfig_fromgxb (l_reconfig_from_xcvr[16:0]) + ); + end + endgenerate + +/////////////////////////////////////////////////////////////////////// +// alt_xaui_phy - Integrates hxaui (i/f to hxaui_alt_c3gxb), csr, pma +// controller and pma channel controller +/////////////////////////////////////////////////////////////////////// + generate + if (device_family == "Stratix V") begin + sv_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .data_rate (data_rate), + .xaui_pll_type (xaui_pll_type), + .BASE_DATA_RATE (BASE_DATA_RATE), + .use_control_and_status_ports (use_control_and_status_ports), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode), + .bonded_group_size (4), /// allowed values 1=> non-bonded 4=> bonded + .bonded_mode ("xN") /// allowed values "xN" and "fb_compensation" + ) alt_xaui_phy ( + .pll_ref_clk (pll_ref_clk), // refclk.clk + .xgmii_tx_clk (xgmii_tx_clk), // xgmii_tx_clk.clk + .xgmii_rx_clk (xgmii_rx_clk), // xgmii_rx_clk.clk + .phy_mgmt_clk (phy_mgmt_clk), // mgmt_clk.clk + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), // mgmt_clk_rst.reset_n + .phy_mgmt_address (sc_phy_address), // phy_mgmt.address + .phy_mgmt_waitrequest (sc_phy_waitrequest), // .waitrequest + .phy_mgmt_read (sc_phy_read), // .read + .phy_mgmt_readdata (sc_phy_readdata), // .readdata + .phy_mgmt_write (sc_phy_write), // .write + .phy_mgmt_writedata (phy_mgmt_writedata), // .writedata + .xgmii_tx_dc (xgmii_tx_dc), // xgmii_tx_dc.data + .xgmii_rx_dc (xgmii_rx_dc), // xgmii_rx_dc.data + .xaui_tx_serial_data (xaui_tx_serial_data), // xaui_tx_serial.export + .xaui_rx_serial_data (xaui_rx_serial_data), // xaui_rx_serial.export + .rx_digitalreset (rx_digitalreset), // rx_digitalreset.data + .tx_digitalreset (tx_digitalreset), // tx_digitalreset.data + .rx_channelaligned (rx_channelaligned), // rx_channelaligned.data + .rx_syncstatus (rx_syncstatus), // rx_syncstatus.data + .rx_disperr (rx_disperr), // rx_disperr.data + .rx_errdetect (rx_errdetect), // rx_errdetect.data + .rx_ready (rx_ready), // rx_pma_ready.data + .tx_ready (tx_ready), // tx_pma_ready.data + .reconfig_to_xcvr (l_reconfig_to_xcvr), + .reconfig_from_xcvr (l_reconfig_from_xcvr), + .rx_recovered_clk (rx_recovered_clk) + ); + end else if ((interface_type == "DDR XAUI") && (device_family == "Stratix IV")) begin + dxaui_siv #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .tx_vod_selection (tx_vod_selection), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .rx_common_mode (rx_common_mode), + .rx_termination (rx_termination), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .use_rx_rate_match (use_rx_rate_match) +) dxaui_siv ( + .pll_ref_clk (pll_ref_clk), // i + .xgmii_tx_clk (xgmii_tx_clk), // i + .xgmii_rx_clk (xgmii_rx_clk), // o + .tx_clk312_5 (tx_clk312_5), // o + .phy_mgmt_clk (phy_mgmt_clk), // i + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), // i + .phy_mgmt_address (sc_phy_address), // i + .phy_mgmt_waitrequest (sc_phy_waitrequest), // o + .phy_mgmt_read (sc_phy_read), // i + .phy_mgmt_readdata (sc_phy_readdata), // o + .phy_mgmt_write (sc_phy_write), // i + .phy_mgmt_writedata (phy_mgmt_writedata), // i + .xgmii_tx_dc (xgmii_tx_dc), // i + .xgmii_rx_dc (xgmii_rx_dc), // o + .xaui_tx_serial_data (xaui_tx_serial_data), // o + .xaui_rx_serial_data (xaui_rx_serial_data), // i + .rx_ready (rx_ready), // o + .tx_ready (tx_ready), // o + .rx_recovered_clk (rx_recovered_clk), // o + .reconfig_from_xcvr (l_reconfig_from_xcvr), // o + .reconfig_to_xcvr (l_reconfig_to_xcvr), // i + .rx_analogreset (rx_analogreset), // i + .rx_digitalreset (rx_digitalreset), // i + .tx_digitalreset (tx_digitalreset), // i + .rx_channelaligned (rx_channelaligned), // o + .rx_invpolarity (rx_invpolarity), // i + .rx_set_locktodata (rx_set_locktodata), // i + .rx_set_locktoref (rx_set_locktoref), // i + .rx_seriallpbken (rx_seriallpbken), // i + .tx_invpolarity (tx_invpolarity), // i + .rx_is_lockedtodata (rx_is_lockedtodata), // o + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // o + .rx_is_lockedtoref (rx_is_lockedtoref), // o + .rx_rlv (rx_rlv), // o + .rx_rmfifoempty (rx_rmfifoempty), // o + .rx_rmfifofull (rx_rmfifofull), // o + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // o + .rx_disperr (rx_disperr), // o + .rx_errdetect (rx_errdetect), // o + .rx_patterndetect (rx_patterndetect), // o + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // o + .rx_rmfifodatainserted (rx_rmfifodatainserted), // o + .rx_runningdisp (rx_runningdisp), // o + .rx_syncstatus (rx_syncstatus), // o + .pll_locked (pll_locked), // o + .cal_blk_powerdown (cal_blk_powerdown), // i + .gxb_powerdown (gxb_powerdown), // i + .pll_powerdown (pll_powerdown) // i +); // module dxaui_siv + end else if ((device_family == "Stratix IV") || (device_family == "HardCopy IV") ||(device_family == "Arria II GX") || (device_family == "Arria II GZ")) begin + siv_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .reconfig_interfaces (reconfig_interfaces), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) xaui_phy ( + .pll_ref_clk (pll_ref_clk), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_tx_clk (xgmii_tx_clk), + .phy_mgmt_clk (phy_mgmt_clk), + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), + .phy_mgmt_address (sc_phy_address), + .phy_mgmt_read (sc_phy_read), + .phy_mgmt_readdata (sc_phy_readdata), + .phy_mgmt_waitrequest (sc_phy_waitrequest), + .phy_mgmt_write (sc_phy_write), + .phy_mgmt_writedata (phy_mgmt_writedata), + .xaui_rx_serial_data (xaui_rx_serial_data), + .xaui_tx_serial_data (xaui_tx_serial_data), + .xgmii_rx_dc (xgmii_rx_dc), + .xgmii_tx_dc (xgmii_tx_dc), + .rx_ready (rx_ready), + .tx_ready (tx_ready), + .rx_recovered_clk (rx_recovered_clk), //o + .reconfig_from_xcvr (l_reconfig_from_xcvr), + .reconfig_to_xcvr (l_reconfig_to_xcvr), + +// optional control and status ports - will be terminated by tri0 if unconnected + .rx_analogreset (rx_analogreset), // input wire + .rx_digitalreset (rx_digitalreset), // input wire [3:0] + .tx_digitalreset (tx_digitalreset), // input wire [3:0] + .rx_channelaligned (rx_channelaligned), // output wire + .rx_invpolarity (rx_invpolarity), // input wire [3:0] + .rx_set_locktodata (rx_set_locktodata), // input wire [3:0] + .rx_set_locktoref (rx_set_locktoref), // input wire [3:0] + .rx_seriallpbken (rx_seriallpbken), // input wire [3:0] + .tx_invpolarity (tx_invpolarity), // input wire [3:0] + .rx_is_lockedtodata (rx_is_lockedtodata), // output wire [3:0] + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // output wire [3:0] + .rx_is_lockedtoref (rx_is_lockedtoref), // output wire [3:0] + .rx_rlv (rx_rlv), // output wire [3:0] + .rx_rmfifoempty (rx_rmfifoempty), // output wire [3:0] + .rx_rmfifofull (rx_rmfifofull), // output wire [3:0] + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // output wire [3:0] + .rx_disperr (rx_disperr), // output wire [7:0] + .rx_errdetect (rx_errdetect), // output wire [7:0] + .rx_patterndetect (rx_patterndetect), // output wire [7:0] + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // output wire [7:0] + .rx_rmfifodatainserted (rx_rmfifodatainserted), // output wire [7:0] + .rx_runningdisp (rx_runningdisp), // output wire [7:0] + .rx_syncstatus (rx_syncstatus), // output wire [7:0] + +// external_pma_ctrl_reconf + .pll_locked (pll_locked), // output wire + .cal_blk_powerdown (cal_blk_powerdown), // input wire + .gxb_powerdown (gxb_powerdown), // input wire + .pll_powerdown (pll_powerdown) // input wire + ); + end else if (device_family == "Cyclone IV GX") begin +// need to add the extra optional ports for c&s and ext_pma + civ_xcvr_xaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .interface_type (interface_type), + .number_of_interfaces (1), + .sys_clk_in_mhz (mgmt_clk_in_mhz), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) xaui_phy ( + .pll_ref_clk (pll_ref_clk), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_tx_clk (xgmii_tx_clk), + .phy_mgmt_clk (phy_mgmt_clk), + .phy_mgmt_clk_reset (phy_mgmt_clk_reset), + .phy_mgmt_address (sc_phy_address), + .phy_mgmt_read (sc_phy_read), + .phy_mgmt_readdata (sc_phy_readdata), + .phy_mgmt_waitrequest (sc_phy_waitrequest), + .phy_mgmt_write (sc_phy_write), + .phy_mgmt_writedata (phy_mgmt_writedata), + .xaui_rx_serial_data (xaui_rx_serial_data), + .xaui_tx_serial_data (xaui_tx_serial_data), + .xgmii_rx_dc (xgmii_rx_dc), + .xgmii_tx_dc (xgmii_tx_dc), + .rx_ready (rx_ready), + .tx_ready (tx_ready), + .rx_recovered_clk (rx_recovered_clk), //o + .reconfig_from_xcvr (l_reconfig_from_xcvr[16:0]), + .reconfig_to_xcvr (l_reconfig_to_xcvr), + +// optional control and status ports - will be terminated by tri0 if unconnected + .rx_analogreset (rx_analogreset), // input wire + .rx_digitalreset (rx_digitalreset), // input wire [3:0] + .tx_digitalreset (tx_digitalreset), // input wire [3:0] + .rx_channelaligned (rx_channelaligned), // output wire + .rx_invpolarity (rx_invpolarity), // input wire [3:0] + .rx_set_locktodata (rx_set_locktodata), // input wire [3:0] + .rx_set_locktoref (rx_set_locktoref), // input wire [3:0] + .rx_seriallpbken (rx_seriallpbken), // input wire [3:0] + .tx_invpolarity (tx_invpolarity), // input wire [3:0] + .rx_is_lockedtodata (rx_is_lockedtodata), // output wire [3:0] + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error), // output wire [3:0] + .rx_is_lockedtoref (rx_is_lockedtoref), // output wire [3:0] + .rx_rlv (rx_rlv), // output wire [3:0] + .rx_rmfifoempty (rx_rmfifoempty), // output wire [3:0] + .rx_rmfifofull (rx_rmfifofull), // output wire [3:0] + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error), // output wire [3:0] + .rx_disperr (rx_disperr), // output wire [7:0] + .rx_errdetect (rx_errdetect), // output wire [7:0] + .rx_patterndetect (rx_patterndetect), // output wire [7:0] + .rx_rmfifodatadeleted (rx_rmfifodatadeleted), // output wire [7:0] + .rx_rmfifodatainserted (rx_rmfifodatainserted), // output wire [7:0] + .rx_runningdisp (rx_runningdisp), // output wire [7:0] + .rx_syncstatus (rx_syncstatus), // output wire [7:0] + +// external_pma_ctrl_reconf + .pll_locked (pll_locked), // output wire + .cal_blk_powerdown (cal_blk_powerdown), // input wire + .gxb_powerdown (gxb_powerdown), // input wire + .pll_powerdown (pll_powerdown) // input wire + ); + end + endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/cadence_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/cadence_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..74a1494a6ca89260bfe8fcacc20a13a631512bbf --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/cadence_files.txt @@ -0,0 +1,59 @@ +./altera_xcvr_functions.sv +./alt_pma_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./cadence/alt_soft_xaui_pcs.v +./cadence/alt_soft_xaui_reset.v +./cadence/alt_soft_xaui_rx.v +./cadence/alt_soft_xaui_rx_8b10b_dec.v +./cadence/alt_soft_xaui_rx_channel_synch.v +./cadence/alt_soft_xaui_rx_deskew.v +./cadence/alt_soft_xaui_rx_deskew_channel.v +./cadence/alt_soft_xaui_rx_deskew_ram.v +./cadence/alt_soft_xaui_rx_invalid_code_det.v +./cadence/alt_soft_xaui_rx_parity.v +./cadence/alt_soft_xaui_rx_parity_4b.v +./cadence/alt_soft_xaui_rx_parity_6b.v +./cadence/alt_soft_xaui_rx_rate_match.v +./cadence/alt_soft_xaui_rx_rate_match_ram.v +./cadence/alt_soft_xaui_rx_rl_chk_6g.v +./cadence/alt_soft_xaui_rx_sm.v +./cadence/alt_soft_xaui_tx.v +./cadence/alt_soft_xaui_tx_8b10b_enc.v +./cadence/alt_soft_xaui_tx_idle_conv.v +./cadence/l_modules.v +./cadence/serdes_4_unit_lc_siv.v +./cadence/serdes_4_unit_siv.v +./cadence/serdes_4unit.v +./sxaui.v +./siv_xcvr_low_latency_phy_nr.sv +./siv_xcvr_xaui.sv +./alt4gxb_vo.v +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/hxaui_csr.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/hxaui_csr.sv new file mode 100644 index 0000000000000000000000000000000000000000..5ad633285f019d30350c678e518974f6621093e3 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/hxaui_csr.sv @@ -0,0 +1,415 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2010 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +/////////////////////////////////////////////////////////////////////////////// +// +// +// Description: Hard xaui control and status registers +// +// Authors: ishimony 12-Jun-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +/////////////////////////////////////////////////////////////////////////////// + +// +// TBD: unless synthesis is smart enough all synchronization flops will have +// to be manually instantiated (altera_std_synchronizer) +// + +`timescale 1 ps / 1 ps + +module hxaui_csr( + clk, reset, address, byteenable, read, readdata, write, writedata, + rx_patterndetect, rx_syncstatus, rx_runningdisp, rx_errdetect, + rx_disperr, rx_phase_comp_fifo_error, rx_rlv, rx_rmfifodatadeleted, + rx_rmfifodatainserted, rx_rmfifoempty, rx_rmfifofull, + tx_phase_comp_fifo_error, r_rx_invpolarity, r_tx_invpolarity, + r_rx_digitalreset, r_tx_digitalreset, simulation_flag +); // module hxaui_csr +import hxaui_csr_h::*; + +// ports ------------------------------------------------------------------- + +// Avalon MM slave +input clk; +input reset; + +input [4:0] address; +input [3:0] byteenable; + +input read; +output [31:0] readdata; + +input write; +input [31:0] writedata; + +// hard xaui control/status +input [7:0] rx_patterndetect; +input [7:0] rx_syncstatus; +input [7:0] rx_runningdisp; // nc + +input [7:0] rx_errdetect; +input [7:0] rx_disperr; + +input [3:0] rx_phase_comp_fifo_error; +input [3:0] rx_rlv; + +input [7:0] rx_rmfifodatadeleted; +input [7:0] rx_rmfifodatainserted; +input [3:0] rx_rmfifoempty; +input [3:0] rx_rmfifofull; + +input [3:0] tx_phase_comp_fifo_error; + +output [3:0] r_rx_invpolarity; +output [3:0] r_tx_invpolarity; +output r_rx_digitalreset; +output r_tx_digitalreset; + +output simulation_flag; // '1' shortens reset and loss_timer length + +// ports ------------------------------------------------------------------- +wire clk; +wire reset; +wire [4:0] address; +wire [6:0] addr; +wire [3:0] byteenable; +wire read; +reg [31:0] readdata; +wire write; +wire [31:0] writedata; +wire [3:0] rx_phase_comp_fifo_error; +wire [3:0] rx_rlv; +wire [3:0] rx_rmfifoempty; +wire [3:0] rx_rmfifofull; +wire [3:0] tx_phase_comp_fifo_error; +wire [7:0] rx_disperr; +wire [7:0] rx_errdetect; +wire [7:0] rx_patterndetect; +wire [7:0] rx_rmfifodatadeleted; +wire [7:0] rx_rmfifodatainserted; +wire [7:0] rx_runningdisp; +wire [7:0] rx_syncstatus; +wire [3:0] r_rx_invpolarity; +wire [3:0] r_tx_invpolarity; +wire r_rx_digitalreset; +wire r_tx_digitalreset; +wire simulation_flag; + +// locals ------------------------------------------------------------------ +reg [1:0] hxaui_csr_reset, hxaui_csr_reset0q; +reg [3:0] hxaui_csr_rx_cntrl, hxaui_csr_rx_cntrl0q; +reg [3:0] hxaui_csr_tx_cntrl, hxaui_csr_tx_cntrl0q; +wire [31:0] hxaui_csr_rx_status_0; +wire [31:0] hxaui_csr_rx_status_1; +wire [31:0] hxaui_csr_rx_status_2; +wire [31:0] hxaui_csr_rx_status_3; +wire [31:0] hxaui_csr_rx_status_4; +wire [31:0] hxaui_csr_tx_status_0; +reg hxaui_csr_simulation_flag, hxaui_csr_simulation_flag0q; + + +reg [7:0] rx_patterndetect_c; // rx_patterndetect synced to clk +reg [7:0] rx_syncstatus_c; // rx_syncstatus synced to clk +reg [7:0] rx_patterndetect_sr; // rx_patterndetect sr ff +reg [7:0] rx_syncstatus_sr; // rx_syncstatus sr ff +wire read_rx_status_0; + +reg [7:0] rx_errdetect_c; // rx_errdetect synced to clk +reg [7:0] rx_disperr_c; // rx_disperr synced to clk +reg [7:0] rx_errdetect_sr; // rx_errdetect sr ff +reg [7:0] rx_disperr_sr; // rx_disperr sr ff +wire read_rx_status_1; + +reg [3:0] rx_phase_comp_fifo_error_c; // rx_phase_comp_fifo_error synced +reg [3:0] rx_rlv_c; // rx_rlv synced to clk +reg [3:0] rx_phase_comp_fifo_error_sr; // rx_phase_comp_fifo_error sr ff +reg [3:0] rx_rlv_sr; // rx_rlv sr ff +wire read_rx_status_2; + +reg [7:0] rx_rmfifodatainserted_c; // rx_rmfifodatainserted synced to clk +reg [7:0] rx_rmfifodatadeleted_c; // rx_rmfifodatadeleted synced to clk +reg [7:0] rx_rmfifodatainserted_sr;// rx_rmfifodatainserted sr ff +reg [7:0] rx_rmfifodatadeleted_sr; // rx_rmfifodatadeleted sr ff +wire read_rx_status_3; + +reg [3:0] rx_rmfifofull_c; // rx_rmfifo_full synced +reg [3:0] rx_rmfifoempty_c; // rx_rmfifoempty synced to clk +reg [3:0] rx_rmfifofull_sr; // rx_rmfifo_full sr ff +reg [3:0] rx_rmfifoempty_sr; // rx_rmfifoempty sr ff +wire read_rx_status_4; + +wire read_rx_status_5; + +reg [3:0] tx_phase_comp_fifo_error_c; // tx_phase_comp_fifo_error synced +reg [3:0] tx_phase_comp_fifo_error_sr; // tx_phase_comp_fifo_error sr ff +wire read_tx_status_0; + +// body -------------------------------------------------------------------- + +//--- readdata output latch --- +// For easier address debug shift back 2 bits +assign addr = {address[4:0], 2'b00}; + +always @(*) begin + case (addr) + HXAUI_CSR_RESET_ADDR: + readdata <= hxaui_csr_reset0q; + HXAUI_CSR_RX_CNTRL_ADDR: + readdata <= hxaui_csr_rx_cntrl0q; + HXAUI_CSR_TX_CNTRL_ADDR: + readdata <= hxaui_csr_tx_cntrl0q; + HXAUI_CSR_RX_STATUS_0_ADDR: + readdata <= hxaui_csr_rx_status_0; + HXAUI_CSR_RX_STATUS_1_ADDR: + readdata <= hxaui_csr_rx_status_1; + HXAUI_CSR_RX_STATUS_2_ADDR: + readdata <= hxaui_csr_rx_status_2; + HXAUI_CSR_RX_STATUS_3_ADDR: + readdata <= hxaui_csr_rx_status_3; + HXAUI_CSR_RX_STATUS_4_ADDR: + readdata <= hxaui_csr_rx_status_4; + HXAUI_CSR_TX_STATUS_0_ADDR: + readdata <= hxaui_csr_tx_status_0; + HXAUI_CSR_SIMULATION_FLAG_ADDR: + readdata <= hxaui_csr_simulation_flag0q; + default: + readdata <= 32'h0; + endcase // case (addr) +end + +always @ (posedge clk or posedge reset) begin + if (reset) begin + hxaui_csr_reset0q <= 2'b0; + hxaui_csr_rx_cntrl0q <= 4'b0; + hxaui_csr_tx_cntrl0q <= 4'b0; + hxaui_csr_simulation_flag0q <= 1'b0; + end else begin + hxaui_csr_reset0q <= hxaui_csr_reset; + hxaui_csr_rx_cntrl0q <= hxaui_csr_rx_cntrl; + hxaui_csr_tx_cntrl0q <= hxaui_csr_tx_cntrl; + hxaui_csr_simulation_flag0q <= hxaui_csr_simulation_flag; + end +end + + +always @ (*) begin + hxaui_csr_reset = hxaui_csr_reset0q; + hxaui_csr_rx_cntrl = hxaui_csr_rx_cntrl0q; + hxaui_csr_tx_cntrl = hxaui_csr_tx_cntrl0q; + hxaui_csr_simulation_flag = hxaui_csr_simulation_flag0q; + if (write) begin + case (addr) + HXAUI_CSR_RESET_ADDR: + hxaui_csr_reset = writedata[1:0]; + HXAUI_CSR_RX_CNTRL_ADDR: + hxaui_csr_rx_cntrl = writedata[3:0]; + HXAUI_CSR_TX_CNTRL_ADDR: + hxaui_csr_tx_cntrl = writedata[3:0]; + HXAUI_CSR_SIMULATION_FLAG_ADDR: + hxaui_csr_simulation_flag = writedata[0]; + default:; + endcase // case (addr) + end +end + +assign {r_rx_digitalreset, r_tx_digitalreset} = hxaui_csr_reset0q[1:0]; +assign r_rx_invpolarity[3:0] = hxaui_csr_rx_cntrl0q[3:0]; +assign r_tx_invpolarity[3:0] = hxaui_csr_tx_cntrl0q[3:0]; +assign simulation_flag = hxaui_csr_simulation_flag0q; + +//--- rx_status_0 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_patterndetect_c <= rx_patterndetect; + rx_syncstatus_c <= rx_syncstatus; +end + +assign read_rx_status_0 = read && (addr == HXAUI_CSR_RX_STATUS_0_ADDR); + +// sticky bits implementation - +// set: status signal +// reset: read from register +// Set has precedence over reset +// +// s r q nq +// ------------ +// 0 0 x q +// 0 1 x 0 +// 1 x x 1 +// +// nq <= s + qr' +// + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_patterndetect_sr <= 8'h0; + rx_syncstatus_sr <= 8'h0; + end else begin + rx_patterndetect_sr <= rx_patterndetect_c | + (rx_patterndetect_sr & {8{read_rx_status_0}}); + rx_syncstatus_sr <= rx_syncstatus_c | + (rx_syncstatus_sr & {8{read_rx_status_0}}); + end +end + +assign hxaui_csr_rx_status_0 = {rx_patterndetect_sr, rx_syncstatus_sr}; + +//--- rx_status_1 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_errdetect_c <= rx_errdetect; + rx_disperr_c <= rx_disperr; +end + +assign read_rx_status_1 = read && (addr == HXAUI_CSR_RX_STATUS_1_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_errdetect_sr <= 8'h0; + rx_disperr_sr <= 8'h0; + end else begin + rx_errdetect_sr <= rx_errdetect_c | + (rx_errdetect_sr & {8{read_rx_status_1}}); + rx_disperr_sr <= rx_disperr_c | + (rx_disperr_sr & {8{read_rx_status_1}}); + end +end + +assign hxaui_csr_rx_status_1 = {rx_errdetect_sr, rx_disperr_sr}; + +//--- rx_status_2 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_phase_comp_fifo_error_c <= rx_phase_comp_fifo_error; + rx_rlv_c <= rx_rlv; +end + +assign read_rx_status_2 = read && (addr == HXAUI_CSR_RX_STATUS_2_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_phase_comp_fifo_error_sr <= 4'h0; + rx_rlv_sr <= 4'h0; + end else begin + rx_phase_comp_fifo_error_sr <= rx_phase_comp_fifo_error_c | + (rx_phase_comp_fifo_error_sr & {4{read_rx_status_2}}); + rx_rlv_sr <= rx_rlv_c | + (rx_rlv_sr & {4{read_rx_status_2}}); + end +end + +assign hxaui_csr_rx_status_2 = {rx_phase_comp_fifo_error_sr, rx_rlv_sr}; + +//--- rx_status_3 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_rmfifodatainserted_c <= rx_rmfifodatainserted; + rx_rmfifodatadeleted_c <= rx_rmfifodatadeleted; +end + +assign read_rx_status_3 = read && (addr == HXAUI_CSR_RX_STATUS_3_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_rmfifodatainserted_sr <= 8'h0; + rx_rmfifodatadeleted_sr <= 8'h0; + end else begin + rx_rmfifodatainserted_sr <= rx_rmfifodatainserted_c | + (rx_rmfifodatainserted_sr & {8{read_rx_status_3}}); + rx_rmfifodatadeleted_sr <= rx_rmfifodatadeleted_c | + (rx_rmfifodatadeleted_sr & {8{read_rx_status_3}}); + end +end + +assign hxaui_csr_rx_status_3 = {rx_rmfifodatainserted_sr, + rx_rmfifodatadeleted_sr}; + +//--- rx_status_4 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + rx_rmfifoempty_c <= rx_rmfifoempty; + rx_rmfifofull_c <= rx_rmfifofull; +end + +assign read_rx_status_4 = read && (addr == HXAUI_CSR_RX_STATUS_4_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + rx_rmfifoempty_sr <= 4'h0; + rx_rmfifofull_sr <= 4'h0; + end else begin + rx_rmfifoempty_sr <= rx_rmfifoempty_c | + (rx_rmfifoempty_sr & {4{read_rx_status_4}}); + rx_rmfifofull_sr <= rx_rmfifofull_c | + (rx_rmfifofull_sr & {4{read_rx_status_4}}); + end +end + +assign hxaui_csr_rx_status_4 = {rx_rmfifoempty_sr, rx_rmfifofull_sr}; + +//--- tx_status_0 register: sticky - set by status, clear by read --- +// The events latched are asynchronous to the Avalon clk, hence the user should +// not assume that all the bits are set at the same time. + +// synchronize status signal to 'clk' +always @ (posedge clk) begin + tx_phase_comp_fifo_error_c <= tx_phase_comp_fifo_error; +end + +assign read_tx_status_0 = read && (addr == HXAUI_CSR_TX_STATUS_0_ADDR); + +always @(posedge clk or posedge reset) begin + if (reset) begin + tx_phase_comp_fifo_error_sr <= 4'h0; + end else begin + tx_phase_comp_fifo_error_sr <= tx_phase_comp_fifo_error_c | + (tx_phase_comp_fifo_error_sr & {4{read_tx_status_0}}); + end +end + +assign hxaui_csr_tx_status_0 = tx_phase_comp_fifo_error_sr; + + +endmodule // hxaui_csr + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/hxaui_csr_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/hxaui_csr_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..b4856c0cf54dc1a394a545937fa14fa28b03a1ce --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/hxaui_csr_h.sv @@ -0,0 +1,155 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// (C) 2001-2011 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +/////////////////////////////////////////////////////////////////////////////// +// +// +// Description: Hard xaui control and status registers header file +// +// Authors: ishimony 12-Jun-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +/////////////////////////////////////////////////////////////////////////////// +`timescale 1 ps / 1 ps + +package hxaui_csr_h; + + +// address map +localparam [11:0]ALT_PMA_CONTROLLER_ADDR =12'h080; +localparam [11:0]ALT_PMA_CH_CONTROLLER_ADDR =12'h180; +//localparam ALT_PMA_ADDR 12'h080 +localparam [11:0]ALT_RECONFIG_ANALOG_ADDR =12'h400; +localparam [11:0]ALT_RECONFIG_OC_ADDR =12'h408; +localparam [11:0]HXAUI_CSR_ADDR =12'h200; + + +// registers address ------------------------------------------------------- +// preserve 7'h00 for indirection register (future implementation) +localparam [6:0]HXAUI_CSR_RESET_ADDR =7'h04; +localparam [6:0]HXAUI_CSR_RX_CNTRL_ADDR =7'h08; +localparam [6:0]HXAUI_CSR_TX_CNTRL_ADDR =7'h0C; +localparam [6:0]HXAUI_CSR_RX_STATUS_0_ADDR =7'h10; +localparam [6:0]HXAUI_CSR_RX_STATUS_1_ADDR =7'h14; +localparam [6:0]HXAUI_CSR_RX_STATUS_2_ADDR =7'h18; +localparam [6:0]HXAUI_CSR_RX_STATUS_3_ADDR =7'h1C; +localparam [6:0]HXAUI_CSR_RX_STATUS_4_ADDR =7'h20; +localparam [6:0]HXAUI_CSR_TX_STATUS_0_ADDR =7'h24; +localparam [6:0]HXAUI_CSR_SIMULATION_FLAG_ADDR =7'h28; + +// register bitmap --------------------------------------------------------- +localparam [32:0]HXAUI_CSR_RESET_RX_DIGITAL =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RESET_TX_DIGITAL =32'h0000_0002; + +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_CNTRL_INVPOLARITY_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_TX_CNTRL_INVPOLARITY_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_PATTERNDETECT_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_0_SYNCSTATUS_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_ERRDETECT_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_1_DISPERR_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_RLV_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_0 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_1 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_2 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_2_PHASE_COMP_FIFO_ERROR_3 =32'h0000_0080; + +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_4 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_5 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_6 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATADELETED_7 =32'h0000_0080; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_0 =32'h0000_0100; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_1 =32'h0000_0200; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_2 =32'h0000_0400; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_3 =32'h0000_0800; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_4 =32'h0000_1000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_5 =32'h0000_2000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_6 =32'h0000_4000; +localparam [32:0]HXAUI_CSR_RX_STATUS_3_RMFIFODATAINSERTED_7 =32'h0000_8000; + +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOFULL_3 =32'h0000_0008; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_0 =32'h0000_0010; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_1 =32'h0000_0020; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_2 =32'h0000_0040; +localparam [32:0]HXAUI_CSR_RX_STATUS_4_RMFIFOEMPTY_3 =32'h0000_0080; + +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_0 =32'h0000_0001; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_1 =32'h0000_0002; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_2 =32'h0000_0004; +localparam [32:0]HXAUI_CSR_TX_STATUS_0_PHASE_COMP_FIFO_ERROR_3 =32'h0000_0008; + +localparam [32:0]HXAUI_CSR_SIMULATION_FLAG =32'h0000_0001; + +endpackage diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt4gxb_vo.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt4gxb_vo.v new file mode 100644 index 0000000000000000000000000000000000000000..8ef56685da972b92f14186ad3e9f4325cbb498c9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt4gxb_vo.v @@ -0,0 +1,44084 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +J9FZdkdxQ36hC6xBREYGa3YV0Qr+oM4oOOoMu9jUkTbzNHtVa4Ul1ce8Wpciww1n +oPmyTJe5mtdguKahPIsxSb9Qmk/pOXiEYL8Ir9mF9wxPlH7VBGl1MSEpd6JZiJ40 +/arJKHXKrT3/pLxjxjVeg9wtp0qJShrdsF7NzFb90oY= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 2114304) +6+lckt47D+4Fql5A9PhNXyFnbhAROGPrS1aMowEZDjREGfmAWLp0j+HRJMLP3FTX +O7YmJwxfabBbI9hZw/36T7hJK+H/jFXW1ze15el3dfj1XVFwAKsLxQRhXCRb0yKD +0bkbVWBOGLLdO73crpQ7Iw1nqDLjIOgbmtQg8qiFO8LuTiXOmOgADachz3plaAR9 +c7xlP0EAbnqX8JRMeE/Lf4L6J6P+YS+L4dXBsdOCNCaOR4VJNc3J6EG/hkFh0sSQ +7BNNmNFcRdyR8xdL2mkrxT/ZkBjAey2O9Ii96KjNVHc2pva7tupvSRSDBirCpzAy +VtdGqhU+x8SM1FVGhFJZC9JiCSZYSX80yWXd6zRNBya8rXFzNee/Hhm7lc2vG1Hx +arfOTmnGt9wlVsz+9D3FGMEfE/gfjTSTOVLgvjXuc8FKrWCYPu9sfZRuh+ddfi4J +5DRL7oyp+0fhWexmoOJkdjTH64qskMCHOhgonvmN9Lii7EE2rq5g1lqF6y9rGaom +2tnhxMmaF4oORdho4tMzjtC9DwpY7coc3oaMPc8WYBXogGbo4SmGqa2dHv6RD0+9 +2v3NbnOLjU+ZPJQoOuYrfpevBuN7x27Vwac8MjNlNEztOC7zhXlccd0nAlxFHvgs +XF76YkFcyN5orTwJtYhIcVHzhYP73WoNcFzhUVLoS9ZBc/hRl5xZTHYWjBwZmxx+ +BEwpEQbur/gdBUg0d9gcKLKeRY2Ar6b1sD6spWHwZ5015tM7VHRJPbUMQ19YmY1Q +t0ypiTLNd9F02ojfA6PgsmdVhyYVS+Cj6XhXonOunfygv8/6Zub4vXWy8kTB7SRE +b0JI8rdjXNHiAuOt5bl5vJVKnB8j8RrmnI+gQkT2UtZRt+ZuaTFgP1nKv7cwlsos +BDPbIi74qEBSDD8fUMLjmjbp0/zdT8FQzyVdyZZ+QNE5PHLarSpmmlcr62Nm/YPW +gG2NoH156dsErs+AOu+E2YGa+YKp/iuNKxSMVn9ZqvZbScFwVKkOaL8hiMY1J9wI +Xvn1xo/e/ddeUXwbfdKvYxQ1qksQrAoRMWwF392WX6ZHDNIqLdoQ/Ys/CLGuBdEd +S3c0TGSpkkBmqYESqazVcRpveNDkFyuUUWhzl+fUw/xq8iZT1C1RVkrfyv2qqBz1 +JCqLEnBypFetihCpAxhujJNZ0gGshBsTXxYm2FhgTJZZiuYsUPenGpucIV2Yb5au ++hXa9TAQ3Hl5RpbIxltSstFGDUHyGGaFmBLYaeV8XVUP27WV1b2y9xmAA21/FhfK +YQo+8Wrm+uzTnAOxwh0mCYXntTZUhFJOS7h1QE3FEhsumlTNYcghj/49gADShkGr +h5eUwvHLD2OOmw6tcaonP7zG66h6/gX95wJAXwsGIh9Qa0aYtV8ZxcvdNJm+dglv +y2yC8jbyKXWJp/ldNMbieQ8B8E5u2vzvR6uC0w+soQ5Ttsb8dcVDTEBXdW9GQQOL +hoHLcfgtGjGMPmVJYnCTPpnJOWAdQS+XmS9Khvbf000C+LeMVOa1D/4At0ShtatN +huhhwI7/XoADnkkLRlbamQCZZLuDPYE5Co26VQFnZazVSCJAgOMaPKftyx8fBcGp +kDuqWomD9r+vSiFWdEOTmzpanjl97JTCIat0VbdL54l+AXEr+bpUh0VCHkSE2i0F +OW/0QLzolORJ+ULOjtyb/uDBA/vrJN4141REwujCdCdGfY8HhbnK5LrWx6rnMsI+ +Aauv8bF0mhF34uT/pqpM3V1rlKTyg4iWoXzA7MZfrqGl7Fbyg8M+ec8FBRnbWKaM +m4bBorKhudN49ZCdkqAxRIugvH6jixeurAvAN6Ow86xgb/5Y4hfJxmFr2KPGtaHU +mJSNrTud0g/R5AlfxbjaY9nfAyuEyiEIgavKYUXzihD8CfKVgst2gITXHIJiuveI +XRZQtbHUpPRg1k3/STzn46V7nM/gKkys5dNqUakQOGrEsiitHdH6oLVQasu7ySmc +SwIVwFqy6HOSAST/jm2X/S446i418t8mnvocmrWxvz/MBNJ9WMVPPVwgXGXKwwTS +XTblN9BFIijivAGNO+NF99dqhaZZ2OAzPtTYkr166KRWJSwfAGGcxZq878AIQvaO +DMeAK6dD67LonZoYOtx+N4GZ+P3w7qos3lrtsQSNUV/xx6QsPW794sAp95IeCyxA +Me+JcHYbwXVaFttvLjHTox77YI6pEHM54osr+Ls6dsTZUm5bw3ECFy73+X0Q25o8 +Z4BZfEysQon3JxUesO9d/7M1V5u8ClIdQXNRFfz8iijHRZ0g6qApSdab+JDoMHCK +tEo+dLsqUJNnPQnLGpSKYnEjtPhWjQWEXmJozh9DSJU1VyBaAeg/2TtEZoJnjMh8 +vb5Y4NnYE6hMz9+mWifryRo+WThAlGAf7slGcUtI8L+VH+8QRlsKWDkEYBwd+jk3 +QwaATebu1VXvVIP+ebRYPI3fOozTmNQNnhI/2yGvtpKNL0q4zD7v7GopqWSMMzx+ +wAsNIkkLix8gbO7CGTljQ09Qlb+hVcbXbon4EOOiezZ3LOniljt7bTLNfmlbS44+ +mfZUqBcyGUFjWB31fypSH/9hz4fvs6ZhpMAaKIkJ4DgIRx+AOQIPY1/cXwpnD09T +OL9gc8HCMcWVtgnxj4MdAiLKFEjv6jHjPpeSME9UBGag7StK8IhCXbD2RbXP1pFq +iLK4nsh5UhTsY3ExbMrXMc38DEctQ/Xwfd9Z82IjbsxPuQ2npPXQcAxrRhRCXjtF +UT2qFvcBGncIIak1sSc2F4YxpstPfNX1seXPHPfXiahwIfsGeKd0biDFhQc+NK+6 +VM2RHJ4bBdr4nsCba/mgGs+qKSrFF8r0M4wACBwa1VEmXO0vUBp79eeFV2sSn5jz +p1nPB4v5bH2CQ71rS5yqbthYP9fg+mRGzHSAWlm4FRmZkThiApjoVNSRwjD0dO8T +NzUXfeguxullsLlrg29C2/Ac+zyjJW1m5V7PPfD3eijgxFaayMMMeUBxQqaj7F1+ +FRKb14pEW7w/TbZr7dTxRb8XG0lFfUzH/EWBhtiDdvvFV1+1QsNg15v3vPkLim4h +M9wwOjUNwcjot5t/Tp+wIiT2/WbgZFMU2cQMcGLRtujwVAM1FCOXchxouCs6vTSp +PEe7jYBm/NMx4GLVJmyrFjP1FUfEWII3cQ+N6KF5Rv25jyTRYIL9Q1ZdsHOSYv4w +aYYsKUFNCESpXkdXcO/sGRC+88xTK1iTpoyo4fNUia/xHsuMZOEqMeMSv85vV4mC +Kv3pIaYfl475/qP47r9uUNoiMP3mjVKokN8dNdbJRbRlarc4eAcNbTAkBPijR4AL +ugKCVbxHCTWBE2vXIiC2yIwrN/5dVSvyEYgR5i3TyVtf9JsOF+CGvpQFXipRRwGV +LSPhklECTinP8mX+BCXzP+UJ8c12OBJmGiX1XxamECSK+FSo7R3bVAQ3D67WyzB2 +7LNRTem8reY5G12dzNtrrDsG2WnHW6mamjq3pKcVKnkDaanZ1yD+cJZ8mrpxvKK9 +8WioTrdrGx/4ckavGeJsDrdwKl466x4r5GEgtZnus5LdPZtR5fOar7bpF83gUNhe +l5GuPpD+Yux6zA0v+7hKXJNXwi+X3aY4PAmGt2dlKNbz0aNEqoOnDput+vd+1uVg +9iazAORv5L5NSxSTgyah4ksUkFOkq7DS+VvT7cPYrAy+fIZbmOAWQIaL2jM06Ucg +4UQNX+NjnHfH9LreKqCXUzvcyDGBCK2QU/rWFTvsTPboCqVr7sKvUIA3pFqaVQhc +hXbkgUsAHkgKVHY8Htiw6hKNy9W/jMjfKvKjdHemNQ5hHKML+Nn4DWyRSjhVezt/ +nRFu2vvKJMXKL1q88vC8hId52kVf2y0Qup/gw24WX630bqvb7m//+M9+JpNOdK8E +htrF4zccvNgHdrSafyY8q5QcOcesGRR40iw7GILDbjosUtRLWu6K88Nc8jPlSVEs +WpDx9PGaJ1bx5+TvpvpvNELQmtMzccc6UwHpM46NcLv78yZ/GvcJrV6ETLw1lheZ +fGc9W3Ao01PRVrPKy3jfFtGcF85Q4V9sMvI+ypS8w1CZGhiQKr0S63mSUVfSirT4 +BnbAI0BEcOAQ+RvvW+bhStb2OxBKeTqMS4s3PwsiOuLhX53n5pNrG5+jgYEJphRG +/e4/oJqSlTueYyXo/intf0UfYZJ7+xh6HZADjtijqpyZ2ABfdOn7PNRRzSIcSK7H +8tPsobyUaZeJWiI6V7h8wINppIut3bhKE7wUvQlBjIo8qtcYOLk5uEtWp0upwoef +1rwcxKTWkVj3KaIF3OHciaMr58r6IjXHO4HSrpx1UGTq+3UcRQoDbUo6wPghvdMZ +7G+Ph4fU5KTgcJVuDFG69icDgCkg5w19xP4ccfz2ZhD3/LmTPCF7naY6L4r4xoAi +BKEO/34sNPGmiaCZbDsFjFP1PR0C5Yig5MCqZXWesfhTxoh27STVEO5C8B6nLcUy +WlvqJ/Hp2edIaeBEcBS3m4gaAf2E8V27a7gwOcllx4ILcbGDvM7hy6Kvx3M5GbgN +s1f4lddwSUMuH0aWh9ApUTqt2DihBfGHCzS2tTcRHg8FeWQFdo7xVFrH9pvo/GqY +6gwt+PAgEyKDJNZXNwWMHvl8/BBCZTOsm/jnb81iDGpw2dk/949Myz6Qe/x3dlTY +ewe1lv+aL5cM0YAIBDBzJ/OV7HrH/yM1JH7xJwTo2abBAjT29eZ+M1K8DTVrE5vd +4L63N/FFneosgtjSxgAGIBvh7mng+j7LTO9ENKrfcupdfNo/CiKfBm7fFKzERqWp +UBT41UYpVTaiml7QNX1SCxJzSBoj/vNgrFVMo8qsAFGWfFiVxPVuclgAYZx5ESEd +K02S1X8wiv3B9p4d3eiFuO4Ibjc0+T2sl6Nb+cRZwDYPPBrO21GwiK03OD6i5Myq +glD2XtovGOwVonNm4u/QklWk8fQQEir/jnczxg37ETegyca2VhD03DICauWqbLMD +LCpaYhsJoJ2Bo4cBwcruqRKVr5/fJFtbXfmkNCsy/+1NhS3xmBRO+L6+AyTKPhjp +UznCz/E1M13WrBLkpSyxEErEUX2+axhlThCXJ6bOVHblwC7zSd7Z/ZPaYBwpjXFH +TgFVpsh9HhznNo2jpzeTAt1jouBdrqVrLx6zlrHfAYa94obXAY6nYhA+FdGI66uN +USDvF50d9yAgnp726yJFds/glrracrzedwi1Vx9xbZGdEQ8cdXG+0J0oEqoFP0H3 +2tcLxkosTNkLW6HSFZzeHFP0rLD6YUdqfkCr1ghgWSoMGhNNhEbmBGQyWWOh4Xog +xy1wOiEmqIf7GezMh+VjuBEHf2f48luT9aNDh491mnXsutz20ud49sYKTa/CgKXL +2bXDe8ohNO5HnT5ojFKaiZJRvBuDfpEj7f6EZfuJTuaLlmKWkxIZBqRGbONSIT3A +cwx344PN99Novj34PrINqIwaBp4xzhOuiTHNtioBuyEJbNqRpksUrTmd4ehko9+d +ZHEKK1zliQLTHYiSvB5egLm8c+2wDe5gOxXcFT6z450rfNxMTE0OWnb62GCYyVgg +YaNnrvsGOEq/xjRJUT3BqmwKMA9Z2+rSbaHsmZtX/8KYSbKZ2DMdl7cm5qDEqMCi +uhYNAf17W4cpdAZ5G+DcjAWAZpW/xFNdENuBJshsdqw3Fb1HTqB/C2WXqVk+UJEu +cChqYJjyDEBkfAfRI8ZAPspIaJ+gAthTrGydujbTb9N+bN8YsIf6TsaWf61LATIu +539p7FIpGVXvu46aLbKHdMMpUGCzC7XRa+kYKNFG6P9ZcyKU54oVzV3fRQSGh9jH +B5D66Eg+Y64uV9xzMiMDMp8Xb2a4aqv/xh7mn0sW1CVAaFkDSLMCdGBA9g0IUbWp +4LHXL+UpVBPjk0GeosPxuFzNxUAgE+++q0++fJ/v+4kD67Dq9ZpdZcDhXNf1NrS4 +2Ym/20gWJMzmz8uhnrawoSSZuGPm6h5mWLPeB1JHrfIVUEc4tZqgReMftmkIBk9G +CmO3qbUd5SV5K7YSj68Qmda2iOmLHQpb5dVg5msj//Gjxn2DWvH0mh88XB7MB19m +mTE44C06Ov2Ce3pz28DoBhbixvizOwnu3eYiH+kDn3BERl6uJsKdhI0InXHzMCV1 +ffEo7n2HV97OjZQSFKhCrwFnVR3N5U22UN8/0jxnQqByYhkfAtTbYE6OfPcNzkQX +rlEFMJsJ0I9SI3v/WETvRP/sQvgG9HWOylDKLhWP04OvXBzqKyqfSn40rVQD1ASw +J7pr7ZuZpHB4TILASdd0xsZlJP9M0uyfXwWaYblaR5KVH0iGqNA+I0IzWLMu4W5H +p7EEjpqYqBPXcv9VALw7UH+CVc6ibDo6mhd/f54/ffpRUuiMqkLmoNgmkIg/2Q4M +5Sj8wbj63gx+7ddhdOe8jA8CE7Q5w+RJD/RzKQh/9HoMZzWwAvXyvSMG10eau84f +aalQGQMszUKlo9VBcaJRLZAoFYFzTK7TegSy1BQNQ5AFUroSpoOuwHh1yVVlupBQ +oM1Db9fDk/hJEtSw3bH3iSdMPhIanBMV+UblInv5Hh7BBNSDtrJN7amYzsVdf7Nt +2lANu7Vrfnfpy92J4H+d8Jr7YEjs3Ca1LSVlPOwW6cHq2LHANy9umBHRchzaocZ0 +A/FnD5OSgoOa7Bo2Kw8bLgYdEr5jSrQryD9Jf1yb9LsLiFV9g6eSaP1brkVSp6cR +yt8oi/7pnDDuBCgTA6lcBOeK/K4+20cqHz4TUhOOQV++UW90JWe9cfKGkJnjCrAg +YW/Go6uo+S/yvTmBvoW42vgGy8wjN/WovW9pFH0PCu3+syGhN4bZRZ6bew3ohpaN +mkw7UONTTlNI0VctWfQxmXvyLWLSZ/rkAj/uon4bVtms2Gnp3rtrurr06rM5viRn +Q2w3UA59GvQbY+8GwK0FNs+ZhgWLyojrjhviT9+i2uzyGxJtnAEiKgg2vCAnvgDX +Uk0NwfJ2+f3vcf+oQaI14895oeSCT0hhNJ50dfUzkcrZSyTBwrwTGITZ1b7gwyMJ +REvRZRp38OUhZLP4tqAI/x4BEI9iO7z3HQfmWEbIsPqhR0BLZ2Kb1fJ9RNJq41mb +MySSeegdSYpWdrYZpnuxEGEE6sU5ZptWQgxO1HODRh7IQrdldQNWK2Erfwe/lIaK +Vd+EXDlY3FKNQN7J8NTcRKSJl61bqBceenYV9g3tehIPN9vlJFVF8Vyq0HbXdXZv +/Z2kaJcm/cZ2zS8ioBMDJ7NhcsI62oTZm18cGY95ijS8BlO5sNGQBogpQ0o/h1kP +QWyGbQrKJAP93RXwsO15QSAgnAnTNeBJ5qxXyxrQQgek5zLTNQzXa0VGpKi9QOGb +GnNBFCvklzCYKboIhn2mV8lkJ4VdoDi/Gw8y9GbpE7DVsaimrR+avZdU7ZEzc6aD +l5cxdF0TLIW8IeHCZtNFKY5ULzkjpMI2t7MVNwAHwbmQC8EAA8DilCVk5eJTjgoq +e0urOZ4a5gAKBTrZTP7DUUgEIzbspxAYAdI896sg5GIFd9drZK0jEYtT0QwaYRnC +z7yBMWLGhwq2ysUACPKdgg9YeE1PO5LjkFOFjvwuk90a+Gl26CqO4+1idAjTdh8r +w5gqVANT8tGijdzUymYeKiZxFjo2PlDz4CM87jLWW6EuLpiSC+E5Z3Q+NZqd98z/ +HLQg7C2dptxD5lnigGju6jRfFXsMKWz+vyt0xw5EAz6RppDygt2iFznuZ7XJsi/B +WPO0lX0o6D0rzMZ9WeKgFOof/nadtpI7Z1NlAGfIS7Daw1DShPBV6/D/BDsG0vZv +dEWYgeefbsnmgFPguJ0Hfu0OeZb0j+XU2Seq6ZaHRCqx4xW9XySjd71gi6QE8xIT +W7htHu3qDf2rZkaRg9EvhqKoIUHDDC6Wwu2DKazF2C3PUkpTPzXvhHVQ0hY4RMD4 +Olpo1R5RbhFGB1z2ri4Z7odOKm0KwB9c6jlV/d2/2CREr4JaQuPFFJw9etQ/gu7A +wjUUkkYfs36hTB5eBnOQGLRBL2z9D/HKPR54vBG0Bu6FT7WiZWzYkWDVTEcNxZNh +tFRqsyGzdJYeSWeWJi5Q9wwlJ6ImsAtP3eW0IBz7mzLNifIPnjqox0yxRFtTcRKE +SfIksVF4rX8MXfQk3u5PdJssQpifFpj6gowyU9GeKBJM4lM1D9jb5E+SsZdDPQXm +bbX3qx9yR07lKQ7yOUkCFiCAAVU3mKyQ7LcPFopFkqU9WR4fz5gV2BDQvx9lX/5A +KJUfSzj7nu2pJQRsLOR0x1HttWwkBZquH1CnB3msu3/BeUYfv9FNezQH3CSV9c/J +kqkc1U2HZ4Zpi5qezYydC5oafGsHuyKuuxBYCnFZ6SI52MKKNIFn3CMHAmQokuyo +HWMJVOzDTWAleW97cKv/5KoiY4X3pYIHv03gzqJ5rJnYn3X5shwVyhJd//Mk1vTW +eDeK2WydspO0pBz8Vvzdww4zdeoIjidml5tdqAiuy6xiK6lXa5+WVYG3XVmctShq +gi+RvIHTvtqY+FEMPrVYkRwI4sOrnClOJ3snBqnWlmU8uoZP4Mhs319/sK/GiDnT +IqUqP0l1blDFdBvoDQ0OBIr++hJxjk+kETMi5PfUEcnpasHR6itRUR65FcCb7iNv +Tl0y6YowGd3hqXmBPFnp05jQlPXgZB4EdK4JHbKyEffu13/MUD/kCYJbuP/aE66G +8uQJeDaWo1SHRrS++MXBZ8QfJIdNlqJdEyntQZ7lxO3X7C2uK+EAZ/yHa6IUMS9b +6jSKDm79HO4OgpRlgFacCohCEMnFiV+xFurmDzV+o8tZpTOVf0hRneTU9sXo9ZEW +kBO4LBboqOzRW10wkTT0ko1hIio02qpI/raystoY4H6Lx9ck/7UdpXN5RWUHyoVN +5MMkBcpXDSGgujgbRicRZ2LFa5WnjXtFmmiv4ELICuqd4IQRru4BNhH5Ks4tNklZ ++udl7dEaPDWhIkxInqtSWmsCF/tRjoqKwB9cJiDAgKviqVBFfl9nCaHoKNbe/KEI +qBKUA3k7pKH6QGTTFjPqYc1bxSfFI4sohIWooVYSD2aW1QMec9uIGZrUxw62BcgT +GieX3TA8m3qCj0CcpA2D3qsREO7yU+WQ8qDG6oVbbiJhLw3+BXk9H2DGkABSzKEe +bOqKBz5eZQzXNbY0Jve95Z/03/QNsHOzXn6CFxOOmjbwDymdSymdUqbthl6eAeXO +C1XCZxFep4wt4aFQ63eoW3SRuDn3/FHvaekqi0Q46LS+vY6lOzZk2a/E5wzwGEFi +c4yi/AULV5YtVQv8DeaYdS7aTwv/gtcQXcFhcaLC1eUvuC61Q+38dcWbMVGgUF02 +rSMn2PlaIe1G3nCloZF8yPH3RUPlzoTgHrqkCuFt0BeBfTajtRnJ342vEFFuA2Mk +9ZP37qk1wJXUSkMyWtmCYH2MJAgVi3F3d8Y3Wo/4/SfJAYBmFQXMw+wEX2cUL9hz +NGMBPiGnUcwMoBXdfnLyWdLKB2G/DdVO4ljGD6b0WyEh2rf2OjcZeh3n+WWx4Ha6 +C3fxAxr2Bs7WiGZHCFFcWLomxbC9q6wzanLGdJI6halLJyfbFVD8lbvs8rqtWQ/c +KqgyYaMZDDjYn3hQsrNdc42YvecDINsNJX5oA4gb2Ggsu5NE/WaEOS8Ba7VuW/hW +8TFIv1gimCR5q4TyfuVJFNujCfWbG7IHPr2PbmPWxT3Q3aFClp5r9+ueIAebqPFL +YaSk646AQeviHTYISsuuDlTSSXNgTJHgHAQfbtWEPILoAJbnNkt4qoSN3yM+2wUN +K4DlN5zr/vcuSWAds5sMxVk3/KapM9VONpqeCUT2kTc1cRqXv1xySW6I2125QhBZ +AAmhmLptwqQSZWi0zh5qXP1JPjvKc3SgYjFIATH+E9Mu0HVz+8R8CSKR1jzDS5xS +jaIOg0oNY3TDtc5ENar/4iwbE8qo/ExtGJMTWx4iaBa1wdEIabERjts5UDOuY1BF +AJgSsLLVd6ML4GN7EXlkFKtBrM2BO7KEOqXPGewlsN2lyW7+ehYmDM09E+JWl8wr +meI9tJvocJMYzBXenzkQaZdliGVruDsxL3otH8eLn84GtqvwgFLmp6PRXyr/15SC +KmyREMU2/N3++1v0uEdjILTqqqbaBJjVxYp1IpystR6CveC5f5e0ALKjh7z9uum8 +kmhRUOWuETpuHqu9tRuz73U+GJZ4xORM95LE9SCf8HRyWspxYjWwkYqUqCsrfRGC +v+9vW6wld1RHw+F6XR6yLQg0xKuFcVy7E24WsAIxk4ZFFoIpY8t/EBElcfdjUYZb +NyUiILUk5agZ48QJZDGIpGyYEYxgta0FCZZ2acfxaKQmuGyoLDM6ynbkeHXyG0yX +Eq0IBkSpaGFHlbZTY7N+UxPSn3repQlq0hyo+UXB+dP/tLS9ZY5t4P5jw4rVxCLg +neyh+oehLpaMjZ0vCziy56rE3aBEUOZ13mQihKiRq1ytZPR1pKQnDk0cKgj1kvJB +j6rDNrOfNMLWr1vra1cmpkU/qLHN/Q1L0PblV8TjMu51mgbW7nYQSDcWaS6De39b +lRqxqP0E2bBOE7Z/Gd4g233kGvlMCzgIkV57iMgKWVsVZV7oNSb17kRdmhqoQ+hu +LYjiu8Hf4IcWECAji2FGmopkaJY/lBTrlJOmSxbvBvqQgtfmTPevDTcMETtZxy4A +TRYukSyguzx+fO036Lc2C8JlWVrM6EGjJV5XyB88CAVnkjsCgowMkprTb58GFzwN +bV67b0hIyKjEMXXRZtJjCVZSJbe14kIE1R5N+SrJSDt9jtAuqwUecRfvtjE52sea +KvZcMfHyffeM1pEljEGd7oz5ms3lrTD7iKovewZXi79UZpjNYz+0F8H0OjwObcuc ++SvV0NM5pE4Z8Ay7dkRpbDc9PNVZ2uelLOPUjm+NXrT3mwDDCK+/k6R53mXwWtP0 +l2iWPDekAwoYNaGH0YtyjeYmJhMwfP/3yEeSeUxX76FQRouzS4EX68SulEqjgIUY +qu+yQN38evClM9g67iFNLZ41kXWo+lgXtCVlgpHMRQ0Ihoq/hqcv64zHvwX18lEI +THLoKoWB7xrhCMTkQ1AQzdvMgpZcFhE6eQh6nrUcWcXGX8Y8iCU+MapuTwHq5if/ +h99uLqgTntS7Vgc2hgBxnH3w3QpDAjnYbI/QjcWMCmmPavJb7W5p7ebtFTPqNyNe +1vgH0ANcvd32gFvSUD3UdAzrdmBb93BxMAzV70P4iC1DJ1RSnkb89TF5zVFpOYEV +xq5F9SaJ4HbaWQP8+aPjrSxGQMJ/oKjKnx19wacGRTCGdlGRmw4ByUVxoI9HbP8l +6TDFgxtlYj6PMk2OkobIbxcQB3rsf51lWbcvYIJcZx3kcy4LAEZmkjv/mfiO0EqG +rLOViFqbaRUbTJBQV23+hO4rEuy6kZKPnOaCH45BMVEvWad/Dk35gVtB6PsQPOis +LKWGvAZqXYqkI/lypgLp/20i8wRZ7zhlKS9dmQRW1SgwlkcbSavRipJevZc1tkxJ +ITAWwlHbuHGW8J/OLyQKOTUHTdn0k+osqQSgt4r+B9Kp/LcGlnNkUQi9uxUQdqcu +zA7w7RD9PMJiq/y2Ap9aYT2Cdj+eKBVelquFFgAk6pqsqXIuJ9HrMXXEb5v6n4G8 +uCFHY+ZT+DWKjJh4qjKqHvC4SSoKO4LUYKRLH44i624tdGcAyQBse1XvdL7jFd10 +x9OU85qcsmS8CsbNwWvQqJy++fAz591nAfMQpaGmCOIq5PlJWet2THDCjE7/vs/v +IkMDOikd3LY3hjEBrogpUY5h8hiBn6rHQiAm+0XrhQKJ7tRuFehrrZUVNeN94pRK +hGtWilK1RnyJu/KA5zFzhoMe9HNJjNBercp03jF3RfG1N6JgeYRtJ2vx3+mQd5nC +nOIX0hpaETwo03rhBmxSGDhmICplL+FzHYRMOBjw/uvdQy/mvjf/RUqOb+QfMbOY +fcCe9VCLYTsUrzEbS7FTwaAQm2IDkVLe8tCnBvAK3tFn1VdHzOzNwYGKSPIEdb0Z +iQjrIh/Em0UNg3KroYEST6X4t6ZOahRjalwScpll3GsYaz1NqtwJ1+j9DxxZlnFf +9Z0KGcK/H+Wxcb4o844tkvibMwkNonXmsugBoHVUlbHd04sb5Gw4PbYSevUtYL6S +N52l0ikqbt1tg6zVEwqnCl7XQ/V2lqLIbGjWQTuE1PJz1RFk/WwIGxVwHQt5wN6c +RjHDi7K9UwAK7Mc5adlBnzqLEXPQjE/y34Ftv3fANIagPD+XbTVcrMV9pP25cVcg +zblgq0lxZYvpPxmKTCzDGYMY5LPHFVPLmZvSWkWxkuLLDqX5SvLaycmNh81NGMUQ +1OynUrJwwwVoPxo1BDjXlQ4Ztzs+BT1OuG4OamDE4UHwtDql0rXt34d5blUPJigR +8s0tmFvCcZbJji8hCTlLYAivSUHvIapU/wWwjiZmRqrRZACK1/iIHsl5DaS3t+vb +Abjj6QduCgLWM5gwY/xLqP5xwWKoAXvny50/cSbXn3bnCPUJFhoez7QqStXr7GYe +aHf5KEUp7UG6Hc2uxBO1UBY8LG6QOCVizD5t0dre4LTQyFifh8XMi2irk9k+Uwz5 +Odi63hPy/YCjYu5cQmgoZgxmhZ0sRMxvp1BdukySZvXhW2CxLKVCeGSUrfqDD/Ge +5IO6TJZVTBxNMMwflISHKiUlQP0RjUbmeMFJgAbCgBOWyTULWWYV7+H4tlgxXP+B +jbfLjyT7Rnhw3LFacShfjP/zP7bEUWLn1TTZ8/ujGdJ0hBrbfQMQMsehJSPJ4XaJ +R5QtlDw7O9JZlTmpYlxLzsbKhepcyZidS/okZn2hvjkZSgrgd/DqGzmoax7poNNY +iDx4/DRDG/c/Vm4pvQGtx+7Nl34mJYLt1kll/c/J1TFT4egrlm2WJMlNCD9TglrH +xFZBx8gFr7SAzSppLC5yWXIBvplcKslk/NUAM90VBTBUwzHWLX+3c5WUje98viu1 +5eLnUXkbgKOcUdCMoO3rTHiW+Dklfnwi5TE3lsYSe6be749yPl4JvSzSyeoYD9tf +JYFhR64zpB3eLQmKfR69nc0WLYzO+gLSeW4D5LTTx/Gzhlh3PAC+ZIkTGM88hv9o +HL9MxmbtOERTaDWUblg/JiAsh7qabnKri/V9qnhO/m8knSBFYoGaJKho3LCVkSOy +r3VqnLWQdrfqrnTUcmRKC8YD5yWz4LMalIL61k2UpwKXPlgIO1XGpJllQOvGpUVH +xQ+CHSIz+HcXed1c3sX8EB3tPHoeuC9d0TfcCjbHlbEDDr5N2Kzjyn+C5FSwRqcw +xus0dZnUgfbbMBH33Lc1/8xayegcxH0A23ykmLmr5XLAIpm3FmTR6LTxTttWSs0A +V9sJ8vrgQv7Eg08ecP9hjP6kKyKn3owZugaKbEaD079lv7yuYQZZiOUvijQaaCU4 +x572Wh6C3i0kb9TYoN7YfE0IahzovbjmlB5WNk1wpoaPtdTt7LiTqko9v/zgM6/D +uc6QyackffxGKpnGIwNaeJIw+A10gctn0d35+o1Czz8oq66piPsDQ9xDiwsN4au3 +yAaSnfUk/Lq4JkupZgoThwZ8I4UFOJlsV+LtFKMYuvVcZ4YFlpbnQXKCbW5v6LXg +Tsu4byBa5xGUQuouATu7gEfB/xt7RjF8mlQwjqr+E4/A7q7/jkAEgh21vgWF9B4+ +D/v5UwIpRmX0DJxIkDyHy7zKdq7A9L7Ag6QjjojiaY+dGbNB54INVCTlT8Q73KQ/ +dnlvGC4C56C/p9Wcw2dYDQPzyNj0qQHrZZgac3brdPgFawbx7GlJa0VTIUmqv52V +UCJ/oyoUii3MjjNtGVHrp0l53Q2qcQdnDAvoCCO9nv/0UN396QNeoKBzvw7Ygopf +7CFHsihgeg6L7SCofSHijT8DLuWc99xQYBRGjHN51RHbRaXdjSk9UjC93KAMTCFc +vzp2NlLalG7myhFDKWPMO2yYwYV/pcQhKMSW8FK0EqRIm+poQZvF0iJ7vKUWTWTU +g2yIxkzsKR1xktQMQbF0f+P0fwI/61eAUoV9fIFYbB5pf6t/f53Hx5U8ppUehr4D +psIZ2qBM2xI3s+TDXmEjx0DWJteoQ7j5cjYoGyTb7tvX+yIkhSn4jjRjtNvxomia +VvR7YZxIpRKyU3EQUehuxx712qr5/wNHaa+ZWK+cVpz5JKhnfG+f3WtaqIYHgGN1 +xYe6Xhu5s26KAtcYLhuCD3/XrIpNMsWmbBgHk2CEq8t9padYvtxAYc2lDsM789R+ +q7dxQ7KcJDA4ZoSm2nkQzTtREymobsahubwjvD2FxfcLaZ+Y1QfcYjRqjkU/vTek +cR3tfQgb5jeJIwCVoaikPLB8KtAlXpgYvqgK+N6bUoR07Y1Gs9553S4LVpZ/vcmS +hSsS3yYvyXZuzkEq9fXlhOdQfkjKraayU6JTYU5Ombn+JFUhE/GwHt+kiK05s7r8 +msYN5sv+mqBfJQToXqnJ0euXiUFgYEC+PNMhJrAy3XyDOnSlbt9XJd8Cs0durCZk +9kQJWMpRi3HOxXHOQQrPVXz7Bprl6LmjVvGbH142ssV8vBJfHNRTOsy8Q7BRUJOu +jYJhxVdjlne87HlOxSOI7bks73EZ+kt2vbJ11ErWR5bIz1Sf/81TI9GNkY4WPODS +GNzBLuJ0wvCmoke0UWcvfKNqRFMUnlLY/7OoY07ucDmn3vcjxYeBXOWAXd8dC03+ +ZGlJi2FKbcvWHO+jH8ubUhhPPUN3RwyDKQ3bsy4It37cIVtDYDJ/9bNPf7Q4vY7z +lFS2RZbFSNaX4m3/FgM0v79o07EwxcfpZU5wtQPdR5ICuugICfzFy1mDTn+nq0iF +2xUee4vFJ5QXAEXErHJj6huSf3DYgE5keQk1t7pVZdvseCT4HNWuKi6EK3E/088R +6KIantJbanpRFFaXe1VEGyT3uhvD8XmM/rdD8B9jaqgEsgf5x8a71QZ6ICiKF6tx +YpFxUc1BBivRDtUsxJXDFAFWt0IQ1zsjv2VwUPiKF1seXel5ri967634vSVnwSN2 +nH2FdXNlhm12efA5tA4QyzrLkXt8P9l6G4r6ifzHrfmYit2S4nrQuZhCl/yyg1f3 +8/UjhS+B4YZMMPp1pt1o+p+xfh5WCIeGMFC3heHdpZbKzlD+FIZdwiBeHManvnNm +IzOYhvesnU18W1f+EzKy547aQYkWLN2NH6mo3B+reu8HJwB64EXdLCffxgeyh+fh +lkpj820tDUYnNmzxoPnavrHQyqR0GN3g3N3HNiKHSWHfRid1Y0R2gNJ93dybq5L0 +XTwL6JvtVN1GEmHArmND62+ysOsO5Mp0UA2y9Q97mKzmzpHSidtAKToJYlTaEwcY +7G519d5Q8WEQxXzY8OuFROeQ8iopDFRW7N6HF+uNQ2bN6oxCXBS3Rh2+RooQoCjI +kP5JZMI8PRZitPhFkcDb15ZUOLGbflFD8S9LwL11Ynn91YPn3lsAwevxo2+IBSAi +Q/oQB3bnEx9h7lmrfAfVreY41kbKcOb1jNBBLNxisQBeeBNssmcNCbrz+1cNE/pL +kz7SIzpWZnUF48K+bwYuHN2IMhgL8AdcEtuyTqileToAasuAVywZJPeC9qbWMIw/ +NS8F0HxuW8KgVPep/B1srt8/RNp/i7dGUcRf1Mu3nJ3lnjDzRmw15W1miO1EaGbP +ebCnaoUtLmKVv1bo+scatpVFamjCCGny8lM3JX+c8RVyilUBmrTVv5/ysaG40NA5 +zeB7Kbapum4r+IrJk7D1LuW850vIOZi5n4W8VUEr64FOqAf03jT3r16TTsnhiGVh +f7vJR9yajnqbarOaOUex2WEe2yVMr0xoo+lq1O1CogWwiNQe+wPM+gNN//mpn/Bh +lN7pW8nanwcBw4IruuI27yotj8p1VJYWC9Inaxqi4YtL7459n9WaRAOVDZTNks78 +SsmHJ8yKE2GxojGxIet7u+nyC8oUEEC4kFRRBU+YRV3espgh0Bfflg560tTcQPZX +Hiw7njNDAWlGFKGdSy+Xd1T7h+4J0wF6JTqxfRPqx4s2vrdVhpRBhUY4C6uChwh0 +cfVXkUmZcuEZJCmsjmHZgQrfUDo33XF23nZfoDJ4nRrTUniazm+o6oWPXaCHT54u +SR9t2hpygEbcWpEftM/FmIf8TGG8tVXsksllhqXIPUDBxHz5ObX53GZJilre9YO+ +sU0yHWWsmo6QpHihXekrrNQfMkYn+QRDFh1mjSu67hf0SwGF56P44Btw85tHHAT/ +1si0nPlw10blh7J1HRfu8DtIa8tLWaWSh31vOHrrtn31ZnoAeDIjrsAALkZrri0w +2pUhIW/2fVXkWqTyi1GCeAVo9yq1Ph6MkMWVKO+zEzeZ8hRZjU2QHye1C9Q+1476 +xOaMA3i1R+LAnAdreHDO/n/hk+QvPQjGD6CpUlH5AQw+1uvVKgu1vpSyBBDFjgaA +Fw8yTdDBb2TP0FyowI8TZOEUnFSqpST/yN4QM1wFIWjGrlNjCpk4Gd0YYEwuarpk +eyrChsKw3eJ18CBAzfJ1zGeQDgYAtWgcxGs1QMcoY3SOGQg/YtUGch+E2Bb390PB +9pEGtKl5xaNkWSIQYnp/wVU9gww07HZzxSXWLNcVdz0EVW/uugn73/nO2uSYqmuo +/r6xRJgZFUn1lEzQTTsEuCksG8nnQZEZXaSwIQaKiFRrqB2xtw/qowg872679DOz +RG2Xe+SeNh+kSr6zh6pIw+cFYJDEJT/EmZoju8AZjLooGKXrIy8zerAA26q4YOpi +AUQQwKXss+H9nNYkGJPW1UggsFftFJ32WOwRIBP/FHv9u986k1nt6aWhemIsSeTD +XIxdbQAgQIGiiP4P5TahlPy6dc6pV0Cu0d6OLCE+7hk/aJ5MC10Cg/hMa+nIFf7t +mbfMTzFnI7zdWel9WfB1l1/nFVZwLcamuKTrJiqAY85E716YeSeRsJTSx4itkc3M +Wb6WLwIjtOUq0m/WwPhEl9PrWbH7KOYt8jfuy8f390H0wjBmgvVVjGr51/R+MJWt +i7Jx6uex9AOYWslKrFOXw+LKdduisUBLsFjhePC/ch6xNjqEcD4HKZfA8GVr9yyA +YrOzaCWjev98UEd0T4igY0fiBVtqXjVnKh9vfquX/rycyMmJCj8ZTv+VdZnC4XaA +Gx6Ykbu+oLoYt8aklCrSsZ+3jnbL5G3mhyRHP/+ahhh84kPdYCDqeSgVqhDEtjpH +ubEFI3VJ3oT+eHzhUFVFrf3vFFHk+ZmueWyjkgeGa/u8QHaVycCDwmLq+AuN5zSo +/D/wJaPcq47NohtUNxeQA1xR0ZgHMUlocTONRAAC8c9+K0hGtfH8ZnkRiygxE9Rn +zsF1wReUVR1rQADccduJTQUgLomqatiKY0UwQqxc+PmRFStk+YvqvDOB/K2c8aVd +C8+3tWbb8LnCU+pJVhW48EgCNauXidSX7F5K7mOQM+MVcXklgbWVl6z2b3E12EgL +I6xtqrktWQvdgSMmru8Rir7vT/pUyiXZO2zZ94N4Om1iRn3V+tvL7O4my9HFGMRW +DSL3SCFYTPlvAa8Sdd8bfj2By3Mb3Pf/+pn0ZeXzSjxM0K8LPqCFMURqMtztXPrw +tl1wyj7pC4v700Bz++eG11YzUjVA+Irszx4Fwn7x6Wa9l8nyXGKvDW82hz/vpEzU +HavoCT4G45sW6lBgSh9sOtLT/i0xzykGhWis3ejDgJAiCld3zMK4DeleDQQg3Bcc +1a2G9gOyNzblqCadLEWZfE4TvUwK53doAYPGPsrNQWe36OwtMXKP9mFLv3Y8+gF2 +Bvp/zB8iyO35pZn6eaFUmJoP1Qyn+4jRPvh/OGFhYZREA3Z+KrrY9XESg+ElLGXc +AdpWkuG/t71PkVfo+jrWPujA75LkpdZAK2SqCyVJrB6AjgmldG87ZfaIyOlmsUks +pjpI33xxhJmFcHginR/I5ZqSiLK+2itA0mpnBT4duyiet0hUAzTN0/64lTSsvaYQ +3bGU3pbKK6XZUCmHlsoo8Jap+P25a5cd63Qfb0gZuiyb4W/blU3CdQ7C4jK1GTCU +12LNcd2L7f/LIg2De896ikCWVA6alvR6EXFWY9igP2HL46F+IKsUwGtTIvF23xTJ +H8NdBBR9Xq1zZND0S9KrBFKUNVv8AkO+q3VgVDBr3PEe1k6Z3yHhYkbnAVDD0Zfi +gksO9D+rWKH+9A91XjLj307xmF3tAqjmCUtj1Yss0B0l1dluxMBbh+OxavkODiSz +XGLspeuxh4rCkYcJiCZcKfKX7t4va8Jarb2LIMUmkuDor6mu/wDG2T1xwyceIRrz +mnJDB0UQE50lu0QQRGYm9G+Rn7I3kSc8oBVboAiVVYmyERDzgevzkGRk0i22kh66 +yXv8qmLJRQr1LE+Ow4YQbjK7mcnjMt43xepw9R6w12ntYAHOLI8E8yq5Ch62VJbu +zf9u0g5SYFvIHs0HS/P9f04TMc2bsL6HC4cNJbgyYGZ5s9V5232eB9sFpTMv28Mg +rJ30lD0388N8L/LPSDpBJ5+DafquPEgmXRr87NBxv6eCfz/xa56RV8s5u5fIC66I +m+9yUCXuEEVyBKj/6R44TqeI4mtdAoszKgpW8bWUqPfG56wbzJeVMhpth3FtND9/ +kRwo216aYRc5pdCL7bmgOwUI03HrOalLs/5pY1IKmeKWWoYsFZyFVnl+C1P9XwYg +39i1bSluK+LbPsc+vfUHR5TRAmHwxQGSRfxkmp8ziZatID4b5JfdiRAczIgi3FuG +/efcHtAnDy3jUDhuSlp3aqYs6G3eui7k3a1CUFnnAgwhwrlJvILvRCvgSUvYQN/g +atBLRo6qMSvvI67QfMZXOgssMVEX9xFGbqi7ZbwU9YFraTsGKS0cAf+Aa/nUXMqC +8ihnzU0GbWADQ2rG8ae/2SrpViS4/oiQw2n4zlRo5Iv6PIOXYSPvV16QmFx2b4+g +ix9j23Eepc7/FVP0aaF9cDJz8Fd/ouZGxn4X7j0A/yUDlO5DdzoaMIKJ0anRcHPY +IbdEpEBFHBdfs6LOFo6OmpdudbtfnJu7QcWdO+++ZqBoN9Fd5nWuro5VMc8egMVy +iRf/Nbh4GsILsuU4EvlKVuPSUwq2eEfM9oZ1zNjSskNRjLHqAAJ4uzDMDQK8XnYs +X9pvj31Yi41DKO7R36hKshUiQG0tmP1f+AiT+1VJ7hdKM5YWopgOB8R9unDD+16h +lgaxecxblgT9oktwvFrg+d9e0sJ66neDE8rdGgmrAS3kvT40T2NlW3vxa64Cx7XZ +YFlxfi/1DSnDPC5FWJdwKgEkjg5qImrxGY+GQFQGInLJxuqjy54uiWnRp3mZtw99 +TWWS6PHQr6sNLA/u5r7uXzwoby7i95NdiFpN32l1pr5zbKrw5zfaLhNWw29Ba3kC +boxJqUBxx7e1Nq846c5iH+Duji0EbreWxffa35vk1ovlriz9SMA/oHZ8yN8TPW32 +SFH7DoWJ/f3m4pDDid2N/2mFdWLa9AmYSj3sG8Taneyx6Irp8mRCZFxg4pVpkFKA +4NWB5hRhvbMHbvlsYvu/TgyGKfmP/ouFQcaNn8UXufA4OKmxrhz4SiIlUExIHrym +YtGPqRlzARDeASgm9/2EwAPg+sxPwgksB8K6VQJAVu7WJXlNVnbrSzz2ePFmt55Y +Z+ye6VaeICS3jdCD5EfZoh2wtdu+HJITJut2qxWTOwm3NgUIgTyYpudeUChj/pQs +N7sNYREbEvp7N3j1qgbD+afY0p2uYUvjQ/OIU/Az5h5hvcJVu2kDEXjCzMr6aM7S +tO4poQ3UgGf0IRj1ziLI1+w54UOSzm0CDxADqaJGUWOkeGc2A2Y6R+xmSE8aCWOD +jHMzdRUh762aMMt+13XwyPZxHoMAL7MmfUUTXcCxjMboAKVM7NqSmGuhivCMrMyp +1UVlmWe6N5OY7ps+1YlijKSRgjtEriZyy0s6PcamIJkmyFBAeM38AlT1B+WqoJtp +vdAgGfoXGcx2nf8jqC6W5tKK5sWpbOVqg963Q0ZAYnIeTCod1SD83EhVpB/9UyRQ +qdOgMTVjA/IxzP9ySulK8ArrDpfnfDi4DlphOHVXLrKJEtSRg/c66E8+26AxUTId +PifwyYaZlC6+PlooTFOeAjAT4CSP9k6LouYpyFR/XsehDDmpiEb67jQSs/Dvz0sD +GU2LqUjoXapw2Gns4r9olSY+nHMdqNOlgkEP/MZHs0eyV9cfbw39JfxnARpohyfB +tCYHdUen3YYJI4u4LtkqrknMP8Hi/s4ANu8TU+RXam2Tr5z8JFW3B8Tm255LLOsT +tqPmqmCwGAc/7jISUdhCXUny3d7ShkEqeLduhMHBCIl3Uko3lKDL8Zbe5bSy498Q +xvH7xuNeMyfT/i22bvC4UKoLJ1MHPvkmrsjYWJdhJr4heIB0ZVus+1sRpvFFCFOv +LkWkDfo81sLNoZk0l8aou3WuSrfKFWTVnIorxi/SNGYFF9g28Qsk0vxm1aJCwvKi +SkqyQm/JjLj7IA6PaQspFq8m5y6Eq2pSMGz1uMKqPA6tLlcxT48TRDsfe8zxpaXu +wz7fC9MXUM7KMXmXunlexa4x8VqnKWZKNj+mrH0OrHBO78/Bzon6+D0KqbjWzf3x +31LVVhgBTvTp4+8mU9QfzkC4lhHsp8B8PPl9zOunYyFy4NC7UNQMWKXjt0/buPPR +u1B78eNb0IOOG72UtzEWjOgqOHuHq7QAwuxw5UuOA/Px5wsfb+c8Y4tuwGALFTgG +9IxhkCoQtbb+dqCegGvOTXa172S3mVOa0jNUFX/ir6zRg3mAeqE2r8XQXZp0KGpP +3zUyGvMQ/yxFdASWJ+OyzXF0MX0wu6ML6Q58pecJtFocXIxRn0fpH6RFT+FznWk3 +n6Au/9bLzc9WJkJg+PxFiOJB4W8Gn/nwuGidr2Fy3ENsH8rwVsklhz6RQaTMORCY +3gfJVTDYbCu/zv7qH2hq3INU2Zm/bqMdwCsHeSzSAj/VFrHId7Yp7FMixYHnwiaZ +Fer8JbKL6+gcPjlOmANgKWLfk3Svq099AD52U07ObNKZFx+amcUGG4GYb/zfAjO/ +usdTuFcB6tyqw6O56NODRZ1GRimP7T00GuAIf1MvLC0hZFj2hDGz2W7gaDAXqZZW +jleAEG/M17lOW6vtjkaz9GZOzwEZuGIvQtm2cBbM9YI5i6XMu4yIsmX13B8MDxba +/lkpHAcSlwIcHdZwbdehyndWsj4HggugjpMFKAUMhewKx02YBM8WN5CXpgxagegv +Lre/3AJxwEP5X9OCBMluUAvl/2vZYpWwKtR1J1mpV+w63f4sjYvZJeHhHcmD9Pqv +8gBIotxqSA0UbgXU6/nyqqV5owqilYQUaaPsv+v6xLyinqMOIZVXBazz9QBe9mXF +6TSRxUKsuVO/3VezfyILZyuLSEQLc8RdI+tJy4fCXcv6+i8E8IzghUfkxlSJX9Cy +GPyAU/bcyS8/8gLmFb8cf1tJaBUHdddDL+m+qaWNlv+6wQZiDm099nLVIZ/bkTfo +V4fsefvsFKk4RYQ3bPD380ovIJF7G4lbespM+ENhSpsEvY2HyoW2hYpkkB5sUxBU +ffXbT9WAb61dYzXu/VXMQoSGL2c1K/4vJ5gC35E/hXBW0Gkl8HEK8G1yDbX6JVu3 +aLhFSUVnvG8lvKFsxbI/VK6t07x2ohXnNS5zA0X1GnAonQfm3C3sO0pENR3LYwmn +mTMWHZycFYbm6lBp3ag1WDXbteLKNVz80obOLe0LSLNVmuNJAJEhK5iDTI9yVPKu +nEQL/yb56ymSYSJRj2W3ek3lZszWo4BRNmGXyk7p/yi+xShl1DM8BZG8s0/5WzkW +i6/TPaC+Wf4yD4tOSwPDJPv+fZ6NCD6558dSjZqEkhFSyVgsmIlr5jUU4S3ygxgs +ymc+fjr7QV3FLFDnSHeQRx/7lNf9ohif3uRyVLYa5JytRY65IpR+2lA0lrHUDBum ++bZOaVs6IpSDADngLrM7DAFas1xfpBJvhNiYHkTq0d92p8pUXmn6NS0rl5AWxuTh +0JB2GGqUCc0OuQ0koyaeBFT3HHfSKma8f5bg9mNtsvBdpxm82muZZf9X0sqSP9yB +eNs4rEJYTMlluvofkokJit+03QjTHUm6uOPbzPWfozQFun0qx3veDqe5AIBKiSZW +UNC4+E0YlyOtNhXk/LxmUSeOAtmEiH5hCXl5CfDcWmTXbgKVmUaJqGzGi3id/5bP +MCSrMjMUm/8lmniiHRn1srXdQi+jrtOKm79aIUKYFZJipruw1xoADIgokwq1iatz +cXKffwekpWwlLax21dDabKCpCcGMQcsuMMRNarpk689dIYC1tMsUyc58x9UO7wS2 +Iy2Ttu4fJyqpuOYgmddkvI00qBuZ+9WZEu0zbM5SW9IXDwtlwIhH24PvgX5wHoz5 +IpBEOVLuYLNk0KpSD1t1L+9cSCVedmaUjAj7Jq0gGV4BZ8pFykriENrZeEDPfMCX +i+5rZeHkD6T079R0xQbS0CPCjVxdfVRFP2SewU1i5d9L7Ne7qtHrI1dzm40AUx29 +BNpl2oUh3DkjcIXnlNLyGAJdgV7lb6hYeS4o5/21tfaNkjx4sTmrvSg32IAYmsDU +eqqaOPDrTHLLu9zp7eO1WTuaTk0j5Eg+Re76bohDqPgD9nstsI9s/il3XeoOW9Rd +ScBXdhA+HQ8c/1dEkWNZ5cvcaW/C/UxpDuFZR4/jDIszenLOdIacYvtTGJHWRKVD +MuXsMP3lBbCLgqm7/D7bNqamTTnXWwoRgYbmhWpZtU2NjAUxE+YWBmubnN4SQEcD +hOp+gDRXRVJ77+m8dVaFl98mAVqHl6SWkcPSFJdrNNTsFS6qz1LrIrXdI4o3xRya +34RfkI586sO9EY9XbAH5ro6hMpNmUOhva/a90TGCtegzLiZl8ZKDmDzLMFVV9s3X +EJZNZN1haQ4aoRunP7GQDXO6N3SEN5AJrJvupaXVPkHW8UV/D+JXCYEFAHo3Dh7k +suno2s6VvRKCjs2LaVmqSdEOaJSZlKldeSFm9zciukEPV+42lpC9aXWNHJxt4smG +yI9r1lXE+Jx2XtUgsMVBuaf/k/LNSz74NgQeM0hx1K+rR2/Wqk+vL3BxEtBJ75Gi +19LB5kJjMo+pgKs3R8xwu3g7ZlsLIjvqgClFx7QTR/PxfebI1zNLSkCYxqSsb/v9 +u3lpewe3meQ1HdoDhTaAJcaPwqZnhzas167Q3iZtzIeVdxd9or4yA+/g9rSMFdq6 +1t69ViPUYe/J3V/j5lcqeO6uU/tJH6NpBpYt+XeJxi1ck0a1hG/SaRxGEoLZCSt7 +1UEn4U/vmiBXapsaYIYgTyhgMXpbrKjpC0m71HrPgoRijll54GlRLcGYsS0P8N1i +PcX+lfxgV3xWiMgmky5WxhGaGNNhIEy/dom3c9I5R9Q5X9eMf2khPzBOD39FCi4H +TIbp60P3s9R9XEKR8vwuQQ8wwYGrLLyiVlpu5WPu1UNzyA0/IBPs9Ss0zadfUo/Q +pYYs1D+oadK9ZobjTWcJ3ZAjBHINyLKQfCaRDhTGwbnZU9tytThwuqWCu/gmw6Sl +5hbEIyNj8nCg+3FJh3PpW/g9Byav6TlMKh4sjapYm+TIAJbt7K+aEEO2NbMOtrXI +6ngsJdeZiRXCxOsb25uR6PDV0gELX/mErEnjs6NPv9d9k7btj2R/SnxV76FYVfqm +nCu9EWIm/a8sMJn5aUmhJNrO4b1yBDcF03qPI/+JsubYPyrVM28V11BCzgUmKiJ7 +fRFWBgNgTEg+WcxX+OeoQjOptPENdVLJR2y/S8JMXVy7U6Y1m9TLRDm4wvio8/DB +JIRuQBM40+vvgi8Fq2xZ/+Vs12KFRVN+lidzFsHaljtM7TTFXuUVzACo+8dPnR1P +CnF4TNyb1d1OvyNbMPzhd9yEkog9AC9mE8ZGE15zjG0bagM7PY9uy1rZ7flUDcQt +k6r/wTzEXFpieHOYxI8dR+RW8ASxfdF1G11m40yo+ktRGhHB0b+zw9THNFMKgVQN +2Trnl/Kwpc0gdlULUfkG+p2KgOWyYjhREYa/QXHemhLAhNtvfpdYVgWl2aOFyUV2 +vv5tyY3dqPliRKbtiXUlC4iWdOrATRf5EJtqAUrhxezI33VtwwHkGNkozj+gvS0L +agzOfVnlnKQOhhRkeOo+00N4jLHvneDOBkM1R/HGdq59KlnhgbksdN5492pgYePF ++jO0oFJBQwwNP20vFs4EW3IChYQ5kQRWbRzqXEYqD3+bnvGYJ0jZKfcvYsIVe2jt +OVD+ckw1bI7XTM9eCB1P7xCpJGYUbLP8gTW3jG9kK1m05SZZLb9Bx3u+OXcHBvDf +3I9p/5OPVcRfAS/f8zDKqQjFgAEvQ3c0NEjxkMKHefVlObEkTiU3wih4YvXnBbyT +8+JJn6KvkLPva3SO50bE2nbGkMB9nK0Uj1fOI0+UrWn3g5YwH19GfiYZ2v11PkR4 +tU6nsH9lEc+jR8zP/GxNhui7Ja0t0OdsKLB2MtL28frezmy/qLnyrhK4n5lgFblE +6K2nqaxOSSHizNpOPIVEXzu/NwFT8cA1Vps5xedoVPStYOgv3Nk3OTt7xDxnq7XP +N7Vonurh78rH3MLEXdX1a2Y/m82XLT1qWB8XqV5GTGf2pk9/rIuhrPNvi3Ff/Orx +c3P92vVk74/hU6PlbDdzV7RLgtuo2jC79YtVphO0dAKt4HhFVBjLXGP+ZuN8X68x +8wcuzw4kheSgQ/z8uffH2NW153XiYOCRC7mrvlYrRyh6BdrOre8DYTa040yGj1O9 +7AV9laiBYvUytHwueU8FdvpBZ3iQ3enj8oDV/CG1LmrnuGQTV2MHcSrKgApAwTlp +m7sAYxFbMSc0cRr0a5FZhgTNyiYqDnAvmcBMS+8NQl1bN+Um4BEo4GoYW/0jj3Tc +GPDs5tqptw2LD/7C8xgLYDrzkwPzw/XdMCT1R7bJO/wyGNVozgRU3jUL0p0wHDQZ +3pzhNMTT0YqUYPM216lkTcqlFPP8wER/Q/MhiviRln1gJmOzpRxwe7Ywa/RKwBE8 +Gn/IprjxHfrqYlqYQPr0W/8TI8o4V5asXxhv/jmeyUrDZWYKrqxE2IyUBHDxW7qB +bKtyipLjMIFwnixZEWJkOif+IppnXxD4pzoiprGEPGNf6ugl4cmKX0J66WqAGSrq +Xzv/KlxRbzcSKTJ7p1F2+Bs85A64v52MYKaVH/OBxkqwR+bJ2WdEVrrNfbNv+TVg +a+BPOOWBiNwxQ5kwFwP7/90Z9HSe+d36Z0x/ma3++ova9spSGAt0EMJWd0sV1Q5y +osndY+rnd4H0Hm8b5fYUl5ZJ1QGC4Z8Pg2ciIKfE+DCrzdNXULfQOIlKOSJR03lO +zncymUDD3Wbklntj0kHZuIfYhnItdUSb9uVLFO4F//CwcC0f99r/w20caX9thylC +QwZWUzlCGIoFNPgggQmQyiOBurHLtqjkOvrJZi57lO7wuy3duMoDRkWZyTqiB89Q +dn1F98kdMtogv7GxI+Gal6aDhmLsaTnxoq0X/WjfpnnaADJAsRlWrjO+tJ5eRZ2I +lHRqneGUMGBRDr9WQzxlIGDHtBL6wn8hHXy8hoODk7GtWZ00ViaPaE2+bY3MBX2K +P7q+7X7fElvIfYMEQCEak5C77fU8grfnoLxO/vZ5DAhL87FN21nzEJ/APmT8HF/1 +4uV/Vy/GQYVIuMu56bbMdFhbiLI6Od3V3JprEnU8oSI663ALrGLtgjrf5ENULQTU +j3eOEp/A2QleiCoQFtunKGcvXCE2o3dh8+Bka7YkDlm6s6+0lyawcAEihnTc+oaa +GwDf3p+zHvY2/2QWlRxItroc/pXT2rrYW4Y+XqtLu/lD4+4Hvzwu4pnqKjf3w179 +ydf0bSK87m5Oc3AKE+cVlGo+OWD0woacnL9SLRHVmBVf79VgO1Bm/6DLWk5Cl8xk +RlKvbZhLBZt0awP/tzQxw2cKcA6gKRD5rXj09wH0BDqEx8iQRjApBoI8vhYnvo5n +CwZmMDp9R46RJWVhY4C6iihzMcZXQcCc2lmyT5kb3oGP13oSI5z83ZNX1z2o6GKY +HMOI13GTXmqCAuqXkqTK9H62ZxT9MnevavEU6wUXxobgtxOCI9cs832yHV4kNDdr +EYqhlE2N7zsoPD8d/rYVemFRT+2c7UseSbW10y32oASUv9CRV3A05uHq3Xm3Kxjf +AuQwpQOp9TlHfRfCljt7zW7wa9bRD6ISA9UuKYjIEoofRcpyKg22heZQFdwYcCNX +dwVSoV3XQsRdkCX3/ULqimFgjhBKU8F8+3iDTsrSRkl+J8NbxuptOYxgsEBma09D +1d4BYUDAleC17VJeciRF4tqnhifj0USDLyXY2N841sNjqigYKvvwFqHykufhwRYe +PLUv++E3iCQ5j7eTrPIACfGIdzvwLqG1mAFgYlG+uGBOylyUy4BoO1vW4UDlnhpQ +u6I4ofDb7D6/S98Yn7ETiItIPHRrGk/Ypk0G69kDYLjR2HmFXg3qHJ8t2eO5J+Ju +50EGkNiuRCJmlFhN1UF2S180Ws9rkdpIMCspQ1BJCRq5tIKat5elpojSrGxXRUOL +wu+IzWEH5+cHv3y4t3IaMzqALXsBQ8yMTBK7N6o/9wpw4hgIXun99BnHYSy8QOp3 +HUk7wZ3Ocuva0cDlrWxCGErEh6yvb0E9NFlXFhxljq1HDehJs3zymZmNue2hVITE ++oCT+AWn9uXZ5TwvMf+nPf5nGfxcMv5VyfXxHM+VuWqKahDBUl4sbrdXcf+vQ8Gz +X9G/+NScOyGsysO+BZpQ89xIn94x5+uVFNiJvQJGDdsxDFcSF1vuZnRIE2nphz19 +pSR+Tbl9045Fp/HUsG1OLJutnLIBSfS//yTYdfgorS9mZ1Wm5vK3lRJ9ggrx13OD +qAQoz6PyA2Evv5V7ttmMrIQCD0+v/Rfq+yYv8f7tzGVbbNRN3lwrUnWGO/1soLNv +aOqbWYfoxf6+nnDKeUIw5PfvyhuoEVABN8fQl1tWFl+8IVJ5bl6tLuNbC305QFpk +Kdk31lJk997I8RO/sFYGsL580mMjW4DQeoJrGGpeNQiR+hChIzZX3aaMG1PSwkKk +l/4+/oVKs+DT5ZsobdZnPhk52jMX3iVKpu80y8CD2ARXlwZMSekopH0K1y/Um9dh +Lu4KkbVJPYnhcGjqovwFM+U/DZo48akgbSzkSF7Jf4UAaVEuvhgPQeqPv0sBrNQ5 +L1HjdC4TKm+p4sbj9DMP2PLlTD7INR0f4eu+OUxGD3NjjUJ+N8liQwo4g8GevAX+ +s1+1vEV8UXg/fkqFh3DY7QsFj0VtpDAcKskL86BjzYgYc/y5sCD8uszXwwpRXz9V +38Fr4wJfh3dViL1OSQmDo8c6brZDH1ruhQW8p+l4trhe5bx1HNQc4dfUgywxYjIM +6RMAZ9y0t49ZQNtnUImYXIlhFLze4lwLtVGfEfZS2jYqPTfqTiB2lwq8isaAqL/U +yqe54baXw6RLME98o3JGc/Vfeea36e1qK1IyAlQrz0a5MthDfstTGR2FRGetZui5 +3M+fIYPw5BoNlxpWReDjyvDDrbhBLAUKPkrdzMSXKSz8dZfu6gLmqXvX+uszOHml +yVhvqqGA7KbTAfwG/XtnSH0k3ta/hd0LFU2qHwGt8dnxEspbqINYSvC+YirQrm4J +blYN3AjQ9+3oQRRU8Ol/z0Rsr6YQDz3oKCHasxwjOi5kHFEq6AdlwJYV6zTDCyL3 +LxB3elz5RQKGEsjQL78Jv9sr5NB8dkfYiUWIohYDPGKhfhUBmG3uDCZyVo2so46i +nHmd3Rn/YsxpVelSc9E0pkPRQVtfMHCGjDMMYF8OSFxWLfugqpnRo4jlqcMWV4nr +JGryCe02NzOeJL69tmapt7ErB6lejZ6DU/OKX5P4q4z/B73Mu5eQP72ilqTFlKlk +scRrkI5lnepLVEbCd5MMbbBCjqUGKXTkYZCQxP/FjAJBgrDcZ597EfsRQP0IwGDP +1xDYfRMZE5cvuqbSsge7cPz9cgKd2bL5Qi0vZpBnSnXXP6r0Y+6ac3IJ8A9iVi3w +t0f0x8oNqX0xXN2dpLpYcL3C7KwmLHoIoHjt1VqPAw6n3Qg1jaQICJJUqgj0AwmP +u/9+alWyxf8Wq3FzZRcIg8tY+kciNuARMI5mCKg2V79vBoQpVnZcn6c73U9PT+cY +/q2KI9NcmPGzcRo6vrHUk5qmya6KzjmpxZG98L6C93MLVIp+srOX7JJWCCTZcZsi +YSOk2wJQBBSaKwcEyhoNPvlQVGyT2aHkXZqPaQ0vplLBqwLczJC549p+shqK9Fm6 +YZZSXiFLXe6FxwMSt/1hBVQ7tREoy18ag6I3ensd6ejPFVkMvwf+NB3dfpBpJdza +TjzanXuLYewRwF03HXYB7sNXRflj6qCWHpmlTo7E5EsprrlOsx2h6LSJ6arzxPno +PxDohL0ussMJI3giCpFuJAxUqSQUwFRU7NkIxKgt9X6PUCX6TTdAFoSSSm7KgpbS +IsfEV8kSkTh/ZFrWvWq3+2bxwUuYXlV6vbFWVKX8729E9klEDaLmUI/UCslnwXIS +rWg2da8oLGc+wa5h0FUx2lrX5UA9EDcju0DmyMnvtPPnb59gVeWmS8xRtBi0G2h7 +qiXKaUoXB/XSPDkokRwhNsbUPMZx1f9De6uAd2fb8u1exsP90vHqxp0tobxEwgPr +9HOOg/qWgZSzeD7znKR4R1hgi+3TXo2G27hK7dR59r545F/BvYvvNxJP7C0+UnrA +7xnUYMxYorW8RipjmubEcXPxXMRYNeqmSQ8KTfNV8UXvz8dyEnJV0rFf8GFvnc77 +aBsLVUi3ZYoIITYb+ROfiFdLFgnNzDFB5Ax5m1MKHp8OyvDAzz8rviUh74UPXiq3 +hysz8YbTLlqgLWDc3gMGx6oWOjY1ys/af20wNp9Jl5WYfZDUTfCsCfbkKaPScdmv +3lvQPtixwembIbOxd4ObyP81sLbF2BrGlAoSYQHCFwBgCfrWzPBQPkh3meuQr2oI +pTQwrTmYf3PudIizujGwYWkh/eUtPMDPmfOud8eZU43gQzrpg2tdxlekBRTy07k5 +Dqlxh/cXdl1k5tdASmJ1sBrUaxCXLQNZBixGDDWPBnqMSWpMUTQsfNIy54TMeD54 +s5nXZdiCpoq088Ebtfx/URh86LhFIMzM333Knbu/IaneBB4Bbsro8t5ravnRjfri +DFQI7hTCbLa6H6TNYeLom2P0ZgsNM1QPzmst0Kx+TOl8CKleApRGDHt1YLwvusQo +wijgve5ZqNLOClDhRf9+MCdcBR3Z33/J3h1Igi3PgkJ2uSryHg8YPFUZOBXi/GAx +3OfijUTeCnpeGfUPzw6mX5of+DkfcgXfTbnaC2E5VxheSR41GBr2snYx6zIwDhs8 +2sgb4J3+X+qN90iRITIY9OIp5QNwJTrZHuC78HSWgUcTKuaB1LCVxXrHgBCTezZr +vETQhK7T5LJq7ADwIRj8knK1qc8T6gPCGp58rCxvl8EPAjTaKA3fk8VADGS8femj +4EyF4NDvM+7F+QAiGAGyiKUKqGQnqX+nO25eECQxzxUTwUO9HFft/4NmE2NIkGai +iNFV9dQyWiYrkmLF3tFqmmgVosQNj9/Mf3r6EfMmwMHYdLS/O/4BdrrLlnuNNo68 +4uADJT0thr37/JVIIai/3EYxBVuw6J/9aVgdaOKFabz7b3Th1V7dcyiH2JeRLXtL +WJxo8QiKY3ejlhsMnmMq6DWgnQvFqHTj56opo2xnWTgcyNnfy4SFPH6ENf3btkrg +SIPI/9gd01+Mf77U34XEAUWCVZIWGKT3hr0Y1jgk1wBvVVJyGzEJId8TVTgcg+yK +q3NxQU/MCT5tE3NaG7I19zdFokZxl1BPEjAoAq20BLnTqOOS60Zez0w5nsShAr++ +cHyJOcVMW5L/CvACeDu/PekQ/LGuwiBFE7MB0HIcLtSXjBG7LPmQFdwUiJvaliUk +dYNAFjA8n8wTsP1fEs82p0LEmIy29mAt1Gl1Mkg+f5gajJip5Fy7JMcsSpC4N5Ib +D2SlPV0IuDQQ4PmsHXaVujZRKNzVg75nr01tPy3psY2RkGJ9LEIhYd6L8oluR8lV +mkmiNnkAHBuGk+ACbJHMArjGaINlgq0virYlJlh8bHbgn+qAkB83dZpscqKr5M/W +UwaCJlLmmmswuOhuk089PrhqIDKuSijnzsi8OdFh/QLVNcOOeHzmQickPe0Og/X1 +vVJ8wYNpF6/F/dU+Bt4Z5YtYC8PBCP4Nsdx0jndk4bEoavj0QaVqU7GmWxCYfsAT +D4s4sRGyw1qdfgoUklqtnL8EWz2qQZzmx3R67JR/CoJixT/hzMoKD+pLmukCUUbl +Qg2JQrMhudkneeHlXfkCZ5tABHUatSCgjdMNcw8Ui/31Ms5wYauCb2np7a7ZDLLB +IOELH4HW781adn/f2bfYKk7K9hkRYaYxt1dx6q+ac53BI7nsCNXVp511GhFZMAUx +H5n+tb9Z4Ig/sVATMv1BuK3q7futhVRJxTALFtkHsies26I5nu2c8MMnNh5DOzBq +7prU+rTe2htiYdHvEDc02koFJqFpcT7VAvRGXCT+0BluOyFowr/i5aO1BDI7vG2H +f/mBp80dclf3/J2EV8FFZeEd8onRE/tGdL6ipbbC5jaCDFCmpR65c0AK53yarot7 +QwF57o5hEHy+c4kZ7Kw4Ks0ibekwvEXeCzVv6IIFNJNad8yl3A61wy3v09Duwd59 +uyBQJwPwaLtj4RJ+PY99M6Aiux9NURZwN23kI6tZLG6XCSK4O2wdYsU9YI3gYzNd +W4m+1Uqfg0UbIqfeVyRf7BxuE5kwxnf5ZMsBINGFMRq3RRaGUIrAi14y0i728cX9 +ZWxHmOj0fnsKz9clZuhaciaSwC/q+jk0zdKiP+y5ExUZ7eSy2VxjiAV8hSEYvIEp +yrGyZKzrvUg+L0XtU/Fiqtpdru9BboatnyDnxWFa3YUWZIwjJS7/HJC/MVftIQhI +DoyPr8m8jypgH7MbQjul0vk6clLxxpUCxzV450+WlTpNS6+X4OOZixFOPyGH//bh +eJNAZwLIe77AZ/wC6ufGRr4pAWbDRZOi/XCCjzYtTTEik9pTqQYgM4FXeSxQPtds +q8wy4PMpXyhnzaMzH2Uu5KPLsIhqAZ6b8+aP0W5iarFZ7IPpacJIea1UhlXGfWvD +msdn3niE55mqQNCODNZXpO3AJFF89q2Bq806rMqeQw343Aro9ypXCC3LFxRYz/8Z +l2eIDMM/zP0lTYuFsoIx3mXFcw19niVNJM8OmILLqZcaYNtYp7gGrP1mIe2L3lGY +HX7VZyqhjC5d+/Y8BKcwtu9IKunWJoeqitWouHsGC/gHLErlhKOJ1EdBEisZpPxv +eYDuQj6B0TXAH99O3PALSXd4lJrRjaIjM+F4bXJ7zOTnG7ceF1KGeM6zp9NQJtFi +e953YLmxifymm0FeVnk4cDpT85SJp0ppe5uo45giv+ilrAJAEKdZAPyHh12194lx +jPHt6IHobNjXgN0j6gVyFdYxmXUFoF3np/5E3tdqMXlsETpc8JlFSfNDEhxKxlMp +SUD0A7gDKgibUeuetvU6eVCcXTrxXeOKOlor52N0+ywRMMapOnug27gGqzYMxp5b +rQo4m01ll5nkyczPYpib94p3mNlyicA6x5rpICWsebHwXpmWhMI8HDkd6Y2LGxfc +kJfPixKLjLivOe2uZA6+m/DL1sHkPoGjXmgoP0PGU4ririeRlN7H2YUNQn6ZcYYe +z6kTwJne/cS+yxEx6g48O3n1WASssjdSAqbzmiVe/I1XMOWzA9l1/Jm2YND2aK2h +KTNr4HYDoLHKm1UL2AHj+V/ihG0yf5zIM0ZfSTUjh455sJwVdLe4mdYT9mhxa2UK +iXoViYwEU+1W/74Em95lTy+QiktN6+pFWBMOHC//rRAn5gzy71irxtuJUkpNnDOb +O4kK9MkByrJLbefk1tKSDoFtk7x0547sOyGvEWFk+WhUkGbc0XX4xJTUW4WP91Es +cx0rNKttDogKGW6pvSfJpFAZBNCSkWj186evvDx0+Jl3M+B/b+7Qq9i4uy8phFWO +ydIoA4RqrNff0DpoY4v0KrZ9evYtabwJMQJy0UwUP3Z0wTNR2ByerME3giLSfJaU +Cj7clpuAzNu10HYxcYy4dmlXPSHaGVfNZGLMhSiK/40YOf7O2qfc29z17UmQiXnH +grPnn4653MyqTnHg+JZAsWxQkaaOWZPZXnZOt5LnHr606FANWkqt/DqoGmaE+ywX +hNM3JAmTHRJJfb7iYkmNB9FrtsvM3r6ei3MbkIfywMQl6dcPiVEEalFRlebqdGfe +YYdzZA1Cv1uPqdW3HxtxkDJE50QIqiFEOItJrBupR2ny2jJeZb7MflHY80P8dQTc +MukQ5+GQEp5GRrb1kQhOfdBbrfRY2t6JsBO5+LZUhQaqyTLNg62XLV0qbgkQYtsZ +cfg9VdkXCRYkQvI6sBRsS2mo5V++ZYok1Ct5qyOAT3gzlrurRCPjIKys+jOrbOQo +Cr1Inxh71GywL7eBR/0M7Zgg9pSbxx5eEYD1fgLjE/shGRfgV1eWA90XGsCWh/SS +k2suHZ1GoSeZ4aiTMjaow91XOsekIe0TshQSvQQ8QpoCPYvTxvc5rxUztau9ysee +Fv+DL9TKWweD/LIYw+IIdM5637WIGhlFtr1CygBPD2QxpUq4XQXhCPV4x5yMrlkH +lUURvUBJE21U6Z6yxXEF7toeMHGehjmLaYEN04qeKDBBXnXGY+SLX+S80Ew+xk2r +9sg0v/QHsK40qoBBVR9mK9DSKpST7HwDjFeGFWj4/oo3bufPRquUuSoWiXnIvM+w +mhtJ9BaWDcE0D35ssRHJlhKmLwd6pROVM5XRFHUKyWiKvAWyy07NS05X1HsjDqYO +xjKCdOLEVny29XBlusqUvhpc4Wjy8aqJkbeQtrI8/y0gGrrpv2XsWhY+IBoX8z2a +3dHf6klgoiAPyR8zu3MB/UsbtVwK7cbQohKKVB1OXYpePQZFrp92UPbsfTn0TnUF +AbVXaOAma0iVjIsjaDojmXkM0LgfM6tXDEleHXwiHP1wnxCDxANeqO0KnKH6f7Jb +3EiJjQYuzoCKYf9WSbTu8IR88GCWBHflPSo24Y5zBC5+WEWr6vNJ/QH/ZbvM7n/d +9SHsY6m79yBG06CcwusbjyzIe3z9uI/HyvgWgkimgCqNfaH0JdBQPSA8l/ap/mjY +3TjJcL2HJd4BZ2lVZze/Ysx4tPFKrLcDyGoyyswyZyWT6oydDVEOmX0wXHYrTTeq +i8bdurALgfcXADazKbniZjN4aTjdc1vBHa2r0Fe2VK2dbij/jMP9fGlwBEl+81Zm +7TMIM/2LfpEhTeKlj6b9XTgzUEn2apq8/QgIwaVhjd1Uva9ecCvqm0D5Pa9tbTpI +Blu/aWgZdqWhahv9coJrjVm60Eka1xn+G1PrYLPPBxjbNoXJwMeHMSVPMuZwp7jw +huqLrvUK1BnzuDh0bshs7Ye+FaWMB7RgTNqe24B0MQSZV1GMT6IVdoeH/790rjmN +83/8/bmHwDWRIr2tUywXyARs71KkDoRQsHGMQg+nkVC3mAit4ZB/8mJzxex4cwZO +AAYss8oM6UgDDG8KmTMiWa263DHwisOnsHUkCwIwHLkDt0EistVldcLYiQ8vaoGz +4rioE/VfzA8/ryz40WtpjzUDifdPfSBcajjjYAHvqfuSb9p2imsUV+7roDj7FPXQ +Jzo9SYiJugutixPHFx8aE2k51rut8XQLY+PyYj65LM2qMGWpGM+f+z4DedrAyxfB +LWdraJL1aaoNqd9VwXGhZVzI3xPJQAawOESs1Q4ch3hLMlxeSQ/YfTNt7DjzcDta +v8MkpiFL+EBQBi3cL90Qh0ztAo2dSscjCmR/Sqh5z7g3uvBTzfdx9EWZ5Y8hyO6h +v/7Pyp/zx5+7rf2IrjXtyWPzXLFEeRi5BfGr6KAevSp9ZRQWLCmvd895BuzdaqCg +PHL6SyZAfqyRzWYOjjmrXibYlgAhau7CVH4ZUxNBLEbVkN7ZR0IJc18CJRTOAbvd +HnmRhYg5a08c97vb47f/b9bz7SjVy1TVuMCEATqjer38zGuRtBXKZR833eT1Hgj9 +2+VQNyttXbO2ggl7N+jPTsw7NBxXWWs18Et21KeaAObp5iDuBizgzxnDa+C0aLP8 +n5/4DtcYlgsCT2O+JuaHa9tW88Ju5qef2AwFE7NytFXSoo18FsOaCDMNBUX29zaO +dYzfDbd/icRScm1VFZB5j+Pg121xBBm0pfofQ2auacEVnQC5EKMYzzYjt6q2RyhJ +gH8IVkbKAKDGanVGivYpt7+Dxn7UhfDcqSnqVWUlxHbX2tiaRZevaSBSI6PyRE/Z +DrFSiiCMvKOtWekuiUDckBdvinowhD0yKv09Vuds0TDEHWdu7kfY+xRAWNmZaST+ +OoUoDR1jH2cAgTuS60lKQ3LZzrVYvQlb+KqHO1LzQkDBX7FDcbjlsmO7AQ8r3TEP +0iaRjQgPe7H/W5G4h26+5AWYNrTzsUncmKGY+ETpCBS50iUvjU6Y7o3Df20noSuc +I9LKUGrYU+ew9O70AlyplKPmVtOSXP/qeddSA6EVyt0dNEWKTyFQSyKHQbc8wiyi +6yfT3Ht7bd82rAwPLKiCv+J+eWJvdDKoG3s+lVh7lJPULodyUaVqKff56x2Y+dSf +AqXkUuHAIAxQRVvTtNamuaVt9ieVgWrT1nKc6NHMldkX7bD8E2dbc87cO5t0r4ly +rfGwUB068gOfqBvUChG2AvZ8edFFES9EdGvWzpG1kq0YqLuuVmwIi88n1lzi9FT6 +Z8wDQHPIESksINPKn+qxp1CpRYDwrZGXAXwPnJjH+ozWsBNSj2efhZWpWmqOwz0C +HuLRDP9rEi8+7Hx/sRy6WBnycCr4KWUmK+I5rkhwlj3+ikrk2iHdxPQ2SOND4DZ1 +YTTf2mHQ2Oz9FJ/X5OHGKkYkyid+1FvyvVImpIZf1fyGbwtsb6IktF9AkEwNEqQp +iryb8Tekbck4b3gvODCTj+JiPiZtILY/W0/XuWhEkaWXabDj8dzh5hGXQFQpLTze +WoC43iPzPz3orEjhqNlqzJVU0u6pSl5m4DHnmsFAZX0CrAnSjxNFM+r02QzPPSdY +u8IyaIJXDAWbFsHrkxiIQlTnNZDwDgiftj7iljJDd8Lx/xub1sbzI7oZjo8cMHRq +mCYCCG+B5TUCQMUK7/fuaiJjuPbJLbMrafepPlvRw8naNulKRDkAnWR8cj16G3u/ +jPhZcsyilo4/MT8cSCGlEQlZR4qpu4VjVZYsgl32E2rTcLVeuo53AKc+rUI3Q6eR +iDrsJk/1TAgW8f6aykFqJhCm8p/eZjzZIxcmYVPK7kBpfva+wyuPwbbxiyzIuEzq +t6ej6Unq+lMHDYnwk8YNA4NSyUfa2MK1xdqmOAtZrblB5qEz31I/Qj3kjGFngoTM +3mlo/Tkcxyi2vi5+VO6zuuYrifpQct7k1lujPyTRYZWQ2cTriRCaXqX4QKULmDDs +lY9IZovMxDj20/K/WzKoY6gMqqj38j9X7FvOtlKEQKBoOIGfLsknyuSzqj3ZGWUV +OhAJShHJ83WmzBg+YXFe+PL8ox9ydY7wdNi84V240CES213f8hd7/BEqrQnjkGGr +RI+VrvqXws6VNqVZae1a6KNlhQwLzF/q/BY2HLy9Cyj30QFdjdCC4rYUn8EFC8Vh +AfmFbtva2NqvCpsY58z/wd03nEak+6Kbng5xMwmkD6pnXYtUTWW5eTh/Dy/ums6R +mTaymGBkbRMupAULIgWYgnPkgKrOLZIiKMDiNSQrUs6W2lsebevOyFQ4LP97Uc0s +KbOjUmzizjumlI0uI4AqPnQC9BYFzo6v46YUybS380ZX8q6pkc0wyguPZDLCDylX +gEZmmXJrUKgSRb+99+aVKEieYJpPnsu+5b+fOwYRQbxJNMDjZRZl1jSYkyM/VgWP +Th/imsVe7Z4WI+syxMQ1IbqSe99m0E6Mwc3A/r5jAs+QM+ClGmN2FdyRPvuMl4jl +gG/IP5x7yPwiCTxW3g00G0Q+5sTa5YuWXSwZQUiphHPW/2afXZnumjhIJ4dY8XP8 +1NEZAYICYBcSTKFPj0L+1FCivywH+BUvnE9V3KzuasTDER6LFOgRNbSDy9w+xpul +lN0p3+e6fV30JKC+mSKe06AiYHLexj95qJCwDvgv1pBEV+bqpQgClBLnC3WE9Q/F +P4l4krv/t4ySX/oNHilgpS5rUW8GwnR4GTgx5XOsF91O6zzy0RPdwLhVAShnUo/T +Wg1vWbnDf99CjtfclLwJPvYqnIn+eMWOacA1I/qX0U5Uo7KVxnI8psRMBbRN3s+o +PCjuYePYsmvCCFPHUiumCc3GIwGO4dN8YhheK0moGFlYaUk+LvvV671IL8BDJ8/C +iPNcTaO3Oa945CsQWVqn8K39ExRCulcYrdnSVvyiQfSp0fGcFZBss+hfhg3cI5Z5 +q097pTWusT3xS0zi2QV+19CPJ6emfQ1dA7u1HMa3R5E0+x2nuYIaPK0Lg3vZ7Zo/ +HA7vs6VLoluQ6s0JCANqXP07+Fat9ya0w84O+cN1FEER4DCjhoXplDnTqZIPgXT1 +qC05lXGMeIwxsbFVP1cB10w3jU14IwTgTiWuxfO8mamoaDWDoqz7smbRcCL2ufGR +akfP9OYn507wduh+WtzRDxAoxkPI3yUisb9P0BASIxtmBxnWMTjeyvGEM3pCk4Ek +lwB0x5zJrflkAlr6tuH6kiB+RaNYi00TRbcydWzw9feSJutCwD0hoYBolH2i2vXC +UQSZxmvJHLD0/RWUuAhTlc3uBLM2JsH9TUiolqrjrpzV3fooggkkIYKNdVwkVJl+ +59PQHxbRuyFZX4cDNzvj6QgnWUruEay925ZnuqBGTq2axXAO25pADd6BxKUrrc63 +OdvVUHlJrqqSLWs0ComzYC9MxcOd4p10H3XFfWspVBU1/1LyfzrNOyKG2mzFUo00 +v7z1slNz3Mt9rgt1xld202B2MHHMZWEsvqHkdT66w+Zs5mZ/yp2ZTpWCNdr7FsdR +oQQLBYKqUseiuKw7ufa2/M2hrsWq5mrCWu4xemNy5RHdl6t8S+LRzTxY7AaUFpaD +vv7qUQIbBT9wOBJpU0ZjW3b7LwDPd9ao2q8yn0bo4HIfS/RKaV4v5UxKwMWuyAN9 +H9+2C7/NYhcibFOXFtVR23UhnK9wkR+3uWnErSpezWvVO8WK1sXeRub9c5ndKShV +uvZQcgZQO2cxCzKitYZ2Uvvz8FtqmvAYrFMDWlYyIjAlQOgQ22myBThtiWWGs4r7 +JX9Obl7r4ISRbgl04CDpz1ieRGgYr0ZQI68J+a6sS4lBAhsybD82sPbxFVBhl2zW +BonCItBhIDQQTUGbeclftjW2qCTHSnmQnn4rrxNfh8PqyHyl4ifj2EXjD1741ifg +/lmIK4eUMHmknUbmWn70g3kpnSrASNkQD7MeSOucYZeftrVZY3fgjTQPZOHt0VAN +h91GO1QJNPjQGhr8vAFo9u/5EdFHDicXWfBD5L+P3UwVUDJNN9gKN/uDAUiT+q7N +PmfVe3oBfqYHQX2pu/N5JMUscarUd42nauMZ7fsHJ7SZleNe7sMMKMKpHfjUPOd6 +bx655cgzt2F3Z5jgaJbIure0q0GmsIDW4di9plxn2nqc7s8Llw9AhJhyqPiXumf6 +fOp9qB1cXd0/yaPaKBtffZURWEd+psDLKPa4cZhkYOS8XjuesXmZp6WXmqYc68TY +kfU1RVL3xNpebCYmGTSCksem+ayGDepblZPYEA6CfGQKUYCN8GYawsqdn1O/9KxH +XYSHKTEYpRW7RLuMRi4Njljva/PHUVthmw+qP9Xrh+C6IBGg84F8mNBd7/Nmo2WC +GVuBuM0egRHS1Df1zYa9Rd+8CT+k60DsIUmFGhxkUsgClBl4Y7jBS7kfQV4UNrH9 +RUP9iZ+mR05ZU5YDVOFJqh3rG+ofXDjBDGvByfMmGcCg2nfGHSfpg7WpTvkHPBSA +jxkeJDQPBMbMzMMu/L02HjsgRgQB00leNwL06USftvReeO25PKJ11YVi9HIfXX4s +2FZWolSN4dK/WDTPaf/vcK0cqU7wOZ79k6ac7dsQoLOgkF6tF4AAvKcKQRpz62w5 +CN3HWiR9ItU6Gdx4vdK1RuID6xAYraKBlOjKumRKHv0qGMDz23kal85Mpx9rscA6 +b4VbIKApkZdEmwQlkrCjubzde5ud5420TyZw6ZoCYnD6Deav2q1a4Qkd6xTEA+gr +dga74Z8f9pLszKAk5/AuDKrg5f2/Ifvza36dG3Jp1ZVKigdnnJcBfLCk9C8sWbA6 +oZQX/vHh7L8iqC1Jd4FrTokalobMUoN5UuYIS4kFQh9sEf2eaYgDUaN2CjWdFYlo +8QL8QO4+0TvG/CXpVTul3aUJrlqS6KKTfpMeCJC/76mQ2/NjVc3JeyhuxWXqFlit +Brn8O6Z/+Sgx7p6sXiVSff2qlo+yIk6wsQny74IuN+qb5/FVf1e2yi6eMvu5/NMU +HTUi29s2rD/zB1AQXD787ugsuVbVBTlSNX5cwHY7ggnlR+rV3VNR1rmYENzeeG5a ++m+4/EEy3matcVo+IHk7YIOnDo+CT+faBRwLXwyqYcIk2iQVvAXmKOCLN7nMFeyL +vhh4zWgH6NejYaXVD0oIziCmshlO3lvGHzfnqYhMM28IJ5B1xuGq1yCamKpoFEU+ +FtyoK2CkyHzkf+sCs6XcoEnLcmtMTJCFWIv/yjFYuC+Tn/xnOXJwwA3ITCyjddGz +zlTuSZ66iBSzvDGDX6Nj4TJRE3zds25BF+t2jYGhnQABmDHav7+xVSZ2aqpaLWyp +zgzBg2lvIQQ6Yv0p+AdSQDmZr94pNiF4BfNNztClSQ2YZS18CLRkv5NSf1GLFP9C +OmbVjh+E2OHA8qVexmF2Z0qY/uAktoum1GX0l7VerNEUaZtF1OWqjZhofp49XlTH +KfU0jq7PwE7zWbsx5S9sC/M3ICR5HbEW5avGEyWEkhsqVKLXs8YpN5zjXJalNX3s +zEOeXblFhMe7+Cto1B9wwj0U48bZ86KF3rUZ8xY6j/LJDA6YlL6tGLG5+py6c4xd +pD8HBvthfGl2jxwpdyxMNOY8OKPrzJJG1ZfCAh0gv1+cBg1WgeovqG4SYf94mpi1 +EATwR2W8BscXi7KkZjHAAPQROh+0CRfViFcO1Pw5UUnvepoOmfMu4v84vACoVmlr +Ha+LO4sVSjv9Abkr6LKvgxmjRgD3kg01dMi2sQcE+2VmpNa2JKRj0B0IEuewxnmC +O0+Ix8ylOHVV6RJoAtm/VVMiMLguuWHu8tFy684q7whhfg4cBmGaMScbIsQNZHe+ +SuTAw0vEp7r/ZtKJzptaKXCaZ+TR2WkIThNrxDqyw2pbiGsEgPs8pKuLDOY3Swiq +ekBdgtACQvH466aVbpxkzi+kvAiJq8+icz8H3IRcWRwfBuEF2KhlrlH7IkssTfFF +oBSD1IRutTBYkJAYLv07xdtYgzoaQ0PKjGhQBCRS8wtB3W/+XcyUW7pL5StCALLK +qe4cFPTdcyQOxYhUUSrlrC761zJBubpof3lih6Y43WeaYD2g5u6RXKHmanCKxY0f +FCbG+X8qVrgmaibvt6qxEvEtCN6ThVY2mgIjl7iJj459SaIHeL3pkd2tA39pHwpn +lzzRWLsATIOa9SdlxrsObZvb9yZZX9dypo1r/3ClfTem3m6vExntahpez1eRP2CH +eP2ulxUfeJnY0gqSH2TvMQvzxLifr9KEtVwnCvDhcF6KJD/HIYFVLrGOrxNCPPG+ +Y3fM7alo/u7n2TSzAAs7GTfNtxA1Dt5e9K6YpuxQAH15/AB/oqczM97cWduBvwtI +5Bf8i+cVfclgia583zbsQA3UwubQzZ1Miab41Sg4IIvHhV5YxcZcFDZRtGyjHzES +p5K8pK5G+V8ANRpAOCLOWlSVcdf5Hu/4pnZzqB8s8D2UoJybOuMbFVZEsx72htCR +k4opFwX/q8/PMTFDIK6x2Ua+ftjOicdILWfhCJ9slnmdMmmx9JTdnNnygaA7E98g +lpQfn+OBPrw/AMOT1tc7GEn+xw4CPlLJUlXY8EJ0TWLg6JOjOjCG1WYM8k4FBgPe +nVvr2mBajDdFPkArs/kmqL0Mt8qRkmEPU+N2lCzOA87YfCpFL8hzn67KeDMnNKug +VNcvRVxYxQUXcG/bbvPf81STzGF9C/M+yIxHIxk4b0iwGkJNu/OXivadX3sR8tfT +cGvxU4CtCSLD9DE90VHM+cScJDxM+K5foVhmxu28YnjKJS55b3h+PH3LV7DwM03u +ZoLkyAxz/ht7ocIkoQubOOadNIx05HqL2ib3PoDl/U+VyK99FvPLNaJpB2Xic5cI +XAK/QZjfcIfpwWSrplkXHX6ibgL2kBCKizxD8MG+omR39sCz1t+aonY+eayh+qoT +BpC6893ATg1phWQo4EBkMld4sReDMZNkYwPl23LSYGq4pfD2yU/Zy1BFjkEPBFpd +YO2rQcB8L1ZwfzowdhtxFHz6A0GYFYK4UcDqbCjwn1b41j8uN5wHwd9tje0CpFLI +p+yhKsCJWEeNXClfA0L+mB+mDmqMFxXrZCP9mzd4pRhnP4G8+hB9NOXNV67kTJN1 +lGvDW4uPApqrvO9PLDpcnAELbk9k874dbVSuXpkqpDpvMzuyJv8oxH0/GTVdoVby +OFDdV9Ii/DPwQuphk5i00qXBJnCZwAvuoZO1t4NPHqX3dzFoOoPzqc9+noyktpV1 +4u3/6zCnhsEutX5CRAF/9B3rRh0L0951fvBeRvZ1UDkWkiX6Pi9aQKhpQ1WFb9jr +p35+g80XeC/sn2F+S/DkEQ+GFCRJJBZNKJl4G6z0LNFYktsBqCPB5grXUsy3YA// +2aKdJEB1WfhM3C5lKiYrFEDL/KkE26ZuuJYxPdMqQGRRxonOOVlDwpbyPn/5wuAm +7gJ7/2SNxzVT/yB8ONrSQDMHOHLfh9Tn/rfQ+pBL+72u+1FwNNpE+XyVYLq//2Ix +fKc9EYwj335jtIMXCvGDevv64Hq60SCUVAHNJ6CaLKaNdeAaueCJzNHxiOESWWSX +ZAwaw5ot7DdDACBqJB1xjSaeVuGwv1/t/56umNV2xGgdfqPcMBedTPXdM99PfK1w +o4sgiZaxrGHJVKfpjpUQeDd9g28Y4j8Q6hccRB2aS7dIEwm4LyOovHmJSLXq+SNV +/ZzixuqEvq8JD4Yc648PiOs/Rtj9S331RjVHs6q17K8mDnxIUZ1jiJ1VQqqlQLKe +6A9lw38N2nxhUqzFQEwYdLnyA7SaWriX5hObFXJowM1jYGzsLVwqlEQTnrxlkc6x +9YIaNejIzCrnH3ERpC/fYtqTtGCjToLuxPnxcmu38E4jdDbA4FRU2oLJT7dbmqpu +EBzoPGn+gKDE4OHB0o1qKQ7qnVx9/UefA9unykMS9URCKC1dEJKRhWqLCjAEPqTQ +IJ7AkhUKYLEWAM37uwEeeOeSPYCj+04X+6SmclhC1750VvlUH82sly81QDAuWpe6 +WE67scrtEZ2hfLm0ctCnwKv0UN39zZXbOK9lfwcJ5d9UAlseSOQzCAH3EYbYflzP +Q/sF+qmXYfBrRrx0eAOqmc+pf7PspOWlZvBFVuDZJJzH1A6qedl19f8zCFBhtmTE +5p3XFI6XO30lmZC/lqTzdxndmt2fsUIjRagM1hBMr1y+lyQ7Gjgw1r6BMseNhI/G +JkYzpo/4uVDDiXvtpNPeZ4e6V/FpEGESNm2cGs0HMvVUBYQgx5zJRipuoV31VGuR +Nn3X+G0f/QhyWfCAXJpXM72ivs+xdwGVHin6Op67iZikKNbxInXbTC2MvfF4548a +jIV7dsdJQSJgd31QkE2rT+ots/OPeykMJlt88rFuP9ijiBDeAvVdo+e0bOF4mzfc +C3GeKqeWr4MhJIj6bEr76O8efdQREFNurrALFs2+4ACDQNmqRlhQd8WCOznokwDV +UvXAVUMo6kxMzkkj/X0SuQikL3xjrFsM7aLoPiyH11ROj+aljFlIO3OJLr2TyAkF +aPmBYr1WiZWw0IEFfEK8GH7p5Wt2zJ9ytLwM5BeDRkxfxfeIpRY0oPkpZsDpZEsk +siQOqQnQdvXffFEGi6bGTULYDplz8kAnWQYafBI59ZxyZ9wkvhopPkt0V40zL6b4 +o66ThhHIZHKJKPlx3i0oM/HcynBGb1T63DeBKdh6zF0I5VukbUviIdeaadPq4u8A +bLj3BM2PaSCVrkFRSGfgrcB9V3eOr14zNp2utD6WjiIc60E622jHulkCKFNcDSkk +uJ+RIVFfwJpN0+jLnavaYIyHYBuTGYbMXdyIk8Ey/nVcPTWL4yipbOqWB+Dy7jJ3 +NsUpwlKMcClW/fjZm3ldnSItoVwW9rNNy4NNP3HP0upUfox+l+Ts/Kn7Hr5sHVH0 +R5NgdwJwhNj2ZvcDhzNW0fFAzedioWzugFhy34DUzhqc649PTKGykb0+HVq5cnlf +4x6+h8nUCvpjjRCfdWkcYQvWHTTS9QP4IAmlo8qJj4u6IsfSZGf2g7GJdnqwNerK +0pBojKmMiigiMDLCL0gsCAoYOrhTvrH5s0PCrWwl5xw45QrojPTxa2j4u1BPWAuH +HoB5Ru/+a66nDgyuz8VxgHwLstgB4PD282A4FLLINsG6ZWmmhWAldRBtorsBAXve +Iin7NedK8mtWzpO1kSel7T/zC4SylMUHlltCLrDXDjQEfYqQdVU/FiN3Kf95q21o +BxGFUAHNCQLEX9iYuo8BhPKvUO/6w/Ve1v82k4z4CHjnNb0j+4PL1OP48zVNXZJj +sePyv0ZyEtEZ6k3zflG+OAmUZWduZ08nOhapeuDg5nSc1/k/+meCntBsMnKApL+j +Lut+ZbxaPT9AG3FOfDmPCKf3K5zOwmmhHTEUXU1MPdt7UYy4b2JLAWaLf10q6W1a +LM5UWIduPLKLPVRzf4ovFK0CCfwGqDn+adLcUmovHoztb1+W3AjQ5Bshdidn/DzQ +x9ilTw2/Lo6f5wC5009u+GjhutaXHQz6I/CZtubhXaL6i9G9wjCqwQJsUpllwJhC +btyzsK6JR7p4Isz1J2QK4tRwamtGiEg1w3wzC0Lg650QVj26WmyM6baxU9uom1ua +/hOCxzJNru2udciBzRLQ62slR1XlbX82eeyUSZp7R98G+x0Tt04VDNfzZEs6+DpD +sSnV+U8af+yePbBhT9Xly1sijMyT2yqIK1f9sF9In1+gcfG5s4tGW1P6jKMNw7vE +stHeE2iKlWbfHHNhfaAobdUvYZ9YGNcr1sukvfC9IUYlMrHaVmQfO0rYEkHyLfW8 +sxxHGvSojdlLRPmTy2DNh4kgC2MRzRtYv4EpkOyRBSgBWwNL/CZfaufNPGf4fsVt +jZ2qzUrcjwulZ5kNwLFfcFIl5Kwdy5XvmCyHG3kAj4Oysr50oniL/i2M6/hVRty2 +5FpgIn7UoS8Nnkn+wivbj1C/CvUW3FXaF1b6ZAV5pPJL/kd+BDLh+pzHnsA/9KTL +fAwdhB91QTifqIKjCvvv0qJTCLPnnjPV0q/5uRpr5rX5anPdb2i6bhArMU4Hu77f +/7S+wiKfWy+e442PsaLMtumpe8DCPPxgx3HV/y79fwZCMTsrYWXLFBCkxgoKrJBl +NRUB+U553EfCcE3X4JpdkCgdm62rxfGKJ4ZpKUJib0gTb8EB60vW25e4v4MpRNja +bCVA2K6nxMph/iT20jjBTSFTxIN+aDb4kJD+6hBHc00L2Yl49d5IWKsfz5CotJv6 +P4UY19OtrluNIuHsMOLqymY6XR1KBxl/33Ha/3luIou4cKc0icY/CWI9OYOmMMhe +HwUeqBVLHY67JBTlK390q/Yq2PSBBzvUsFNUIOoMrGBqOvGvwcd12OuEOpcib//s +/wST53GNU3W411LdELYwD3vhxUfSLVegIiuaycstm3xVc+923UMxeV2Q29RjeB8S +05+pwKJvoPWuvmxLgKudeMPlON5Xg6g3pX2gRf6WDFYWuBdFQsvLIC7uK8uj/Ue7 +kgdr4QirATiK1jMlMGBH0rHx9SJD8v2+OjqgC4Svqjc8kiLsIxpv6DzlHhibRQyf +BuZvVSFarJe+1IP8fGl5oI1XSI9TcvTHlJFPrnxPqfwkhmOd/X7LFCAHQkuFTCPK +cKA+3eRkRR/lFK5mFpXq2vZnU+IwCsi0XfLHFdxwX4IAvYM6bWCGbjHFWBLeLtlR +pt2/l2DvvWJlBhm9E9oFu2Z2EoOhDuwqEDngoDyd4GJCazbiic2oD7SKSrZfBV3s +WZ6OFZr5+18eOLjZEi490wNq1ejdY26agbQlr5XXZUm03JzD1z9VdYyKPaACROcw +oRGt+nvpUui1BrfWrh8BC6C/s2bHByMKkzFa00d3SqcQqQ+qXVI1wz/sIbvrKh01 +IWugTfm1Ji8EToPoHctd2Dsonyeun/su5iXYCP57yAu8g5Xqz/N8Pb+o2vnTNX2l +j9gLNSXIFKYWEbj99ktxc2+ZtHLdJxJOHO6rWt7QFy4+LmFW1SYsHDiaYtITyx6G +snrvMk8Jlsjx3m0ZD23VKrvExFxe82s8yfNHSHN3KFdN4F6hnYHw5tfSUQlQeSi9 +S2hTcgaw2Vd/7YNPUuag14vGi2vTm9bjj1pFc19+sGhYhUVI89EtVOL+e/XxDxTp +a1QhLsZ4LVVYxG2rr57IU4NDYkzsOgRlC2cxVRRs3QeAdRTEY57GnYWUqa7GPUes +rcsiKWYXHqPHRCQqqLKjiPnSVRZfwwndVZRpE/5wQ3wfOQJqC1EscDHRw3Hdr7g6 +jn3gSCiACO8dTeDNmfIEHs7v72C8tKPoJUrq7DhtUfZ5h54Q5j/3xP9NcyT7QoL3 +EERTPSjQ/TiM2Xrrs+XVKtUMRk5DZzFUO/W31ng6ND2kXKumB6Zhh6slAMN7rq8N +449fSXdpZ1uWlCEYMEbYRXh2d1Ic5xeiSKX9BVbray9rnRK+vdQiJ87l0pGTnAXk +gooEOjU6mkmqte8i1IzjsKnUt5/S4MfZoOHZsc2xlt9yat0cdNuGutGK911bYieT +taXg1nWaNGUPtXU+8Q1hOSBVDpE/EhbxGh06V7mk92de8oEsUvBfH+DB4gpFBoJU +9dgK2M253ojBu9Cl8EV8LSf6qevvpaJsCoGbV5cKmGLOnD0O+lnOusgErBB1lYyp +eun+yiXCl3lxL1OCN0Ph0dDlGgH+4hwDEuer53TQSN5igcPjDpfCIh7UgFKQwdb0 +kmd0+sBHj38Ic4ktZ0Y2tFL2rO1vCoNzl8GNLc5tEJhJOydUbh0j5jQBzMz923jK +fHgTtCZc2MLhjmapsuFh+065ucX5/qpAVh9LXPMDR934aveBaLe45Hpomvpn3I22 +485EZoXJTAcpTuEmCNhkCM7d81QpXuwOgkQ4xBEwhR87tZdv6z4a0h7WsjufAU9S +BrHZrKEk4Tyqd7gf3Nu2DQ6PIZjvOjPOpsK/+/sVYeE8t1RiMqb+6veL06gfGosW +5umxd1W9Wl0alfBQjY0kzxROgj8euBI2m0mfq20UcKcUMrzb62cyenpUnYbJzH/F +geHR7AseRADRQphoRVF1iM+atGOUkZqVuoGuy8FlsSD0y3cI5L0sAYEaNYaqv1bJ +8WpENZf8nn7GhzdjpWCKEi6ZozMZV9MhhQw2/Z7nRDEZTx5gkg5zh8LYu2sCCZf+ +jsUsS6tMVWFPlJdOnLQYyjkQ5Lx2Y4rM9B/DMvnTQJrRP5Y51FMcLShs9Cp39Oui +aKD8LtbLFsduguUxukSgdHlQfL428FESj+zjmWwe3h7whi4hNH3/HmkJDAQYwwas +uhJf1i/tptbGSQUfodS9tbtT6hbLbvsogj4OjnSdhgnUDzKs76H7iJsaxPvtUDMF +n/vps6ClJXMEDgGM7d+JbuWhYwwA/T48eySStNWBBrifrlvBFFIOAr3oTLkIvty/ +6GUdLChArfcUTr62nPA/pzXgYNqLgKysKwy+HHeXfrXOap9MK8kl4sNjQWqewwdP +ZnbihgGIg8TPMpWm3VLW/dyU/8JmiK6a6XSk+h4ShGDEtzCRHD2sYk1xQEQGTUUO +XCapVpGVUUnA9PphVSak8J9JFizwwuAgS6Gfu60obHKk1IyTDBG3d55YX5/WZXU3 +kBt+AOVgENu24Ls8upU/qjf8TsaheiJIoyQCb91KxrBrhuQWliEn6MIpZqpbxhox +ZAHIjfX9wJMVqxlMHA9u5+Vjkgx54FrNJzmJToJE05Mova3ZZmh8x2irJbAhD0sd +DfkEBXI0df6Pb5uYO8xSdCp+0jG7r+i4IO3gFzvxRal2XUHzWH5s4hDnYFquyOBE +Vh0IQvDjJNrY4FxHJ2IMh0bVG8DLm8/uY9BEjrjWu4VTaY/08dEmFRqTjZIAauWU +fC8NYvULsRgMgHC7yK96GVb+5rIL8V+ACPEsUxOXgMmGn4X9XrGqGkTvZGujf4pE +2ShT2/75BH8dCD8myEsWJ22+dU1wvfgJR/o52nPCL5DTk1v0Z5a4bUG/q301Y2AG +zr9h07GNMpn7IIK0GZyC7C/XL5ORNpWb0UM6xaZ08q1t6IsM5jUodC2V0P+t8SpL +DUIAZSj+Un59WkhQZYfDCCwFbLaMWbkrmo8Nd5SoMj/sytm6ADNzxHfnpxqftFXy +xfMB2T0OBFGcM+YHNBN73Aq+MNczOMzEFlbcdC5VrU753oT8B/nlrUUfuJOM8nqe +FrkP49+ACTBP/BV5IEo/oOhDKAk44r8XOM7wJsSUEN6oEVJjAlVC0HdLryFI+8xR +pakxaEJzN/7AhkBLNjrvfwzWRJIekHeZMK3ok5qHUtPT++eFwjJ4ooyqi/Zx0m7l +13Ap6af1vJc7havmKv0k8aLsBvhrqKeyf6d2BUbSQT38il3urkzXp8/SH7ljoRFN +XXkuLuVzfQBnFrVqxvAF3NoLI9zihjHMqy1aowkh3q+AJN4dlr3pQ9OHxa9/AOLQ +odydPME0uxp8IFyoiaJ43xrWWRgZSmGqQczV/1p0n6clXwx1sjlkP9uPU61vf/0J +PlDCo6KZoPEhinUFhUrJDOMaJ0ERbUSPuRhbh/b+0K3u8vjzMe6c9BXI92POvOCB +WztnqcHiYyPEoB/IOs2bUaFOcTl44gOaAdrS2RhtDFeaKa07JnKcJn2nTDDT168L +MLZP8vEDvKE7e0f+GW3njH4w0IaRXC3yfXeCFub/JU0BE/aKWY+49StGVbkbi2l5 +a+3hKzAbSTZX6+7Jcnlb71kHTisxkMEqXbw+7DwIpCP1TbQBvbGbptZJZqlghzg7 +/CeuLe9t9igWZsPx4IEmb1IPs3E9v1uCQiD4yaUf6hiixb83VTCp1tN5lb4NEatI +1hmDooRlcFvxjh7She4X/5ET4JZZVcHoslFanZpvajKzBr4ItcDdD0mlmGv0qV1o +xD3Mjm8+OJn33tKZ7bexMKmfw4cMvaEPJHkR7UN4xJhQtpIgICa/DsEJ/Yf/uvGH +E5JaApysIK8Ef4OCf6qc7iUvrA/0wufJcv3g1l6WtVjAcsURiBd2kRZ0s1IBqqvS +VHYlRCvRLXmRNyFIp/RL7WonxQJMOXVUNdj4oMUsNWJERhqEjWRNxZIQ8kv5zxQ7 +ya7F1NJaQPi7/1YzsL9fKYUnffnc3drzYTFgVugi8g49/gWWG3LehV4sWAQXRkZY +UtdCgHpjz5vWJx81EzlUmxgBvuYA55STOfXfVw3gu6TXga4kL94JFrds7BfrSaKM +iAaoYEMWNstO2sClwFUPv/wu1g+E3QHVAllu5U/YXTBkSOPM6DM/ESkAYwgUUSq2 +Mkoy4VSdEQukHDAiPhGBcMAoRrYSk9wmF+Ay7l+fOD7x96pJW9NXNFi2OdouUaM/ +zZtiEr7Ixznx48DevYfceE/ddQH13/XcwqO1sM8LgIjZDe6XaRiaiuhJ51gMNj8s +Ou97VBKAMwu97zaNW2yzoVybM7BtaCfwKUsU9I/OdULsHLfySMBt8FUDf0+2MiGb +nuFtmEr/2fydKLwO0hmbHdTRRkdLl1lrW7sKyjfHpoLOgl29hSmSN2C1AND7K2eM +JJjJWfk4i2fjE08VBJ5eB3KQjKr3D7tVLqqO5zrSjzH8BlV3ZUkrxUKVZEVAL6SM +CXV0fUugfKLMtR8MomKoXOSMoghW9wdRNVsEqH2M1jeSiq4rwLPnzZusO10oauvu +25Ln2Lgm+zcS061rIDcLceblzpMyj3NFgLlbaujOEp/6J3tEhFHo4P4FZJ0miPVm +6Xrhh/q1/5QNAXqPLRFANO0BrQ5zgGoLlwN5vg513RcTV6DJ8Bw1q9+IxqbhOmvH +fZGQTqQmFDlXXeE+B9qyDDSe7VMh+KIbLTiUXuhbdrcvgxdBMionFX7r3w2yzmtJ +p6pxo6CsNLfXnQ8bT63aG/6wSLr06ZAJ2TEh2e8lE3ervBu5bTp+ABUo0UP6ZMNo +vaodH6pH6wQILXqw/sY7zrgBNdxETHLOLgUnSjVrD+VpTtFBcvo89JbdAlxmAsCr +ZhmvHdvV4s7Uf0vp/XKaDWJOIPCr0wOyGa9NEB3ppJu1QiJ2c/cG3YAS4xbKb3EI +H2iK7FXIkcgtX/ojmxlGqhHzKg0BoQd2Kz8crLIxhjkhv4CJs2DVxfTr0qJ1bx0v +02A39CrYjlhsjlXVxTA9TUFkwkqc2OrWJS6Sd5k0JFaRTe0Xe9veewBsfaYzhyJu +e/uN6nQYGA9h01fAfVByWHchicz4DKvEdAIJ0PXIV9KaIUr+/9lwros74P7ZkNLg +PREjIht6K/1+n0FbSUcqlZTW3CUc4w0VeUMi4sKO+efax4cIdXA3Vk57ne565VEM +KuVsY6PPuTFa7i6rcWulNbdHi/sMUUFYhXd/U7H1qQ97xIBFqiGNrblzxx2T7BZT +xIBLg5aBzZQKEL2JBHsz5vjFlH/Q83f2KhRVRXhbSRV8lLRqzA2+2SoIW5oXx6LA +ZsXUUsvDVmDA+LKb9jUdEGn0vGStw2bPJiopQw1QCCUp5CBpZVDGwh/PitLU6Hd4 +VsWUMSPWmqaBQT4+QCpv3909Y2gdAJpOEI580qOShhDMJfFVY8MnyW5i9AkUXKhj +0Qd/XR0FE6EAFUsCAGwtslrK7e9HtUOwmG29vStO55V5PFmeYeyon2CkqPnIlL2h +Wi6kS0H4AtdWMTBSp6PQYib1XaaYR4qYYZYmEI/iOcEKAQ0PekWhCEG27c3ZslPC +VzTt+Izw5vkoL5G3HpZ/O6oljYun4fTsvShDAbzKXZ5FwPrCbXiqYwr5DTfi7YGZ +yfTTWb92k2k2Cf7kB2/Doc6D9WLM4ESwch3yqsjMCNgLdJgkU9GDYIv01v/Mi/Qu +50FNXbi91xam/8WHogUtKM5lgaOqcgvdGQg3pPojBYuP0FU1kBL21ye8GTTZgyFc +vLGAoUiI4LUfGLumpDu6lVnT0Dc6+aWfDlsOae80ZG80Eq5yeBW/AiRh7SWi2svk +qrM+sVVnxVaqOuocnYfK7d8F5NuJ5romNPpP6Glt5T5EDVmg87xScRJarN3OGwx6 +OnlXbF4n70oraZPfzy+HLXfI6P0QjpXm48gxIreMwGgPsj8xnfWB2/ZDWnNhkaM3 +zkZJG60GYZr4Q7d6sJV5W2bW07pnUobMjCtAAti2re38oiKYBdNxXY7mCHjlbUIM +a0wCTlNjcWRiKAyjVVcgvSTv5xRvG6oBlOjVw/FZWBDjrErgzSsRUJsilRBMi7gT +47dFxMXcoxBjr18YLNFB06kNFhi6hjiRjNHRMf3iBpQpFWTuzjNudsaGKmgISaXu +kjSvfmGFfPcCQ8iA07Gr/hnOagEs1v+JCVKRjTOSotRvFc9bVoqpUjUhYyKqcI1Q +lSGyThNG2aIxenkly7qZqZ2GEWDECG1f65KnjhBitljuvnBIFWsQMA1BevuSivrB +BtrHbmIFoK7wXPK0xuTmgXQH5uBELqjwGCibXXVbu3TUW7oycLFeP6SduFe3qJhY +emYW+2EPIACNdHJbQLPllBmexq2rVi6Go1ei3oCvpczCr6+cmsjisTuNpDOYrf3R +8Bag/R+MPbYamDhxANGEjJ1+ULeorIW/YUYvv3iXdAYn5fpHTPZruIxx/0cxHQ2W +ZtL/jESYvf1TfDGNswdTifWjO3YaVopoyjN+LirAgPDuqarqurvIp3PVfp2vk00s +swPCpqJJQdZV0EALCrn2nZAhzJDd+GE4JSqHni+bO4uVI4QV28qjTYcRemDzo8kL +5aN+mtbU5LYrNK/dAX60RRTC8p9nZdTBibHDiuE/4G0DJ0RKggJEvlF2cJZc/5zr +CZOR3DkPtj8NpXmC/MwOwcT46Vb4f5CLk76Kjlihsepce7YlK75chKlRUprmrfqV +vrp0o18Y+9MDJ8bDDZ/5fJFYuBqGfMPrkllM5IsQNk0YyYUBhj0gMdrB5jFgkOnA +1EXOYI0FVGneOiAGVMZleXKIaE+xDDgBCGsy14BXfz72zyw16PLo5FmRNX89yukq +HOINhfqyGRF3KazOtt4ofxFbcl2abMpH2Pw01M4tVY/BV0EEkwrLUwY27FfsrJo3 +y6KixYmhk9QUsWCFCvlHXQ0NG1pFLVyC9+6Q7PxFw8SzEp9pcOzE+M1HbsNOx6/E +EzKtLLR6Iy6irYuXDxpEjYrR7qSW8iSHI3TSZny8zwH/cOA5t4FfC3UKuFh2KC0S +/upsuzXONtIfbvFjCF0c7tF+OnM1AKu58+Pm84feYoztxl9bvQhgD3CvMvgsUvkl +zv4u4ce/8KF0LWrFKWgTlspdySJjkm5fJFJ+zOLLspNuwFQ5Q2YrkmYh+cS8pA9/ +z2+wGwn8flVys7UFzmhR+7vYWzNy+2tCUvvlVnvP9fqt5M2eWgmX1BMIZ2x+xjzq +kuXk9ut9Zd4rNHwFQCF35x3U6c3AU6ZvVyTXeMHOvxg41vbdr+dBSgq41Yp6cLEy +vd7wsgDdPxcaKK26Dj9Tm92Oe4h+wteRxXbwkKE+rImYHGSpphkAqKOjbZb2vTj+ +IfIqfP86wqqrjGy7NHCSsDSRYyoopB7/ZnIv9G9yRYGm0io9YEh+p1yzHqskDgmO +bKqrbUXVQQQXhDMvpArrv2EHU9IuWecXwRoRM1/M+0pejmjllHqs2t5L9/k3U+18 +MFC8YuV4FZ5m3BGr1oul1ZkRYdJ+o1rj9yCK3I3ExbUgKgTK2aVnObdn08AYJ5MN +K73c1u3IaNEMS5FUcZdOJBfaIa1ecKMvoR7Rd7FF5ewYv0zdMu51poCRKo7Sr71I +6cuaRHguGGabZuho1AjnodliSAuHsu/cwLa4zIjOx+fkvwzCxU+4ppwa6gb0yNYN +selycHs783CdOEVjWbVpa0xqWa22roxgkS0fN01U/vA+AElFwU2f8STHt7Gj6q/t +E9VE3ANegdEAYy03R/5JmZwwh/bXa8+nG5syjYhcJTr7i2y1pIjXdRN1aPTOQ0ZD +tORiEjzAZfToB0/ch47/kJSTUHMRmsRF0X82zvDWnk6qaceBfigX1c2ySLF80Bqp +TTmGZdLjljXsDJhpUDA928UdD4diXU2DD0AXoJK2C2gkSc7oamS3qT5fbdwtfZIu +gRB2r58eWEg8J9vQotwazMWm0ktimKBcsd3fs7q754vwY5lRnpbXM1KV+gbYVOkY +LHKjW9TZWb8kwaVAgCmsYuRao811rmXcaeRr/aOvWMOLPk/LcKaPcnu5wedHWuHO +XZgwFZjMLakmDuhbKh0ph89mmYeVhTjtDHAmorfYItijk+9qu1+yKIF93E67zi9n +A40pXA6Q7zqwX8KLEm36aCX6eSDmvdDtanoNR7D3Cd5focfzdBXn2Thw+4o/0V+7 +vjrtL94t29K9beGjTCQTC6TFeV+bjq674Rktgiy9E0iWR5QxGZCod0YVr38qRTja +AsyRavmIFtN5mmL0TBAO3DxpEpDIBEPPegOd+4DnYgcbVEINpDUcqBqFwpvuxK+6 +hOaRMN3y64Ohyui9FPRxwTURCAmtKDzLd49FpskEByiVz78YK18yofidtTMwhApn +m+kE3W3OSiee6JRyhjtoAyrb5I2Lb6c/XwKH7VC50HZKY40VN8ROSN4ikM7rOycp +4PYJOgMyRxj/VrXZWH92wxoVcw1Qi9yvg1iZdhpuQTl9KP7qhuQ3G9Q0VfZcZdP7 +bP4kTh83KKmZOV1JcKlH7C9m8Hvm0VsH/KqQKjKG7zC7CxYi7JX+KyYo+DK/wmCH +QDZ42r6xgLKME6dVz6A2cWcMpHmC7FZEya5wBHIkWvLBeikunQBuTM+fMENVIlFc +yX5r5dJ748U4zSA6bN2a/dJ4QfJYsTnAgIBUj53XYnDWfBZUSvzrjLxMp/E+EZYb +1yNFZq27IT53GwauzM+QB49iJKvz67GqFrWzO/QZtp3/JaPVKHOxavjEoE86TeSr +a27FMVR6gUVzMUcnp/SOzDnNzfHpvRRGlTBbtFCQSTHRKkZCyADkPN/mxDwpJ/S+ ++bpqTmPRdLozNUDQmKBILlPotqF3Tnwqo4rELDdpOVcnvkrSyw9/BeMKleXsz1oh +f9K3TuFq1m5GSq/JAbXxa+y0EDo6TGn5n3hgIzTS35XUxKXl7FjBlt1C10+r4yAF +giTMEBQZkE0DkHM1QcNHgw1XPtNu/gesb2PUeUNUEE7vYSaeI1kTQbE4E7KXYeo1 +Evcc2mN9rcLIFOxabBQ5XhmStcIoD05tXsohjhXAY3adw6hjxHbxLo7GZta/ApuI +FKruWSyz4IbKQMmS+V7yC6YZ/AMJLwuXppd6MFhIm90NSVoqy/DaVIKrn6JjMQkd +w8iMkcZpQ9+sTgZXy0pPRT7aywtLrWaTbs3qmE7W019u6BzkKBdp6LCoq+EAoEh7 +LdvS2j+rJLjVexdfG+bjh17NwAi9333WO4Nzw3mLyeZMe9bg7ZmtKJuU24EubSBe +S3PN4NWSnex57PDAGH5brg5yO0+UmdTjnwO6eJI/775KS/wep/2UiGVdZa6ut994 +khLtE+8KaNK0F1eUsssm76jxPabalc1uySIw3Jfaz957X3eh7Ncvaz3hq6jZqBNZ +Nm4btYSIRWFkvkh2WSwzgiu4wraTS0v5tO8kquMdZ0BgHkVzw6QEP+s4XLuVh8qy +i20LoBvkCp1Xt4JDhvw1b/zUfVh893neD9EcP8zXHUstBOeOSgB2GEEQXHxIpxxm +5MfC3psGA04kMO+TV6kil8vy6GNpLvJ/XMaCE/kW53YO08cjwNoN/jNFvrTWdkQK +bUbZpkWH0QlqBp1xxy7qQcfvzP0XbPTpP72uhsxkjwY5mwEGqxL9Q7rTwqfe0wYO +3eDw0X5ggRIcK6oJGBD/deZI5hLXFIufNbZnXFrMS1Xk6gxQMO4ozW0nlGL9F93/ ++ei9XoZnxy4yYig8RfFTeSdqw9yI70APFORovP8m2saOsOhU2aUgRMP99wIvuHiu +ULCdYhGgWtjYTEjmoNoZ2kRA/wejOcolHKMtVwQu/13yNSghA72PeJgnx3aPNN4r +xWkJ9INNKD3oMbgN4h2le+3Vb0rAOtxECmuls6xUxACwu1F0SW60s+yXUewLsOWN +FGg04cKjSydHUI49izeXaYpzLAK7nlhYrtHas0vVis1syHkBHQE33Q17lw2wrfoN +m7Ht0BYuQGaOxC+8Zmmsh0J++fdl2WvyvbqBZ9kHPnX4lhRCSuW0+c3X15DmKGZN +l4Gqj68BOOoyYIdGvckHx7ruez6AMGb31E6HbxxLfGj92uJvdVhm9o/qmQ6DkDU4 +2LYAKQ9VCZDB/Lmlu6SEM3JDedxmb9kK2QMVQ9dma3cUkhkAsBlAaO7c9qlA2xDA +M/rEvWH6u0BKiM2HK3wcmwUo0i+NcMWz8XdONEHuz8Uf++SdOXPNFKIvPq4mEzcx +xa5YMa5BkzJrNiGgM8gIiHiV0KfJrfYuqN6JBfrkXEGjLIoZVd0piixpsx3CPWeD +e9ckmNGngGm7myutxdzAKmwinTEKfVNhRdH1HQM/1ZDX5ynA13cMoG8QLZ64s1sA +vsVw2LgldTYZMJVfhvJ6+ePkDFnQw/bJmSt2EewdNUTUBCxk+Hw6T2NKQRGkrjzf +phjW9LpdzadP5Viyzz2ALgBj1V/zjtnNLbf46anqEt2E5/2rnulx5I8UnorfBwZ+ +6cI63Oaie1m9Uhm+IaSWuLxvqaFBG5K0ZyeaM+VxbTWD7N3N/4sBmykjIZRadgNe +XJnA+7v08rsLnpCjA4BdBjnpCS6Klax0u7KwDAQIqi1WP+Sjb5RutEMmKf/QNaXb +B68d+rmtD36FcIX0LkW9fL+/NKPae90xzmGcgdOkarR22+rnyQM3dOOgelZzzqQO +45oCRfFG+o2RvMdLsC2mEPoia7dS7NTxC6LiJX1u/n0mnuBM6LX3Y7R4N0/cpFmj +FurKVBMEIa9505kUGbCcrkl4D21rNNZEPH2VMLsIk041n4ltFRKqZhwc0EcrLVv+ +MXUxaGTBP+/ck682CA3QvyF0GmNi9nHI3wJ/4FI+Gr38o5lLLFGqHbKNJrkYaoaY +9pgHf+WaJgWlsGvRKwlxJFDlLxoyWR/CYiSkJXQ/ExWBpriUszQ0zG9IvMKE9kXn +F5nLoWyFMxYiZP603E1A9LR6PDB+Y0CNC9v+HLhO3cznnY+kgwdUVooG0KNrGe42 +c1ohJ8Wx73ykmesZJ19DncbZpg3L2AHzo744bq9ocHdhgI9FaCVs8XsG/NmV/TDt +xLGvamuaObDfyKB2Su777vFeycFDh0HfUk+H+dMqHqPL6bj7JR/QvcWWPpU/e985 +TRo1xG1ZYyAjfHzLX4Al+5yDKCLT1UNCpEDgkIrvcc6UGnIM0ORxhsy1A/FDZCYu +UEn7GzEff6bvDIOXfxcC1Zey2zYk4j7aNm/N4zyFwddsO8tjopdtD6VGCM8S7FQn +b2k8LGnXolaBF4lKXuuj9BQiw339eOyfGeXEeend5oTBnxWxzDeCWnP7bP5ukrU1 +ARqFwCOx8DmMr/Rvdx008xmeQV4QnbRF8XL6QQnW2QvMaijYXpscydGPRkSuLCyL +AtQTMGcI7RysCBH3PA5DjwPsALxwMWwmrVKXRugFEfuRVJV4Q/3il2xVjUad7hDW +JR/iEK6QF5RpcskCqQOicLpESnUs/p0qKYgOwQF5RzRNL1jHlv0EmBeRTs1yWGZq +pX9Ess/LjH83ywnsFiZcaUJJWcmKYz1u73yV6T9l2CpfPdmI+zGBKgUzR96EjzW/ +NERm093Mw6IY061ZqMDv07nGWcc0gGrA97+h7HU8ladklOghyf8Xp2aHVlXMKHvu +B1+m2ERLReRd8Eo9nm9Ck8/KD1SNPhExIZorkyOOZtm/NKvTCBTT8DF65VyHPHiu +mhQEuHvSgbE+DaGspDwakiy6Wp9BEJbFvkduIdcpr1AzouhBN0XnnXp/QZzaYikm +j1PSQn/J4KswsUlY3rcOUS+egNqHeoLklWSP5w1WJF/e3I9qWBwpD7Zfz9r3rLVM +UFJvF+1jKudfXppkA8KKEEjzcbOrgVHSGH/s1GfutoBZ/xON+NzS8F8/JSEx6xTP +oDZKJ6uzKBpR2aJrhP3naP7kBaamZEmdbhPvOuIEQ/VbpuVvdreA0jW7T77lHxEs +f7L03QcnXdrqrRLu/INYlrT2u4IOFs5LhT4YjMCPRd3NMOiZyCxjS5rS3r7KNsID +ZXHx45nnKgR+LWp3vT7npKSElImMmo9ZjWOwLMx21OyISGreGhglRxAmc57UyZmY +gximG9qMm4lgd9hMqYeG48s7g/dfaU+edlQOU/5Iza1VQdDBgtrbFIqPJ8km19gR +9hs2xqG3Q24qFSIIPPhv45nzCVCIBelxU47LVavkWVwXHwO11Q2Z8nccvG0eD5Yo +awE5LNOq6S193CQqfQJUezCDgvUuV76yInc8loGXalBNAxO3I4E/6H2JRBJLLJlj +UXZrrGzW/DaCcCQBhftLQ9EBntEzkQ7M8GvIulFEPY3eKiq2qvAH5Ow97f3JkItL +PHvPW86x/DCGWX1YDu6j1ExNU7p2unL+kgdgvVQ1SR6nKcpPqkZcXj0dg9ABMPE7 +ryi+grxAYcx6eLzmtCH1GYyl6cNfLETFsvw7TUka4pI9tktmhCPJ85JnlRKWwCJo +s4fQx6Z8uGMPFwFE5KDpRFomopRP5fzDZei/5p75FTJjO6Hn2qLFkkAtoCfHDuX8 +B/bvTzERgkAMOPswr2LpwxfXWBxGQ1gSI0LsFPDerECqqoeIOSz9qk0snGQpVBoq +3hLdbBs7E/u3fxLKmkVTizNbe/Avao3mSve8R8McZcGvFXgYiiOqo7MGmteY7g+0 +sy+hJcvvna6uq+vnEXDmn6bXKJZ0srkEn/0o4OWA+DSNFmkcbrqCnz7+o3cpns16 +YfJZA0RCiOrxMBTKzaKIOOWdHoPbZWrxCuw+WFFG9Q/sm+R9sveiVcTtdarmtZw1 +7Qhy810gqlsZh9nQa3OFwY6D/mbOrvwPCOaYERCZlltrjk/lii7vhXLEYNfyPykA +ObC0DTJtThB7a5mvwZU5UwMv0AkODDoDQRCEGp4JdEG85VzKudKBMY+v6yX2jgLF +SOJ5zFBA0j+J5ypH9OJXt/8sC4GMQl3wSqae7iXe99UMC8MHvFjChYM7ULDqlbIX +8H4Kkl6XlYr9nZgCpKm5CuNeEcF257gZkyfaPXnxzgjegWg0zJgoDYydj1JqpWPB +2ckGc2Y0jfyJeLTU2E/Idk26mLc2NCeg9wL1P01r33Bg9OhuPErVV14/B56P8cEi +6hZC6HTxlpOtU9cVMrAAZMoQJ0cooQiN3RlGcI6d6kNpebCI2F8DkdX2etl+/UR5 +E+dl0vY/RuCTx3cicN2hiwXB3+YnFxGZsrmX/Xu5gsatCZSO7tLzfPPrzAQIzHJl +f2Gw8/olIW7MmS6Yf2RnMTwcTrujfo8UVXQQFAmb9zbSox8JPvhp7Ua0qpmtMU+J +rN6HMYaYGQlWMow24AISXeNDXr6UmfrB+thmzQuJTbT5EsVgokE4NthKMQPe1Xiw +hpgwqp+XTbM3sUp4gwYbjwVNhS2IhJQHCE5Z1X9Bww3DoxnMrrZwz5X7tYtm5DH3 +GvFmiZ1+87tVYuWwF249AhVMtR1lYF/EGStlULMjdS1j76FPXIGeKsZqlGqkIB7E +jO6kL1mp1oTyFPBr5C6y03ckzKr56QJRBXebGwbvS0F+4cx+4dOJtec1lPuzOUzE +gYyLwzIhNd4TunX1xTlgJCMH+Z1MIDH8Cs8BOA6/JhZnGcZjWOGKbFh4td142L+J +8d5/NPsqSTa/7IBAauFoAaUKHAPwdPEdF4454CIxEcEsSIjHo+Xx68gcUGYor9gY +/Sozir2BrJ5UVae74BusMM/v7jdAH6pMZou9bpFiip6IAuSFsQ4rPMMuFY4sS5vP +pnTJSTGqpjxKU0F9ksNKr8xV9ntwwjeypebHfdD3KvqCOm4swueNZgioUft3kWza +8c1Lm5yTrYWt43wptMGrq7mgjnPf1jcZvzzX0fmuhhuOdm33bbwIJUnyB8bIVv7x +f1S7TXP8T+DvNkdslkRQrL2dDIxxTqOAwYbeopWjv1nkEm4gjVbh0lrMbWNbvBWG +gx1cWXGOsK35wV7rHMgp81j7cAJtiImDyNKqFtQzrIVO30gaTZyrq65Mjm00gVm8 +g5Pkk5qBhWm4kpjQwOUvOmLpVWX7tsmeZLBam9uADwxEjHhNbPtUtLMf1mIC5fuN +axU9AUxQrP1QtBVfbQwmad2RwEyVixF/l1ojd7e76QsQn8x8RF1Wbb0VaemZPVo8 +dzW6w8+7X3QrMnnEwrVz0Ea7uc/YgmIeS1+jkvr5L5jnprXci9gsuVTH9QPXDl1C +o33XT3AidSmyIVlnAe0RUlxFuRH4wwQ51bvVBS1Izl5fofBF5IayjvLQ/ITH647N +HW17wpEVis+7qzyEjr4/pGJQhNjFTlNFeZ3vG+0XX0wDL0Zut4u4RmqTn3c22uS6 +CevVtsRgMhlDV0gHl4IqdlbKfiugBhz3jMOYJtNn51StC4197KDJ99mX2omJXl/F +rXY9XMqfZNVkbtX9LLSf5+w6izw7/AArqRdrWKXZXyAi2OkpJMGbqReFEyMR7UM5 +p2ZU7Fxz3AG4+fxw4N76UM0569yOlgzL+lTYJexBIaDjUTUi1CiSS9avL+HN8y3j +WT86gtPhsVgcrVUTiAXA8lAvdn9yVCcdL2mqXQjXJF8m3Z2BimRA/jNIi0YgHkon +FlEHTQoWmwLHFFmexflA5eMh+bMB02GO6RyW5wOd4eaEFRL/aFFNmEa3byAlcJOQ +p3FCZZc8r1mmmeHOpG5km1IrlZMhn2kSeP2ajQVA7SuFN9DyGrADvi3MiArxUK9Q +4TBeIJlMwAxBR2mAMTJ0leKq/dXe7lJ9NrgxzyLmtxGgPRWtBBcw9tV/q3Lxsdfi +pvnZ3csH17vDA25PvF28R0+EYdRw2kR1OoZXauchzX07v9jZXvhbpBOYnGHuVGC/ +rDPU9HqLX3DQeswpiZ8FNC3So63nb1fprwLsvZNsNfmvJbaLGJOZSwHQPODVpca+ +37YhfPdiBWg1M0o9ed6JkkxgSCflbNf5OUjrlxtLVTY6HvKuhm8oPJhpop4hQ8Hn +a6Sw0r217SBiEfz8id2HnLzGE3NqRSjKlyqhF3cIe+Nc/EhdDotiG6vEwbd+IhxK +GpcVm6W+yNh7Bu3fPVum2UHNqXTRekhONX9rmyFNa+ZAZaBy4n1TsH29t+7dV7xJ +dUPrA53MKXtCANPR3st42x5AJ8HqU++vIHcYrOzc/GP62M5G/5v2j/oEM3LMpijv +HcUywrV1QDYu6MtCBiakbvHwP05Dlifx/ZrTXwDBSAlI8KB7ugIBZPvQKoqurM2k +dPRvDOGV4smgNl/XoP8BGa2oR8jPbOx3OIU1eTue4bcMVTeetqx61axpD9JS6f+Z +KRSzluSQvFp5M9UNxbXrWyO1wqWFyRM/FURk/9KAJ295q5XO3j9B2mVikIL25CBM +CslYoummle56RWLd7SLLgZ7X87GWp5idtlGoaK6xK3Vn6RZ1nt29fErI053p5NCL ++jZg5MswLkVHMz7VRbSEIrNFrYre+k2tIYlIngabnavBALKchV0soYbQ5GCt8I5h +DMihrZ0IjygMot4lmZiF420cnXiOGGJ6f/hlbbeNZyluPL9HTYCSwvyqrUMERd7z +nWJ3ln3gdThfuVmN3YxSGlOqBkziSI9kvfDqWpHI9Zj/PdZC65WJdLuwrz5f2VbK +UAjvoFfLw+L2pozw+PVwfeoUxXZ/Tq9muSwm1BFOj/DZhj28aIPg8VphD4qPsFXK +bsuX3L91wSGPE2g2g0wRklmpOP28h0isPKH/MQHLgfG6UqJVMw/0faTx+UUhgCBk +89aaBab6EnfQGXyfiVd+2Jgk+x9aLMBB9ShsrbFVPct9HX0qnMVyaVgA4OgEmU+f +XhdAqgUmf9kooeGGx2TA51drnggyDUJ0hIRonlKsG/gO27cTMulx+LB2VfQhYRA3 +48fI+CzwphE8iqgmg8Oo2VrNvXtypOVmm47ohWRI9A+YNwFs2v02+tOx4L0MPtCQ +0uYHV7J20kad8x8kGEvKTx7alNY760PZQtMx4aqU/JULTt/KSuLwBkmuM3XgA8eL +7dCcRmqTNk71A+c0cD2ganVGuoiPcp8bdkp1uWlGZB6LECOUO1RJNUmQZVQIyS3b +MSJrLnDYOWpSWzrCRWcYv6lUwnoVq602iuXeYV/DI9MVDMArvnYqSuxf96oSRtkG +1FM8vyYmLXjhTqqvy/uvHHIp+Q9dJWSE2XhSwyTftzue02S0N+Xg9RifIild9y7K ++G39B+ic6Oks7KgyHmD+lJfNUmJZzqFU2rfxM67MKOz+20PWIl0zeFkp3dD0omuQ +LIPUCMHXAHHyJbXbcbuAWGb3n3VcemaGzE4/7kqpXwB+3yFCjqlMQvk/D4XZHe7j +MFLV9tTBKOpvmiY2bJuKXdOmIlUi4BODugQhAgJKZNFn/T2fo85jSX81q95jo5dU +KR1o1eU5Zlp6K/aNZBMWa0S8XfAcbTuYeyRMyDZaaedVL45PKXb3MNjfMAK5Qscg +3y3fnWDTQXUw/oxGfKAWbevUVZFUmrMxzy2mUmu+0apSra5u+SDvf4NQONOaLKPV +C8zWbPz3R5rPdRyBKIBEHE/iVk0+IS+xWbKRzcF9Qg3x3Ts7Q5gRH66sv+uuKqI5 +vORJZuGZpNq9wRIXVgy/H86RXybQ54Kb68VZTLgwBsJm/9K8x6YMARf91Ou50Ddv +RldiQS5GviFTsOfXOdcLz5AerfBsTYdvJA1UyBq72YSUa+FBxobZcRExsk5Rs4aS +6J9LvLgi9NpfS1T58H5hwv3loW1m0MIndz6juCg70KcAR70bpe1tAk8IgVieX0YF +XCNQWheFaP2A+bMlF3ISVX6c3Ohut/Jmr2Sd7ZMqVfl3uzplM9/+zQ0vLxNsTxKD +v4RKgcH5fGMlY+v9vfStle5su4gwWJNUkVuIX6K9oQKrBnBP83SMvF4IHXXaGNeu +cFxcANj1QxnJK4tHJONxriJlBq3/52Zgtartecx1tdv10piVQ/uCoe4vL4bpkz7r +3/ij8HkyQnMb08zc4GeLDVEW+bpakACTXE/dSNDZ47yjkPS/y/9t5eD4xsHOnW+o +Om/9gsNCDD2KHDsCjRD8Ip8mR6aIxBL1VlYcLR/XeGyAkcEsj2ha/+OoeGAhBDxn +lp52ySXE9V20N89TCzft8/MaIaIYDY/I6RfDCMiYXvvQS1Tq7yVn1Zuqha1JN9/5 +2D4pHMeBGqzCCA923GBEJPGtkSR0GivafOWFpcI6LbV21LTUbpYbGluZG3Aypq2Z +SaG7OJIzi75t7x8LGPLZkSVPwzktCBSZCZYeiG1YcyVt8WopBe4taNTXUEcPOeQh +kGDMiPed3rjPLY0cFUQiXCxLIlGuyX360fc6Pnk5X0uyXWQ+KTCCgj5F8hp0YLlO +pTcn3VlyPWr5tRbNQKHCfLQFmSXmwvprFdUyQaCXPTH4iWze+8dhnsh+1xOHUVjS +GiKlhDNlC9APvLE9lyf38dFWXHvjAHHpyDytdvK2e2pcdqy2sNSm/JjgUhNHq8iw +dFZ7kwobNmm/Ruskl2/PNMRnEmKEeGBuEDFzIRzwdV5UCVFrNQ1i0jtw8LLTa6R2 +Cxqumx7rcsFLgvGgKL6YmV28mp00BIv9LPwRd4jgc9kMjY05GJCliqOb/onFNX4Z +2+p2t5zoV7lhjgLtAuEKlJb6Sh5s6iXXZjs+WxBkz7Fxu0eVrX0gZHECT1OkU7aH +OnCyI7XeuIkwvwGwtoQ3/UL2fd1oCudgcLgPf04uo5+o/hr2SlDykcIRVj4BlYoP +QGq2lkznojSm3AuKnfUtQmhY6gr4icmJR71duNcoV3o8sIN400Le+dEbuuZ/OV5l +GhNVCyrkGw+kUDdXulC5v9v/2FFUYhmpGcm72u4wglRGBizk3bc/oRCEoL34OXO/ +0ketexrZuQKvL2ImfHa+K/+KYX+XsnzjD/GbL8nMsvcJzb0Y+opxTgAIezthHvnL +v4sV5bbp1p2WAuMceRLxIbVXDsNWvlk0aSfdIJkYrSCO5PsttzBDC9CVbqy4agqU +KhkEEcDE/pPWaiLfuYAViZYHsHCG/QwKTQ7w430cq03i7lMvqPBJjnZoEt/Z90o9 +9QjM1yZIDXmyqRJYAtPyOrSf0jso2tDWMB+eoelIMz6n9zPHhwODPEsQEdH/Hu56 +YPCRtV5mjFDparp12yCUby91xDoHJQB7HiqFfHNpoycV6kvUuEjCKW5nDBpJZLh9 +m8SJYhrWWaCXEzfjw/xC6an0zpIOIePqPc46H+vnLVn8OSYtPn6K7x6FG4/t/KfG +8faPZr4yiBJ+yKBJEcFyQAm8CDiey3W8k+0nxoaV0zvmqaNtyjb8z2DZXvKnwbhk +l/5y34ug1+fpKKCBYYzSRloLS4naQoxLX/Obmi1Je0rbmdbheaMTpGTv9nhIAQ81 +ybCPe/zNg443YWfo9IHYOgyG1vzozj8laX7IRQvQWIZ+J+jrZmZWwoXX7/cT9jEi +KIoeWcbJ4LZi07mm+tQ46g82AXgm25IzHyvMvJkjWbkFYQtUA2T+FMYwrmqB3FFV +gCljj7tsVX/5NHMRU0GGEwU2Cjr3GhFEbuNNaSDjnaE7wGwqwPR7eSfhS4Px900m +Z9w0VIsjwsAX9ktqcAeyczM9kWpzjAd/pnN1D8j+RmxG2XYyCoZ26mBOwkURETO5 +ebxUgGDrpUFLhME6nZzQSB5456p4jpjKl4OQgkvyhmQqsxm3qBR2QX3plvFb/V/9 +zd7phJNV0NUVVLQF1Jd39OpRJ84ZIO1GEdJHWzLsa0UxjxvsI2A2Vm1tnXoeqI9l +KLSTYqSLt9KV1cqx0JZWvJiLNRlaBN8qXBCPS66CbUlMbsKU5IXal9ahY5siaNkR +s+3yBdv8myNFaVtNy2eJTwF2Z31vI2Gm7N/p9hEyv+j5cTTrymiWl2so0v8CMB/w +JdUhm9OPK5zpNgyFsWtHgD3g7EoS44gr/JTiyiC+Ue9m37+I5BYyxao9EoT107hX +Cf+pUhabsQWSkU0ZfzubwiuzEvmwE5GiK6uHnfTtrcOw34xwHFQbF1erZt+xqfjB +0Wo6LsTbHFgAPiLumQ/wJ0WjOOsCAqdPMHqBzoV9at3Qdi7djh285y0jZvtpgHUJ +kLRKUb0paZq4V2AoUA1+33yywtVMTXc5b8DuY+wtsg7ADeAAwu8tE99AUeqmhUHy +HaT71sldb+hNYdae/7GDKsU1NE41W7lrP82U1RDMf37xxPomLYrj91sWNZCwCqid +MfpRp05tCWIG8vo3yOhZsBbuhz0BA/5FZyugAj3jjm+JtTYiuCeP+TPr/q4gNw8Q +OKzzNu9GAzUTL9R7zzBqwBC1bE/izEv/kD2+uQUyQyOrOdw8sfEHVF0RzYijOze5 +ztm2tSbIjb3RonQ/YO88FvP870SOTOMacfCLoMfYhTvgntgeATI8nqdaQKw7vX3D +VcaA4dUQ3KrYWAkPdCMIAWht3xyKNTePZaGevAxAkES1nKOEFYcDwuuIhUtgYAbx +9h8LEx1t8i9dm9UZOlqyh28kB7SX5PLlpLVp4bXY0jGM9ouZNb3PJI0Q244CREvL +Vf4OrjY2XWogtGmrV5jnPvSWRri4RkduwOUS84dHxy75njawMJFOpN8GiZhgIdeu +tLUua6usqbQouxqrsf2Epz1z62e7sl/DLZBsC7DD+ve6x5C2cAEHGgrsle4WAczk +6TJoHSM6vNmRYrqIe5ACpn0u+bOAVstBbYzJs+zYlDZbOUjy9NdUPsyGIf4aDZ7s +bV0LyuKwzCx+09RpZIHzAGKoRwws1WNPBi41gAYBz/vTKOdxD53KkLAt6COFDlnn +IHZxfnE1ezYgIqeoaBT/zO1V37zsmFW5wHH9aEGZoyxqrrsQr0zSPY5+eMYes+1B +jetZukN4CP41U1Zfvqs5+4sHe5LO4rrhIomgVcONwPQqiOlmESS5kL29iZBOLJsN +IgNR28DVJELQhfPNKZOoLJnJWKBGd9Od7KkxBcmK7ExQwyv9BmdJUALwqzsCJ8VF +wYZnj8bRbWmuanz9tPKFRA2V8L0kBluQ1EDN4qWQyxLF/sUGXHm+2T1tzH3ugmSg +BdIUiUitde+rKXh6TTS6DzdEw69EaCvru+e5cT40m7hnVCgbbqgtoFKyCKzGFpRD +QgctpVRZFy6eDQ2MEZ0EOmG4kP3yuK8xwrL63cOOW05SCAdySvzLCn2yAssB5cO8 +lB36Eoi+JcjXR4xB1cnCL1Ttk1q2799lSebTc00puq83vG0ZRsfOz4pKr/8Vr9a9 +iJYxlFxVSwiVaWAkLKHlE6lgfq/QQw/oUWRbRQabP3gp0Nm6IvkQRAKJOUUTNB7m +0ixT37vE2l08HVqmWAY8+6q5XwlKf9JU7Nts5TQgmMMTBZ1/w2MYMgbJX5BXw9pK +SCW5INYwjIY34ty5w2e8dU322JGX7/Z1E1d1USAXaXX9Dr/De+IGpYomZ6dL7f7K +EarYzlkAPU+u7P8f5NXPDQ9lM8J37qCMZaRNuYTIQqbXnVEaz3uyEtzXHlZ/fHDE +VeZK5koXHD4gOfIsIAtkhWeOw7QC/JvQVY5s1eIRkAfjDaODofU1/UZ/87b6bOMw +o+gFhfAlQw8dyi6/hi+56zIJLEz9w1kn0DWUebRMd2K6dCkxO3BbzOTQNigFxte3 +BjTJwmpQeePcfZkF/jpHH+f2RsZZJ0RkOvM196R07ct5RQROx2uctlZTlVU30Sc0 +wEOaC+GM3wvp9JJKXMOMo3QbltCPzmhKL3XLYG7hZL+HNDYW7B7CD709A1qUc67l +If5kB7dFHw4fgtxKoypKiIf7MHpCPem0Hrp9jZVSfWfRvm6zRg/BZj2Es0qfn2Dv +7Ny7DeIDe2Ci5osnowZLVwvU0UL9smMlqDSbaMzCYgNSKNZkfHMhKI/Dgjpi8/sK +J5JcVXNLpY2SUb91qEJkHXOX9Kad6044IreloKWE5NPWP7X73fosZv8MRJmYsB/1 +dRI3g2iObxuPGjTTKayftizgyxX6PEErCSkW8gQAh6UHe3kOZwPH4rPmRk7hoIMK +x2c7vWgmj5rpmbEDZKPIDN1L6sZ0GQPu5zbX1Dzn37+7TpkqIUHso7tcjVa/E/yC +w9nuB/glGhmW6UlULnn2Eg/r9wXSKBOaP4Y28OVlL+wVfTuQemXu8QANvOdwsc0I +Ji7yc2udKN1J04admA+EkS10nlGRonN+rhhoYTZOGtVrOElqNHIZMpORQ/EIBmHc +wwLJYcM8zJxPOnHP0d/hbq3TG/J6JD0fdaDQKKyIXLv+qftZEJK3j4eDweF91eCO +uzgSRuplyKff6Dklw7OiNwqBjRKio+U/f1ZH6AR5s4PzHIYjLmveuTAZ/2blx8jP +iBuxwvkyWOytGyFQf4n6CN9VHtWN1GtpYF/FFgsLaX5X7a4l0/NebwidWTHUE0LP +hwLCanEa5kGfTqPp+ZxrTnYTlrlme0NK/r6MD1IqGVXuVcoYhOTRvvsL2dDQA2mv +hcLHHUKVas+WoOFgsyO3diCEGV+SS4+JX0q+Gku8K6zIe4OWEZUxYYuv/OmXU8nN +uv+wD7q/UVscP9H3taBBPcKO0XkKJh3sKft0VgK7cLmtN4vBeVJ6AUoCZyetEh03 +GqL6LpBHcnD2lZyAlyH0PEy+okK2JT0mdrzGEOd7bm7f6QmEPumuvs9V87CAdbZB +WFHEEnu1DgZGNGfIzawMc2XPbrgjxIzA1yst0syShTYGDRjWakou5Y9GziLf6Maj +IHKZppCvcZbcj56cOLCyyCYeBsQJBrxk/P0/pH4YU7B30IPVHewgtFKDbD8iUypP +vj83bPw0RwkbpvIJ6q39LbyKl0Xq8LRrpfrLlH46TRbVSZx5GaYrp7tuYsLTD/F4 +8a51ntUG8h9FGe2Uthm9jYVWwGpxPhm3xHuClzFoXTeUxk4Wwgu+EPorHY7BigP+ +nUzEw7Uah17eCD6bi4RJu1IooTqBG84VigHSNnzI/bUqRDG0Y1t5vws29jjst8EI +Kf28P8UtVYctRQMs29sdjdsq+dTaLc/h4kzOLt1htiKJdUdbwUeQGNj1xIDJuRzR +ngoNDK3sc2qxtuMGglCDvehnBlK8EjTcxtoZxNjzNP+k0SAQ7K/IQ60cMTZ21NPs +8YDg2yeo4SaLFPS2Xxt9+9NaUWddSF2IDxVWKGB9Y9dE5ytC1WOFcP3aK1r8Ai0u +WOt+p+7jS69x5kltOhF3F+/s28lnjchIivpgHXh+L8w2Iul+C9edsy8JSx0EJLbB +X06qRxR1L2Usuy/nkHD5FQmhLDjUmXrtxRY5uaMtvgBXlZQapes8AOnklVwU0S2d +wzFauDh8yPcORVmebJQ0jxn3W7N77uzL7oGhmcjSYANmRhPMFM0HzOO6qn6iypRr +WtKesfzqrISbx3VHOwseegQRpUaqQjXNFIBTHobFSRX1lDe0hxTXz0a7qrssx+f/ ++QTJYfN+uLL4Zuu+Zcriqj6kT7ehRMERem0AO7DKecN/eKAgIPJO3y1A1tW6H/my +tqrlms1bt5QnNuriZtLr5HTgeH3/a7h57S4HupSV/HnMGD/V201LOVu/ZBIiojhK +445+HC0wdZNgleAzy1WfqEtEAhgASUsYZLlaa1Ufsz5oyT0hjK5ANFOZPwCry9RG +71sDL/mnIM9ZtREkub/TP6ScTi8wSxgECVNr7jdWkv/0biwmOawHO2oIiPB+OlbG +Qp+SoST2gL8ZDVmtWaxk04AZ70LpJ5uht7kZQqp4WZx2DdcEBISnusB4Zc5UNrsX +MGLrWRp/K+kGQvCD8Xur/1lIdP5hXq+dTf4MwUYxlo4IFzXCdcju7hpMkpb4GRTV +ngO8Y3NEhhiQjBsH8t9avorVh/h4XRGbgrwitTvwHDzJyMUTwjIPhhACO1QackRL +vQQq1TzS1Y8QLNvISvpJSKsnVO5PTQzsxpJI74dsk7Vokj24XRq8K/sXHBY5jmRw +sn8eG+f2oUdfXSVhs5ePfNulW5XDS8z19M8Cy8YDp4jsv/UuxF3gz13GTR/OkVBp +Cd3OBldus3fzLJkSDjpLG0SINGq4TrGdt/eICZ0NHlPaIxvnVN7N9G8S0r9JMj8d +VW5TrxRX+tQykWr7NqCEY0SDTonzkERS03xh+pFebyzl8exqsdl3otoHANiSLjm+ +CoAKDqAVkXmYpIfercFIpOrxn4nWuBsQmzC3lWBf1bIYgV7V6YPzEHXKu3Ln/yYr +ok+hS690qVIhVRpxMdaZv5ILs10OcxsW+daVTCFePDKpl7R4N37zR4GsAOJNUnSt +ADDysbP7CbblSYU6909dkKv6lFZzXkIFYz0q4qfDpL3UO2QkQ+rXuqqbMXDnNjqd +jhbC65LncShz8ifzCe1m04bI7IWmHg24F6tS7oHG6dmtpjHhnWcQzFZKbV7mAM+g +KYVXqt0/Juxa33/vhpxM4Hn5v1aIFPzE4f2PGbDRksJshU6IGetoc0XcbpoKb5tq +OHslu3QK7TLwl2zVJYivWBGQwcPnwqTYx4LGUI4TGQtiTlXmGqn+hkiWDb8s2mXA +R6Cmwy5lutTZHtcyPJTe/aAwynlNnTBhSI2Tqsw+T8s005wubFk78XP0BTuXpoLZ +ztu86+zT87dVi4W2CCsgGb4TUz4C9WIZ9bY11xS2eYHWwL0ftvbc49rFlWLY0TTj +ghlVP6cOaNYa/vJsJvZaFaXBHEiPH5eNue0owU9eMq76f9qe3Jfjs0W9mNsBJcgR +L/rm4PYJtDFgnkx+tW9Zy25amGMuhPcZm4sU5QLA8zp9QlT6nMRz8GjpdvS5VaIA +O+dpO9/ZXIjqHnBnilmUoOeX/Ccezbpm+cYAvPtkzZx66hCyPeHf3NQfwOmDRbls +dTIG0OvW3YInyWMB29aUolbAwYS2J9s8khjNMKLs9vFQnNe641G/eXb3THN4zUOF +LlbpIZnPlyDugsZ94QPYxwu7ImPbwee0srh3KldStg2jL3BPYyOqYYJEJSt3PRN7 +ZSp37d+zI9twcPRdsknc8cma0MBhxOHbfHpDnB8rEyfekKmIq0wBgfBasdY2GHrN +kOfSFeSfZ6jEengQc+d7xeDKSjW1JlClsXYWebyjj8hWQdeZDMNtNzj9u281NXvx +hK21bPDc8g3dSRAlocZv6qwm69g/s0KKWL6v41lmgqzEPM8+qybxBfytVCalN7uL +u5Q+TtcPjwF1Ofa+IIv73x4SPTgT0N7TUhK5LxofuEpRFO455/Ticn9zqB3P4mKu +H3w6LyuWcTFPk8nvBURdzDLllw2/dLbLUadzL8ZOGgBNYrQthZorYr+JDwRBbMrr +Ff52K+zumJwI+516e00hbZT2k3Uci2381ClG/HOEMNjyKks82ekj8KQ2F4W4HFTT +Vb0VhEhYq6foRS7P+ooxy7mmAUysn5YMO+yYXU2OuCVnucINyAfShGCaVGtbU/WV +Yb1CnXkEcxoapgLDZA4ibsXgrUmwnUrH84xZoG45Txb3lf+he5PbupRkfAeec/FJ +nLv1UQS1wAXYK/Js1pS3ZfNT15juRh7bTs4skkE0n0ZeSGsketfqwdDTYX6VHA6N +z13kXThm1Ll48fI/XJTqf37/cdLJTMDAPKYf2fecf4MAdZvZxylgKe/0f60KzFIW +OpFyVgUqnU23pMOB43RDe4J8E+u/mjhPjwHa461k23TumgjZcOOencaFOWwLJvd0 +AJZ9cniCCMOFNZmPevxNmeHUHEL4cXoFVSK8Rl47vsi6M24o9ljT17A7guRC3DL1 +1hjjiFUQl9GOJajJ65bwpH13wO3CU/k9kQYDTi3px18AC5pPRH1I4BkoKBBH0AbR +btdEpH8ktzPSP35AbnR+hOe/UcZUfAURcm0YWrP+Ciyb6qPoIruUGP2LEq1h/lT8 +r8iyc19zCIc7GlvTJ/+HxMR3c3UTWwpeH1mLjOqdlC08Wbs22Owkmfty6SRO+wdB +SF8Jht9/KlXw6IqcKqJ+pCAgFg9yQAWCAd+B2D2mpndgtti0yo1US/X3x+KJ3oFd +ghKyJ/OnyQrIosfCqx+DjdmB7agTxVDmnpv2NnszfjLbKKK/7cy7MVYW8Ljybtxr +M8bii1lYJ+27Q0hdBwg5OVURm8nIyUYGqBqPumsPshX4L47TJDjDubucd/8hJR/W +Uj4WszYbeyd8/jV7cCXXoSmtUjvu7Nu5ApdEZfwiPMzoN1Q7TWuKx4kqSwgduuIx +fo70ctVF2aJ7lwuW51iQ/h8J1Jk4xdxMXHDESiIyyG4n2muvZiSSfblrewkzDKNj +lot4dtgbICbENeWzfBkogPfXHKRxQGbTVf3yqOjHoqjbOKBzeWdI/nO1rhlQi95M +wwWCaYvbYocMCEfcoEOb/G1d2+bMb1OX4Nff+/+5r2YPGQhVvuBbKY+dyttM89SB +EpKLEqOs+i9zWGpNmIwaiklna7M0c6TrBOrJp63DmnrqisaWamPk28kgmH7mMsEh +c7/ixCXukvwYAwTrwsOyuPn+RTsPexexY+jP5epmAUzlzpiLVq0q38Up1tTOR+Pf +QM5X2TXtdk5ZU8amHvGPILC59zaD64/CqG0dTL5wj4tnR/EM8VukFK8SqREWHWsC +U4KngFM9NHIuS1NFlM2Hq4o3r6sxbRQV9M4jjjXVpba0g/W3XGBDW+uOUcvemNKX +f9rjnnUyoJbL2oiQpSnV7lzZY0iVikcEF8vd8A6nBcVDS6t32/Qy+B7cMPeYucFG +1G8SwKrFAUkCBar+VpeL4UtzAXKh6ujWKybDZVl+mTdJQpL4V+/fe0tgp/kZDq65 +lN1guZuIIv+mHeBJEHz6JLsrhxP2GY2REaNhUU2VkHVchgJ85DteTpx0wFvKDNZr +bcLbguXOGk86homSwpY+dZWM4TIabDumfypYlvpkE8K7ClL10Kfy72UvY3pnOpOC +SZLkrIsVlar6Sd6YTI1DtsHx8/Fbsh8Z+kUw27i9Va97eU/wGhsBxBoLAJVoWhA0 +2d1pc4GZDZhPHismsF0gVlav1KfB75eiBVUBYPu5VHzapnoVMahBHRYzr/mB9UmE +ygx9MTrLP4BZXZeQw5jA+mc/0z9L4Ax0MXy8R1CmAJ6++hetm8sqoGN1fKv/XDoQ +U2KyDKesGYDAxzRH5RwKVHuYuej0c9YRF2C9z7W2gvbUyijawlZx8w0aItOcmR8s +5qRWXU866J58dbgYo+bq7ZrY2AYEX3WUFR7lIZwYJq6tdJwf2W9AiGDq2I6ae31p +rZKSkvNPHzyAb6ROoNUh+27tTo1JLrTd01ZgkxWyDB2+pm9obHsUDKNikHxPT6qb +i67e2UyPAUfX5zHty04nXyWU4zdDBMSsLoZ53zcwE8S4VU0spGgwPiK4GLfYIkvr +b3lNu7cM4EyziMhonR9uQlseBq8hY1aOwzEcFr6KiMV+9CCtaN/Cl9AmmjzFkfYd +kBtMg+yfSugBhNoW9is29vNdr82CBP9GkcBqYu3B4VtBtIV+yHaVnlbZCTUMFq1T +lXn08kSRTBYNlzaSnFCPIRRqr+e+6d4hOlC3+QeUi8+9Uoop1gUCTztr7XJOoD3G ++t7xcj4m2OrlkCqfL5Wa4dBYwCDn14tDcSg5LipG4uFBwTomrY8TV3l9Lo7XJeqf +0xFr7XOhFRzfL6Bj20kiABjr0diK6NeYqC2diwgLs70A3Dz0lsxXY86aRctICVcu +OIT8xtUQl+3lAN5FT+u7p8cRbmsWgM2i7u8yGvSwSbfwj3Ag0SK3xVUmcLHPqwpm +SQzIELhM667emUzBNA5Ae8Mbr9uSHC71z/Nw2f/8Qp0x80TT79I+pFfvEYHaGRAj +kdnibDIfdBwU/Cr7xtoc60R6ptzEfPQSTA2QL2E1RtfvhhXrZ+gCa2TCVY35HwQV +qV09NOfGhQ96EuTYfMh/TyWvS7MoYWjAEF+nIX6ndMzHTFnr0wR2MuLabuJTpaQ0 +nl5BHla5LaQ5LaTFAV9M74YdeCvkt//+a+zpTMi+ssxjjY+PmXV5IYmPajx35S3x +s88IDm8e+xIPscbqIhTzbAL3/RBpEImU36s/mzfcRapvLcshOIGjVeXVD4WXZFyj +BEeXRfui0hiMSxkatfYGrlNeJbagk4+hWSXEeLxDnvbqrjHZcMsFxw1rV6B4pIeQ +2M8ZdCWWI7OJ+yOHw3lzZupNd9c74UY9xBF2uD6a8CkUGn5cKEgJntrv7eDKII5E +cjm9MAhHRh8LoivtZNf2wk18e49NFCigBSKfJdOLQqDq4EHB1XB1rIsekiDx9xQ+ +2vxGX7FSv+ddCm4tKaRDYEAbiWTQHitKqOP7jKFg4ZvHl2h8MLVJOpE0/farsglP +U6hlLSA2yvaZTJwVSLvm/bAvynV9+e6nTLFDB8qkSFFpzmTChDOCBbzBScGCYL2v +Et5aSY+odqk+13sLaWubCNDHcY0R1Pv23fo6JD1pv+GcoJmsa0jcFzPitsMdKvWD +sfi4FHSbTE+GL/JKL6JAy/QGHs+3KBBPgQbstE5hmzc/qN2Yc6eRViXc+eSiKQw1 +gUPvvFYwMSA0N5Gd6v8s9REAWhkmwwy/z6t406aKjXZspUXDi4zil1sRSd2iK1kM +tKq+hg4T8mqgWdu8Sh0YTa5+je0opKr+1QLPyqWGIMcSJt1tTZjkKXGNaq3cmRi/ +WC0/lTebwwws72NtfQWwwyBsZJ//9tCqlvyJeYYN7uhCQW+JEZTfWf1AMrdeNK16 +PZG4n9A5XkkZPiP/hkgQG0s0FkxJ3pzcbBm5afpTIsSTyIIbofGCMMRg5dKPMTf0 ++a06YZCr7fY3Y87k0Pqks6qDJGWOm/VpcsYtu4P7NqsPhUL8RjPbHOklEu+KAPbO +4zb2O4sLFKPPxiwcLA6VRcPrrYzcJjrBclvmXMW5A+HtDPRaQ0Ku77JRr7zWD3RS +Zval3jqvCriPRH55AC1jk72Nb0VCU2+zBKbwLh6AKbSsMM8GbiQ1J/hExtpOAVJm +PmjRoqEp2Fb4ooXr8MwByPKDzpeBggHEHYNd0ovUfL38EiFAxdGlpl4cfumt/m8l +eBYJR10hryMFgruALfyFcnGmdMnKE+yV/MTkeIzYO6KOsYIoy0goT91GypcDkz7R +6U+Ws7W3MtdHzWPO+YlcCzKRkKcBx2T44r12/jal6Wnws9n0MXT7V2R13jIb2RpK +MwWJNbkX5V4E9D/OTzFQvYJMvEOlywBOO3XpF2WKWpZJnilhtGtvJ86/kxoQg204 +bloGLwybxoXMBRArvKs41cnRISRkTIoIkATha6fbVotNHUqAUu7FCkoLD0xpLsPi +8OoznvKm5ohuIwNCYn10oTxY60mgXJTR9/qVpveNppV3YlpYhJ3Gz6A4J5FlGkpw +e6/5/eMjjPCmZuuph4luoSX5k3UCqCft0Plo3k9lWH3CYZde4jAOppxgRhWZcZHw +hTkCPc7aAH1Ze1ogpo7jeUjmFdWHAtHjY4mrosTttmdxeQt7Vz/5w3aeA+p0OosN +LZ3TCpa3B1iOR/b6pIHGW17BrpyFxtVbK2EyuWall8zT/iDiD50yT4L+2fTRGRF8 +GyzM8uzlnvr8SQcYarBlSQmzu65LPrdYERNyTgcH+ZKrwPAIepVyoUZnJgxvmrYj +pxtDh6U+Nnm28kkJG853dTDtSoQG2+A/riNI7HKX/Iotu2TvbJu6DKXL35/g6SxT +lr9QI208W9vV0vZuH2MJqBf9uSpFT6iQKqFrmA5luX9EeRqyYDQz+xvG7t0gdSsZ +y8NvBXjh9Xw7MlxMX5j3UoUU2l17fUdce/N2ox8rxU4a1OuKWXoluCTQKnObUamB +eWcNs81KGdk+CIKvfTMkFeSyHXkWfrvc8u1LmClFsjIOrj++Um25JP9JfJmbdiFI +GUZp97vlt10q9hOK2ul58va2Q3oETEDKBKHE4v7N/MPTTIlfRYwAfjxg5uq5PBYQ +p5hDljC6QyhhkgmCNZgZ78tQgOOsju4oIAyswcbojINOEHF3gsQWQ16qhkPB1GC6 +J05Yv1FTijk5ZowZLCQpCBTufHweZYPiqsWZ00XmljuF+U2F9e0zF5iRhZrvs3xI +cacXrgKYky7T587rt5akP3EFVQMgQQZVb7LqVxMjSRmCR8/vnC0XLV/XJNgouYHl +erVbxAaawBC/3iLa5L/DRm54wN2/0TCABOWPpwqk4PrBKldVRkPuDDg4DKR1ol9/ +QtpOiUsy7yEhxbVHamjorJJ6FJcXUAzINmL7QrT/PbWR3Zw7ZoTM+QZ/rgYGKqAe +uxG84d4Y+qyZ+2IgiToCp5u8T4PhsZHL0bYTokNMTptd2dldbh+Z/6INsPBlQc97 +IcK4TJn2Vei9Ahu35RAmwh+czPUr96qmoPPpzn0oCLr4u9J3CvqxjqeDWmlgPrLf +43FIpLjelmUMCtv9GINI8E12JJqRMiHo5ueew7ESm1uaLJ1c1/WWUp++mDObckcM +PXvY9Chczy0gejtaCyNALraqUIXO9sHSJJB58sV5BGXTKc4MLJdpKIduFY2x6PBh +TfN4SAJxfQpV2QES8GFYzmtDNa4mjTqGJPO2DU9bO1f79BQMVBh6Zsuhn/e611f9 ++ylw/38b4WPxdq0Cs89q2JLnaMmQgqeEfidMwiklwGB/WElt4pRRCBwGQd4mqEoY +S7iEWjd+oYgF/fk2W/L3K1k8C3jvxQDHgiLTbWFV7YCkZ0YhPXA7CaZ0MYQUVko7 +smB5KJEFnQp5sPR3vdlH3s7tflLb2NbU0SFAvjSNhAhe0xZf66B3eSl8ISYpJSBE +KhgoENMliq+TExkR+3OuMvLTxlhiqR5/qB0Gu8HV4jbmtEU46OAMdtLyV9M6hZj+ +q5GAqY1AesrOuub4JvDd0M4mJghQDpQjOlOCf18R6uAfPa4oom4TvTMzWrjEAHh0 +Urf2jieUsNQDJz9rAqP0eJmfC8goGqVT8bLeWpMfX7UVbYdvlB2QsC78clqOrM0y +kNE04sK+RWcSsYTHHAHVcU8U2x5NUssebTmxz/Er6UiODLWCEnHs5yY1EtFNTDVO +vPAu7eOpTga2TKp488xI9Xoud3/j1K5j54H5rClcnmuj1V10qGXXrGiazCs7S5pv +Lom6Lp5A/FmU+3BMs6c8dHnTYape0nT2kLUTIHHPrWAKIUQVhM2IpPVQnr7cQtNj +OfwGZsTM0wwGftsKNHmdabWA5mU3pb2HcfnMK+VphBzOUhfRpeyx7pvc9+FYKlQf +HlqYd5JU/yYMDxvsqrYpkS/THM5Ad8r/3tkeEE9C+GqBP+edvLRHw5fKUo++5Dj2 +bp+Vbdxdd20qdeG4tuNSnNK7uJu4BIWnFzqVUl/xrgYGipvaFT6K9VeOA53mmQOA +TNNKsxui1DWcJd/AHHi33HMW0h1v7XNKr2Qrhqr8qSFvmhY4KkisVPoKNXmrryAX +wjH9qjqoAZZH9AAqI5zqgAhx7qlNc2z6d24Jj1FP4vY9YG/vRUAJb9N4dbJEHvit +gH/XRuuIRedbXHDq1tcrpH0yYmOO5KBEK9UrpoyX0m1eBURi+hldhS3UlEW8ZbKj +de+78KAItdjHFdbwsS1ctioYyeOblEynpV1dsQh94jDRthTXr87ST3BViyaI4YzG +MA3/zgwc4fnlJ0noT33nXGCAie+sJuDMqge5UQI6iN6sPr/mge3YXtynyYw26Z8I +8vQC5ReUghbVxnrLkvQ/jkl7umehdPYG2hdPyC4Z8O1sn0Ws7Qpa5zL+5CPEPMRm +rUtm/EsUgbFkp2qEs0Aai2UFAwZ7ohJ5Dzao4VQ0HNKP+Z1Ucdg5G3fG6XJZI6P5 +2RWWFobGEnlIy6OQnl2n5kd+b7HRmnJlwzFeQrq45Y6Ul6usWPHR+k2BPOEWzcC8 +iQ8vjwcdUdeF3VMQ/5Cfsls7UDLDciI8GqErqfD9EP1ZsZQ+3zMltQu8tChYX1JO +9Ye+WnsAIDIxvBdQoWvTRjYWHGNLXgq6fZefpoKfaluBN86f4hvtDt0nPwrsjNvi +0TPCBipuFJ8CTl3DqShGF7OZ8k+4z7HOHbwtgBJ8eprMNFsSHVqK4MxjY8SRyB/l +R4ojetP3vVPExYEwS8Ru0qsC3Qv6SnVn/Jv9+s7QQniNsOcalQS7itn7P/DPkfhj +eIn5Q2MAFtinxXD3dWG1cmKkWHtTY4c+HXhF13rIP+RbYADgz4ONG5ZiydP2CiPZ +iEVDVuYQcwT8WrmCWIcMdJS5WOWNQeWhbNJ+8yuSX09lav6nkNtH43RjqzGVNIf8 +WEO8m9iQpTc2FbPtSpMkwcZiNrYLy+LpoHKSuICXI0xswurn7WMlZ4DdA1yh9Gqu +PQpTH2FQix1/5Q1Mw/3DfoZK+w5UsdvR31Q+RMTp0mlM7uwjK1PnPYhD94T78dhU +VJYxnuW6YtzSWt1rcLWsRui8FR4AfqO21eGXZF/yoRzyf79MQhPOm8Rhfxff8ky8 +qlu6uGKn00TsSd3jB0VYElKvBC6T9GF0jZW0VGnv6XdbARKEca4b9YNGTyxdnVcU +XKu7LRGoqW8Ww07uTdwlo/V18m0PYNWzi4JT0eLn7rVwH2TWYP0aR7vd6Y9FlT+V +vktuGMebX6cn/UI5YuLOZ0j2ENj9ukx8JiU3B5d30/SZIgtmSWnofvALGnFE06IL +iUkkJPYK03BFGbpFFJnCaYe/ZvH595hEdfLXqrTKYlSue1hGTEx9ARKlzYNmtObk +gIrCMrvY2LOlDkWn1rbQlEoVeCbvm1lL4uqIcNdLIn4z4yjAsofy1VOzqXSetGFz +hPHyEomf9va2+rqAoSOJ+pP6Wg+HMi5D0MTZA9vdo2LZyPSm+OfkE1JM/von6oCR +4+bvlIWwb9MrpFcjyKeno6S7japBBBAWyvGXl7t90f65YEKzSxkLz+30vlybtsNQ +tG3/Lmnwm2NLYj1561RtVq22qvl/fILNaAXxYoWOmQ7gQccdhueQlUQhtSg8oD41 +S1bk3QjTBKwYLRJFBVXamMDAL0h42u5W8Fx/5vg/9U0OM2aLJFJ4u7mi5A0ba+Jd +Zf8W5wRRFSOVfwrtPLN+bg7aD4yzy+dorfxh5dvhQfYyRhBp4SJ3EyiW2YL4Pyim +fP2efOFTmJZO0gNAfpy1I7IivOk5Rylz1h+vc7MEwNiR7GqnPgXNTqF2J4DQeC6R +MhrNrLFl86W+Fz+aiNvSOKqRVgONmdfXxXr5fTY05DDN/PQL827P3CqNpKvcZii2 +hckdZ1FW2yUDQDhcGL/6L9nC4qkKoPCJBxfavk2/HZeMhPora/hUSCUtznFx9VCn +0tLHciHBdDClManWWfB8oJSMKFDAG3lTefxPZUkvVvK6NHQ1TAVn9cINwnOcds1f +CobRVceATud7Fzk1sJC2bv5cQa5ehMaTa350/WDgZ7EB86uMybBAFTDCGwSSxqEb +oKvEIrpEk+NiolCugONdImZavPK0BDck+1zQR5ZIfUPfOip6DJS30IT410H5/2Id +aOrswzx93LJDn8cIfpC5X7BPVYIQ0sBRn44t5ZBfDYi+xJkTySpt7gbJf4LH3cio +b//fHL5MbhoM1BE8JXzxN0vCEVXM6IF2dOD0vdLcRCMgDsueUe5aY5XQccyxRXhj +YPOidjNLmimevev/KCHsilemoQnMrs9M15temMkipNUfMylgS08gZH5h5h+Jn/QS +KmIZCU5a6AVlZWrGX1zODwhXt3aSymV4q0yQo+V4IygcIF7Yd2w2cXfqrABCkrrY +RGr8ufOhl+fJcbDfyvDpcM/bgIeUW7jho3Vdt/jWkXVIHuZs9MWzJFGegrHQHhbl +l+4NP8otaMHtmCWUMDdzFXH9ierlG8r5/NU2m3AbVT6HHgoQOIFMTvJaPnr3HzpG +qIx8ekOY7B8hJibvNLsPph9RYwuVHWo2cVJykEcDqOrd+hVM7/fqL/DHVW+/VRf8 +Wa8HgFaS8lSP8P0QVTuH0bMvb2N3EsVayF/8FtimzDFXNu0lOqH5xZCAF3NgUzbv +oo1Qobt5ZHKAe8yTEIqG5b1l9+uMabgGPt+L7tNCTu/cARGbXlr21ClIQMi+JqWz +yPwESrMSB1TUoyPjLJIszQWVDAaYPbYdnIm+BXGMQygLLLCwd5/DSDzBI1LtPNwk +ZhDnz05uoxHRdCHg8a+wtMql1KOF+CPcOUnHSOUUnxnbQG9VnyEH0Eo4q8lNhZrp +koqCSxWvom9gSAQnrywmFMXCGVY40dhcsxEPm0qdbSELXkeZdRxvzE9VBQgEixZf +odeLp9D7xh8fPxcKrZBoukl3HDi/GozuaBmaF6BF9CFCVGPG8WkoTyHHnSgneNC3 +Lf8XoHQu9h5mBSO8L1MeHSfrAuf6jfPGgekprarkDJhs0zdlJEqxY5KbHNItzCfK +uvoSHAFLIzAZv7tvqcVBitwmEGWA0PNOhOq5tGCBTdoMnZwAr1V57wdOW1yMoJBa +93bK8ZE48eROhoU3P7h4fcZf3JSYYqd3BllL/YLl1JmR0t9cQFUa2R6kU/kZuaBG +HFbXEM0EXVf8mYuPqrpll+kQyPGQtvz03nPctxXmPHn62kGwbm7cPDeMR1uA4eUF +3rUMFhfdz3FQSUpHjw+MLIk78kGJD0JuQHyVIerOsFBII+/kA0wBv+hKbNnnpVm8 +bBvJqMk4Jt5qgwahFnojpTFyo4SLawBmmWKXCt3/wOKM5Tlswp+RgRrCLH1VO4Cj +4B4nWRk2De9H3GuLDAARrsymyTVI+ck16HJypkavFKR4s8FHaTaHsBg63kTc0nmy +9VyynE4YTmNCNHBoE3toyv2u/RqpdgU497W78wcIDkXfimgBjDwuUu8GepQ0HD67 +OczLH3R2GxEpD7LIQ3uNY6HthypYH531joUhU2vUi8zP/TW3o3QyeqkgFZwROSzP +ozP70ezs0JjOt1ETwmm/uqIxe88r8c4VTPcu3s503DaM6HdHXyvUsjIgNXB8JxOZ +m65BEAVHygG4bi6SSIaIrar20aKo/Afxm83AkGr47gmmzK+IprkbA9zZObna2XEt +2kh2hwm0oV6RWTZZmT8YEVQdm/gccpoWa4YUGXCjRKfLiwmIh68reO9Jsgs/gEUp +/PZ/Eo5cjcN1J8N2HxqDKYsVCHFGPkd0X2pbq5o43qnmXVukQVz5QHy9zytE7yvO ++qEwMVxjpGB/Jo6xa7Jwa4944xxxkv9ezFdC+RosP/ITy1AZFRkk4F25QIfSZmjT +4p+8crX4fcDUkzkPNK21t8FOZe0UtL+kSF+Ryn5eogzEbnaeMg+/M2vXGBvNmLNH +EFWom2I5p7HoM2t81ibxxMk+02CL/t2KmE4mfecQC1HeSj0XhAyimMTSW1TWc0j4 +iglZfkTF9PqcK0cYyFJYYVY6znXSl/Bs/SLoxpfBQp8p0evT17ZfNVgPes0+Isos +D5weylumXGZeuB5RB0Zm3YGgt86QJtjEIWJ0ay4Ag4HaMeXLwVcsYvttEN+eu9cZ +sJ48JVU562B2M3rda8WDtYnW5UDLiK3uxgAVA0PoNvXSwuEYJOAqtt0z3zOPfzHz +2HR4PWgCtpNgeZvM+W6Fd8tPocSbLchzkNJECoeXljiA0XuKqtnZKPSFTZDqeKDl +h6G3wVtpT7E39zrjwcQhLA6E1MOIRxd6k4Epe46b8zcHN+mVoX1W7rXVEgixffxk +F6xp7ADqCrwLUJ7uZZbKdjH7665xoGav2iC51weQVR/XqVBuCxDJv1ssisMmV6bF +M4VaEA6xBFP9ki6mnijLgCtuWETomcvWnQu+ZGcp3XLocuUaRg8oa4EBo3yxnlpK +jud1xNcB293mXo6fUadf6HvD47nGEqLa0P9U20Fs2K8aI7k1k04/MJmcOzkkuH+p +u5mf89wt5zvVqcoZEiKfjkD247865QdRR7vw1ZJMlma5tXJ2SzbEu9+PGpBT+R2/ +0SkBoPVHMVysuA8ih4lSQ0HTPuhEPjPfAWqx/n74WEf4NF1c5n/DzCrC+pDkdlsh +p1OG697bAXnP+U9TwrsiQg2LMZ7cnPqcPQ6g9nKqYrq5TGvpbY6EJXufdZ3ua6wH +EvZdhXwJFeEnJGN0jmNdvkPhC8d2hCJAcqAwFr4vK/4Qn1udoQ1XuUjQxcEbjn1h +iDUbbhHIqNhCJa6jp/goMeLr6Me8/3b1hEzzbcutTj1XVBfV6+FU/GdfqhRwvp7i +ACOI/e7nLaDRRpWvN5VpGitu2dDQyGtkKTeHqGlhfXszXIdwd2jZi5OqVxZPr/gn ++RYmOn7jNEa4Qwwiaw4nABtKR9a2SJ8HsLtM5px4ytIwkrfFolnbrzFTWCQZP33C +BI5PMbzklApl2yckefnQvv0nsq6OFMTkBKBsnnIdEYoriapFKowCyK4F/xvM5AbT +tGUQai+4TNuRYFeeQNX0Jd5hkDb/pBQuSzhatsgqcWZFGbLDtloOwISiraflJSxF +r3KGvle4IOKI7I0vsB4pNQcabXD6+VyWy/sLL7iUOJdDwQXF2zODbjiMWBM2CTTV +YEtX7Ws/xLrBqXnEXxqU9xsbJ0D4vJkWJzUDa0Kl9L1C95ZnXrTMe9m2pw/kUpBA +aVFh1fvlChZPHls7aJTTDH/Ig+dF/zSO4/ODJnK7ebW9eGReHr7vN4uv0UKFAvvT +flEKb4wUHLRuM7kMk2INkPnuqGc0DstlpausTl2uphlUS3QyjM2hetpH7ShZR8cw +nctideYg33AmlKJQIkNqVQCpUPthSUcDt7JS3LB5Sm+hUP0IbUAD3nkaR+Pfg/E/ +BbW+5iJQfrokl5OaGRfPlO9RM7FqzXTAIPZfrJdRo75pp3FtmMy3YfAshff+V27V +APXBZ0Xkalm1zHJ9a/IVemf4DsuyS6g8KjAVZ/EBG1WQt482V84uHD1jxqIhmPfZ +hCCqRhg3NyUSsCOmV6FYKKzodjf4p7l4TegQi2+gRuHv8k3vHwz8h4CnmdHkSujR +f4WpZv7QMV4vT1MsfndZ0VbJ8vEuG3fDiv6JwOgRr1Pb7J9/THeLmuvQIiXsGG/O +SRvCKlxgOa9CkgSqc+6l03Btez4LKl4HDO1btrfAFbSNnM0dZLdyizSLOXHlx/h/ +F2F8HZPFOkf6CKTw46B4q3YFOn0xQLxQJU71ntqw0x2qmnIaeBqlwh3T8FXCscrr +5eVZ/F6TMJshIuC8w7FUuCwr9e2RG05tcy7WFXHMCFt1Zmg911cRALZvSGPHXDD/ +DuyCNnP9TXwMqBg9mdwru2pVC6Ecyp0EXKSRwTCcNAawmA6Y2zEXWE/qEr1LhLkY +KBBzruR1saKz7s7eWbSEiqE4X01zNaQw0TMifWxYpagMOAPWh9RDGDXY6B7lo1zc +/Tu4qO83c9VBM7w0dz7klsFyX22bcXTu+S5RBT5ZkyfD2+26O4jZP92fA2fEc5ok +U1cYEaXRW0abHcMTHY2jvHskhjt63p6B3PFzqvw9jvA6Rb90AkAPGpgyeKO30Wun +8MhZSnEXUh2Gw6OORvjiSjqUD4d9E9aXgOr40SwWSKqWWyqp5rdo55g4lvAN54Hn +HhlvcFd5R2osWZo/p/zDw8UB7aDW4gq4ZN+Ne+SPJOFvn5tbjTlJFW1tPKZ7n3b4 +DytQzuR95E733dLl5EF+Iavceb9CPuHrG3SpzhDlcRNtOWRDUSxK09ygw1U6TrYC +1wLh2zwss1DIK5p0A0D33uZrM9S0ALPMI8FVLZs+hT55Vp5gkFA4WlKv8W2Eh+52 ++7q29LGzdXCiZt6RsAZqwRk3VmuNsLDUHck6roDrzSeijrcZkwGmKMieVyUpuxpp +M/15xllM3Lf1UXGGla5QLa+Wem4zkH3M6AvISAvsWhHAnZR7L3ZpGfYxhbnN/ep3 +8cDMiBDpJg+S77mfGR3wADazelXq6/t7MomGMT1EcUV8KmAvDMBNoATmahGS1ygX +ONcE+radpOVmRNGsV6vWv8hdJHPIrCNQ9rIR2cJkV7i6C6w2z+5blumZp/GQUx/b +3e0ARBELzGhI9i1S51SqpYJKFjRcUIMrzsVHFrb3dgkgk8nhZplEH0G6kGqN/JmA +KWJuTV4MeNTBnyY6JTnG1C3pi49htVzae28ncHzEgMJK894nglRgdyX8qzSsrJe6 +4lAbJTNwnXpQ4YWmXnCRHgFHd/E+v7BR/qfTjwiRMOPGhws8eqnkYhW4/IulAhiC +C/DtzYlOQ+OTpHUlrSujjNAB9yYzNxM3Zz4R8SZwQDi+TkIcOi2kOo702xB2nBsA +PVEhYZnDQguXFo2NPEPs2LwQmG8SBMTd3nl068LfqxJ1PkUGn91WFaOr0L64yDJS +NoDyOUjk7gH8uMoJQxLyDxFL9krknDfGW9w84D1bHJr8luOYMlTgcXs940jAdQGB +SJKGYc+Xe20hC9+Vm+3U6ePpuM1BdwSGq03I5ObncqXN7YeXp2k4qTiBmwUlNURE +UHcQI8DAHe1vc0OvGND4RagTQ57oij5LEC4tP2SaCZ+pcoPbWvteBHoha3auJI+I +lc2G3RLwY8f0JZRIzLVBkmAyXCjb9wYJEdG+nCqQfmDd1gy/uTNa6cOFUbjPR5h2 +bPo2ssVK8dEX7GPgx3Nsyind5qioigu+ht0BQLZ/pYGpxKXz4RjTYZ6CnJp5xm03 +OhbBSjapoWNE/V8ETekwtg2JV3/jqIL98z3waRSWqRk8ixohVPk3LMcd6El+cWO5 +ogy9cTk8m2z6aOaIBT6Z3+xHb2Xd4+hKkxa3k1KcWBfOrkVWG4xArGgAmoqzrbi7 +NF6qo+S9nqraBqwItkA4tDR3EcADy8sl8wwZPvDpEqhcUOyLuKg+4P+pcLeBFZ00 +swM3kuJtN96SRIU5qALDQmcLynhPzE5/9Mxqc4H7W6hvd6wGgw4IEBWeCIaFv4o7 +2ifnAVNlYC/WmJd4XB9gLqfYAGC/Aoqyj4bpKCOQPn7Gc8etcaLIxtzxpbIGs9Zm +/B2CkCSMMvhEx58h7il8/iL6WZi4CGbLDx/QE0IYknHwn+szJEnQwoplHAYl+hsQ +GQzF0dv4hsEs4uSGjkeCqVWKeMZrHB0DBoMTUH6ex7kUyyiLFYPDneO7Czu2Hnyp +9niGkDi9/gZahQNSgsAcMzh0lZaALfZTP3svBHEgfyEJurk+oSa0+86HZ6LEWnql +xdnoh8E2b8L4MkJdzS4SxFJ65qLP772x2Y+rlQ2KOXrRTo89y1g5kdxNGIJXNGEa +lJI74X5gkhNz5iIw+4Vr1MgjOmGxWFYECal6SwtzhTJ7Rgcmp/J8g4gD3CnKMDTz +cH9AOkXlmjfKE58JWzY8KV+E7kGP0DIEZ5mEkCa7TSDfCZIswjQ1EnxRvWn9luFI +Nd1WMzTYYqCE2L/i2KK+a/VmciiLd4HYE90xD4OOqrHroUI4eNQaRQWekiGGeOIT +h0Q6qZLKoThBFZeuzM7NkdDriN2zyiO7OHzkuKJYWJPIRkZ2oHrF059BBE6vU48p +ylECwYdKrgO0vOfcLijWqfrXPi/0aYMcyWhxd6bZkQj+judkL0YndizVx8J0v/mP +9KwoAUXULyy9T3rPo8W+ikoj7ciSvvdhjTZK0i4/YXcXrey3TPt1WYKeYxeLYBI+ +L5fsv6aQHFYX3+Y2b2BYZJYkPKC5tKVmcYmG6M8YWzDGkGWKTLX1prX6/xklKPc2 +1yvmWRjI0MY9YgMtzHSZHzLQd+OlYFZVXH+S48lMIBDqWBgLQVyhIITsrT3+6rEO +Wy98st/eGEu7CuV0S0qduxKGvLldUiaM+ES2sfxBtLQ6b3LG+4m0TMHqezv+oWnB +M9+7jc5v5K5QTwg92urlv8ZLdMg+hkrPiadd7a33qnWx/qKj/6P1cPMawt3jZGRY +8dBVzv8dGczSgvUseTlrf1PM705AVHrU+I1pDQ/8A/ch4cbRl7lqXwlA+tOK1zIb +JVrq0ETfQsvE66O5R6uGW7JlEJt1JgqQk8+EichXY807yimfK86eSEK1XXKTO3uL +Dk2s3/DJc0hWVwMcTV9m3MuIPkq/tYyvcXJv8LUKK4eL4efilN1A7giWmI2LRaAF +YWtTBzO1g017hx6LgVBiEvu4KWOO8/FO24hscqcUHWKFEDW2K4h1oMOIr/GKS1D1 +2c302ic02z8HmaiRKh4YoP8DBB9xRjj+QCxK4MumbPY9EIdkbet1ld1vFbllHNWX +DAdCGL1nta9/akNWkYR73TUhMFgscEMec75VzfdHR6HpqaA1kU/ahvlHuFJYktig +gMR0YbrCWAL9X0wxllXv+QgLrYjCbsubMsDLQAR2zXLElx3BqbMHMYLTHcxokr3Y +oPuMhTidVNwXQLSP+raHSiwe5CLfKdGub7BjVzpkO4RyNsuvvBankghkLERKoTiH +mAQ0XQdCQOPOdk6VRnEm30e2WNEKG8rFRO+SVig+8BeWmv42yVn1g7MwsAcoI6DL +TxTJn/EakykxmV+pypsnE1RjhbxaZqqdqAHkqLl3k9jpnvrEpR1AC3N6AdOobecM +2n5AD7Su1A4h3h4vHe8bSotTNu1/vg44E58FS7jzep9cDtD7rxf/xIh/yP+hfsTF +LPLxMh4hkx5GMoIJ2ej2Q3FfhkSNQ1Whp/UBnFWMoiJLYHtnb8MCp8PZWmUW1fPH +u4dUHrH8c0t0N7Jc0rZEED73ucWspEkghfIne6YXumwk1zmpSoX0d6p3/OebCoDz +ob3UWntC03PrQku50R4fRBiZYhCVT3vRiFhGrgccZRtdCgm34QV9SZpdfoqyViFt +xBo7IGR52Bat3DFIb4/3c2t0eqzZbNXsL1BnTZoZqslxKx0BKNYzubeRC0FCJnCA +bF5iTnN8kGiKI0NZp9mIHqVbZQjA7wBX2O8j7VgLkpyty6v855KsdYcA5E485YB8 +OP/fVvlb20WiX2AKE/+1Ua/WwMQxpvx6HLchFzNcCQaHlxbo4flcjR7zTPtsEGGq +J/1ByfiNKSoxD9HZvr8J7iGTW/BpcTfqTThPy91fj2okA3ft+etUD5B12bils14B +B346w9EZ6+9xI9ROO/r0P0XU/dFdpMo4+5T5exE0idOoyIFSgXhFpkMxDQJp6TTi +yBRqrzT6PqaO9Ip7sHyIxdry4OKq7acD/jf5zZQtl2H7dWfFjAA+1zXYr4KoqoQf +yHE8NADHQxWQ7091BPFb05CkhKzjqCD1tVmkL8dSKffYfuft0dkNPbsYehN1eZ+R +xmJ4KzFPe2Rrp2yISQGXF23lvZHueDCwNl++uS5NxvvYCE/yijhZPwHLrMHskG0G +KHJvQ4OZJ859SIX3nYHxb3Hk7qo3i40OufZBoZSX5CrevoglXPv0913VxA5EV0iy +ChUZHW8+ygynXU3nLY/NhTiPXadt/RGmPAZ38H7nMSMx49vI/gfgv34Uvf/yiFka +39kkDssrxsbH/hXXTvchS4/qcewSyXQwyQr6XeLThBQgAn4gRHI9kDYOa5IOUpp+ +JyLFEksKNtjwiYmX1cpO+hunnrOCnTPE9IVHR02hmCgcJuMkf9sKaWHuVIoqAgkl +Ln2m2y90kheHt6W4MtDbDmkjzGu8WhJWsZzSbRfKlCDD7nODaUDGS0Va1YTN5PKF +9+55k54ebSipUjK8OKyI0HAEoyhaijrK+EpVvyvLyGivSG90RHn0CYNhJhFiwmrD +GW7+Y3XjKvpj536PKPD3qmKDQa7TAOBezL2Tlv4l0PP3xOveW6hofHu51czE4VFw +xM54iDUZb7c1g5hT/Tuupcd4/qVn9XKE4t1U8aJEiz83aRCQ5++OlOb8RodErZ1B +jTuSgvsxKRptrRwaCa2qD5VqpGUOZ+qxFyuonGdy5+eRfQ0058iU4fFdKBDt7Uql +WXfSR0gRvb4u+SPx4jeY8Pd2IbYvjZcgMD/AVh4SpOwNX24NzfkY5DQI55GNZGXX +TEiwz9EpeT0B2mjddygLdZmRT1WFjgMmBmlyQr1tTpvBn4rlaAOywSq8y2ii52lX +MMzTQ7S7nDZUZdjtucuipOrxMpcMJAjcwCvYU8NC2jvA3yfVY7sLhjJAQOMh0c5Y +3gnBDKn8EXF6PKrKsKvDwprRULybyJo2+CbtIpqX+hslUfQeA/p3keBMvNPpnRWZ +nsAMXZOSQzmpYeW3rS577hkNdg0SK7EIFZjyov/6W6WxxcBydVKBT8snbcwT9f+1 +tqJhrnA6zDnSKDhN6AMnGGJ/wEDbItxkw4SzV+hHO7f0zsh/hVlGVQS21+nAA9Zx +GS6+bYOoxrEcJ/ioWUhtccFjXJRkPaWTPDGnmMm2WxRQ5wcKwboDagRawkx1hY7v +X32e1Vg1TTXLRE8MP0V6RyRRYNs9XPDruyoVfCC3e5xz/WCoP7OT6jMizDOipBEP +x3slysQmu6mLOlF0t8Of/seLoFiIDphBVCgnFrerP1DYz0Gk6RuBW/B44yuZoAkg +UA/MegsJkc7j3BLY7YwVHK2Q1GLSaFO6eYoiWtXIIv09HY1qelZDlURQqZ+uCaMN +dL155ZqcNxM0oOGO/zCspFqBQ48PJJImme3ViWergCnbCpWrDIvOFItAJZdgmS3w +IP+StMH0nPagzfnvUqQSz6Xi8C84iZ6iDmS5zH60GR4EdKEiE6bEmYutbVF27fwQ +xK0mzWVPmrkWVL5Uf9y7avCx8eF0JgSj/dNmui56WiqV4FqwbKDHohAyQk+sjxjB +CSiUNcZwXcjpK7qbvIyLxp2MT9ZQhMeHInqBsTByjfGsrDbu/TbrVKA2PENrZ2yD +Qt0Ni5ijRIfw5eXyHBkCvkYGiz1ZHWK4n/shXO+A0uZ+HDsJG0njm+Od/0CBlcXs +aPzVgDRn5UxS7Xc21fbnklgqyP5i0tonwSwwgUyUHueInIYP/2rQ6E95+iiYXDkE +VhtiNt2sOKjr7adplUzjgOQjSDQhsatxCz6iJtaUsaCNoHB7e+gj9ko9+RdR3L5L +EerKmMhM/CNRoB5szN3XaFt0xEHqPjCvmJDjD2AWOp7tRlC7TC+NGU08GHAZn19k +/8EvJMGdySZT0qkGcKsldnLMjLoTd2UkV3e4za/9a6DxwZyo46YqHwsuciETGvbK +iTsmX5LAzPwqNCBFKIolWPpmMPiyVcRjpCMwRN0/Clbhmj6B14vkwD68hNFa+8S3 +ZYRsqa1L3QWM3tx8CvsC6FI0B2CzrfOI5rCUlt1wnXdoactMApGx2vwU+6+tTYPZ ++o9oZKm5i/QWYZxDOhAI3U/M/RGvRYDvkUYtfwkE73SAyA/vSa4hfJSK/vJWu/y6 +wDAiNMCtYravfA0GQAwQiu1pSnN1KtOkrIsGO6KrLVc1y5PKF+Gn3UiqGgVxjwcK ++lrgOufx7yycvjwECqzT85iTw9WnEG9KRAMSvassOl+fL+1ccwdBledK1Jixg9C8 +k1P1OCMKrZaVh37NYWlBu2jkajqTeEvMQMMmbQcJxytdeuu8JrfmpXpUe/u58EkT +w4mATlM+rzHYVqh9ynW0omK84/dNJWUGJp7niGmO6oWYzIiyMixn8Y5hNADUBizy +JiMfMkYi2X4+FgWKjOQMGMHEkMobONF8CLxqcJoS3621FHsx2zgRvGJpGn3hhVlu +T7xGHM9FUVndzgnyutIWyUusR8KxlGfD0yGrGXo8uDVRqc0EJnUg2gY5/ozd+Y7t +urlbO0UC1QDXlpvPqbddBC8N/4MyAf0O1BK2d00FRpI8S8Pe2GK8LYz9h1dmJeVR +RUDCPaHA2e8jkxoE11IMopqhOzlnvRN8YQRsiLcMUt9woRCpE3+M9sTyJoJpyLVE +32pLrHtiMRgCubRVtHlz//isBm8PdcCc5SB46foegogF76NvuHWhNDtPionEm1kE +mZXTrESDBM4OtMK53cx0BgWdmXYUE9bSyi0MNCeuJQq1U3fXW4oDNiJ46/vZa8PY +GMb5zeVd7odBUE1ILXxVHIOe3vqyHAg/z4kOe9FXaZ3eHypl2MRLVuXXwnNempK2 +dER8z0tuUhm9jRFRn4YlpXBKP2M1cpMKSlu6dOetUKdw8PhsnkZ6SHvDrvJ6tV1w +MNY62KbnwAuz7uXyX8rCaPPcq7qRugVHJBC2f+nuhzXEFeUO4Lt+i4zOo1gAlAeU +ERQFiJ+VgS1y3CpOeKxKrDDJXCE8DA9md/x3/G6uvXfqgX0ZZQL9eLKR8l7mjUGG +jtcYgVfMSrWlZOVjYu7aKq+4zrj6IFLCu169WjyVHq+e6dLKfS8VwT2r1lZWxHZT +5n+2AuY3FttPaqFRfu4zYEljMPJtGQOqVfHVM718HJngEDFUVSPURxqEdPS+7jpu +YljxA7pe0KVMaEUbUZ1ZAMeoMgNtqIxnj+1B/r857qwDbHHjgMlrbVsVO4X3I6L1 +AZXKAzAwVN6iK5BhPUMFwSwqXIjb0/T5bklRBX5o5IjGYQFFV8jq2QK9MRXhketZ +pwK0ejxrOlX/9dA9MdgvxE1B/I0ERfOHrhAJcsyYK0/0vttfqZmZFBGt0PLDKeAe +cutNhfos/R9pq8fOCAvYivE9Z5/KMdZuWUcAKLktpAbEt5TyTz7gMKN5f4GIc5n4 +aWR8JHww58SzMeCE/5Wjx8gztb3QxrcpVNSAVIPHEig5yrEVK+LsrN/sX/2hUyU7 +xSf9tPp5bMcXQu5JmzMZMMF9Gz7hvupQ9hlZNQ2FRzbmjAzbGW5FEFbWslAFiz6o +0RYE17EnasyNEEGhF+CXI/iu1ua1Jjk0PHnpBe8AOCyFyMwdUWDhJqCcATXBhV7Q +kOop9aPoDqbokm2TFrmIr2YHFYEykx7tc3milbv70iX5he8vrRsCoJBSBLGG58a7 +/NLB92mD2NmyZG65CodPXddBrOefBJ3FYOsOOMD7jkAvPWo/pS2pjsaD6fN/FkNt +OYpcLt3DLzz1+E8a4PXa5z0lOG1hRZ3BL7tftmRprYSG8KryPAES45EsuD1gbM2Q +zX8jlB7Bx9GajX0AuH9wAAWrqUEhcWJ3VFRsvowFuoGg+uv5Sufvr02yqnrMeP+M +ILQzSZOXUZ6idvHBu6xAi4YMDkim/SpZBKyEdI81hsR9uNVCEEHlTrIao+8d/uwH +296RX6Ud1bO9w57SWvHtwqbDiERwtVi57p74viu8k5DjeYO+4UrtsJM5YFEkPa8D +zpz3SLsg2X6lp8Gaem3nJc3qdApz99/5mTFELOvtYqCCE3SEgXa2Rig69ov0GOHl +F0pZVpa3mfqgXIvMe5jxB0Xw0Ln4ICFlFMK/v9CsvQr8lOaTCcSFaiwxkUKOg6/C +KGCn4Hlmp2CAxR1w2fBXe2ssVSoZniQ3qLkf+W5M/shhiabHqagffvyKJCpt8nX3 +gUQ3fPW3AIbOE3T77/EtugEKT7mI4dG1UCqZQEA5n2nfreqK7/dn2JVUDEChAT4Y +0xzZ/Iho+FY785rAnHDcu3+u2kPUgPQbxpP3I0+kfayZXj+RZH0wroPvF/9InSGq +BvU9DgWSpv2xRfUYhJ6DGLaobIzS0xhzOvg8tMdXM1XFpt2winOfYC+WMSQa3HxM +jeo2Wf2CFK4Ce5HEYIogQXt6ETeE+5qDLQj9SeTW/Cll61J5tgoppaUOC1jAuSk/ +Gshe2Vstp/kQF1g9i+8qOajr2XnkRm3uW1oGKFQtkpKo8cJ6k+jKHv7/tVZii/bu +S3eQ15dP91iteiqAEfQGybZY+wzUz6QHZyFhrd6kSk2Z7ajwp783vF+AFIgjS0ve +s2xz/PCWb2TYtHs5aL8Tw3tgnpmpi5G6iARVgWY0j+t6u5v112dgY/DoVIoO37OF +plBzgQX99/EWKb+aqWdHLav0ReTLQdO0KmRSH/sux0umKDPoSAlI3a8pa15+gpoT +XDYuPfkAi29xK9yIoUE0j/zxWH/sOMippoU3uPEVogiw/89fFba65JXxnHAVCP4U +IjLePyscSz591d3iFuswURsFyBoOcUcwrISD8TMd6/sHz0/sYjQxDFN/cn0NnGtV +63w6QV8GFke5f7YMAA4XMeYvjPsOt58u/sGM88WT97ltP6fLbAG3r1eJ/NVu8/FN +9hw1IK3H3nmZCv6WSB1o9oGTKpt05sqNZJNW1sMWh7TP3yqVCrK/7vfA3UC1x8F/ +cwpr2obWBjLjGO2KsvjLd5Tz+4mswraHuvVMU29reDjf4O9xK+5uGmlXjKFCwKmm +E4S/BJpwF5XI6IQS+JQq72NPlxUj6mmPnsrSkFPL5a44dmH6RBihB+OcYYYg37ca +i95r6RZuUVcOjlpcrqJhPKAsfI9MUqASgiCHsfYEMq319qvCOk6y0G0zjh69O++e +rqBFUu7z6PKqTAV3wKBMT1Yfg/dfuzOLb00mo2gpC3eeQ+SJVxZXrEFJRcP8Ki/U +8W6XjlOr6ZXXvDv6K6m8xt+Cr61VhLl6gX+r5cRBK4Bd+1mnS6HhHbO36synsBlM +Uiv69vpuhwW6K7gC1iUHOi8zRkM5+M3jWoGpJ4cNWTOhxr5uWfxNMdbv3kIWxBWl +fO6JPH/6B1IvQHz4zaI9YYMLtWlVt67nFe2vek9q4wAhSTsOrsugUf6ynhKyoFXj +VP2e4XM8SpCBoF7Xo+HJL3b1nX7Fu19qA8toxbmZJer2AiBHktaj1gzdIjpAjOyy +yQC77RMlBHGTGatWAiamb+AAMstOaFpvyq6Uq9G2ttchVRQpi6UEC6+WfqTXE/wW +gCrCVUVMZEQYH6v6gdVoiwChlwZXasEtYnWBmcIEX5DESUROygFkWCG0eOn9IsyE +ER97kEI0ODDctrfb/vQObj9yEBG56nXi4MJwSX2C9M6eFmVQHb8A/z/wOTLP+RQh +mOo0oos6d0a8ZRKPvV17j7eolWL3oTw1WbxEcpnWF6oyMU/GEHMVKT6J61emT+lY +XHfcL2uZygbsc78EsWhe+peGDxTfLwFDQWPALApbKAoErUIdx3x3UlnMu9rktlhF +KUnNzRvgYX8KyY4nVvhlsIDsYQG5dzpfJx3uktgQqzkkIs0/DsSYYxmtCw61AKZR +3GLHD8ADj8Aq/xrohHImv+Y8HedkfaIPvRlPC05s1QZvisAAAr59GtCFpsM5TGK2 +yUYotdxguGoodHTj2cep0YFa3QmCv+SF6tqAOUp+Nx4eTOr46bStaPIN+jrEnUUR +8mGffRSMt7WdOs4PghU4QUmE7EyJ4weObo3XqBD6evSruL38gjzbJ6MF8/gi+u9t +W9ah3qhUMbIxi3VFfl2Sr+hye5Vl/vh3YyhtaLmi69vxmiT0CA3CJatH66RPS5eG +2vFptJzQpFJmOHmK7Iid2WScNsbyqxXBpXrNLf3PnOcYiBXzUsdzgTN8kH3NaW5V +JroVh5CP5dKJAEvrWoxQj+34f3MDAqEB2+N5/VE7vDRFduzfUn98O0gMTw4mItxl +rTP2Kyymo4KxxDlutaZMJoxYxVpsavi4RcRN0OMbf+xJC7ZhiUOEgLy37w3hxp1P +kWBZqhHdt3DDhPEVnggb8rBS+NUGp6rwLt0XKbpthjcCGkbXDTQGp4gHgoyc0aY7 +teUTowaZCT7g9sGGKEKOwnzXCD+Rzz9Yh+B8fHLpoBcpbzqsIa4hmPEvsQan+EOa +pPZnyv8AFvxlUTGJ1Lshuo9AjouROj67SRN58vyaXWyoFdo7Lvgiqvc250rO02CO +PXdgr93Xmf+LnpUPFjf2myFnFdekW8aQS08xTG19kyfP/ikFsyr4TsT0u+d0Wtij +tonasPP4Gt5Rsl5HVVAUwP9fQrQatx0JGD1EG2jDR0zgOCdqSdR1za3ssm1uaLb/ +1idjhXdb8hjeq3E+1RWXm79jAkwV7lyTLBHMRQ6SaUz+644ZDVIUPouZcwrA/bi6 +zGg+cGWHIe8Wbzh+4zo0GU81vNrqZ5sgyzVIdcc77K9EixEOruri+0PTWHdkrWSm +xRW2SaZzOkh2ZpS4hnpX+1yT8nDitXUCidxazLZaWzUIYld13KN5YM9WWHs/Pbja +WDViBpcP6s8id4SZlvbs8ou5NGt4F8/j2//abxUTh4z9oQagw1NYjFCpH8ZR/NYQ +kK77u7jev9n+JHKNg/z2Diq5qUs+Jt4d+Y+tLuiQ8BDGraL7Uipoc5/dzeyP9fwd +f7d1owe7av+XycLgnMAWo589EjS7wk/2c9M+UEhvjPxc0dK+pr8EUy3BsbKfJ3dd +Gz3rdFLa8DBJkJPqw8OCV8SQRIrRKKRt2/iiPhF49KVjmE44i6NJy84GQIV23Sl/ +PHAqPn5B5+/XwgtMtKa9Zt9AnjD15H6/aNQfHSUZpfsO5uuIPeI2bFsy7gtyvHi4 +9fcFvNj3QFfsCtHdDzUycONLpID4NjtP6gp1oInsea5PX9k35Dmab2ZDmY0On5N7 +zeJntQedZoytNoaeTBw9Sl4R3CLMGvkUHY8uBnKQW77jWEGUg+kk6tZGt06K8Vsk +8A7SY7tgYC+BzgIxrLB2+eEiLDi+9j8N8GZCaMTeTHSvHSG8tyGKzV6iMKLOLM2R +p2LBwBasPsyb5vNb4jBq+4z+4jwG6yksrBUptc+5QcgJAh5u0XaF9XpRzrW71lTP +HAf9qdp0YE7HL6T+hcBCsp7U5D2CQJTFy2K/Q3A3u0n0jX2O1OTN9teJx5OXXTiS +vYgqs6TVTRRlMx1rLDmjMlYzi9p0SJG2mx88AQsjSXcXwvZA8mKclrxGbIcP2Oj1 +RitCYL5kLWkWvo1S2OVFIqUtgLcKFp7XBXo7bJWt3+38bDPoznaJlgX71pKxfAHc +X7n4O09PUvVa9u8jsVl2u8Xr2KvVokkn/LKUdMr0c/DwmFoV+pMTdqxsAfeER9LB +aVsQoqAwaOiOtczu34qNQl+wO4/qcGm2HVuih7lfh6QAxagQdTXyjRFANwmgdrd0 +UI4+vyKtzumjHkPj9AB7oyWwpOmfXW+fDAeek6vPPS81zfZlzQYba20xQtgoKuUe +2YGFRoh/eVgIy6KFo7jYyJNjbWYb5a6uszQbMOKUBBPVvlIaNQZ3tKOSnzMk8Edx +p56uCVMLqi+5WnGC/Tv3vxQfXGQhJqNFGs9A8yvLuP63k0wcpjbu//XuCyPhxkJv +wcfKl2ZkyKVX0vxfhF6j+tARep/+/4R34o8YLTvLoAs1c2vJRMou+muzgQVeFLhH +DGblFGlSRXT+cB+YzBRVCOAnG4yYlL9GH9rWB+8SSkXVW8ur5wch504OTqQDkqPi +MOaDLilCQ17J4FR4s8e/r2FDRAfLq4MPFCcawV5XqYjxFzwH7PwBygGYQ9+hKwxL +5YGQsVza9LjocolC8G+uHVIODz9hCp7k0sJqWSUcZaoiP8Ax2mofgkwJMv1of2Fa +Ns3kGqi8jGpb/uZW9dC4EG07UjUze2oI2Z+33UOsCtXy+GKlUv3d5wx0RO3kP++5 +BIaiwsQLQykmmxjDEz7gNOES7gp8JPtDdBGvKzpij5JyRyLYgkRK+P3Vfx3aztIT +7HaHTpplUJbhPseWK36mJQQnepqVykyNODUFhF/DMXFTZkVpeZgXhKnGelbJi1Y+ +P72ynf5hU4SqN4A6k6lV+LM7vOWk2sGjh94HJNcFad+1sLiXIfWXPvuC7ssjsvG4 +Z9Ez9okow9+xZxN76++3moiqhVvYmQjl+pMikZI241u9R+jpX8B6ZmG1nFaJUI08 +4kb8T+9VC2FEeQtImzjEXVb2k51Vwzc9haPjvL7VsPOzAkj6i5/GLFeKgsrKiynk +Cnhb0HSlOZvSNpu4qNDdhhJgsc1Z9s4a6eA+16KnKHycCskXGl9HwndWz2oRKNF2 +6l4NfZlTCFn/oViBychtLPO5F0hpFARfGRxSqa0flewDqDdrS1+JlApwr0P3EXjL +KR452VVzS/OZhWTgDNiNqw4kz5IrEfn9WVe05ErQlQXWpEugFQHHmEDOM1zZTZ18 +hUy8n0BEK9cIc6OICj7VYGp3M5qQpcrIkyYZaRArL/FNCr676Mw6FxnE0w07zuYO +oGUainTYI4iCT5+egnJeJsp2x99FJF2YR1+53zpJhzdy/HcF8z6uOXvlBynmUNHS +QXcAnLfCUGZ+1nsh0qSC5kgJMN25wtpwkSJXMD6lc+P/NgyLXURj1luvDDYHqLl9 +6zEpJcijTIXwFJNk16p4dEV5t7Mn2qpkAXHlp7wz2K1inxWDTWPgLUgtubc2ltRe +/Y6FY9v3N1af4DEZtjj4k+uA6w+4mTrpvlUC10zgFjIjtFNBYrEVfOfAqercVCgn +hw32rqjXqcT2wAIrAdG1b51yWCq4M0To7wpTDiyg3gwy6V8s3PP9A1z+TJoJV333 +dn5vM44D9efY5zjXEaMR15Q2F9n0KJrwQWi+iMCPGeTltLO65ipM4tMClqjcq3n1 +i95UWCd7nPfd54ZhVbmIeAt/N5hF9zLj6y4t/zBUQYNAhmZln7J7VXGCcRfZn1Q+ +0zyqfjOpTYIZUmKqaZel++YAp1KYc6HzXDUwLIJUK1STzVkzyhQkwyswPjsPQA6U +yd6hoA+FrevUmYrC/VAVJhZoKtaaqMQtIB9KKEQGgVLs7Nf9hCXlShmvfEG/iCn6 +Qg4CbY2AYNg4oxYNQSL0u50Upbl8M3dA3KGPPHavyEJ/rThw32gg9LTv1jn1F/u2 +na8VuKkIllYmTy3KSqHHgn3QvOiSjF4pR4BOsswaAST9h0svpH79lhno2ORoFZcp +oYTe/C3XT11PnPg+rVF4emP8nlhJ8K7wib57Qo2uX4qdXdDg5A4PaS022Qov4cjq +NH3NeSxJhFKPRnCsyq2v7AjQF2a0X0kOncyeE6aVYbVQCv8kBH56iEzgu0iKeCgm +q2a7ohCXIR8pAy0MITUjxLkQdSjm21kz1wmYbXEJfY3TwDlaqwbtlGGgCBrWYBGl +OLDt0LlHAfpXYyQEMiZ0XoaX50mbV8FjhgFpJ/20ua5yhzFAR6ueAOBhCHpwYMzd +QHXz9zzYlTeI7Lz+oWUNfS1H/HTHOD38WbGGtxzVAxOfsj5APFuR70a+EJ7Ah8wU +JiJsYNWI1azODY938HSeOfuh74yWArIwvL2VlpbRyBtgecscpJ8dfDu0xSPohnzB +AWo5ZHvVwXcrGnWYVKcmkpxfQBIrkoNbJ4Q90hP46zJMBFkYHujnYsdhrwXmRrE+ +ZmJf9SsqPm+Y9BJaUXKJnziAS/kfdmpYXXm8LPkNWLaB6tkN41W3Vb8QHaO/h8JP +w0w5RiC5Ykmh7a00i2lGQb5ndrHEKfyiSoKiAa2b05gzjnhWjZTD+CcpRDXyozha +CbBMLCduDYTZQVWFwx+z7wSvmIHhPXxOJhKnKI/gRNSCF3SRsEnO20Rsgg43DiDU +1nvz+GlOMyELCTsW0JxaDUB5Io3CPU2v8cej7t1w5SMGkGItaWIoW66DpvJ3mL+8 +1MIA7ncsAoVBzTUvlxvwUKWCHWl0ifHpabHkDpfyInG0p4jFf7SgKEsCEN83JdYp +ypjrzYooMPlqF3U70p/4axjIZv4zeLsneXet+HmX87jF8BKF46zTRHoaJAY8spMu +Ptq9HCrBQZ20GwZa/FcSEJ/FjzOchqey8tuMFl10X/7kpIB1Q5Uei3gzrMd40r/I +Nb2EScEyN0jA2xgdKO5c1fDu3eIrInOzJqmYV2f9eDGH50UlDesXzGBNQQm/lQyz +Ab+yD5zOod1QUUfSOjdvW07ChVGJzLXEAb9M2nOWZcHPGJoK5VcDI3Qf8t60MDUS +Q5qFJ9qpE4Fu6b2T+Q29fcZBdtfpbXASDFZjxdoGrn13CNYmkG0xjQawJUq9NAYG +Owcqt7gH8rDmuJximFm/soug14sDjIDhyD5JoOZO1BYNwdpS9rnzzJr3959MXNJx +M6Z/ii5jfdglpLZKz54VukulZ5kmFJa4vY5PyNKJOcfACGZsOsvingz/ys2FwsKZ +BjM78KX6+chVRyVw+cnpYfQqG4PJkvnsWcBNMrDuSRsdrFps5dH0yoE0JOq5kfeW +vNWsDoqZ7bqhj0erWStElN3g4EKeko3SPLmkzdyKX8pDNd7j5I47slzhsqKeY5t+ +KinsEyIJXHpALE4PURvkfa++G2XcLi+EsFEbDqi650Siotz/nhjkfrsFVJxuFQY7 +v+ajZhOWbSca5pOSc75ynWePpNXTNCD5bwdR3b0BPwtz35g94/Qawx0sL7F67tmU +EL3DpovsOok5PJe9wIl1z+nuwItnSgMsp4g2Lj1f8w65KIGaPbAprY0yZy1m/aBB +p3+KzsN+z6AE8DaqCzNN1Va3iHZdyZHm0zZxGcsy1mVRksylc5eJPgGbG2rtEi4x +DsApl1rxrjvGH/7BDBvF6Rtz3kETejsZ6A5We3AbHQ+0yqZK1pizRC1Uar59BO+w +ooW62x46ecmnXVUQ+FBdJD9jBY8FZ1MOoGdFbJLbZqed1NlHbCoOq2KzJpQ/Haqe +G5s/EYyotr5w64sFFdnTmSCRcqxHY+t81oVqQek8E/iKqNgFFzuLuPPv7JT6O6wX +ZQbOgonB4PK1yFmh7E9tZ/QhPuSdc3DVsfIORCqENu6bxI9EZw5gI27oFHrUDHrl +LrsZl45Wbr6E486hkZdqydie8FBi9k30ULgmgBVyMcCptXSxaA6XEQpg3AUNnHqI +4SpHKMZAdXRyiHvrD/Jh5LnNJctFhhlzNg57iU92RhKAB067fvhxtTytgK/ghC0I +rwx80bOXXnbSOxv7KDIVb1KAyOaqpjLHtndp4ZpSbJDH3a5+LnY0sW0z+wpvO2Zw +xO/szLdaYdGAuM1gkXrzigwZrH22bNE4D5a2kjTQ0uuHX+A222Ni4n+pLSghAFyz +bTfqLl3V+y7LSPLKdeIccgHI3VSEbQWtkH6bBwgk15R14w/hmZ7XEyiTJdumDEYM +l3zbazHV8HLxJoYboYqPUAHDeLXSF8tgtaJlrhnk2DwQ/SN8OzOPm7L/lOzyzcFV +70pFsvVMurdzyMzFUvXXUjbRknzhyY/5kkYvfmb3PF8/BoAIsmQDGkWT3V1IOmIY +TARZmVwVeK54Wsubgr+zQVpYhmRYVyEOguCZQ+2ptHSp8ag6cNAn1eryprLYmOc4 ++uZvNYufyCx7xro+1sQKT0B3/+ntu54Bm2cNXn0CD+ce8UpVTfXFiXPXmS6udPTD +IgWAR0btSY1/z4YouNp2aeLA7OIDl47Of7m+klrKqi8qpK/oNFhK8pfUHoaIqxEx +kai5PES11KlMgGMnKW6cJCXgJCc0S9RPjmGtHFfmUoEKBWhs1uuLUo7fxz3xbiEX +L1fyOS5bBbNkRsIRO8/g5AMwmViMcN2j3BHybM4LLjY9LLcZfWr/lrIDbtL7oqNh +rFHsH0yNRtaOv2ZsE61jK8rEgsAR0WQvkFWEYK8Fw2OQfSzAogSbOy9j9VKF6v3X +jr3krDtOPDsdIB7KU0fEqzH/E/ING6k6DTytxLAdIY/sp2LRHfuR6fSewSblLqad +wF/8t4NU8b/9T8+YXKGWQKNrbRlWglCJWIwaWUb5H9e23VvQU6bYbunIbjxZNqIo +SeyyU4oYC+UGO0g+UU7VBz6hNZynGavsx0L9d/CjXNM8Kdnd8uceRkbblcXLnQd3 +6OyypxhFy0yqPn7Nt1L2BQ/DzqdO0whutRUGhfWYNKuh5RPaX5blDAoZ35LzFBNp +qvou70C36WAcEAf1aWNcTIYjaOxwe1D+su6ObkXe4Pe66fk1fWygNDpejy+OqNnH +87SzVuQ03zp1QNR7PgBZr9F2m6J3zAl7D2fuEtdDeaTd2PKuucsLkATwJ7HapUEv +uC8C4VlzvXXmf8aYq/PC+fdZW0KNmbCecJoWXpuzgC2wY0dHnboYqb8wZcyIh89G +iQynxXJwYhjPBMPGMcnEtVdmUi5VO3QzBIJwndyqPLGBhlopU5MwXkcmbAI7Uj3Q +4xQSPkayMYOzLp2bqh5Ej+s8Aafub7BZyfTI6qsH616QY4iDt7X1hj9NlQtDbHxO +oXdz4Ut9fhfQr1UBHmxW8kKxuqSWi1TM5u1XrT/DVCBKIr9Xn8Wl6Oo3PA2FeRQs +eIjxQN/EvmL7MUflDz+AZlZg7hOJqtPgTONoHDUX9+/k3TGeTY+s9fXVw7aZnzzl +A91V7j5nxzhtgZUv8T5+J3F3Yb61Q5N2wPj99CoxBH9tYHvjUZDqYM468uMqKACU +2fbYC1sNZeaTIb3kLbueUXO9GGV0pYERZyAc54+qAxjMzsJfdM2mXHjtuh9yj0JR +xkiWFr1IS3gsDxEziczgQ0z8DzfZnqyzA/mmMWZH4yvdOz7DibcOSFt8f3xOwb2O +zSyIMK4zTfMttVDE6sxvR3y+rC1yWSrpJwjpdaxtUJQ1VgJq8ewZUE7f4px7oJ06 +HYNultk3xdoBsx5MeBeVO71X3r3Vf9VAWS8N62IbcdnP8SICBTxgjzaGohV4ZcNv +FnZwMsi+gFr0kRP8jPZJVK4SscPoUbfvFUrh+8rdMRve5xHFABoaRyYQr06WLlMg +BGkA/B9WRXZZCta0V+Ti9w83YsDufEOT5g3ZGkt3mIH3aBLtiqeoCwWeD8JTPoC8 +rNYZ0f6seejPidyfSj1vvJlT08coImVG47gHBD/QAZGNqI5pvOk0oHdhpolCA9cj +WIYTnPPEOvQ1uYcSSH+Wd/Ka4vqpjcHd/ml5lSiM/4n7RA0H1vWml76ooCNWvU/9 +heof3MWNVH5bNVhW8hybOeoiQpfbYtRACwnHS4EOVEBq246JcB9nOxEkjUkNSe4w +g7WaSnUKjMs9ERu3DjSxtBPaiT0k0M6cXSyP0MfIrLTviQly8vRnswm7aXurWtHM +ByE+gQ6p9MxZjSjpaJwUaYNrtjbhGx+sFw1UIe89GTjGyGaAkcdSOHL6fmsBENs8 +9sGQmKQSAnqw9DjhUIKxK13JXo/RQ1/ZeNs+HohkJIYbjYpotozgcP26kwqthM6X +msDD72SLlTQOWwdaoYBHM9rQYmsAq0YLF6RJKE34G9ficgAJkt6ZjS661X59NkDV +kXazNLU8PzZfMUWn2mxDp+88X7jeUF4iA2ulAlUo3OC7rKyX/kM7HrT5pmB3Q5bx +D7r//c2+9+mpHcFpC6wzGhtklj9T9bDpkDVsBAfr5MsMWv7ES2xTFx4ovur8ondm +MspNwsTm+Kw9NMukcXIMdSlYUlhNWaKKxwA7ch+whlsZKzKW3e0SdBFXgqJ8kHm2 +maJ0hKAlLHE/rRfiD3Xd9r3PK60hVkZFZ3FOz3ePQgFKQkH9K6+JDLP+c0YzVJ6X +/1GtE9+ocpgO1YAtbN43YSnEmcvEIaio0EgsnAZygi/Fdc8MXLR+8dISfkkeifY4 +tCit90elC3Wabz2Kykq2FJP8Cko1/lRCKdPkW04RGbYGLKjx3OFkgA7nmxPfyry1 +GPRFYbX7AuwWj1GtaM9o9dGYdJ0Ya+vpSrNsq/I+PRR6aAimdVygn9vO/hKKaoeB +rIL0+ktbCeeP8ut1kioqp0h7Xb7W1GOIHlCtHSMW2H3YsIzsO8p5ZE3pEhHG5cfg +9ldL4wPj0MUVchZWdJi+nj6InSK+I36Ec7wGfLv+aAheAoSvv+GbhMnure9qhbNp +dwc2O8WcrpSe9oyz3Is5m4uO7WEo217dV6DON2HnkRh30aoz6wkVJ03htTJrZJWm +zvvYL4TcB//0LaD2hv8USNcfKgw46ili4SDsb3xs5QkWuHSldRk65KtBkTKLNUWX +j7EJfgpPnfw2N1S4j4hyd0XKQZOozBsXc04t9j74yEeuq3HeDuKWys54Jn35fLDm +2txbYoVjQhJyomOsLfBAOujdN1XtzRg9moZHp0SlofOp0PD3U3YWVrOIaGC7JmWv +GvTPYRb084mQ3Z9NEaHVjp3iAsygs5U1/xb6yPkxxJ13Qj/7WGJ+pRFIjVbu8X12 +Ht8NRtmCMb4nosJOywEuUKNFxg0JowhBoYPNAfw36Q0Jyvp9lZEhNnUFrHQg6S6e +E+2hAfJAqyTRonvGvB2oLz8LC+Qi1ZOvBJFn0oRvvpNNHTLrIlE/8ecYEKHjbP3g +L8eqok9hfFjLGXQjL6kCDZt/xjHRoXPNXegwdgpysKer80SlVCOOpEz7NYO3LFD1 +3N1z/fGCvy/f7B5f9duSDS0wZKecDGzL1aPy5RLyiUN389p8O+36IvnTJ+dK9zFh +UQUhboAJBl7jabUK+7AKrTiR2For1qgfn67YKdzQopYj6XY6m/B1PVnaxSJQE32E +ZaNShy/DfEi+4zI8YN6sgkHyS2Wefjh699a+428xba9RqcoEIGG8IDbMwPCaYVex +PVxB46inEZSTuhRNZxVePo/8vQLcoIA5Lau2/WZXuDvWxbMnPTqtEW3ORaZlO0WM +3NSOdGuneFE/bfJlt0VxxJDJ8XLjgOXS5PnDIgVhhC7nL0R+HteFQwe3L8mTswi/ +lh34UUeAlT5dWdxiA/CVPXS5OsPQPNgkhrJ14AKSFmhqQMG0UqkUN9zrNLUEOHFl +YM7tYqvAm7ZJxCeFWn35IKXtign6yUmrTDJQsL3MQoOj89A8vd+PND3mH8WAz3FE +yA4rE9hPtuLkFvwBY3bzPVw02kVKRkfkIJnh++0znP6OoL7xMpRe+/evA0SbcaqV +pRXaGHrneIrfqn5hE7V/s4gyzjbIYneRGv04qbQpryeKtHB3eq8EfvbWQzcd/7ps +DdJaYstHkCWj6/0YhUKdykQF5GNrkInHF/IbiqICB5F+3Zwm4A5w3goy6vGb6d3I +uKCjrJtSGDE7RceC1IVet05uGE9zkT+sFxSx4NW78fYkg/EYmh6wXFw0cwftSae0 +Xn3jqR4nCcgkiUXNEBWF3YcnUdbiVlgsVmOhYxrxTclJnde8gEk32fSGLVWbFhgW +G3PFNQPPUhoUTLRTCnzN4sfPsVcY2iZ2G3UqgmghGXTkgNgJU+23A9STHoXsp9rY +ZstJJLBCQmy+R0SrfKDk5QbNGqxh8G2EYFJwhdijNpQwIVuB093BqPoYEwrQM4d5 +EyF2I+9HmQIFFnxxNtaB95tMWiuKOmmsNSJ9207K3EIgFZvIXU8V7OJ2qN7a/ijA +q8LEZlYJlIHtC/vK9d4FMt8VHtvzcD+LwJ+XGx2iepZjICgur0hgLJ16WvX1HeXA +rPiC5ervoMCPIhuIPwsmVO35K+Vu/46DZpUgs//UQnJlaeSZDBJaSPn0dyipWOvA +fkmAa6sN8hXkLfc04LeF6okky6jSNfsYKoZIfFGjamtSxiPMauGqZUlEbu3vKC+2 +FFaDWwuU+/xpv6IZD0ZWSv+CI2r1fCdi/N+ZuyMs6liI1eZotmOSUVI9Mg5Yr89x +XQe5k0eSvFE5PbyS3nKifKQ6ztjFlrqt6eHidxT9t9Tu0J4FQUCvWLdfh+ltMv0A +7NzUTk8V2IXRDjiv8OrsyjTUa0jM5hFkeqEgfDGSBLNgSIvUKqhsAN9j3W5z4/mc +1LiVWry6CO6kWTddmA7HgQKtY2ZNOx8zOmHgLuFPp8ZNOmCNAv7h2PdDVAtbgf50 +DtO42DMTUOlKTigQqhLiN9ojjm4Lf5Ff9k91pw81O9cK8x10D9lRhsilvz/ogTdm +jByh+xUa34ioo8cmVO2fdrVh9cJ8LlBGAk37M8F1QVGjewu16dmWg8d57DVb2p6r +gjIJblEEQ0CRih3b4JXFLcsG2g0jyRyJ4fcLnhDaOZB5cYyHb/muNsxbOBkJHRYN +snMxrP8QFtxb+0NcoFHGlG6/OkDhvmdo3BjRJx7/Pio3p7/YSXhKLWfVidyrpfCt +dYo7ojWzY62iKpdSBbRaHoYj+8qzmbv1tj2B/hHJ1CCj79RI44+PdDY1ov5yB+f2 +igi+ywTp++pArvGpuXq8gxfr2IZ93QGbNcc5HQz0ZRL1rLMt/sr+JSWI8i10s7zj +HcijT8Yo/jrtOue0raAhv/AEuqwvYilpup/NBAYBSFJSkCn71j9jQaa2nAfmiExo +s0JzTFs2xCXkiESXTeW9gsH4Y2MYGSSWlJYRAJSVDKUl0TnBUs+WlLvsmejxtuf1 +edbpZp5cKtxfXY4kAmy4/BZKnwn+1pfxiCd7VpJ/iOt3tOuyiXdzhlT2NC0hbJnZ +gmsr3sEnNQVQVIkcncnCcZW1XgQ0gS3Z9k6WXC5//Inr1hxSDB3IjzbppwYunkAE +nkGUCMl6XWy303cpIdK0rdOCiUurmizGylLy9d1TJDum1jgP3Ha/Dlb5nmr7OJDp +yyexhCMDUw36gT1RIBrdupmYEcjUh1Ye2F/LW1uVAUksU4cAgbjOSWRwv/wyZ19Y +B80PG9IzPF6BJG9IbujmewmY6iNr+tCCvq89UIUNycxf1YMBTuckY3vYYJd29SxV +boZZkOeFiUi0aGQw16g3HydGtBwXUZbmkoYVPZ+XX3EJybRkMWbm1NK3xU6pKfi/ +md0ETvUHjYG3Pfvl6M4J7NcR5I+CJGriXqKQFIpuQObxXIwJxNZQdudedQp6NRnV +SN3U3zmbIQrYwpSxyII/Df9XMPwWfDMyc/rDWOP4wKzG/FVTPHtmkJnAjZ+zkwNW +rB75l6qSJr9S8HUWPcDIwq7Hl1myI/R1Bb+W4mQzw8yEQAkL+jZ9qgVpYKLqwEUb +L6P0Nn+5FiJXsNCgHq+hrK7D9MkwH5qVbiF7LAIzC34d/yFmrp83bPd8ZsnUdJkK +wYvdyJxik8imI5N1PJ0v6aKRrXM43DK27h1kMHcFKmN25jUtaMQ3tfwAf7WOeSl1 +TmQ5G1BSkz6d9tbg9/ynFd/YJunLFOU6QdRfFouQ5jSagegfFKWqjaOfjcv9CGA8 +6eCVqgIDdvmUoRFBDpca1Q1W1DdfYhLinwZlCJStzBCpgSX0ROBgJbK1OlOFOmOv +FxUGt9lAhgbqIPpHXEmzhopwnBWYoHhXKfshSxJAYQF8/+PpsgT0OGEKv9CUeTCM +vgVnkZByOnjMb5Qjo9gmVXp7PMQFvt0Ftgvvz8OgUbsfq3KzST1sUuLvyHX23n39 +iczSZwM8nm77MTvJGm/zCsP9LYMzck1EqYCxh5h5nggmZKWBZL5Ad3todycP9rcz +yyrGujywIljKuHnzTjmyzGOnrbkJ2rhbMkSHqAzwlNV/oLgyzxsYvO2lMJClsPAL +ZsCjMXPs7exJZkMvpGsYzCHOu9GRLxWsU01EJNfTdcIDUIiz6aQlT+OvhK0AOda0 +0WLajyQmli8XOcTUNJscWopq5+HNhrrJoFmjkTkcL2utvqjAmSlQ3zhbtSGUIgfC +TyvQ5nDVgUci1AE9uwvITctJLLUThAAINzzppkU3Y7MZwjTdbBlBC/b2numXZXuU +CO3NqHaCX+swt/l5r5fb1mwvL0dTOn2qlfJdOz3Ogc0PoCMPTWmSky5E+aE56Vhi +Mbptzn3aBajByraC6HH02oUXyh+FKAvDKN5yDVxYvcRnVW/OjeALweQ5crWD67tM +46PQ7TvYxQFncTFbbR1md5SeXEcN4HYvi8Q+QecJz+i1knSvfq8IPdKi61Jp30Uz +0cL2pvwhaVADXu3ZO+wMagCt+eJ37C/O88MjxxhbXRrK69v5eaJcWbw0ykWLK/BL +Wq+W0CSl7je3a1i7AGJG0TBWUUKmQwf3m32+562Xr2BpOgw8LoEopqa7k3AI5mD5 +y9vK391ONofKMg98zvcnj0F8VW1Srwjeyw26QA3voH77Q+AkLcjm5Z8UsAWVXpKf +XEHfExKgo0DuR8Q9rN7o/+gx5mmXaw9p7pNyzjtfE8QcNm0KMIR5hN/dVX/2GqFU +Q92Qs7Sj/WFOzP6ws3KyiscpXInJZyKfS0ABvoLsdKvTU6OWE/uiJHjvLLP8we/L +Tez+IUQo1BhEoeB8YgxqxHhPFyVQw3eIqvsoNpS75Ac1phzs8IOcPW1rAeZyFdpZ +9gzk7fFsvhqIxjnq3yMOcj6rl7Ql1VlXhUf+zJheFbYpGR6fp6LMio/3/VzIBmDc +WDxIIdE769sxovJv9K/aUueTptXjfNU6Q12TEd4Ja191jJ5Ce7ZRHi4pgHwRkGml +tds3bQ6jqQ/S/KbbLT2Uf4iZiviHc8aQ07LapF+fiOjlBD3WQEBf66TqlFGefVI3 +k0iv/ctop7lKp3VITqLQD4hWIuN7fLrJ85F+JL2GqU3GLn6E0wmpSp7ej+Jt86Vj +fsJduQQQpLI3aQy3vrM/4aRfZco1kfjaruEYpxvCqvP29Zi9FIxG61tVMfz0cooT +C0f7skZ79FPHqgdu5nklNdL+PrC+aWaTF6hCLugh5s3lC+5u9Xyjo90vbSdyOi9e +MSugsLCcOXX/m0HE45FqadG5nIYPsYxbr08R1luPyD5NKWZjuqGjYU2GVJxHPPau +kmck0aep/5UB75R2SoJrgtnwcejrN2NxHyW4drhWQ9gvRF/mJ1FxnMkRLMsTbRk7 +73iI+C/zJtzNeuxzS+apyCnrvMQqf9+LOx1r6NYyDM+2vQ3j4pDphJ3EX7SwXBO+ +0/EW2r7so2pEaRYrVU8DlgMOGuxjtLsK5Xl98+yQLxIX/9CecP9cdUeFGqfgH12M +FDrPSAJnP3hn8inJSLM+JL8gHl/lulbmkJE15nqe6AOnzJgEcwRtbB6mFpuPK+cX +cJ98g/+14kgdZY8CSmEVPmPTJo0dAM9kDePD7fzuSrg7fWpmLeLpDHy0rk2Ak7uC +G5GFZavl64/4Qhflv/cWtsIqke0zgCba/J6/avzkAJWAQ99hbvOYNzZZf7lEFeZH +EU/SeUorqv3tbCZJMOZ42uNyKOFz4lVK8VfXoHmBJAwg3e6UDfYB6VFnZMmv4M5N +S/Rgmy6rqSrZMgdVR+l5r5/TpcjoaXDI9gz9dPF1b/GKcAgJOMbWIPVcAq9BIJ8h +umV1ZSbVikr0k+rlZ+hQr1As997lx7Z2JffJO/pjO1u/dId9yZj3utP8s5EsptQJ +B7gFEL59eTDDpRUGnqMc51wq8iw4ARLcu5BJRTD56ncX1x0SUqwYh+J05ZMUxJAj +eoJJXpg32HxEhXPuOUoxwlkSNp+hCLSaLG18zBpud89XFNTR52tSLoUEY3TfHFfK +CDlYsj9bCGC4FJdfHmwFAOeDsdyxEtslyad98Peq6HPWjFbGR2ICa0ZVjRnpwYWK +uUFmUIWHFJH0weCGdJID+wRywiO0BUSNERgNdpFJfHFbbs8oNEEnFiFJJyZkrOqJ +/LP+WwdL67tCYb0C8R8pxtx/fNmJRqg2/4KLi7TmZ9eZNTcnwvRb2YYCQgrsOP4d +kHjGq2dSfGtA2NcTqdwP+3WHYk62pARmRutsEAjPBZ3fszBBUJuZ0HKT7ZPmOH6p +G5H6CfwBxyQcENXwPBOzkzn1IoPpeTwzZFbiOVRM+MqgV9zyw1YVY6yMlvywbJAf +8oUaMR8sAA8anrzAbVM1nTrjP2S8iS3QaOnlzd05HiCNFBDX5vo2bhjHqOC0/ZVl +HXP5gYw4Jll5NSik+HGPzn3TkazPRkL9p0qoLP6TKrDQRJBEbN9Rn+5IY0+E+XCI +yJZ/Nuf+vqomfI4pTXPBR4A2WTZoe0ZwWM+gyAgGaWZTphZHsgsX5QgXfAo/NHKl +kw7fQ9cBGyfdbi6sIJTAbyXD2tBz/rTVC2TnNs4bNK4fml38oHyU97i1j6XVCxy3 +TAab43hGxStLXkmK0cP5zFh8DthXQEfYsIAFQgJyCgEV6kL36shDBBJ/P2p5rj7e +HVw+D+qmU3XL4wI253Eiautv8HaBnVLPLJAO8wJ/fnq+ItfDTrLhiLPjPHHfcpWb +hglTfHyyeYhAulCB8M8HhULSipSXQo+dUI95B5QUbXumYy1xPKT2dTQBasQwEPET +emPAbkDW65xO6NunGwI1pe9xEUOwC6ULuSYevd9KUKRfKaAlRODoZk6uPnRinfWB +PWBYI05KEvCMStJcgNpvCQNs/5vvWTksz1vBqfHWqbJuvi89cINj1/ZWYe/zmpji +knoK9F7OwaRt9aZCO6yy75AIlvg1BC2KE/6jRVUEkreHen2Y6tVPGf2Uaa1Cc1L3 +xRaFIwEAozNvxy4dX8kONdJOUbM/PpP3ke4Z3r6kaNZ2YFX45Zfe0IS5n+tCGo9H +5dzuDBJ9GLNKHWQ4mx/l9ZtRF7Nxc8Y+xz6YkVJupHCmAr70TuapPx/RY4yWpK9H +mUC/sauvu2IiE9/19H1J7wfsYjV2+8cfqSK60tzuukQHrGv81nq8zPTG+ax9OUo2 +dxlAujY7XHxsAbbeFIK8dAhAix+xmOr6VCtpfS/dlNuaDyBAGk2er0NO1bhiJRFG +OJnnuzjAbe9utMkX/TpaIMvHmCLCdf1ShGYgBLQncCt+auO+d69rgWrlGyw0j+a+ +ZjymXInIhuCdcquWFgXq85moCHuq8y0XGQO6I9QJfhilGObhnBNFmBvQ0rMfV4wW +FGrQUwHcRonQG+jLIGYJISQwuH0bkOg0IplwhJ3pqpek1vLFkA/66d3vRQUQByic +8zk9B1ilTzk0JLXySUvNlT/pi6rpe7BZzHKI9Z7D4GhJ7+WDmPjh6ASG5n/pNdRr +IKVbabwv8RzUOsvfKqE4Hhpa+d2e/waPUS778eYnAQ+d5Gq6X5wPkt2Vyv5+iIZy +wjTHfqp1iUZyR9+puoz5lr+okFd3i5dmIHpy17Fwow5X99Z03JX/cqty4rSXFeYh +uPYR/IeiSqb0gokAVDAYQR5kpqCTSDvQzXbx6hMFn0NPrfuurtDH2KWmUQnwzdLr +VmogjkqX0sHLVBEq3SmWAf+2SjK/Za8LEiYZ+64ix/JLgtJLroCezv9+P3QQ8WX3 +o6PgOv4wfChT9vGFosRzAM6oLLpM5uE0rUHf6jb9xd3SfmPdnHFqLzYOmeLNBYLS +LVMDKTKHqMIy6893yPp77xcmrXCxXqBStF0uQKJSSPLtxK0xwmltZAFnsUOdGDPA +in2xfDK5aXZpovabAWQKVqpSKhJIp+qZyZ4XbDTEgy3t4mrk2nHqEfZ/057NJ4Ne +Q0wSnCpjXaqO3sMeFVY6wyLqzsOlpOuCbzG9nWeNGT7gWMSsRY1syqA5S/ANdgu0 +0w30vfo/FHogETzWNvSs5MrKwBxmrvnkldiwDmg4tWW3W+kNu4g7jMD16RuCdwKp +QVQ/S98EyieVa6gMEa6ijbD+P7AFV1u4SYbwv4zcr/YZVSLifaAdK70cmm6nUIaF +DHXO96MDULNzbtv2lmt/+F91Th0CqxcwUi1PTTrEPyjfdwIc5ZJhEqnMyBG2X+QA +IdbidgHa2UwPxxM0fcMjUo5vVcB1odrPWVQGRGtU/GtnTGTJn39Naq13LGQ4CxdF +2EQX+4/+7ijV6aNxZT3QYle4aVl9vuBDBZGi/4y90A/T1+pQaM6hahqHiWB9oluf +ulpikBh02W43FDBvd7ufNQYmAGPrPvdwB+D1yTJSV62HzUKcNufoH7haqH9lHAWT +84cXGRjin8E+SHKnuhPgFke6BClz+oiK1Wd4r7Hb8AAomm/34qQkkxoRtZs2ooCa +MwtJcfp3IsZpPrKlr24MuufQvtpNMPX6f/KugE/BGd5lIy8dsCAy05ptpS9nSMlS +WDrIkhypno3K0x+gVHCUkxZokhIenq3dDyhmE8f+NejJtmbO2rhR8mUDav74eTUW +MltPn93mT5hHBiWsKZ2nBrmD6rytwpP0wcSAcZzEIFFvwBy0gRTY6fw9Zil72HXh +40YwbC/kg1x8TBAEH5HQQweGG74AJSA1qnrs8KgAWStMnRPvawf6Kn7GV9GEhivD +OC2D/1vPNjDG4Ei526GYBGs4yaqYCHPt1WHqZlodxNg1GzuoNu7VpHi/K/Go2xH1 +kvjk2jsBAFJ5u31Ae3GgeNhsZfIPlVs491up0TpwzyahEfBDSEeTkB/00SlofI3N +EvkC8MIpF2j/a5jGAWGNOTwrKEfxVf965viUdlSY/fn1b28GZ8q/ryFLvcC4wGM7 +HTti7Lo5cI9JuxPS/HJOWt36dxSsFniNeJunDjjUk7lV3Rg/VQ7GRP1yRepCT+yY +gYf5WxFOKYOlhwy6iDxCTNb6BKg+4trjQwd8nzHGagZWFAuR+L2NZAyxLKlhXjl2 +cjwX3M58VAb4nSYtalZpXWwti2tbMd6qeU3DVSWPIEik7RXdW9iq3eebGrRuXSG0 +0pDBmK610SM2OrBsuTPnwiqxz/NgAm5Y0nyI1q6tMI61ca8mvVM2t992Ac2swcVI +lRDae6wDEVT97L9d40A4u/PpnQUA+DqGrQfSZVGt3Xi49sGOi1+wYtJZajJLBfMn +wYILJbmLZwyGZGa/c34Gg/6zNiXbnokobDSrOxIBvf7mND9lZjdksSanApk4aaQl +c6ZRN932nf5Kupl4rQHnhl8hy8QNZ4Kp/8PAvYG+Y9YZX2jYvYJMDhUc3A/77ZNc +kQE87TkFIvF9WBkBluGtV8aJD+qpXh+FdcZZxFNL5gC6q+0Kg2U5zamDnBYsp80L ++CoQomPIdDB/xQb5hsrk1fD2CkF2B7s3ephQMF//MReQFkiSBwdci9GGa0Eh+XPg +3bQwUVSXtyauQw6g+2G0NK9HuiL4bSShyxsVHlY/BaQbuFgXXiYGtOyqJsSfaB90 +kTK+4gCTyRhMs5uh17kUBjhXBFXLP8sigw8FPRIXsMCRA+UN8eL+pJ3Y4dc6PbHp +egsedjQ6S5S+u0ODOHCVY9YwEdjWa1FuRQJ5iFXxcCMhced555laoLCEbX8oGcdP +M1n7gzAc/jRwY5dC+8mWT+4gEcIat78KHOQ1Us2tHSxYfjQplZ1Z8mX5OrsjTuUy +iG6T19mDxxXrm9MsmCHAU4adEm2EbP6OUlgqdWSGo+80MqW3xYcwExbKnrmwftrp +b6VHH9aaTbyREpOnIY4yFRLDDwsdNKfLnnsUCj7Bz+grMtqjCa6rQnERLX+L6Vcl +GVKY8gLBX3IntSpILMz/2M3GJ8M2UxCGPgdCCqDsoPYwcNc5brO7Jfi/AVVdzW/o +A2ROxBRLZi0weQvTk6q+11ZJGNOM1lHgZ2m3JMJ0biZFwonXCOlpZdIY6jwehtj/ +1yEiB4oGGVCkT5okEwqDZNtgmJ+usFQG4vh5WGjC1szBmTNri4U/rTaM2DvJskPA +0AthIRyvghxYbA1/8imfYiktJJSI48z9xnzgmINJ9TyCLBoMiJmngAWYy7BbGviC +NHa0AGbdHcfoIFashRP9vOWMRmz/J6pYpgg2VTyZGm5il/HnqSIkCVlLuyFhN2m/ +LuWlniAuo7Z3+EPW1FawfuwB994cZw2GMcU8ol7Cg3N5dXAKG950b2znqhCT6Rwy +jddw5whjBPxok5UDCEbxr476sBw7lVaOn4inbdf3WGSCTcXpRXOZy71//J6q6eXX +GwbDcr9lPMAEIuLfv0IrS3gahDXYASKmuGs2dIK0Ysy5PuSF0TTUx9xHlSvkJwVS +7P408wmU51nRHXlATkSuuOvMoOJEU2SVHPRWO4V2Dhcsj30yiRWmeOBLhuVPDU5j +ZSjvCvSkzPjX70ml0WBBF5mm/OcmR1dCeJQxthK6Vxd9Ky70hRk2Jx4U5zFLocpN +QjXkLEpZmNQTht+fDdZMJIqkJAupYGMJWs2KxKxetds0HcqzlFxKcGQcbhs5Dfn3 +FJ9TaRGW/Czr+0Y+km1flQ0va/Jak5p/rIC8n0au0/8tBqe/vuxT4fiY9in636/f +whmuaigDrlnNaUri90TTfH5Tr88cAyQKmxpzu1N+fqkSPGVYCQ5Az47jxlp2bPwu +ZM62Ah+irqL3vXQjrRvQYubIiYnFpP1NJSNrWkP7VMQZlRMOrvCCfVhqccmXa6Ei +d16wPM6kHwHDuCZVVSNoA2SnAjiWgMlTYvcYFBL1MolPaFM0xE1mG8+oZWDHzMbe +XTK1RJ/wkErYVR7Ys02GJpL6AAJ7cYJUx7KummiOPYoxfwdQo1zaZ7gjybNM3CxN +jt12QisdOciY3aD//9YfGD6R4Qz1kKQZsP7THUNISIYyFHkYWOEutbQJv9IDX59U +XjmfjpIFU5Z4doWeQrNAXUERueM1XfSYcx111/mMKvfCaJTn7zceUPsjHYXgLpFd +AHHHx/5sk+7KhXi7HfVwtZWShEUJTtbUBeKekIh1DLcx6QQP263lMe80ceRGSa0p +8FXBI4ODe1W+xgRUewpbKwUt5q1baAIsGIpWaWRJvuNsglgov2PVLxBAHQMP87vS +bYCxVxbbRqSjEfK/ZdMz4nLnKVvCEOXw3lb+0LrjAEUcALNZG1wWwnxRGXALf28J +se8F4hYr9VkltNzFe0UV2kBeiknjU4INL0HYzkw7sJoeGPjaeAd+n4tlG69GcSfq +hmqRxDM6+aPsqH7TUIB5if9f/hbmUG48gWwb4BAr+C136IU3PMZPgZicugF6FFzE +1BwKg78yLLt+LGkkcTHUG5BNIyKabh23xm9RUsileh24ymIYAuY7cTLcBUfygOAe +smxtZGptg2zRrN1z5dI6crtIcFKxaE+KvFCfHqEH+WBLdTIcONGNOTf6QKvrzPBN +cxK/GqcSYfcxnsnZL6qGUAbtvex8GU6ccl/lAToOOuNig4zE+reD/5nc9cCnwOP+ +ETAV08NS4FILQz5g6CE1nGJ7H6C6PVQzwq+tLkFtyFk0xzBQYNwbRoRhv7NH1y32 +GyX9CmdPlInhZ6cM6AnhJd4m5MSO5ectby0kbO4tvvByX6W2ZFfy5gaBPED6hDQf +8d22PtTvXsO2Cu00yX7UNmC+tah/1qN3mGeF/GJKiz8v4kJ9sSz9ZIMXxQ49FZ+K +fKsaiZDW1gGTDceMzx3Bo64XfkBIRptdlK8HS6+TDvukuYTePhQhRuPlvYTHR7jW +dIZdD4dxMKGy8VlMloI1swmKw+uQUDtj1y0DS9BUyx8MzYCqPIxv33DMFuHeBQm7 +r4r8E8CjzvCL6Wb1YWKJoUMfu8snOLvja05cO42dUq+LMyXW7xNrQh8k7vgDz2ZI +qRpqw9SCwyt7sqBSs4on6r/I7dUwICuHqPSJHtxU6F1OOCRHA3dsHx4VjtqnQ08n +h0S9GGSFJNihIFLiwBc8rj7Q4zUTNAblQeL09JKEsVE0iLC1RW8T51mOcmijac01 +oL2CMckg2TDIKuf+G4SIX7X03kMxlr2G6O1SQCw1rm0biMkoV7wJj1iVQqQnnEFi +p9I2biJCa5a5P8jWCqcDEvHZJnx39CeeGQgjU1DxTu/u91NUuuVonL7i/oZFuqbp +6cplMHaCtMeHv9pPaHBIH/6/Yihe3dlDjeZIrgv4KNltkU1GF+dgp0dfatPXKc+d +u44Sv5YDi17YTjOM0el0pNn/PEt1aAZnIE/VWPkrjHdUpktG7YIGUhvLI0VmSuO5 +FyFIqmgdVnsxN8h8/8cCvzD1i9b4djXIiBRILVIWmWW6BIgxD2upKAa7+I30CeEp +EHyiOEaWKyvYmHlkfYk/lQf1g2Th8hPYdfoUbryw51CU9FpftpETSUNVpDvx7tvu +g2q1gdsmOfTiRqi/MKuKC808HHuyNWdr6WTMyg/K41iKOoXS4PY9DIf40rr92MAf +AB/aoiIsxECJYWmhKpwOSc+emhmMBPJHpHJFFDTua0clY6+mL7MtSINlKeTTDsDj +j1z/tQGB0IM+OlM0LJ2ysGbpRVDNzDGA30BqNAFYSvN+zltVDIhyH25HdQCRqMIF +buaMMeIjwKV76m3ZMrMtBqR+lAvxXCEQulddCwRgq9ZLvL9Lm4zQqtE8yZiiMYTr +NfJZCI5EDDhJCgs35mklZEIpU4yh6WicXBq11kbkJAXOr6yD3roqAf7o3aHzO//3 +v0am5imLlsbpVMVCW3FDQ0mZSDCnmmp8/ECz5NKYeu0WZMmvwmN3i9mEVIjoHyrB +Vw0X7f+pt6CZJnWdjTvI0f8dcjvIJX5LdwffGBDNqscrRCJoe4kdunGBkOgW6X33 +MD2o7SmK5cFwgqDOOmWhXonBserBCjG7XWcep2iiYtxr6kEomqX4iq8y+uY8bQ4u +Xgyo5TgWJMBDpVjaLMfSfHItcP4YcCmsFnl0/2WhQff1jDYJJHY7z593BXPFSJd9 +7XFiwWghpBktsSu5qrzGyKao0y6yeO/c1kdfgzOQHHBSAd7eXOXkfVya3k16jOJf +HXtYpm9OYfWoJmSIc4pCX69qBLkc0KJwuc0ibc8i/RXPxKcKpOgT2Y7m36lxCCwV +B/8ARQxjF4dvrM0XhO7mPCLlrVcRnFEOH6AxwF/GHx1xeWceY6DrGQge+3CI3mfs +VCVYXOOz50mJcsp1HLDilSxyAp9IXS7xLbziqwKWTBRughhnUQ3kostaQMrITXaM +SQnY7E1zOZeyRgZP/+ftEsMgz/eJvBem7JjY09XYJOYGpWkA5lPRR9Vof9HH7SSt +KBStVZcVVHw1n/rMYIx8BQpOHUlJcfkhBe7JV+E6z8+LfITJcBAuuAnic4WHKP/b ++C4SSrw+2O/pCiwijmWJtfG+IL4uvkyTh7+cZoduaUoyi9accl+6MkKaR62j7Orr +aVhfbgBd475XsPPRG2W6bJmOMKhKpwAbLFJ8yc1TxDLUi5MBRA5gOf/Qw5A0Xlz1 +H2nPQcUxGzgtzjXAGZ2kbTBb0whwIdlW1O+DrQodd1o60C143vP+UNqvfrxprxsP +TsecMWJi8E4QLrZy8k+f3hmkMliP31zmDt4D8P0jZPWUEyDvTIJzcFeOnoy3ezj0 +6mtWdY7KX4fdykjW/xGqFbZitrDnj1X8zyLiO50PkDVgFtD4RkKXYBPVOOCFbsiT +yJTs8mhJnCObSnD6Tsoi0T+hCRPnCvOFyin+xVhCADcUfPAVYD6QvFG+80Qw0a7h +NfS0nmB73AKeQEIINaL5vmQSn4ciGXj4vLOdYSo4s5uKW6LsAETnjhqNP2ZEizLn +XOITuxemGgaPYqv8x0PREljw6FZq5fBV7qemBZ6R7bMMa9UqmfXhIAhU0RBgwkcH +syO+6sYyiY9S47fIcilo1UC4lI+QwV6/XpQ7JbBsS3Bgni2JPi/cvIdndBoS3CSK +HSstvVuHgTMtHYuok7j0WWEPVpNm7SRZXUgIOj8am1AIWctfTIOiHJI/1hZN2Ukn +zJvPDVw1e+qDVmU9I6wU/M23iL7fu+5EcJ69r5CdOYyJEegzWzJZ7mUPgXbC934b +F4rTIsMyV7GXiTSEe/3Q1g0d1zmyZEZdAGSzfLDEyl37KcEFDREfJg89FXmm9iBz +Owus8P1gONrxADl34Xj39qRJO1eUYNETOHoT72WeF9SuWeJjH89/jDKXBF4RrdkX +XLo5SmXgGo1ZsAkmAe3hTivBTlcE9ztDMIcWlBC5zxscuB3qJY4wtxjzNwPCwG/0 +w2p1CvplKFVatZbqsCSfkGPay7LL1k/+eMCTPRMKTGqMZOj+3zspW26H9T+qtfpL +Uuc47T6eH+SQIR79jU9HsLmXOiwLFHRclamOt9AziNsdo+dtUwsKLe+mN4a9cnK8 +4yEJlfA8f8pqEF+u1c/sVKF6OqwKWGsWhAoptmw1DkRW2Fk01AgPai/f2pH0u73g +hM1BZ8kbOTKi+ty4x9Lww1tZINthjXs6awIrNcXEI6puTLBWhUHyJHJbXrvDKKRh ++BlAtsLfkBnA/r3dhvSw9xDvPexiuQ7IZ473jf3owrVwrxkxRwMfd8IgbHjMLUWD +K0huUUttKiRGziDeU7SlrwCTZMxCDcmClvytwATarNU8fh/fTzlQm9buj3QAd1CG +yen8ksxOMtoYnxncPNVWQt913WVwRB2ICXxusR7pF/+mndxBIpmLUcu5KU+XhaeW +xDVVIOHYbHn+ZUepV6XxgbMsoomLP6cDWSUUM6cFHIM9Ahy30/mIfciGjRQr1MxX +1zFAI2OlwST7Wa6zBm/WZtzXluKv7vBBpIBG1JR2wHABtXoOnHnwpsW88iFcuDL5 +IImqFekFlpqApKeTx1iz1GKYRs4B78F2oMqxEJ98j0BnEtfXJN2C5xEcKBInUY/v +f/zs34osdht1IBxwLieU46/EcZvmQ+qFNUnhaPJ6vlgWKXlCfKNpKbL5cjDuV7n3 +9TZHhB97y/S5lHnm5+1Ik4jyzU0YCOumZaarI+pH76a/3O79UPU/Xd7C/6LHsxzK +HOW1NyF2Eysp8ueY5eznORRGvosOe6crETxC5oKxFEk9cJctB8+yF7p1kTgQLtIr +8l5OEbEvfyP2ftTtKvVJXOtjSuYuFlR4qMpaGtxGiqOckDb5RUJoxBu/ZyA1Bt8O +kISIdINGYb8lc9KcfR5W8gQXF+yGGblZ4Fh8NLemdxH+BQ5M2+g/mXi/gYqrOgn2 +UjKX/A5Ojh8yvglwPkZLaV98vN/mXDnrI+DhaheQNfrsm/Ugnkq4q36XY+YEmSFU +OXc23rV4438vSkX48V/6U4PEg1gVyOUUPwYixJeA4RdmxrzFOLSZvzWNTTJ8kcj9 +pHprd0DGxkPjmhJKgs2O1iMIJwhjlSuCJM+FhMidlqRVycGDq/8SftSnw+5CZijC +l/B8yVPqzI2VZm9lYaBo0b0GPRbyREh76oievz1qwyRDmc/IYqrDfQ52evjP42Jz +TXFkaTBmYKTx4st5Q2u+RS5fYWaOaY5VS0K409qPa79EBpl6qdwufdr1rEYk1eYC +vFYuzwwaioyncSVIAKZQoJ2dD7QzWpWulNyfNXyVD/RvGg+JScRtH/BOCbr+PDGo +byx1PIV7mZ/Mm/rOfPAgCENqreCq4Gj8wg/3zaVn7SmtaB/fyZeIWVxnWvnsJWfN +LDELs4BupZwbAuVjrTzvGbFbOfME7jKRg9OYNiThlVZwdEIRP8d1/myOLT83qqhj +xDJtULYG7pYQrDsoLAhrjMlgCFA50XDBQ1cUz4HcpR5OWa+Jwq4ZfAbtOircZc99 +MgRy5qJfDrKOs3FIwSod2alZbTDFQypr2rcTxFreeQnUjBsnJwFv16vMB7wB8Pv8 +2E6YTDHyUjpbFtf8c1DOWWJYtyuuXQymPMKrPydTZ0Bscz+9xILR45Or9gCUgE5z +axQ2/jhlO9tvciUKBqUmFzB/mH6tp0/7XncV0C57U2XzMagY63nc1/WilT1qrrAW +pAaYlnSkCI/kuEC7jBRrQaM8om94HpPStwUuVNh75GGal4pUsWiO4VmazazdTUkg +gQzj7q/y8aGoadlGteWwEbbIZTT3LHIiUhaaxLfGh3iRoLMUkbKVDMoXPnpMduLm +1XX+xa1g4jq2tt2e8C2qGM1QhN267U7gGmMYSrwNkiqBmvZq5f17fhXzOD40qd3x +BQMIbzp1xxeLbcCnniHP3TuUCkNECgJ5eZu/Nk54KXQTLAXk1a7njVBF5mrcjqQo +IDT5VF5/bP7i46EdrQV1BpD+p3mDzjDPvXUbTNOVb/HGaeCLwCCkWROMKyfn/g9p +BHOKXbjIsCARCo5cAJki98oFznBDwjalO7TLQyW7OQBiwJCW1rEvzrARYlqTpbGC +xwfUd5vTsypRZQ5tNyvVLBnpuPWjXre72j8sXBeBebVMKl9wFS3+E3bNSspSYuff +DF93kslR8Jj/VkTSu/EqF/oHoKOB2SF4IEQLB1rV3MBLRcA34PLUuyWXcUMTgit9 +OL2pGkN2GJ3MPtSXhvFawmceAwd7toR0JOg2/JIATTmCKf8KNUUuVyPDMSzrHJbC +Yx5JJcHMABmt1uXILI8Zzex8scT+R9QrtgMVyioA23Jh2/kXHzoqC4+C0ZBHow+Y +wVoenmANjovKFnJu4E/zpoVZ4Ty8x3fcWya71rUwKpsSqJ0FWY0P/9xNajChS44h +ZRb3RrxsUbhCQOjUHrLBJxVb9+Rp72obV3qUHJmJBKn3aSRtKJ9Jvwd5inc2RnmF +YxttMrzulIZ/SxjgD12V1vMf96w5kEjxZlOmQyGoapWXZ0E+DAiYNu9UNdcfe4hO +IqLV13kkR2+uTdY8oYSl8jLvESLFHiSjvbAKzMoTLfNPZqMdvB5R8eFsHtJSLh1+ +wxthl8zZ4iuMDIsNqjQ9vJlvEMys3FcieOHCk/OJTzrLJLkmYflXP3fe36BZIyxS +A7buLsv96asx+9CyPT34R9mpu29pA7SQ7uozwjWaUuAXykSCLNkxnQvyUo5fuHNt +AevorqNS+auMP29TP6Fwyrjf3Sygn8EjdoNkfKtsAMG4/8olZQiWA5I03/j7aTKt +cuVqsiT1QLdfeWEoQep+OjWncVDE1O7Ub45wsaMPttcIZShb+OrtdcDRQ84EpiPK +fY9yTSo+KIHbQRdXgWQaOohlMoAgUu3s3iL+kALJzoaT4rCDhyYjuI09EwFFckn5 +6osIzy97tCQnYO3YH2dVv+Khb8MbK80gCv7fa1yKV6iwyMPxOOPZdgaZzhOWP8yp +S0Sef+S2ClARAb1MknoGOnDSR24Kh6Kzor+AYMFpQIAn7pCqkvDXleyuA4myfuVk +RDkQf8uiA8ZT+VNJkIxeMh2EZeD5ZcchQdDq79usqkanYels2uA1J32JkeVkwkVv +zWvD09fV+5W1tmlO9LmiVeOEp3xes1/MwnPXGtPLf07l+hmosuvS6lSWYgDYX45c +Kg+YKaKBKPGABCkEZcdJNq7RqYt3aPmhkMbXJS5Ej3ewta1rRDHXoZUnnrYwYXb3 +xBcu7hEOLvzADfUoZAelQXTRhSYwdjSWubVrkPmYdYRnq/C0eyWVkXoImqbcTN3G +Q7HFKed14QEC3rHBjHI2Me9bJfxRQz+6387FtL+EoZnzmrv6uu8IKx12fkF0Ldf/ +2ObP8CPKqC7apeZ3IoQx6SUrb+JL85F0jX+Ax4hZbFJyojxkXKSza1+eTJrcCtJW +AHBvzXDW+xf8tjz3P20rOQrSmT+3+2afUQ1m4fQhwT8Zl4exSBOPU/PFaR9N68D1 +LexRzNiB9hMQDHIMPypk3ruxvWUTzu9gvNbx1VQPAqOyF7UdJf2ZWVhYygLIQ6wR +usS1wuYpuUMi3BVEmfkfyyp4dUNTckHUQWDVBuULdMpvKTU0PM6bMdB5IcQRoj58 +L+Wi+AS7DVI35OUXDcp9urAVTDOnpgIzjcfxVZDuCsghUV3seC1MB9JUtJ9EF8P9 +kgBeSQn7K5u9StxPhj7De5U9xXlXwgXIvqjlL0xhk5I9bhJ6d7jRimCaSQINT7e/ +fv6uBu8lGQ7IgXTkYAYk4kcCO56gyvBFQKXf2xEPmFEJIywFQR6+iRBdTvkaxiam +LvWYIIeNYQaDTI1qogoQh12TKIeuG81Y/vRGE2xpoLmdxbqbvHvjicG1SYvtVx7a +n8X+nrmTTlwytknngZb4279a8jOwZwQPLOvOw2vmpuPoJV6YVxEinC1Kw5ky8i/G +08t9sZaAVYnFNgnwBE+3+xMYVTcryDlyVHYwj8jaOLB/c5i/b/26KCklENHuUJ8g +5cHwNDzOrjg3S5QcQSKlrif3OWau6TDKgps6h40Ho/QyArR+Hb9FyewjRPCtxjGd +ZP17o4TN10PavG6GXvijy6tuxAn90w2IfJ8v6UhxVCOYbDa5+h/j6w1pNnNbZTj7 +6OicGj83i2BzcfOx08dCc52F++cCcV0u5Jaq32ZYRhEzi2Kpoj2OCmfBOZ2++0v/ +Bl664T8c0pKobhAJiXiTwkBR6MOBbyQ8yPpxPz5u3fjTrTEeKxW34aNkpzT/JD++ +Ec7dlF5oyw8O4nGxZ7/hJZp/fLS/4d8OxTC4v/twZ8+yN8/QbIk2n4mtAa0k77am +AYfhnaVW/GVFlQiHW7uvY5CSl9WLDlapG4LjPwaoJdWA52ezOaPUusy3pmVMF/5c +/qfgd0Zs9pZlBMMv/b4FhkJZHO3xusivxvMfpqsd7PkCFlDbWXE51Q1JCzadTRdJ +JJTO6viV0Q3OII6ZriAV85qGfUt7p6vBJy0JY8zwN7gmLtf6Nx5Ui7Eho/EbVBYd +uJyJYH37THPXir82VDmQsjh9gQR/qBsr/aRo7n6BlFiWctwOaSjM5N3/Nwwfi5WG +VFfQDIWN64ZbtphsIgfL91kHDbzOiSjSqMJ0gqEncTMUkLdJhzrw7vkYbCMmryzr +2v1V3XpoE+coHjTJsNWGa+DU+U2/ynD5ns4injYFXH6ryS/RztxP17XZ8tj/4rbl +NH0h05oBSRtSvpXvFwFV8liNb+xL/Q3YBbmTVDncp4jMNlfAOTc2FWRUVp0c3O7E +x+uCp3Wr9RN9Xhy9F2dCUYwwsxLk/ZKNREYB2NDOjfqaK/mLxujiCHgw7nwGqzE2 +SlTwtMdVTrwupfg7iJJC8CKnz8ve2tZRD0Wp0qMSnMkSimyUAn6u22Wb+hQhzZcP +0JzMepICQyx5v1Ube+Hih3xe7vF9SoSonCoIPoFMbmV0RCQNBs7m/XzGuu6i6Rjw +cyQguas2eyVrnYQh2l0QI6V5nuArpPXTqKo6csYjPjqxyiwwtZyaXNB4b1oRffEA +26KNP7fO5k+ssPBeso0HzZzQ8iAPdPss42IKbvrefpvI7BpoOvFou2U7ToyD/4SR +STja1XakBkPEKfC4veNhHr9La9ayGlzwfyLdF3qoCHj52Qx+E1lGYX53LSFJb2rd +hd7BC7j1bJA/eINlu+9Tz+wcOVNwgIeWNCg9dF+T45EjWYZj82kIWIqxrpX6lQNS +YYOv/5JiwpryqLqlMzScDyUHWqCTWYGc1ZQndTIhq6+n/4gcPh6rQ15wjviBatOw +E4wcndoOV+1Z28pIiaR2z/QuQF7QvSTaWd9FTzWZ1VLbxZ/lvyfeTPgVm84CMdMw +CAL+B5Wm25u9PUcYgs9YBVfGnril+AF7KY2kCUDdFGK9oCPmcb1imb+/9gNqkG5H +SoOAY4S/bnRlu4Za3EQAPgBr0sNjilad12HVKNT2NC9Fk7Bcqn7hBENIjpx7cLna +PZ4lCdNTnqZ/vYcQpBhV9wXdw9QZ+6U7/NUtdoFamv/Ka5fp0Xf+5xEHK+GNHIKE +G4fFmEDBAv7sqvUUPphNkwQ5CLVVaMIllXO9fXsKWADP3st5uLNi700Az+ss8sso +S0F2crJ4BfI85QhTPGLTXhi5ApHdnlKPKWQEejlpGJjDcj8p4Xro3EzjPRyH3jn+ +121LS+Dvk4k9lT0LYLgicH3q6sQHQOMwGeVxYw1ThsvKvaSDsQzRhxkf+On9nWia +U3LdkUdWA+jZuxgq6pFdOx7r+h1TjV3b0Z1N6aWhHXchcLc3bM/xSTziXrnZPavW +FUty5LW04WNB/CnKD5mm64W1BRDcLWz93MOgMijCUDedwVXyju/Hpz/WVcSAi77O +aEVFiNo3bTyiMiWDT9bTl4J1dgiixYpJw4nYeUswJXH+5lNiwjqZbDiWP5hJQQrP +j63rkJfiPvasY9vbNmr/h16oemfrjbKLtxyViTHRmYwkwrL6S0GeAC8QEC0hc+4F +tKMD2m24/2ORWo850FunB698ocRA3UvM7ek1ylM5nu/54Nd23QqjXmojYufA9sOP +oDh/0rRV/uFSSEEjbXmQ6u7b7s+XDJ0azKCFz5P/T/qY3l23K6dloIDLbenGGCj5 +yapf2KCR29meVMTZ+mgdYOTOMIpp6bsXTLy6NabipSuDOuXNOlaH2gZ+U+UKjVyA +t8Xs1qRmvwPRtqTOjEUFCir28OQ2QEHDt7zPE0CkQl6FbK0q539DgqAegej6hump +6by16h3LU5oyWbnwaZ2kbIM4wvcKb0cZEHzC5iSIenRBKqd5tzZbYeA3J9K520m0 +Z/mHDSa3q/1ZvbyLIhPGn6GteLFhv6s/OwSR1LEjtOgQBuMr6y8JOs8WxHaZq/G2 +wdbAH2S6XEjUMfOHyrcM9nyuCTsL1dZ201y3ebmjfYJBGygHYjUC4kNvK94AXsW5 +QRQ7tuTnnNkeRHHOmI6H+vhRP7eA6DEVm/LEH/UURVv8MyHGa54D6JeqRDoMVmED +e8wMpoffZF10fjNoAdjr23o75+1AiMCjFxnz+QRL6gS1lvwDy9VJNq2o2j/geJQz ++A2Pce85HmasPtkoCRQ9NfO4wDtDUnkTOsHFlKWmX9nKjzGbC3q6pYA5/AXcFB2y +GvGqbScJCAExNxrYtL8/a6gGHdS8umhezEeiUuhCDcy41JpWweBv+zWNPlIIUn+8 +3b967MVpSeSZiKCB5zjxR6VrzgDVGbIZoDp0pLAlcMgqnHT2j703Loq0a/ktUI1X +pb0ahPK7tvlzUvo0Wbe2hhLvFdVxi4+nBeKWOkeyNbYxGDnTuDr/sL7Z5QRScIRb +cC9SMfqC7xS9F34L1xaIOcWZ6w7/0xfFr80gobCd52rKM+hWJgesj1EbbDSf6XdP +yCRUV58kTOo4VKa1zHwWkQJmubBlm8nomkZXLFygoJKlAd6Ex9o2FplHAR4IK35Q +AL/bf8ezb1ALGXVngyafHKHp1dRXozKd3+QX9Yj+c6fUoj+o8TBFFNKnjDSswSUo +gWFYNs3dMDC5L3+MWUpJGJ6tiatuIzGksaqCW39mG4Hon7Mi6g2xyC41c37AnckF +iwWyS1fk9xB7dnVVoZ7K1CVDReT6jnApZ1YIUi6tzUxLebLW9we0JfjD62nzzT/E +gmbRQ8KQ9FmjlX4+WkrKquBjMYp8EqRWhglt0WF4dwJCxe/UKYpbH0Z7AHyN6RsV +/VQ3BDiO5Wdbnzcu/0fT5mVVG0OWt4/CB+RtnVAH6eg78OBd+eb1+/H2VhCMvKpC +Z8xT9PPmNIllHpwBVDfcVfunbeKurTpmQIMbyrwttedGvtlwPXqKrhDeQLBjLsdo +mn99ApedCIkxOnagjtspcwQ+06Z8EOMDWcloD00xVo2KsSEw8bVGfQNRnhMCY6zp +1P1575dFJFccRInDfjxPXgYDgusH2T0rTzI/QsQjRQIDsFZMT2H1y0j9S/11ZTBA +t4PkUkA2VxeDUXuT/2PHFussgruYtKRM3Fo6u0HnPwUX8hmhJGpqIKSxKDs7HyqI +39sEfInVX3qV2aOqV6CTBrXP5q0Kx2zu7sxiNKNfSA5TX53dT8l83j2dQhhjGvZv +qgRDz5QbmHuXrjXcNddjL5yCr92QtHalwWNPCYc0cI5dSx1fU1qL99E7xq74sNiK ++JLLN8AvTSBtNJvgJx81TLBLgYygyyP5XC70O/4qpdowpKOGL2cz4zKagoyWcHGh +pYR8YxzNw6yj9T0keT6/pYXxwi7/ZCdb1YiYr8gyqM2k40Qvq2RjtwemHscychjm +SRh2Gk5Zym+jNNctUcFNlooY9+81sD3BRH784p7w5bjFgy0cFQXiZi8kk+l78QlC +P4001mYGoJ411ZyOrjVP/Y5YmjI2PWxGlJNI4VG5ARDQwvq3stjzAwBIaO+jKTD4 +Isgm0vlMmlwQ8zA9XwgHCYUKNi7Vg9WbyyMqoDaGsZ/bYjnDhQDQx3MfZ+J/gfJZ +U3xsZLGcMwmswiyNK2vsQBGBdIdIeNgdOngvrY7rTQpzr/29xA4EyxjpHPG0Z3mQ +zkeSBo0fWZ8qbKQeX1QzfX1CaW8se1OboTqz1fF9+eGrpFi06wv9UcGeM0GZbMBf ++UxN3BtjVSXjPrYiOCWLARPAbFnAoNJBEfoG/FXd+MG3FSW9xZJRCS5HRSKCmQa7 +R+6WkhaVo5Di66zdcsUOhK+TDthvWi2SbqBS7dwNBtnAgFfjplrFINbxBH1pPnbk +AYmhqmUArlyxrntM2lI58geNVmfnlGLHr5DS5GvJvaviJ7eCQHn1RpgHhO1TEqw6 +FzoDT0G7XaLlihy9lU9xsissCK/opPwG5UoiGIuyjw9xtNBPsp3d3FZcACxEDiGk +atnBI27F3zPWXQz26eLIT/xFzV3UyMWxYt06vArip91uiUuBPWerewS3xbIPha83 +fusN/Hd62uskQNWcSI0E0cVbGzJjUgHBMBUtSRGUSCAMebDWEyPpElTEGT2oZ9kC +TKPLDQz1waxOLLQ6m4W5dNuzoKHGqKmnty0VH2BSTjwvVo8KJ3IZu1BGSullb/QU +OAV5zlfVc5bX6y91SutFRghzjtGLVqy78m8vxE2gTZxDuzcz2QxqsdV/uijnFGIO +dnusLUroBbJUNRTP7jcS+ybIBTVlfRN3UA1J33PhCRCBDw3b1cquyws4LEiydncq +mHi/pC9gzZvTsq1PlLEmqvJM/Fo3B0/UPaSoXCGNT/R2RVnarxybciqZJ3MHu26k +HevgAH5ihLFiXSGsRl6ryO/lcjCFlG8jtu+EpAryMbJqMuIQr3dKmT6bXEgl241w +2AUkv+bQ/N443zaOUhmiN7c4SxC5n6A2nlSbb25hXzxT2o8/MZ0b9gava5CvmFm5 +urdHiEI3LoKt9qu2suOzp3X0jmyouYItZE1j15J8/d6E6MYTWL3BeoUQ/VXrlpGT +5jCTRSiBTgPgWXE97tvza8hKSK1Tm5NUE7j3bquJgzof1ijf2w1A5eEp/fIghez6 +8nj0fPAE83hx1+Os0vbPpsKgf6xDS82JK14r8wUBprmQhZ6VG1dLJPnBNzxrL3SO +pdkM0FWNVyFtBknkQ5DJbSxD5idiPQYDCw4QxPTSAGxF1ctP4SwDOtfOKN64sv0K +TiLmil1/twOzfNp04KGeCF69RggviBJikFUIMkdp7armHugIcV7Qeeq+zj/DiauZ +zqn5UHBKhgsdYF0T1416bvTPxe/1OBTH2FZ+5xrM4GUGeCUTvKQ3PhDAqMF9Duwx +KCRb+YeWetlIzgGUjEkcGdsUqCKZvp/mGp+f/ro5AVWuPJsPl9IXxKFqF9s1mIjA +oWLH4sROH6tutOsVjlyKHAU/LX9qqxUt2XUwWtBFiz2izBnRrNaRfdA+wFgBGkHB +1xg5zc3XqegtE5GWcqno4xY60fi4Kh/56Kly5zNFWkYdu4pYc5cWHZqkdt6ND1LH +JLxoMCmUC9pXjrawHgI2BUOn05lAr17xHXYWeJTTw3XccVPY2LR9seuzy09INqVu +the//oEB1G067LCbibHpHX0bV7n39PdHnWKpH5UEvFhTXPDFsNioS9mv8FqjVwrW +YK9Qy3Vy8qaxB0Wf0BXR7hbvCyPETBGPV6Gw/jIMh/ss5AsdpLcjw62xSKPQh0Ge +r0/NwqdtLeJs58UMOKKdCUsdNR8Z4rV3ZDCEro8Cx+6nHAUsgEknZk3LaDR373Kc +vOS1Y+xy0ehZj8k60MGurWuJmifOhufh0DQEqhztBv2l6k6zyGQGijcrxLL4ADUY +19aqwAp0k4IJqKVgwMnaa7aWWZorrvVbPuN5KjyKBk/23le9Yt33fKfa7ItuGRRY +zTO1BJ/hwOhsisQOhuYPiXhO4Cmwrm1doXEld7SxG3VT3CO1HrHZQg9oXL8+2SCT +qTL/DRqvOnzRN+Dn4i/QTZ7JQrbEhGLr54hX/LC0Heonn6gj+N2JGkLBd0UJTDrd +gM9YT6seZrPuiA7pP9TX6rORaXPlrNupFKCAQnjs1cimXaDsDw7m6K0ndzuv+BYj +mes1syeOdBSl0Xx+hW1F7cL/X6oLXwp0ZoJ7mpVoIK2Lz6FAlG3r9IlHtHyEN2/F +iDqkJQeEcp+rtvgigSG/dpKTnrNwSmH7SflpSLFZR90NVo6phjAI8tCkkZ8ytcNX +4oeG+DsphP1MJTkcMB1SbmX9vDXF5fajKSb+F4sdnG8OXO92vSjtV3qQeIEDjuwv +V0vKe2H3Nu9RnXV9kxhiC7YaqGo4yZgGtiazVyB3kGY5aSw8U0jI5oEaA5ItOKkh +7Gywts+GaV+DHtd8QELCsWuY+qRD9F3gpppecrXjo7LQSfy0c7FRswkOf2jJw2eW +pKVtiARZ7aM7/xRDCORYCE3rilDuZh+ZMtKe9enRoRvuVaSQyGrheOq1WkaeBVPE +sAdHOX5U6ofZ1Z12fS9SXTnLBEmhyLLNC8ELeC8swIDHVeOrAvlOiTzefuXB11x9 +3n70jfzCaAz2SAtK45BwqWqb0YLWd0HbK0FwgFQP+fzLSJGtrXzo82kIoyjpIuh8 +HRgRtz8VdXw/KhZZcui1jAlWaZ/fN/hUZRtUf2WFPqlvv+e7lCS/gsT0FbAwkFh8 +5kLNhvJ4Oznsdeb0YB0jB6pfEa4sFkSNNkBVPJSScuJbvQAMTDUcgTLGmWGQJe8x +HBuDGAzkE276a/MSgBbOYWWLEHscX5MDsEgzHiOHoLkisKAvUqmpIdUh0k3hO+2d +L8YxtYPe55CluAY6cvAqiJIenQ13eS2PJJN2+AGcbGOvBTILfgGw4rSJXbK6Nzow +dgvbDCuGNSE9MvxARYekvkU2lN/pnv814oaJeJ+O8pQGpQKOam953oMNxUzk8+6k +MtOzqzenTIapLA9s6MFQrtXS13mxQtRCBbsM/m15PbE8yzBQ5KP1E1K5B/NDkkLm +7ld/uX+Bs24KUEgeVJ3zFLVDjH5KaOkcVoa+yowcx8K7RIIpLbfnIA9lhUMbXouH +IrfJf3U2+NXwAOWqMy7Oh7DuuF7OkuR6oP0KMo7K4DXn2nypu0X3Qk0fOc1lVZlU +Ozha9itT1FDFhHkva6JRtR066kcCZ+gtm11F8G8KD9K8S/s2naypaPWIVLTPUOER +NbXhvF0yQttWF0BYpUaCMHQUp562gG1QAlCP4dizkNV211eHwGiksUeZAQW1xc/2 +pF01mRIc9OSeoEPnNznTopxeht2RqWQZRQBwCL4BmElAz/IetAwnGn8+AuSeG/DK +E6boWstx5dfj6b0tUkNGr6LDir01FtXof/M+YvcetiIduTZ7p0aSkm1mNeX/aZtm +rYP+w6m3vk+xAnUBrB29L9DT//1yRW2oyO7pPMbQJQLEeHNccHvO6IKFm27cGyJc +602zLU/5uTF0xOFoWlRnW/n4QNDYDwtjwAqi7nV/64Y5YLVsqYmB0bpNcYkJ/vLT +f/c/pXD44UhvywTqCyI08LdAkea3mhZSbb3h2NWidh0J+ORcYpMPYX3WYfpdUt8l +A8otnLgOCz6gTQmgQajq0qBbiQFyJmUSq/+wxAIoRpAGuh0zmcwyxwDOpFyllylN +uAu4Oc+vNNS532uU7N01HonexO+rUrNFOxZth4W8ZCrxXO669Xz3DcstFxyEnEQQ +n3A0LpCHsFfkrrpH7QpQGnxTsfSlmTa85g7lPVvr9dV+pE+tEcBq+a5A/Thz0aSD +KO3xVcV6Bq0KNqJz85lzEFN0X4AT86xhnKEE/WOrreweYaqygnyNLxLjkS9+odI/ +WeJGuqocLd4e6gjO6AfaXJZ3WQBxiSoXtdkfrsNkGwtOFA991Xvh+zrKh7i+RQYu +4ViARDCQRYMTzPmgKWwIG1J8bw3TOaG2RxWoF/GqfwKELvc5LO/Hk2f32MwBCH6L +nfq3AzSthQnfT1YsGd1mWy2BvDssPR+bhqTdLq+FcUife1gLoKJ6A+cs/hW4mMUA +MHJwGXaUylimq2AuYd7aVVS3KLvGkGY16fDe1Tdw0qfMmcGYFNeafxVjXqPsKSNC +aB9oclgX6Ip0H2aV3kdl3t3oGcz3KB4Hb5KzGJoXbpktO9ztX2IqmLDPIKXB3Dft +w9es0eSqJ6FlkP1R2Nog9x632y9OLzDqxVuoXTsSYOKcTxtcauUzI7Y/ZS/BD1X4 +j2SrPxT78L56PkPUzBwDP0BsHAONP7oVaSOBhvvlZlz1vLu6c0s/m2MeG7UpiAo1 +FBPOhleQGZhh085pyo0wV0SNC0flNlAQMmT0KWvEtSp4g14C8BO5EuU4C8FBT9P3 +PgSA/kC+NWkgjRo+1QIkuDRTX4f2uDpLq4M5uG3K1g2oXdHmhrh7gTllNquBOEMl +ZH9FCQkdHYI7eqJN8aCoDH9grYJe1q5kAhdVho1OW3V3sT5Gp1VR/zQthTIodJFD +0Kg9mJHUW6JH6rzheubyKzmjpqbX1IpYte0J3FaNMYAJ56HLJJexiad96sGNN93Y +twfLXdCS7tjLTsmat3Zu9PcF67Huh4trEGriXseliu1s3oQoOsvsU158WG6JBMC3 +vNMiwONP39Ilb0q2Hi5sBh54L8Onp7be7XSQ9bP7lEhq6mm1Cyg1HH0C2wj1t1eB +6qwY48S1ZhKyA45bsqSlpKa0lWo2U3ajLekeKYvoVwsP4xpA6wEgvEOoslJSK8t1 +yeEWYEkdWIoA6mNIDyYEmI71qI/Q3pK+vfGZRo47HKE/H7vbVefpoZmR9/lhgX1Y +svyA1GsMKEgDV4VPwifDj8UMn1qAksvPNpuevWAvcwWrqujdKqwTkVEc0Ok3Qb98 +1+//eFQjnHS5FgNYtt4PzEvRtNHG5FLXIa69lWlKm12rihPMITRTCC8nMFZNwDi4 +Z+LmlYkdfQAKaPsRmADvXkVOJAN0YF1lGnNDWelE78SoYzyeD2vGtpb4DFI9/ho7 +mH7f7ak8qx6fI9xpN3O9l4O8UN5BhTGqIQMoOfPhgbA++WA3Vv8ZviWemWN8lC0T +3mthIvD38/GvE3RSJcrBY4w1qOZnk4htbebrGmdn2+hTZxZ6SMvDe/U7ruLlCS0U +18jRbMwsW7UKw1WqX1ZmhIxZeRMzrFfyPUrvYb5eI9Df/3yddlrjJ5MLM86o3PGs +3QHqFLdeV5DbOtDC/GNz2ezAKy2APtC/jHsLlIQwmMNvxToEzs6qs6G2MQhCXBqp +9JvbbnDQt9kK5+aPkSqwH2L8x58Xi0NPknIg0t6wmaJwRGQBfnli0WB+Zis9Dhzn +77xTlvKpQnr3rm7KW1rG/MIzq4IIKk+ugO1k43/XCzziZctnQSlKXnqQ0+4qG9ps +enBWqtHj+8vyUDTNjm7096uz7da3AGrd0HMqYTF+Hax6Xlsk5+bDqRXBbd2KYFbv +iFFurwh+IqfqRDMIX1Hx+7GobkoQwyGs+qmXi95rxq9uJsH1F3mFnUR0orHEsFRc +ECuZQP3vS+nUfHsLZ42IfiQSfhK8sjnN/1ReZcCNUz6LgqRob+A2scoyYL4ka+qu ++o1p3f69U3ixx4eH3lxaRTnZ04lQ2YNdg6PxF/rk0d7qFQmzOwa/4aKFXww6uH87 +TrschpGTGhVNvMEFeH63fFoOj/SXo1snXFHiRAB9arI/34RKUaLoMbRHnqSc/LUP +HV9//F5/E+l2Qp23Ecm8n5db/th9VkkNjs07+HN4+7TnlBudlKQb/n9kFkkDj1a3 +s3OzmUoBCwBqyAQFKR23GIbrMAgo3PVnrI4Jq177GZ8eIK7RZW9OnH8u2QOlwYok +ad4kV8xEVngkeu827+azydcWrYNlaR04Stvz2lUkX2WGYnFOJT8InrDFYcE6yMVG ++Uo6b52oOnQDuXTaib9JknN3Rdaz8YLjL/X8MjU48a1ukxmTiklerzAxq2Ytltgt +b1Xwkp9N8nUW9M4QZypI1a76Dk28f8HEnu6mYAy83h2UeV6QcpVCClFWnMUHaucQ +qqUQW1oggNHrFR8Ro9Ug8ZpiquEvBqWOSDI0ktJYra1gTXwfhzf/8L7MFsLdfuSk +aF7a/8swgHTFCNRry2EU0HFi360opABmX9P1ZfhqrHFomTCeGwLrLPsLf5eh6uUF +QpAtUgwpqvSbeXaSU7mbksAOd2gzUEe4hH1LyXpn7N0Mzy+jTds+soU9ht46Z1YC +NY3jJ5pYuCV+yu6HJVMcJyZj7HBPel8+8TMq1znJz3VUntCJ1Fx9gDiyQmUNx2+I +TO/qpkHsgHxMhd5hMnaMVUzXhdooYUDM6Rk7eoa1xzyu3t0CE+h50EDqFz6d4kGK +bExsPH6PYkfCFJmiwenxncHIQ7Wadw+hAACeWQDe/PCot7gPy08V6w26u3XRWTDQ +aRyW2UdNqObSGqlZBT7y1auG13p7utW9wG9Cyn1XPtfmnO0TzekyHOfvIk7WuKWO +5eqb+VQiR9Ot7+j4vjrRWqwsG7no7FEXIvN4kVc7yOWsYF7CLIIWTv83/py6UNSS +PucowaTP/x/zYGG09mMYd1RMh4aWuXm8nOd7CQ4eewK5Ok5JEvGLcjOyeeQjGSp4 +8R3XVaWCqEcYUD+WxqcvfAeOY12s5N9HWJZ76QwW4NrqBBGfs9LU45WOeMtFXjVl +kh1FbSpaoVKaN87R1TUpAx/hUp9tokI00wB8uuvq/V+LJwCusy0xYntOFhTqSlld +Tra0ptUDvoJFUycwIIKw9SqljCxjVKb60W/P2ngBsCO/gY8ro9fZjNAGlhMDmqNO +5RhdHUaWgbXybkUKfiLF5RsHfj243LXkTa+o6/XSyPXkbmrjUHSCVejT2/W5APZk +Kg9lKTT9wwLiOgr9cgyHTsihmQlMa7awo2tgvShb9aW1FMQ/AMq89JDe/hmD9ddq +87G37DOz8l5wq/R94TNhdreQBBQsuxclH3MyYyzv/W+DXJ7oumg82cFZVuCbLmGu +zvUTJ1a3bO0TYvz5ge642+mHtW0tJoxmI1rKIUnPsrBi/9DKHHEGs4g3r8k2WbiN +bpUDJt8iVas25Z4gasI0EljwIkt+wHHUBd18UInqbzDpVsN5jukdPbo9KGZGKGyT +qEHM92fqKz5MrUJVs9uwRmO8KzFTclHizUiQTZxxvQD4TguEdmpTvGNy394od3XD +4wfrkGa5KB84d/RFowhXEJD6rLhcSQzWbiQKqit1i+l3dOgyrdKvYs/s5CW7v9nH +9ubPcSfqh02bUNmXudxX30VELW+hSjUZpdCe3i0PyXCNSy6PAj5RMPlamBtRbhhD +1BjhW3LhAABpH3oPwlqoZml5pei/swIJcuWAkOi53eQ5T6BKLQkglr34/2ycIH99 +xXh99WQBVE1RQtvuLGijDsyrIwlntkwml/fxRgx2nicPOunkOMs0Q145YS/IRbf3 +d5yuX2TLh0g4+4ydFCrCZ92hG0ED0dcvjYaGRTS/VYnz48AzaH7LP832kpUCQQ5+ +xsz+m0v3PA9cP30nhDZd4l9K03l8uuM9tAj9aYDtoyopHI/9Ym4+sy1nNLYrTEhg +35QgppMryT/NhtBTqNWRlvvCR3G6FBL5CIP1QHl9WSc0DR9LLrNWvfQVl/x7+Y8b +0IGvE9xpT1S5waFmc9Trxacj9GyC4LLEcisLy1tDDiZgIAxRIFSlgN3bRfY4Nmlt +2GERpW+Ql96Z2/3dOF5SI042gdJIfo3v8UbUO2tfdHZ4QSWOyvrRec81P9jQJfOM ++J46axCBzozRRJHUmkD7BU2K+mfRbxwVRI9IqFv2sx8KXd4s1H8Zmktz2jJgxIwG +TafFdwM5uqxNqhOt/Zd79C46RHkkFbVATNw7qjfunWejU+v1bYYXbY+ykp0Gn/80 +XQSMvlqJ/kE+buCy2pJSQg6DjKkqznqlyE3kPHT45aHxYJBWtG1VhoysWVr7+ZX4 +nVCc2LGE44+ovJc0y8azUDQutrz7boiyR2E68SRl/PA3Ybh4Mii6mmKXE+edBJ1q +mzrGjpNAzzfAaYZGfSf4rA87OGrvknWpchMXL5amzm3nVxuS0Lk9EEMqApQvqYSi +QKcNB4AS9rFXUK9sl0bW8uW+vefb4Sb8KyHdkluRhPRLmMaWNPm7XFrjdRgOUURO +5IAM6B/105sjEjHyLi1yEI2ljALTI6DzYxOuCgyXlzVEvNbwFeOzAWSs5EQH/T3w +3aCpujRuSdVYtW/jIiF20yBLns6DkzXPCgu808XkoNWYEhMyDTKiixLTDZbTzX6y +S+4OPoiDMmTsLqrvXE+d7Hv9MdBJZk6zMQ4/+fJzHAlEMx0WxY+bj4/N5fgQX0HG +om/n7gzU7+D1bqoSaDh9GAULQCwkgm1NC2UFHx8SktQXQmgZET3Pr5Ds9/delzpp +O+6/ws8l056jjkbfRytrBfeUDfDfJxF+4/BaOCDI8PpM8n2QRv38PUt1BxPZx+dP +PfQ2RfcLXAzhoGRU4iK7B2mvyS2m2DmwSkXHfiMzsw6pwAAJc0YXySdscwTnoBY5 +hNqVmBRRRLlTREL5O68wJfwBv5ytOSlbTFkQkLxpz2IVtVPSfHzUOyN8i8nBuZHp +3iqTeYYms2dM9p3oPSjWsgJqIMAetIEwBKoLJ1LMolD7lBgIk1NAQfimE/6G7yT/ +Ln3cXzAwVOngRf6i2g7fh0h6f3plwUEYZF+EMaVqABB1n3pu4cXlX3QbxSisf1fS +2BcZMQoLxw45+CLOkHiV2pgFAkKqINvNELJVhJoKupTvI80UXR72tLUpbj6CyhWJ +2BQ76u4PdIUxpMboV8NYo2jWVNK+ZH7Wkd4MOKXrNRfUkKZ7283+q3kRlYa81EV3 +a57vwEjFIY0TI4Cpv5wIH+a70dZ/4Eg6/WrCw/8XWswidQscJs/ABEQeUUqKBfV+ +SZx87HccSPIRHkqbhLvUyaEwkw24H/per0NHCrCfe5HA8BXsPGujS6OwQSQWL2Fa +9t/I7ix3k7Iz1yvX27J7k58aK9JyvnbWOKmeSWsIFcI5cZcqonpgMhzq8rq8mq+j +3ahg3vJHk6qi6qyxaKG+9te0JtEdzFs277i5wCgmTqoLDu4bsRrXy1bvvri6btwL +3DMO5bpccbY+k1hyprDzPpwhPEci1Bqu/rv7n4bCQ5bxeCnTGuM66qyOlEpjPPmC +OLR2Fvdz9Y6mZAxfmjFBBqRxjUwvAcg/8s6WC1iNlZ8NOo6uDltP6z9gyXjQUe/R +9Wmj93FYslfyzPKMhiF0JU1HmEXFG0PACAovmuYPE+sx/pXl8ldOZWCjd1ODW20l +Qa/RriYFs8f/+VnqtectvWW5KNRfbuQh3BoSQmbPwLJ9eYxzkbJAFjQpgRruYAnF +crORqHW4QpMiZHYnophRzYV0EHR0h0fSbXCTtc6ACRd6ul7q6CXkcmhn7cxUbuuY +QsKbfe1M+177BaPwmTmlH976/3TG73c5cUquHkSv1N1BF4wxyfAgK8z/4yREIxha +SjBq4ciDwcqQ0nkjpKFuJ3JAeDTvDCZNolSznT5GkPFXLJUPuynVqN6g1Dczvx2R +2N4lnr5byMtso7x/35AI3JBJPv43/PN4u1voKZPatBTDTLlUNrGVXTrTqWPBTstL +Jk3t0j5YHwlQhckYAdF+T6fpm+Ugs+j5c4VazRD6Wh3cGOR4xktRMG0eE62paftK +HuSuaB1eZ4N+9/gdAEFIZDj7NISz6UONnXOau63RGssTZOMpy81jhtUs1AJP1R+g +5qH/hlD8uRkhIi2ws69ob+3VSOGwTbfx3qkCailoH4nL89L+K6klygLynSdCA63Q +RBqTYgdjGF7CDR7ncTR8Cb9C0m69rK4iqPHDlXnMpPlc9j+xobUfUmnSUC+GVW09 +RZRwjSQC+Th+tvRliDDbxDiO4f9It1dXiz/csdXmR7/oNweCxDlL4gOia4aczw87 +1EkaX3kC7aMr9Y1Bv2Y2yvzH7injBRHd/XVEkKMOnY2DLQS4l6HlTaPq1EoEgztf +zo65awdvC/FgfiqA+zslKW8XU8PI1ZLYCD0wsrRmRjQfYceg7/EVSbi3eT0rqdza +wE/CoCsqqt9xrmJy/U7WPxOM8QaVDjy2HRLt8q1Rvtn4G1y0luVIre16ntpiintJ +JiUAv5xVg9vivCOVX5OhceMaNuhN/+YmZ9Xso3/Xlz/fLV+83zrdQ7Bhw7dz7npZ +xszh6CPF3IUdLyeZsEjRwB6M9N9WloI6SvyD5SaG4HVE3HNl+ki44VUjcDE/Q8vG +ODgayn5pzvs9rbKXcS2GPvBp1kdiTExqeQZwlDtV8O393TiML/5ca7VvC+nRAo4d +4qKsAgElXCj2DSLKvoB1OovNZJWLQZoscZ0jVZIQU646eSKTT3UrSmuAQalhjtrX +q3M3jMG/9Q+d67mfuL6xmOXo2aaw4vxK6NbyEk3XbQhRJBLUuD8qAmPatWzw+qy+ +x8ZIaEbKb8LDBJRpJZQkBWPQOtu+yTZPusrUNYVFYrXXIzqzGQlRDEdcaDW3c9FB +su57unztD8UB/Du1s4E5oz8FlmJe41fYApqx7gYuqYBq0ler7ryAJpnzQuo6ux7u +xTwfATidYOrqbkUW6zwtmODMwgJY+fIQC6qbvTPXb1qbu4Virbki9UlmPTzu+bI2 +ThaY4N+aLgrYvU2XfP3PZVXJMUeFv49d/lLW98S9DHpuHLYn9w6D16CQbcwFzF0f +Xnzt1ZaPMeBxJB8AgAit4qn+nmrDV2HhTOKDNMjPhh7FULtK28dct3Tcphw/UDP1 +jFkPQ5rihG3PQQ/jpycYUK29CCtTQt1Y7ITr1hix7zwq/J+7gqwDhiYXQiPPFUoq +ytg9OKESlnmiWnFjXKGk3RagfsthBvOttJtdAO03RK6d6SRH77toLMIMI+oR4kNC +UhfAO2reJlej1S1mrHLf50eQVl5xfvlbKo0SVjyhNYTHs8zfZ0aB7aJQA+iexb3v +qxAiR34rQtvT0Ac2p9zjVWrBrNgv0b/twpglE/I8iDSIVG0bGMVmqiOe4WKJJIZe +NjH02fwWbsgkWKqXE6RFFaoYdol4IJQ/EPu7RgzAXJ5VsJoaXl+C/XraVlh20xx/ +8fSwO+gakiVohlcLtpzt+vXGztgW9cm2ApeN9R41euJtmXZvB3t9QjH4Yp+OKvPs +ayae895rzXDwbMXxH5KtGLq6PO1if9lY3cmKdQHxa4ujD9M/MSoUp2Kgw5peYkFm +VX9psnUX9ed5+bq99qTudMg7/qD2s5DCh0PGRipnATlRQn/838XVerQxtz2hyvAn +Iu3JsBsPJp04H0bRmKQMX2/unqd9CdjqfqVWEJBScF0wCQVtGK1tsniio6YN7WRt +EwEOXgwKwSy38hq5Xreq9y0/GYWb4XwvLf9lAy95t23ki3pjVM0MN9X5ENXP+6gW +cVd7Y3bdBQfApj6QqBJRHy9lmGu4qIikMR7SAb7xIMYRqECsNQ0nmehZc693Y8yE +5fO3l2F1TKfwpHcq9AWGmFYM/tlRADzp/Ek+YlN4sk2UHb9o/1Utu8gRnG2dG2Ym +NwphfT6nNCux0cGQxpkzkLt91Pxrd9DAIZufEsGrmmnOM4aNAEOPadYM+ntshK/h +j3VLvhbUxA9BsVSZD44zyOBnnBSnfmmOsRXzdNbC+dUWCUYwxJ4TVGkuYdMftQoX +smDJ72Nx31wWLkTdXI5S0rABx5mTyh8xiEOXazIERnBxFUNDk3wsU8LlzmHkGM1x +At2X7kN2Z+71yf7Ik+Vpf2e6kXHsqW3OLcP6JGj4tgJISc5aAsH2LDuCJomXyuTq +Ek4gRA7PzddkvOI7SJWf0H7WaZh/NF+rycXVNt4VCG4zxJ95O2CuJp8V2X/G/1ed +6qjArzeHhsvuUC+KcCreZ+hfYAeVDr1xV4fDeY+Z8k3zw59p6xcs75eTpY8BgVJp +vV8BrMpLEDKqPFEwxgjMp8P/GSsw7tMqzeTTL4519wp/DF4KmhuIe6uC0F6v8JlF +sq78MV948cYuPHbRlWrzW6jv0OZLurkhBCBk6Yfs196KmT95pv1Ql/ladwphbMwj +9Ne/vGyhpr5A+pQOjuKGrsOFcv+MSMhQr4fvL+6pRf+jgIdqKsn/+cxTd+HmcEPx +H52DIXs0FMCo1pOs0kRyC7FqMNpzEggAATzubIP6z8E/XacOW+o27DBz60V/pRig +/B45LykntHmmBFFudCYCQWNHPcW0kCUCwUHOgv6fFh1Gdj4vtfbpPBLWK9soYd9S +cUOMHF7rZ1UlCPJ2IQPhHQ6H1sgeyQuHcg/ppYLwKRLtyrfdJQu22BJbpX1eXHqH +dpiHkWsojlDDsteCvbvvXHNamC9TwWPuYeO0KkdmKpf+t7sICVewUpR0u2vpl6Iz +1sZs6szP1DR1owHBHDSesf8vq8hJ40K0XnOlhs8B7jz+MoKQVVCNjpLjw9MEZJeK +yFvoNlgrNLG5NfLAs95a0HI0ZMi//oDKw9LXR3VZV0CHN3LIDwkqRr4idASewrYO +W3wCA/E/DPvCHM8BLUYBsPoxTZKG1Z7uO2T1thAMyUn82WP3LRba6oydO7T2IFPv +7eyPZdigqC/JOOsKdwzDYV6849LatFdtFfs6Tol0Hbe37XrhMaVigTU+rzczDGpa +9IPZYZABD/muuCKQcn6Dtw6sUPzWFHbzK5EQWm+yDG3k2xoJURVoRbFE1XUZ8q/S +X57CDkgksCNBu00LUt/IsHUFkUAE1xZ+nGaJI8koJLC1LXHih5uq9h6pJ24bhtle +sS/7jbLWyRvtsq09qQWcR0jt+e5PgGTCc6etrYz+hKgWvV/EnbB502I3VvgWQSDe +tv1SlETSnvGA2xEaiMKnfqDCybpVrKusEPZYKl/eB8cLkTdRNfrPD3isLmwInTvs +42jzedrzgRcsCFr+RLoa+GpFJKwR6kgzTZA2972iCptuJu5uS+85SZqlpexFHe/S +EZHXg2Mkp3K/pgYsBFriNzNTl8Ze7ERzFph6dPljDm/k6N5kJTozJmBO1ZcbZ+FU +CLOuPd7WaqWMEw0F4qCKxcQjfDlFyaQLnaYEoK4pXdZaoTEDlTdhMVSKZ3sHGMOB +prwzR1ocfjmu3PYkIynvilIqEXktGOfoBQ/PkE2d+TMYQpU+3xy+fiiHK6vPjjC1 +GjOpDBne6+v4MsCXqdtjOhm+QQm7dsIp3RFLRa0+KO//0U6N8anAMupWFn96Njyk +6j1dMxdBjgBjluF/x9rjAUA5XD0TL6TkXEpb7P7hnFM/xrn+lpMyr0BtFBCRneU7 +pHzVAssTtTHBQZ5tNMf4FJqYfE6Upu6fOtuhXZsGkF12+ibFwo+d8UN4fXB3gSSa +qVrOXlm1MwIW0JnyZHcXYqdmLId9LlzRmnn5cEzyOxEEe4Szd3rHu5k/A0zKGqBw +QcBVxaGHSiLb7PrxvETHr3ziIQToKrqJgLfp6uBx37G35lU7alIrI/4PTnRb286y +FZxskES12pELq5jif14LFyiJzRWFXsKtJhEa8sM5PXBLcAF0HIftYwB59H3RWPfg +F9QvpiOv7tWSeSg8X/kNm1LMtUGUc8LDHKL5IFWPMb39/UZkolAPMc7p/QEex5Ax +Ts6Mp0pMtg3ksxpPoKFxlgCFMFAPiWq3KjOSXEWPOg0nY9G8PLCt+S2xXnGysR6D +imUExOCqKtOlUsDZN5KMijqcKGlmRRQcNV84ljzfH2CJ10ksO+fLj/GcFCgpHxaJ +bY9Y+O5JtlnjKLVV92AwsWgGI1F686G/EWF7HYdDzdKOCCSa4yHVlOzSoJDOYll0 +EosdVhP0DtiGiy+nAcLVgg4PumYDrGt8QYxY4tnmNdJ72z8qQj1d04sh6I8HkBVg +sQ070+o8fFDeFSmP9jVP+3Mo8dhl4Btay5Pz0EsFK8MDIG+V7mHuzCCBLKbf+F5n +dRywxKDl2/VNzvqsMjW739Aclmds+YDijYcMi+WFDF4JDwE/TPNKsEyEh9z1RP4F +jfbIP7LzL5gxd9oat5E62rZ0mO1m2BD0dQOh7y2pqIL7QLhvt/ikF3l0HXo/381l +gs7ZU7lYyUTiyGWLRy+QgPi34oNTgZzVdOInBJki+cm7dFtNEVVIWGSrIJzWnPhm +d91rrElD1ahZkcJiS6MFZIGzffvMSltwfdxK4uDCHLox9CjWv1g6Lv50MNvS7c6u +UIfS9nN8xBsEQX9kDaD2i62jpCqgDdzdyyTwOxfJYAZretY7eT4pCf4dtIpduR40 +GzxnoD1ZgRT6WYMPzSoTo80m7f13OekjQxYN4DCJp5KEpVelYcxysKmPqJzHBHBt +Q5DYIeWT/9ZsFPRX5ZcQu2sDwpWximzwbBnKEoI0m5XXHTyBXnbW0Cn4Fkz6I39g +98tLu4f6FbReJ+JI4yJLJf0x68dLhUyRI8xD/+D2j1E8Gy4394SW9ydA1M5rMbdX +YwmQmzH079G6kFp9wfQpLENjiUlC/ntCRXU38sxq4Agt0c/hRfI3FDFoQvXdVLbQ +EeBEc+C1awr5eh6mvbErYluwNVnrqxIpqRAc9uCGkE8b+j3/py35xEBSRGJE+0Xe +pUM9kb2YamVYFYUkO+br3vbnKuEu+N3ZuuU6Pi2EnjZtchFvSRLuVUv9Dmyy2Atv +ZcOoj8Ss6ySTyixwF+6hX0Sa5jM59Xy1GenyYYvhUI6zEL3lkKd5pM00fffNNck7 +6ndhDpd9iJQIOjGN0V0XmBUWGEAzs0sX9P3jrP/aAZiNTpDIHlZ9uD/uHmxV5W0J +S1u7WAcT/n5vh5lcbK3biTCz1fmZVE4WPL1SasaEWwrrUhhHUk+q9wqxecVuK755 +jR6GC5kCFbICFMufADNn4bQHa9IHf4XoIHp4WzBXSqN+45o6Bk0XW5lFCZMyvIzb +9s3Tf/4M8nOAMRZGNQiRHhmmz+QL7OJkot5x3nAprGHsYKgOa4rs5v58gJGJQtJ5 +PBJoOX3yRdhctU6htMf9YeADf7xgFbNEOlErlGctF34i2nABkdZ2l5gMKVKuGa64 +pxNXUoK1BBLI5mWDnplJWE7Md0jjs50thXB7Dtc4jygNt5aQAR1VgeCe7Q/FQv7Q +Z/zVkSAhSTogO0dXBu3s8+ztXGxBdxGQI8DpdYVVscpYxW53H/aTjt54yYt7JY1K +QD4uHFGy/zSnTRnyCreqd5ulMIeSTHtSMLDiFtwo256YdOJ86cIGo1mspVeLMRza +NIxgr01MBwOOGPx163QVeRNBUSQluOgrPEZuWJkIV/VYasjtMKqib0ayva/eJ8Ej +ScPaLrGOPGMzK5slJmH5ZYC38ijbQpFLWYhNwDbLDiuO1iLR6dXRWHJJwiNVSN81 +/E9CeBI64zcyLL/ZmjX2EYTNHao/nfy0Hxd5XIs6IDKvUraf6EDfPxBAQCR6gWyo ++4AsYymF73vtiXbGSlcIzoIcXOLeEABwx9W5I56J1BdRnmuS9E4jcaKBivU4YVzL +JHcb9DpepibZhFE07nWlQmIxa3L0MelbdIK886aDvyvLTrIAZ1hnPftuiwrYe7+B +qo4nmKlhek4t8QO0b6cmfrNtZuRgqDWfSCgsgRXUky1rKHkLEsnt60+QF8H1LzMg +vLT0+MG4cRlSQwCO2FZQXp8RAQlO4qtu5yFuoU0wwPNMo1ZDKvq0JD/gyLJhuUoV +dGuJjyLvqk8RnQu9mW0enVmeFAeSWA6jSCXJb1OK4y4ohTHvoyzWzzdz16elkO3m +6ByMvcI/gkuvR6mdPos6EHysODdZP+06mY0gUdkbzoH6G1rqwFyVvjkziB91c5oL +gjd2BcbxYFmreg9M6rteHOHFGTjN0pyeM//XH158Z9I//Q7F7Ua2m6+AnjeZ2xwo +Jh+4U6JO+hFZAqm8G8JA/ATwsx66Z+paaRNOH1UNCcBo8JJPwN0Eux5Sc5Ob5UQX +sH+QiNd/CQuQWk//32sbiY7oQibwy+3a4/KJhWCJ6v5uvQtN1XUdgMDK9V2/WFsI +dweoQ++jE7SFtyLtBGwUf+1iplkNFnwgGc+ItuVrU9mm2+tGBqLRde/XdMNkgg5K +tF08IIuFurit+RXEIu5LQB7Dlze9szWUwGQn3MdeRVxTcjmGWsnZbiGmQ7Sx1QbK +HHN+4+7cace0RHbSY2TZeC9GFigtH6rfg3Yxi07+PNkl/HHmJQCh6x7gBKZPbmUu +mAfnFMp9Dt67wA4kKCHUTtsSdE9dApynGELt6/R4LzRZ75IdLDaRldL4xODk69Xd +z8owSM0j8BPs0SZclvanubpOxusmyWBPF8N1BPoHs7hDrQtb5v0ITOI+7Kin4/Mw +HdENAmaWfbLMN/PKDSaP9Vu1aTcKlUknZXxn5B3ERh10De18+zfoMZO4m75irPoY +zeZ3+4HHcwinG2VsnFyD928PzdM0SkhzAOpyarjlFlrnGj2XKjs9Km4KOcZrumcW +g4Khr4XStSDYWzj85EVN2Wz76LMzh9W4O0/EkyJqH6CbWqJq91VnocbGagUi2S7G +fHzbyr0c1oXax5byHMogb63qyrwrNTAmC4QQygl+82g3W3BhWF2u9wvWHQoRpgHo +3NSl/BHGHhem2qp7rvl0rAlhLlPb25386+sxWFnPNaxdIu1LutVjdPFmRq8FLmWP +iqHdQLNfU+wnWNhacN4ckGTj2AdKD2Uxb5lrQHOfiqYJct/yhdWccYV45bzklnvv +z7smufFvDGuetMEtSIsqRLzVIyM60boFWonLoE2BrRMbKZy035bQv5MbBhTcWzP3 +qdljANUAEV2twXJ61jhHBOGThdaPO7hhnYDbfiG6wt8rN4EPcWcmR3RbXh7HC4eF +IoPwKSYWaGyZB9nS6FxbykydpNrtLNUiWDdqyZHXDCLZSz5uLE/JKOnNRbeqNMGh ++CoMSmd8jO0mhD0Itqql82jm+xBWcxFV8vaKzKNOXZTQ3UrLFHDvy+4P1ZEf+SJi +uVSsKEka3LisecG/3hp6rtKDVRigVEbyAE8f2QdZulH+rht1zhPN4OAgHDpvHFay +P6YgZWchuA5bFtZkQmZwBygDTKOox3ggHuVCcmPYNwmApDOXJJN4vo9DRDSXmgI/ ++0b6zaR+3pEF7pPNmcd244FhcZP77HRwGhqciCOsDcFMV/wq1a1d1yc0vAzO+Dlh +cYMo1ch6ovE9o2GK+JwLWyQWEB27agI8rU91B/SmwiOxrNnIiQz4pvwlZxAS3H5z +MKzcMd9eBssEJrNaVj8VEElhQSTFZo+wPYjeoqs7RPP5uFRHLefJY9XPnA3m7Dnr +BoHM7M9rSn5EbsEbPZcp0W0JBUqhgpSXRTGuFJWt1hBevZHYRbrmGy3DyV6FDDMF +VYGgx18jfiF2Th/2sS0vCCa00trRL3qOU9suuix90t0T6GGquJOd9MApAe2uV6Fu +Lmukj281nT0PyxAa/EQboaluSwHRX1dybDjS5Sh21LJTSGdB8TtfBVuvQDzjL0KT +w9ZbF/suAnFPsAXw+iQYghR7bF6trntSP6wCqxHiICtH+1vnRYF8dFPcLLO28Vyh +LuR0LXFNVmrM+PrjhvKbSqdz4ukeKfyE44GucOB0bSSYMbpQIc6gus2ToTbeZX/T +HAVAjfCS8LM+EacJ3J74R1WMX2XouPsG/uw6I1VQkx2x3AC33sRr0NDST0QvIACy +JubsmixIU/wXm0A4ESLOhI8C7LYat4ZuL5+fZYpFyces+YLZLrqU/WDyvMfdPnyX +AcDCRXmKbR6rHk2yU4DhE04kq5j/jb+WQH83AbfF15Ossdn9B58GDG/kkLQSexXM +N3eTDIiPQ/PoSBuz9HT6/7yIkdNpilgti4x2knBTplAnO08oN1ngXIS/68BAMzoW +C+cOpv1Ww0TXzsWLeg9tPYFUeXGazs34fQEOcBiVlX/l4ndv+gf5eibpOlKZ/FYI +gtUJf7fwALHP3UQf4pWFBHWRCN6OIs+94UyoQgWLT9TQ3O4JOYJPRd0wRRzhyN8u +bOcZeMDhc1L7CyFGLwUvsKyi4pRAsIruZry19bUY6cy6HTsGztc77g3x431LjDDV +ld0NzKn/nRN81DFqlZxIZnvGNv+xk66P1WMA4KvQdI9SNlTXkg9akqs+BrtuGQ1Z +Fe6+goW35v1gP9huiEIkb01YgmmecQjpyJS/oe98nllMeAkJI1kH9v2g4TCkQPFv +bQufgmNBeKMRTPU0jOC2l4GnyBK7ZOAUCzr4L06Y9bOLbmkFrfxwqoBCgUiPaNZE +oHmlYbcMN8pf+B0GO6jzh+jaCecVTr1SyFsAzThYJQFojimChVU3y5HJxmxSxlo7 +DhhuE0WAaQpAjZBL0Le6yc5gVsVORGeRpjiRHoHa3LYRtpFLPQgeYTDcKNd9oLNs +AzeLIxq6vB7C9yQmP31J8iEKRn65mdAiWUIiBbkX6MNrgaXPQhgxhDj/mHCIfm8P +kzT686IS2LeaGOVTh6e4f/91fzeVwxFqVWH4XyLk679oPlF6l61Q/JpTmndox/UM +D9IWlDdBi7tIYuX4qfjcZMsPJKD9wzGZPPu+sFsNh5BZKMLcVZUm3jVk/orDiJG3 +z9v1In0+b5QR50Jv8XFleojyWahCE3xdlxETolAb3BTYt9ewtIq0QLG93hxJPhXq +NpAaO2pWbsJznein1rZi9jGHpX9FH1leWEsI+fqh18+hynulV0Gq+2yVk0yGgssH +2yx8utt+3f6yeX6/3xiLXxZUlR4Pqv20/RBn6voJtEskn3yai0Yq6NhVvcUG+8o0 +DY2YfsmXa87eaFbpCIBWyDq75xbEl8F6KOP0FbzCC3FwT9ZxeRpNFlWKfzMGwT3y +IiXOelTN3wSRG+KOihLCk15fuknsTKYcA2IVOH7VfMu3Rgm6e9DI2hElP9tQZlO3 +s2OpbpK+BcVf0W81MkASpLmdKLcvWI5oCRk36/tUCo2/3vBXz4p4qpydf21UzFTX +f1/ZcmQsHsNpFi9+MfI2hd72S8Ik2t0rVHLa35AQou/zRLkROYhpnkwjH49mVWTK +GfCWhRXjzGyPVdmqxpOwgY6J64LarI1u8F7/1bV0eiGsC7/F3S3gPartCVap2Pvs +JHdN18cBYgZBHgvGCDAQbXjV+RlZA2wvBwFxhTVpBGS3WMOZwghhvPq05owOCcZa +G3AZAiOnzEDBIhPqaCEXnpZY10iOipP0Z8I828wawQ+NG/OaWn9o0s6bu0Xo/Ahp +sGE//bcwg8UKKpQVnWFSL7Bf2kYTX/OaadtmCloMjGGMUtS3U2+BHENeJSkVLK0Z +6aEeBKY9NYD/O2ZMYKbkAogtzvmw7QjvIHlecdkN0aWasiWElQ11RQULuprhVrlB +eWDXnz80BUMeYYIQy++mpb2zBXA2uuok6MmGQB2Glmn259KB1YhQwdFn2kqcyCJ/ +t+sAdKsAiKoFqurmcq/phaa11SROzghL+0+P1ss0NyPeaoaTF0u7wCrCrVWEEqT4 +XI/1fgQx9EGgbXbc1MhT2CFSlzomi25hlgf8uBrOAEIQ+VxlcKiR5ZbdzpOUZTHC +MZ7fECJ6nxtMMEaSUGrG4YOrjdmxYg+1kjRjWkFkOzNDSdtKW8pzsSAu4Uj7Pm4h +DsSgDZFYoFShFK8VMLXKNEaM26thBqASzlJCq3jRS7otfCK3ry2ntig0q8DttZTK +9kVKvr/jy+ULiH7D2XN4Pa53OTDKbEMlso7wyOxmtXE5VdiSd8fwpkMBM59/9Mnw +lCdK3pqZG1pR4MdIgg6wKrhbW7yv0kpMRBhRTc22EHWpXn2o5m3pUc+D0h7EyhRH +n6zWwVMpojJLx6A7l6Fho51A+Q6fu9TpyZzy9ZHcYvyWVbVsE3mGdeQkEu5Jl6xe +nN3BSqBW7afS38VJO37Op+4rgVa+jfROw4lowlk9wug+12j39r+BeJImESZ3R0tG +j+StmyN6/O96QVV9rCJF4f9HO5Dch+3K2UOUOQqAevVFl/bInjGzSse3Fhmxny4/ +k0KuGH0diQ5AlaRo2Rq1Ty7M5ljl3zwl86JPkzeB+lDj/cFxsDT8pUxVd7/moP40 +koQGvqDxadCXNdvuTd1eTg+QTBQQe6lr3cseVQd2gGsg6UwjRtim++mMnCrGQLVB +rtSHbxd7g+8TDojL7vlxR0PvZ2CPj5Jm2CLEhHkkeiVDieHFyevJmrlpaYpKkK3e +05b1L1Vsj5FoBZ8R9URwhfGhCiezjpfvcWk0JnO5kU18P48fH+r+doGj8z3X/S/z +duKJn+i2/qrubPff5KJr7cl4/jW4D/UsXkL5P2DBDrwsp/D4u/hGW24KzXp8B2Lu +OY2pHohfcCIanVDBARfH9h4J6iB6VlqRC19JzbEUHQQgNder83YIl5H5S/yMkQ14 +pGBJOjrfBBW01zJTDcVqczKH9UyTljMkjJlvOQAPc6DIQZ13fgqkCXlKdlq5WX+N +sbNBVdgIceHli56kQa4Dpw5wOV/9r0GsROwZd7arLn/BwoIgQk5Ub5JTb2Fhu2T/ +MYu2hSk3mAaD4PfmcMLO1lbrK/LartVnMqkdALQyOnqKbrSPDfqqVLC/qR/MGNY9 +vmdzs0uCVXBkL5JKqhdxh8yypD4CYz7QTpJ84foYwrbcMGb84ExNYNkwgaukd/bL +Id0yCH0DuJn35i3OF1qk2DMTeszzatKxtCundAOtAerUcbnhgDQEimg8uj297h6D +yJ8ttfZEzcZiHOod1GBjSFhKEihpNVdGXMC4Mudz++6gYzp37wVegX7H2GAknQtI +slRgXhdU9C51tUcXyoPHf9Q8qaJg3TueXNtw9gNBdoOXA24N1NhyQ9HYUfzpKPc8 +AnPRen+hGaVD5gE6gwThgsgSJ0ZiSnLfOSyGduvx/OsabUo6HsDH5cI/g1fkM1VM +l3N0Yklxt/Y6iFKopb01V3/9sXN/YTI8DZ+45Dq/OVl6+km09jvN3NQ6ecXSu9l/ +ur6jXW3ulgpneX3+V9kh7+iUJdrAaGr+51iNGFm/nJAlOKpLi1EuhWfWbNJLagFL +4JGMJ7wAxrj5QEJpzemALFCKDtCYdZ5bGGNqb3mSylKi/SG06JMqMTAIinPEeM4Y +juwDB2y8OyD+gEB+SlHdqlFUeXgro5C54FPygPgP1FQUUvtbEOhoSbsBUbqpm2Ty +GX7KsW6S5hYG6GUYN1O2jU3xOIJOBvOGfZCACLhoavPz8+hgygadNwozs5x+jMzc +v54Qhc30QkzdSuMF2ncLWXqm4kBvDRfX43tq1h6PJA1JA0021vmblfq9i1CQTQdB +l8NUttR0TCn8BUcmBTm4zlo+ru5vKgqmU2JQwAas7bYckTO1lF4+58I6EH0Zuwp0 +YYaHvbBwPX8sQFG+ROijYlx7p50xs1maoGEdWOEaSUgI68DGnDSv4Nq9SRRgyOwT +KaWdIm5O75nUDw/J/Iw3NYZX1vmBze/rG043Gxvvd+zYZ9ueW6lGfIOCG/h3XbW1 +RQhHtNsQApfmVL7cTsBxrNjPsnaVe6PSblngKPFQcAAh5LA2J+S4+W3OsH8GKqvA +ih/t+yVYANI9yj23Qx5gznOCKyBpMjph2WgzKfzXvKbm4Tfr9xOf0PrtBmjLmufF +CkNu+ulMyVxJnPnuyat9w6+1fqeAB2+2EpwWHYkHJxrJ4n4+Y+YxTqYQNoym7PoH +FGpvJXEInF2fBSb603I2YbT+pMpZ5VO4EuTCmqFCmqKeRuNUxwB/2zt9XMqpUymn +kBQwgbg4TTzI7Lyi7ESd2dAvu6J76/Arom3VZpVxmcCrQzvtQVnzN5Iwcour07k6 +7+JHUOSr207uBpnnzAfDC26iiTs6qDCfG/BVmgjlNsohcy8aYm0vejAEDel3TB17 +WeZaNUbZZI6LY0e23O0PFgolfZNjz10ry2omD3tTzGGipv4oZ84Qwb7o8A2JynBx +zm/HTVNLdSQ6neVCdDXU3muhNCvPTZ2NVNOWWYz1AE9SiOJtnFG+Xa3IN/ACTjWR +gmlJSng3faGBT1ja//46ejVbLnWKvX/n4xmu9JKn1uNlxTwOfGXSFhCzMJEtn9OD +IrB33kcWew2Ol/BLKULcnz8yMSNsqtZSRmDUv+SdlM0jjZnc3A2GBcq9n1FRA+NK +TTILFnbHBBWLbguoJeUkYKb7wPrg6yIdHvB38x0df2FpNwYJUG4hjdXIjE/wMqtL +yV4Kc06lRrtYdebqkoNoNfcDT3YUTu7ZIQVwII6Q1xPPH4EIt4Z6qt3hmMc0Ow9Z +SAAwvSc32w8SKDYblo21KqbgYxmX1ax8cSabo3a/oDhSVm+v2i8KMaww7VFINxC2 +ZzoRnQUdP1nsQUiwNTN8v9MhaNZVPrzhIEpXgYLPdv2BW6FM/vf6EZ+6eShMpCss +kiknr+420c4HSnLKp0vzTurC03EYYJ1XDmQIUB4cNecaGSC7rIMYhpCsoo4GxIBe +VhIOREHCcs9ltrpc2/Hvdi1v7+pS25l+yU50YNJj3JzhnCagjtcFjb9xK7iYWeIt +FxtKycHU3CUyDlwWTR+eUJlqceTpYzRiewYzpKt3M7KCanBvQhXDH3ZIXz4tEUUb +YItaNwQcGAdK7X3HzZBJ0EXJ32QH+2MLV4zn9OyqLuc34DeT1BXtIQ1RTfhWNe7W +BAygYp9W5uZdaSkkgsarP7PL/awY0TAyCE/1sGbuAlRu/Q7igZAJJFvgBSEXZ8vJ +bE3TqYZjaa0Aca4rBWh6+UQ6hYsMr+FFW8dvPK2jIz7oD4dNkdC7gBQDDuvBW5sV +D6r8NY2v4I2OfI9c6juDyiy5/KwNwoClzVtXgVL8zAB8NhBb/YaFCzOXUDXtwg1I +HVMeTcOMHq2hOEapK1+KDMJwqDB2b26BEpopBVSXk2snHoqfskCeSUTxYaiyyFgj +cGiSLpYYH0dS/efSQfdapU63y6ZgY3eO2zPknJ1nNGbOoN4OEQSMcHw2BRjpJaoI +R8fGYb5cx8+1ZSzx5BcHO5VCrPvNkdfBMUZFaev3Gsr8q9jh/5FO3EWI11C8hFQk +X+FkE4bhiDNf3UQp5pgaWExsat5NR19Ygs70gI5F6rpW8A0AE0sqQOQOTlnR50PU +wuGEJNz9ZRdFQtRhYEouJvonkRyCjUt+scQuf3yhuoQomoOd3V/tgRqXdaA7RITe +4svvhw0TYZQsV3JCTWnbKQD55GjYnmHTJfPJ1a9ElUsCqr3JHDQWpEYjp5+1lnra +wPT/Ge94BwIWs/VLNEbtKZEoyWHzwT0iNAGUfLF94LT1c6FxGLdd4h+ikCs0RZLM +eNDf9e1LayPfDKJoNU/637CMCfPkiuRImQganfJFjREzcVcOGekMYU9PxhTK39Hr +LdfniCxp/+FHZ4lYp4oHeLvlFP78EnkltW0A9sApHtSk1uuHsVLw65b0Btk1goRN +PfgxhInIeP4DGe14xR9Bf0b9mwtW+XkGgg9aX0FQTZ1c2uj+if+ceWW59vLuvSQi +lCOF/skLHynA5hQZIZ0RHzTpxncYz2+JgKvTZtesN5hM9y1kcHevbLvC6poZl3wi +4Rr/C3dyCgWZCasY0EOD3wh1BZIeyWBWTBd6nowqWyp5icbi971osAePJovObsqX +RWZ6t//z2Dr19b/y/TGSolndg2Y49h4bbypSUL+nh3XQOGbphB1SAcEAk2YMZ+cC +SxNSw8KnbkVfM270qgiNA73Z09Y9CnMDaR7e9iV8nNyHtz3/NL2EFKK+i7w7iCo+ +zF969yMcohXfOenb900BlwG8n2UCmH9gnVzqr52OFs7d547dJrpDgikiyndF0mJi +jZ5UjbCn/eyF7EqWx8rDdKg/1bYR2T5TgFOlilogNVMBeLMycHkwVRsI5i/mY61E +G+Bpn6C/Qv4GZwe9ziBgXbXisbBKRkHmN3vbDbQiELdMUZfpiSZfv+ZsUa4qHgpR +18jKEx0PtE8QYdjT0/fD9f7L+VrWTarqk5h46FlMHoWuyTTMGufoC5YF0fsKNt0q +zv2ycRsXx39viLPJ4PeuCAyspV5Jh4KeDOhcVgDWQA4RSDkabl66diEBnhYPx9cA +Ix7DUih1FMxFE64ee4LHZ2EpQLUNT3/9Qpkbl8EOmX9LVmFFlzjWG9i5xScjeLWv +2w7Hi+WHcTWS6UmEmksWtes/Y6ua2TmKJXvzFa2PCqXPz/mksuulCJZJuNALi+Cn +BPgOKGI9GxFpf6HtQ12aHixh7x4JPKIx01emO59leEZhLJUizIGe7Lk+Wek6OaCh +X+J46VHLvCVSkkTqreBOTaugAQuCQg9V/bKb1SZLHj20Xy6i9HTMUJGZLVd8QrU1 +1zZScTNDxJKwXutq7cIE3O/Hxy5z7g4YOlQH7205zy1aiWIRRONzyGjvTdvpGkYn +3nGS6O97j90ZQZx91VgiDaqQOdo80JvG4Lp/cWnsCIuIht/Zv6yQ+RFSaM9m5++a +X2jMNbudTcAOO1zSM46OP86x336h9sEx2grFWXhoITH28t5lG163w+W11ARUd3E+ +eW+ku7Tz9FJ7a4L/aEj45IFHrbjDTwztX0vJ0Zl3HY0u/2mIxfdm60EzexlrwfOQ +1Yiz+xkZvY5C94lt++cKZ0kIgPIYGi00YG6orK73eKFfNVXtVaahSy7DojG/6mu5 +RszR108tkUuz35s2j9HgC1QmVKLIIHG+5JROLEsZZN+A2aYgVe9nOTHTOH/XfVU4 +huLXBuFP7gXGc9xxgazd23kwSCg2AOpdC1gU6Q/sb5Z7/bx2VIrC71lFmg29e/AL +pQaR1f8Y9L/mgSvrn9gDXxkEfU69GysF+H3KkwD+pM7OeowZNCJoVRFS1UzYfNrP +6UqDstGgx8IZgwtOb3/lLlvfyBYzxd9MMYk2VFDpBQxeyXx8CBqFgVJ4jp5F38Zf +5PR8utB6kSbqsFBYNmi+iYjCilAr4aItLY8uW9zQxXT2D4kgu7Ze7C7i48gjaAan +tYkjp81hnNJK0YqUfYF5qUpOqxP8tfBnNQW2ebrr22/lTq5dP0OhRlVhXvIaJxqv +qDnARxzBVo2KnMhzb287KWwtU7ujclfoV0llFXvMiqpWtK4F378oi6xZfuwdyKdw +YwUzroysE5eTOfhqQzbsAMRYHKYoarUlrZGAshJvqSpQpV2rU8QeAy3RVLnsLYpG +KsndFNgMn6vdgHgc7i0OzAWNG8+KoGyuqUXh3asmmGKCHw5Qz8yWVq6Twpw2ZwdQ +7YrDAIu1bGMCnZukCCbPY0XmQ0XIFRKlrlkwo0mv6FSAT9fXRmRn0BeiHzzuFNcD +6KNU7fxhjBTJeZbQpFD7bqd066YKBzAxd78dpl6+ZTfPSC+oPe3PdjtRclPXVxrb +ZBcbrzvE06LvQnwF23/5wn31jdQ0EFa8U9QjQAO416h2LzMQSe3ZIUQmRmpZ6Tg1 +fFD2e82quNbVvGdva66zauWw2IfeWqSqBpmJQTnxuc28Bc2apMVINjc7jx+BBEws +n4BoiyJrrmiqRsgaWe70XajK5vh5fbRE1zvE2vqG0Vqm6sUqTnBXU0LBQBLRURjO +aA+K0kqHI+8LMyMTiBdFo1SYWEoi3FHaEHwVy2nOIAQIhNgzrjgyy2ftBQCApA4Y +P8YC61WQ4C5oDTrkhUt1FDpk91lP3RNJu5Bi1gpw5vCu2Zd2NLXW1OzX9RJg7KNY +51BPlZsLjtVpW+2FHRRn1bWTeI6rFp9Z1mQMfBOxA8mhv20jYyPw+dS384SmZHQK +YohrSC8MaOgJJp2RgPNQs34HYJpgZT7XppCIQtPcyDLXGr1OVTwSERWuhEc/ZsmZ +5QZsGu8ElC2zIBGO6qqpRVkS+vLeMeXQZoxTmkXZZctRx9JrBs4sqmrgYnfSb7uT +SfDgU0kP/I4jT0KlvsnILRtPlgYK1aO80vnRZ0KFPu8Hgs7b8yX6AF5VFz6ztrgH +K/cw1lQgEfdOnju32Pj++NfUNL9VPlV9P+uZ4Q/LHnLdNrh6Nj+T8QZGYIB2NPb0 +jROq7GfxVOl/mBxHVYly8dY18JoGQKbynDKg4LXqzP45M9XyqOYt8UhtAXUWpGNe +O67GduPau0cwPRydTpWt9ioZgmOMkjnnS7agKCmjp/ydNjuvq6ljqiRZ5NNd0V6S +w13UUa5aLy3HvfZmc2kT0+xPDRzVxy/lUxw6QQFUUVMHU8WBalxpmTfsUekAqdu0 +gvf4q5zO2gb/ZED2LGflXMbxcsH4FnE/hmekcUPXK38EFRd6R4zS5Oh7Fg3wIH2y +q7nLue2/OJ98csxp8XUibzT756SRqPk7cvli4aPoWpupoPSH73/Jv2AOpwWzk60U +4Ee+rev2+ehrAaO81VTiAGUNrBOwFR+7573MdCfEN6qcfzOdcXVWWRbleCcZgGGS +ZrwyPb4/7bGQtEpzpHI2q4Tq8vxYnuAi1C+e7av1kdIL+P3MAKjkDGmGizu6qDIK +dw/s25N4ex3G2AitR2BOIpnT33u+7hrsQOWQBDfzmEa1Khfb/st57wXcDHOyU86V +0l4llCr/lLOHCYOwJ79bhiN7o82ZT/r/3rt9gc0/MADDvxObx2aeLeUbPme65sqq +CI8hSORxW62TI5JgrtnGH5M15s5fBMl969SIU8c6aTXRVSpH0C5/WFd5NaozybiO +M+LkoOforT1yPmnBSm3sKcs0ILOQ/JvBGTQSl7bdFDrPk2Wf1b1B52lTI/hlbZaF +SzQGmOkZLQ1sQQRSKasTYR9rEoRKPsDIxejfR7WPAoFAZoTkJEgt09RpzvHuZxHj +NlzJJ19VeifabYi+2lUc2g7R3hGpJABFf3b9MDnf2L6U0BRMec7R9zJrU/NIG5fe +PCpNYiG1yMio4rMAqTFdz1LohrnIJtDFaAWjESM98mIbrhbU9SfKmKJpHVWbY70y +zw/rt/sBXNI0iotzKdwkSyXKjHsC79RqVxZNXas+BpdOGh7f+FK8lOoIvkMz/kkz +K2VBFouYJjXIbQViOkGQsZWcFYWaTX2D84VrZUabuAU7NHij3/o1tpGZu/Aclz4V +oJePPha5bQb9O74jFYJhPmg60OAQYRIetViAngpS+7VtfUI3qzO19Dx/rA7Awa1n +3lUmu6tKhCdI7/IBNW8KsCYrpk/dCfkdxOm2xPbOJmVUsReZ1TviGJgT4PPbdjK/ +zXpQRR8SCndlMITibcyEW3eHDj6jyWgADOYehP94eMGOvgDcVAhnGYNotU1SnxBX +lJEGpoR4FeJG+UAPSw+8x0wb7FHzrT9TWmvIr/m10nQDBznYBCDRHO2l7/0o38Z5 +50BVv+rF7uf8ZvyYB6qKg/b/J/I0+aC4EaAKyB7fmX+XRtpFS9L/OEkAR7a2//cm +FozoBDR/FqMwkOrUU3GQtWYf2fsxbUQXixMI/ZfBaMKJM+ls6XgVWyTv2fpKCefD +mrYIEu5Xa+PCgsuZdqb2ngU0bOGdrNV61ehuQsIlkmN2JuiomUPUrgK/xRhjwkei +/jdwXzKDVj2JJlLA9BD/dQ8u3NXfzbsn6A7TlDNxRwTbN/S+ObvkXqF/j/h8M9Qk +5qwxa0+4ra9FzTBgjFlhrtqjz55T5x+4a+Sd4LIIb09MC7a7AInBaybchSomPYQZ +bZO29dAJ0Z9JjPbcLkA+U86GqcvSTVnmNqfgUGuQSUUNR7MwuA2bctc7eGR/ezRN +gPNahyGAM6IJNDj4TTInmLqWpli6hV0JqCgUu/4LqUaoDDe2dP5GaG7BrmcKBp2M +ARSFpDjuVurvxomapzZEvdyXuJaghC05f7epNoC/sfULdMYNSD7COJXR9gTe7eqs +XbbxCGZ5rrbRP2F0pXPCYE6svUVB6KKwOr7wwdb++LAuYZsqZQnuGaMABlM8gygv +PDyueJqAwwlaMq2mAUU3e2ngnr+Wpn46KZmLzkcLr+jp7gXiXlPpoygrmG7QncQS +R5ickaQ7+vsrFoZ59Qii56AoE+erokFee8ugzzcxZJ+kDNS0NlArTK4/KrxFE91O +ULbc9aaWnXlI/lH6xsLYQM7bV3zhTH62JIXwVLbP4p8BYcD3+uo1pMZKxe4UQ3+j +DsInatPhsb7TWhNmuGNBCUCRY88yp0PqsBR8LqOgH2rpuwO0dkBItjiJbtHHYKse +gqoA+IkwtPfNaFGXfpae7saQmjIoPjAi1S+/kPdTnvB0FMRg2q72du8aIvLnm/Po +LBGkWVxcB8+409fDe2zU0STS34FqxkBAeVyV3VvPdkfClbarShZS6r+E/ofn5S8d +yCDkwz5E5aRn/1FrxBzrJepCwdk8K/GnkcMCHlxQ3ld3BSG5F26STfcooTwsWZKa +sFMT2vmcUCPjw/YOlcFG2r8BFsQHdpcC5UpKFke3nTlteFpiFsW8cmYq7u02R1U3 +cHRhGaEt3eh6eDFok6YN7ijPIAHslrRLE+YlWRF7r8+9EyEKtGB7MCwET/hmVzlW +RjAsv4/mZmcy15wjsfUL2WaC1p4aN+Xubs4jSDp5GtUCJnbOypIo9uGz0uwqda8Q +OgmJpXliT3cWd1GYLdsjBDc0rhRxnrO73nuMKgnOxjqMOUpkSQff1smENuoR5uPn +ueqtpg6E5PxR420khW6aCPr9/vVjwqH/3hdebF3/XrFI20vP3tgaI0Dc99MRkbwL +WZ4lhn9bAqcTi1lEb2WE0hMVP0yc+an7HoXps0sqwhUqs+qj4VQ3h0sxodvpNKga +5vhCiITkY8hmVwnkHZgX5zX4sAFMHmMb2d+pE6G7R3nV+ZrUE4l0vUANbyyQmkWF +tXhBOU9gB7S2+Up0nhE3aUX3CyOobogjF0NYUnuT2lTCilsDAh1MqQjLlWP+WEqy +M694TnF3HXo/DeFcDLuJPCnuo3vTgiDgW4Y9lWlXpmZH3wni1/2YcONaaVLjygK5 +OUB5RPWrIlSohs0upVA4oAMX000q3Yyg7gUAM2sp+R6/YY7JfOGKBGB74O2Ita5D +F6LA86Ea6aVBMYrT/QPzhmlPV5kGfylIUeIWALwSuUeMpFaPI932Vza6CeV5FZ20 +ZsM+sYnmldat4Ib66rUuD2q5i22zZdzGjraBpuBPol/kK+I4CWmxSJjOG+hBEzKG +OxYoRynjM+xm3iUfotkMCbS1Chn3lA2S9wTMGZyaW74qEiH+9L2TdwuRWMjRv5ct +fAjD5IdP1Pc8qiAldK7Q4ob9g2rGkGYZsE2yodpG2TcqQjJ5MetlQ+6jyuals61O +PwxF6YgTL3H7DFLQBLQz/Noa64OkkFK+YqAivdlVFPfADgQIxvW3FLFqL931NxjT +MV+bYzAs01FO2mAIpIRNnBqCBbISv69d41ajnYfOplEc4gYJQFm/4jkOy+ia2Tmq +/sLI+qliDOxG9V0Z1v7E/zflXoGO9qgB+cpr9YHzH+R+7/mcwZ5HfpYLFr2lKLk3 +qekyJHVctogg02S4lMovxhW/KSNOJdpywtN2DwY4005CDmgHdts/DQC/8AMZv9Ol +v0V2+60rsFoE7+H3ayyY/snEEcOdKSO3yFdCOuDzKVFWEu0kZpvabuch6eIJSFT9 +JgHOyypEbSLm82bkzSkrgfojdH2nIEAOB98jbQiNln0KCL2X1+gCVJZ+B36Xwn2M +g4VSOed1OjfPuMdYVeFI+TA1n3aDCP5hho1+FOAjv9u3V9CEgmSjMT8nL8WRguvH +650h4Vl+fx1CYpkWC3b0YScx2UUxpeJ+u1k+j7k51jZBtVC8Wa1oSsNvY6ORxq60 +isthnxt4z3KlUPTR3FAZ9ZYYcqkeuVz5rnmH4p3P1KuICDb736iarZNRiGmjmaVW +SD8Hq8gPH64T8qFQBmyazRlR1oek+dTSJ5/huDhX/tWsGHv1Pv+a73IFEWDvs7CI +PMqIiOO0X1uGWIkg+zhw2JLwvgFzRXtadrNNWDckCsdIPduoQ5fwrM5+D1ISyJV5 +hxVz0zGXkNvugxF2986CTqTfWtMpYqO+qQsIBrXvqHYsfmRm79ueWM2HufRG9Na6 +0xh2wPCZjX4wmESOLnItQ331aFNZ6FRTrkFk6RkXnTV8CGnb+O51enWyhlzjNpw+ +5TpH2aLDRAdHMtn+/7LtAS702OXZIoGOBMvEjmHVfVfjedKwM/6XP9fqvxk3H07J +DsawTtAJG0bFimL85t8kBe+vtdkf3qq3Xo4KnFO9KCyzjPh3RpLlEsZi3kZl/Is/ +FOYC3+lb0pA5TpYLE24j9ZCci2DP5n4kfk4x+9ausZyIutshYPr/Tn6iFGIvEMzC +D5nN4FRWrYDIknj4nuttlDmm++trrUCIqjpNifAOY5TeFA677J5HiWYbWU/qKpnk +RfxCzMqjj0O8lZBZhhr3Av8KhjJGjE64S3zH9HgrlYsWAQa3JdR7sjQmpGtP1AY6 +0jSjE8tlbgW8WqnQvmbQYJLI0IMHid+II5fgu2k7Bm3y3pMkqmCAnjwbyHVt/ZMI +Esan7Nd9Rp7fjwkxMVR1FRajqCAE3PPY0AcLM2tnwxhmv+fn1A4lmvljJlBm8DXW +ZrZnBEfeJX8W/yNYatcADClfpCYEk0W6dE8dFN0letvx4eebwOfWNII4DUm4w6ib +kTdglPHJa2B0A2DiYSxjn7iIMo1wNm4ztyRPIkebikaSrEU8D4TXP9vU24jwJ7Xf +XOdR43EjyhNYzOeXuuLb0+FRQz+By7qaAqim3fsGZiwtHIRjcJA6TwzYXhf9mFhc +pIJnnNah085Pzz5KvfuOG2ibxl39xdrAi2vkRh8ixWhbbD7VF2k1Kh0i3GYLLbxe +WRkFRer33Pjn6AM/EySJ0QekZKFyM4pv5YpQevGDmvq3Zd/ST6+aTJ0Btq95FKOx +Z2WKOts1V96QUJm8/sFfjZ6DA0gl8tB8hz8wwBn35B6FDYthR3E9asHFraaiw9FO +gySWnePeKW57pBl4F+VMDWYqb5hzj6/f1nE3CG6iPG/LqrNSfBawiuiOo7uPB4h3 +/+Xp5iR7FEVrusCBSByAegCMIEy8xK3B171JvPdsR5h8kmaBEPJr42Q6w3uzKZaB +bv6udcgClY0oHcJjUDo4RATSf7Dx8IAjag8SXPa5N393JafPyFhAukoeAwGwC83L +aMtZv0qqcZzu7bXAOYgyOeceP9EcJwzhSIou81Yjd5aL8/ISAOlypEFG8Dj8ewvi +nAurQBSAg2yIboYYjIKnQQuCkfgE6ivjELfol9aTXzgg1NXPlfL6xWFzOmMyIzyC +8K2IiSpepGT1iWkvxZR3QwLFp29kydmwvd1mO9m1TJ0UWBRya0VPB06QqJAhfI9G +PP3RAUTR4Mhq19mpZEz1DXtScR6v/rhQLKPsuBwchwtpuA48krP8BCjLz3eZwQBw +fzgVhZB57y/vuG3K+fc8tCejF14zUBN3ILAP4vq0ApDF/IEUyIFySDF0XxKPI46d +SChRFRN5ad0BuWm+1uPAtQOdiSu6ZMIq3qZJyR46yyQHTCqlndP2pIhaYB7DnMzb +dpOUyUaBgKA8UC8D6SnaBHZc+eOZwwj0wsi3sZ+ODXGGXANrkepNEWznmv2EUAB4 +T/l7DBAyImGM+mGX7AjBJ6QLzKCuplFd1Ml/CnK3WTxV4J4GoDe4NeaMXDVzFOPP +N1TneXJB9wrAmmMSd85mw8bj4ymAne78/xpKkFMUeS9rO3/X/pAh9/bW3rdSKmfI +p4J9OFgcv0KkqybIK3cBimOrL9XUjkZuzLJEwzCV5LeHU8DfU5Wo1DQr+KjuAgds +EnXDmesEaiwPKiIRroLNh+Y9AdgKyLJGWJGj2OFPt9at8d+Ll/FUGeHx23YcpTtd +YEaeMVmQceDBbYTTlXN6oBzS5X7O0qa2Lxypuzq4cZes9hncAsnV+VmH2z/GsOl+ +Qnt+vpxoDPe6/umfbaCqH3HkcPKpZp/bofm4GH53Lf67gYJvdFrXYi21/dZG8dR6 +hKhtSNz3FFGJDIPf0FjFWzKwN6QueUH4lXJNyjIi5h2cscpefKLVTRd3ejeRaAO+ +etXqflXcz0o0kysJTLHQk6+s49Gz9me7E7+tMRcWeqrdqmWPf9rKwAhtiRjZ8e6o +vyxMU729UvuLOnnzItM3IPoU1vsYp49RN0lX26kRuM0jOCx/h7ynMGzMiUxYUsDf +VSYEwUbO+pvudLrncz7TQWT2oBgqQ3cdM6zKJaKNhfcHqXx6XmL0VShYrGpFfy17 +MRzAecsVJmXQ0GnhtqQ9qMW3E2KnCWeKXGhGPHMPKJlTngov6RsmfGdm7Ww7aftn +6sniV+iIXN0Od1Lo1LnunRG+/6v8A0TG2aIPa7Zgwj4gxBjfEk3xtFrDDj6TIuZ4 +/q3d8KIvJqx/Au2yaEM89oYbLbf3X/urlKGX4RribwsC230k27HE7xvG0cSrBOz5 +IowoagJwn3Mi1OeGU+7iczHsmB+OLmIS5/Iuld6PHGLYKnjortTfMu5tnELVAkpo +kPiiREdzyi46hySq7+jhVAgzXuy7uJngiKnPS0WuWpHS/5tU7MuBiBvMEag2MZdA +LuhJInqFr6X3ExzhdFFItGWZ0UKvNJqz0UtmPxqSN3Xf9zyBfn1gOFMS7/3Y5GQE +5WgoAGrpnKVvXK1mTBuTxl3pqdcuAGwy51iKTT4bKlgCXEuFMhxp1S4Ak3uUlt9O +VQNHte6tr7GW9H/YOZqLQ6RyJxMVN/iD4HYRxTwd3yNd1XcsuOQ3Za4L9w/D1eoL +fgYwE0VqPe9T4dBV/lbJAWqfyKVynJPtuUJrKW6COi5qCNPZ/nYBDcDJ1EMhMgLO +xLUilkq/O9VVDFx94np5EIej7S+wX3xAJK6LjQeVlOIjasuqUiR4ADHp5Cv6YX/I ++g97kJ44b2p4UdtEvXPVJWRjAbYNBQYszMOhMacNEhGIHx0ffFF7i9MbSJnW/fpW +vaHBE8T5X4KhHV6ZBD53TVUU9b2rbGPpVzBxkpkFdbct8Z8FOR+6zTgd8gCJNfyp +MBmUNpMGIz0ziJnjRbiXjEBVYnzfF79/Tc1YpDaEa82Mn2qmlVIoR+HeUiVaoLG4 +RUbWsCAt+RZze1YUPYbKSyA+HGmXJTaPwsDYRoCP5UAzxPovpGLPjHN/UsOzpUD4 +WrAh1AvC1JwgikFU1BLOhZuw2rhX7BJW/jXpxd6THKBQ90Q/jo7kJ9axtiuMH38F +jPei33ixTyLcBfaKtP/y1m6m6YpYWQWLUNzAO8iMgKU3JggyTFQLv7MRVd88Yp2d +SsT3nWGPmMELvJdfAd0kOCAHBn1PRod5oegna3/nPLx+iL1azSqXTYDGNyWJWnN4 +1JCboK/Kcpp2PXuK9u8IOXSqmUO2LgYLv9tTNMyjc4okr0KCdERuwcO/V9xLDuWa +bjf+AjyQUC9UqXHxUssHkfotK6UD1iVVFd9YYSyjcCYthZqlR6cwporhBjTg3GJD +MQ653wADHD2RnAp6DRoSHEr4SLBn2TUfWs2ea//2Qt1ZlaLJjjZAp+akONeFH3Oj +9AHRWem60HswO8xsjQvbaQM0jVN+r3m5FX3TMmwcFT0raDCH3CoFZCmw9gEXrrDb +hyhg/i6BOo9K/RH1XngzdwIkLtUscvzjmKyviBuhIN+6+dF2fJTF+2ecgZPFkvvh +INFJcpd8R8HhEvHi7t4zRfHj3LuwexLtqbFLVHajbEwKtCOYNb1cyazW7D6dRthl +V6M7UAbCpaZqopwt1UC5wc0i14otzZ5cdzzOtyDCRKmWaEBc+Zy5snBJIYM5kj3v +G2KZYpRNqQOlb1/31JsldRmQTpbg3ex7VLKq8yBKtMe7EzrUCqe8aSpeIEHMAmO7 +odb+zAw3QGd4zpdfWqAJAgJ/N2/lPcYmYgwKFtQQEBAdu6gG22XNgCoT8Clp8XhH +nkfhsQJZYb07ILvCZmalqP1j8DWCT/1NqWjMTaw3M61fibaQb1HY9qhj0xKy/PT3 +REZeuWZXYoY5t0jYgFU3WIPO/cWvROJgUqUVtXdQD/S5+BrrW2w/enB04KqC6aOR +CmuutxPrEV0TFGHGWmhqHP7X77eGuGDu+bwDsWyLLdvjf/+azngbiJMOLMN1azDd +2R6CdZR6iAmVkKcbdOG2T62lvzTuV+ANQX1qk7l7o/7jALH6bmq16FRnI/A31lGo +0OBFQoWUBZ195AiXUO41ZhgUPektdkGhcRmI6n5/yyds7ohP7e4Zh0TwC/oKpNyj +ubeJj74pIf+Ly5TyOGadt8uzmTr3RumirGLdyKR0dCh1J/ul1kBvOxgM3+vNbfc0 +B73domx7Pxnd7c/xetbEUWIl4QgM6nXugirWpCMrBXTDFdVggfHPI1nvq844izDS +WwF8PQyM+/V2fjwqzox6HXG43QR2PvmNQ4WYmdLHlsuueESffYdTAgE/RI+N9cQj +ESzdsmJI12r+Y9BsKMXogtuHTrehUewXRD+sueYQWJ18d+RDM2M7vOBLIKD5Rahy +gH7yE0aMI/PPrPR3Fm9H5yEGJAkcPzJ0656GFIjd2cByCv8Yqzbct61ea/7U/PtS +4EFhu3xV1Gf1Gq96dhcUJUwer/IXBpU9DTaulx4J6E5j9gCcAcs2chQKc5Dtp7TD +tdFQVCYSPCbypUUvtjLOcHMnJMrAJAbfthtsGb2hSP+tzf9vQBsc2Dafq9PdzHvq ++vA92gATYHGYYpw1V/sKgqxWsS5cvUSdAjvD1HJV4jwlbCzXvuK0YgrEkMlWzdN4 +lMG1SZR50ltmktHMfY+5iZTnQvAsYqlVWz8UhH0AWcLY6FtowvWsIRPXVBvZ0Xgm +jUd8/nhMmlprmzv18TgQA5SJH9QpaHj6kq7xo2EqVuT8zP5MeTnepiiRPSm/0bDq +elpPpQoRFSUlgQOUE9dL/QXy5oX1lifZaGpkL1U0q1I6T/fCEhz5qapPE1xJK18p +EatP3UBIrcBhMQRTmRq8inCUxhSJlYa5mESU6Vsp2KbUq5iTeuawF/nnh2I6hnSP +0IRNCJUxjl+5Rh4LsYUlqgiDxBBgSE82edQ8YWkxFgrqWSZZD42TLW7rZnXSwg0n +6ppKEsEZeEV59/oIrWCiyUZmviXX6PLBJZQbteq9TgVRKbnxRRzJ7d8Qdyh9ACQc +aGlvFuL1+3UfjTB0WqQ7ymN8UFTNXxeSUPmkAHgrXPPioPcs8gtIyyKqlQPmndKG +09+p+Pu41u1NfjEeu8Fyed+yZCAoAFlnKusJAQPmTNAmpIwGimYFeE5kFsgoH/RN +ZGHY5vyP6Ji0TaKZnhZbdbLm4laxIPmEp7ERuQfTAGd5momD42tlRl/XgU9ABovm +fNwgc7vtud5W4PWItG6fV4TxCGIj4IFdFBtrXe92Sa+6HWNQNcYxmKJyh+pSlXTK +kQCkFqhY1ceuiXMeolXShtadDRZsWKQtEFiR3IVm/9sXaCmxCx/9XOUxD0VKYZmY +8VXTkvLwQzbANONgiIWHSMqf9lmeo3humuVndPAIxc4Fi2i5AhSqyegBuxsaF3AA +Itpbo3AU0rrdR47MEQwNyM1IDVHxvNVr6VCYt3xGBePx4LW1Li+En5Cr1dTIDWVg +yiiHgRTM0DWkwrKxRG+ijZ1/8ZLXcMplAHkeWvE4eLfkLE1978+rDNTlQ2/q6Bf1 +7jPvr2/oMk1JmNGI7+ySw0xWBRRficpRcmVUnVt+7YqWaKfqDhaUcmCyiGc1PpWA +kRC+GnNrKEVGp+iAgWSsLVt9vfXBnMS58rcUjZ2m2IAoOevaKymbEfWgdCSEWKVp +0toVhUi8aE1V1INnBhiVA6WnC9B8eQREYOtpRu2bRA1h62zDx9bdh5XVd4B6wZ7m +KWj+16S6hvujneVJBQHCr8Zfks7ABClf7UHy6ECQ95++/jKHdDlW6oOp9imdsMpn +GFs5UG0YtP5kEAKhgJXPUWVC6JnAUQJI4iWSeHTco3a02nqbSLeSAL8fOxCNKCul +dAduY85rLvKl+HCgFRU8J7BhwsLT8CYtwekxJbQjt3cUr7QnQUY1qjrfGku9rEJD +BusW7PgR8RFVfqEMCG/Eq+df41M3trI3y238Tu/qpKgkK0xrfj6qI3Sc27NnHOiW +zPChoGM8XqgnwxKF7+JJBRA0rWRSFT53+UUEK9BEri58KtMfR9QtN1NxZbKq1yiq +DpHp8/IIhDamQ/r4bgPkIym4FQv8j9foDvyFuI3y9Ubk1ERC1193w5EtKsyYV1W0 +muv5RwcwTl/DA9LzUB2m4i8PkPpAG2WCeOu+ZO/rVFNRyLZPBf7F36kI9XB4eAMs +5QHNqv2+k49wdRbS1ja0gkI/LzRSZGVC1/59xXnajNXYNl1ZUSc2K95t+cMGMGvQ +LJDYQm0GTpeW8k3vcYU44q/nzxywBWbUN/dmBKotV6Z/8iwo2hjkvQIypM3YOLpS +7lHcBHNduqHivJMS0MQZ+IlvzwEJbTIkUOAdPf8lhZxNuthURYjMZ/Uvuqj6MOEx +wQm9PlthxjDZNQRXBgxrR/EB1zMffsPiRwgDHjklkPaCfnUiOq3eIYzmgr6lTUfb +rSTtvUCTS+LS+EKwII7P7tOo0AFzdqT+kv6HNem6/J8pGPwgFcv0uhpUAfutoUOc +Fp3IoJEeQ2eCSiH5PaJGAVHMDOt/z178WFGtwrvhiwqhVumU28ggnut9Ii4EQdra +eNnetCU2vRvjA3SkbJjaOo+mV9Zo0sTTz8cRWnCPvYyvQqBe5yuLq/w/Duzhu7nh +U7vu6Vr5steEMrXEPHUkhffI26GgkzVIF3VsM/6VQuVrGZav8TtmwkZrYG5eVq4V +jZDlv1TkHRhgpxHZZQYJHGk+AkwwOCyLamGMHZuykHM8qclnEndsPVL4Z+Xc9QAL +RumgxgYS3m/lsBuXDdDZWj2v04JVQfBaq7yyrjZrVOJmYpnX140lLWGgNlG7OgKR +Zdd45UI3d4UyMH0IHU9Jv8Cxz3y2KPtCDduky0oQ3+c73vnkxFixU2Y+nmIlRUx+ +SZX2UyuzuXOwkT3WIk5n6aZMcqB50sK6boq3vWAByRX8eFJcSiUWm860TZ1EychZ +Dv5aJdKZWAIvMxDihgX4UO1JEAEWnfVdP6jOg2nZhjd1dTfGosr5sS17x2LL9R/7 +621y7IN2+EPoJFMK2QAvMB1iUGcsg8rZr0kXFdt7QI5hA5B6liH2kRd1AsWVMt01 +elH5GJLebMUJoMnKKKKO9P5Tn7NrPXvxYlrutCyN2m1PJchn7OhUeJ14umpn2All +FAnpWE8e30XOF0LweRZqrZN9sDLY/iKQOyxb+sLMJWkrCEKeSFgqILO6Y7AfDwnr +SHS71RlXdqQAHgNTJjByZEPOLF1vGT+T/93S330wb2VKM2UeGfQfm4ECZaS3cLge +69tcnN5A3GUI9CV7C/2iGOUukGOzNR3f8RRmrxGvG5ibrBH9dxd+HHU1bJ0Ys7aV +evXbJqCRJCof+94Z8uBHnW8TmnbquxtOjw93Lx7xB7GhliwbQypzVd3Hu5bRycf1 +jht+CtHCrXz8KoXz2r5P+2FT+opzgkMaes+OpdeJaYqoQINFPrCX801wQ6ygrcke +R/AGTw69PlA6v0AR6RgA+pnRqanHWmIuvH33L+jKmIUEgn2PPTNr3USx8pExmdLh +/A3bsoGHyiN4WnY/9ePY/BqTXkX2GgIB3rbTDCNHNOkSk0hiWGJ4PGFSJrmY/NHZ +MsZzyxZ+bpeeM0xEFnTlc5G9IVWwJid6SsfHib/1crbimmnE61nXNad90LuypqKI +KLN+yjCTSmaS8p3JlM7EX73wqyjJ+i+mIHPCWIHTqqw8G2JY7FUbStdg1zbdoaYz +OuY1WatKVOdWz36aPbYYdiWDj0oockR6pms5cTCfWEikQULSPCRoXV99e6/yVV5Z +tPjBexdCSNOgv8IKmVmO/fIM7j/CejTXeuor3Y9ob4ARolvpCt/t5m8mvr6TWn3j ++GLmge5kyUzwUIDnvGBVT8vAxCsZP5fmIx5jsSf8gDYNcqUwBukHb6vQMUIh6otk +FF/u5in+zlDlfdpyh1ZfqQW0TyHMEo1pDs8pTh/XhNTL7Jfc4/s3dhs7Jo/cV4ri +f68EHOqUw5DlzzHLNOQkLo54Z6s7L0b9kfFSWA5/zZSs5bO3GkJ17eSLikMnFdFL +K+Gu9ueFRII6tfZuIFDOBWWOOxejhSSBOdxUjK13LGnW+3meNpaLBp+W4Fu5K2Z8 +pS1SYqlkxEwsamw8nsOo9zpiAAZbYBfMbaEFWcwRjxzlDqbzjFl6AQrh7X2C+BLc +fvKoubrIHltN5fZKe55O2A49HfQYvtgHt8kMSgMo+RU7HESWyqXq6/Y5cmldZE6b +jrtCs3vb+SK1OJnr0AyOcnp9SF2hY/nss5FiaNOOyqdhlIOfBFiKQcz9lStLYl5G +FsMAr2hbVUaS1sJgXIXaFwxEqODzC3VC42oJqFZ+fq1BxcHm0hcxH0wyf7n85aV0 +TtNx8N2EfhjoVMv4No5JZnSoNOPIMw660YbgxzzURhmIsuo28mZfuxJ432Kd6dAv +8gJUMYh61fUTCHV2lQd0WOUSM7hOF6WKgYTsDKSNXEyHpo6zFr+3kcarSSc7nKSk +3G8Y4qFmXJg+A4jXOa/1HLTRe/WfnUxD+mcGdA2X3zp0vRPlEOM/YAeH8NxnVTHV +CSavujnpls5IsEo6dn2hRL39Xjo+tRjCrXJDBAzdk0NrDgymQV2bUCqrd4YAlkTA +8r5GliOSvBHCvOePzpkmewkV6BbfnSrtZRR+gem+DzjqaY+HBerE3rdD0VhbHX80 +5H8Q89ViGHZptd3fn7IdoejaNK6kg41oGijKKa/fp3sxjWFNyQlw3bTDgy3L277T +NrlNjIHktfsGZHcZrwdE0fP5hRBe5NUz9p12gW/ykFTzNKA1WjGt+GS1XTjeOHxy +iVC2IFhXRj0+M07sPi6Vbnj/ayJ3JTUYb7J5In7zQdmncbyetqbIvGOMbZtXco0H +M8r7dma5j+MtyCwZkf1rKrhdUNm8PJ3GRJtsa+OQFOU0T0E3pWdbPf4IvJTijhx0 +6gNNlHCRaSnRkX1INSpV4EOKSVyaXluPif7/He9NedajcIMJlaqmEZ9U9J7M+Yqp +BXsIxbr+qEf4mjq53RhIc46POQaNTp7zGkiEL/0/iofJ1uZre6Aa017vfcEJBCyp +UUeK/6qE+Uv7v+QeDj6QN270NGQJlBqVBrejGIYgPYKcm+VkO3aGcrEirDX5aBjT +0Qp+yaPUCAk+xESqQt/pGSo3S5AIFn6zMVxgaZiLLUWiWzFB3wZiehRlBgFqakZK +vv1h6dmfSSGXHI9I8wxtBsYj1KPKe2O0HgAzx/ZzCKRvVfeqpdIpYuU6a0mT3jQ3 +/F9XoCyTWGc6jzDwr/dWwL/UDJ6xBjLhgYhFLjxGlPI5SbIAcmjCqkRewjiUL7oN +PPEAdYJBrV6P//Zm/ZiJY5E2VUD1brix7rgbZxYVZCzNaRKo6rJUZUeGer62rOaJ +shUDq6CnquC4J4yBTiWPRmVvFkvD14Nt87ivjUdLlGo7hDcDvKrCKerkK49ikwZM +ZuyAUentL3Npht/v0Tv+Ag7Wcl5WXGF5NV1SE+AYMiIltRwnCpvLDHKb2d6vfd2I +0hxOnUf7kHbyhT3pDLex5kzyepS6jYtC3NVV25ns+9kXgwyoBAYVpfJtOcv+Wkns +bEjbJGNyV+MZR33AIpdIkUawJhVqR7X5Ft8vt7kXD8u4YwtvK13mm6Tith/963uN +wCGo137YHMIc5FOIvpI8zWzZylDN9rYLpMx0PpCSD60Iwid/09Z5Occw5X/VbgBZ +dBQtiLavaBycbGyCxPpld9pQBudjqkPkrWiZtkq5mF+54PkUUMC+vTUgj8J+N8Wa +VKEwV3PpzX3ELtcrhtIV5ADHU+vuehyHIAUwznCcV6XMnnkNk6qeYjHGlW+U5W1W +SeJ2atc4c49+fyoKuV6kYFvHKy2scYyASUmuIJqUxe9RxRhf2mgeHMkZg5yRUuKU +L/UK6yj+4R4c+hZ+rjKUMG2+49PU3yqhfrbZZjieXMiTxcnJezxnQKxT+OPICW2i +fYKdsMTx1yifjT4pa3flIWM0QxDyz4nwlPxpFa5rSQEFVkHtYctCSEoPfizRUc9x +LWqVOq4Jzs8S70/50Y9BqQvMMCthtrkiSDeLGJf45YXmMtpPdQG523or315PpeO5 +w79MSMc8hXNYw4lw3++jd2enr9WEqOx3Zca37Wh/mC7cDnNCIpNgmJPz5rqY1exs +o91H2IegDetyQEdaS/hDgI5J4UnR82ppStCPTph6YeK4nwdp+VKTfRf0Swa1tROY +YI6O5zwONaO5xravGs+6dP0M5poNM7tRcXO/bYdXst8HbPurp+EpdF+R/S5/Lk2L +aiC4+NIxwkIjn48pxYmvuTAe8w4AnHY1nWoCrykyeG6XyoapE77hcmdkGresEMqn +Sz/QIfB+/dadZQ32imA5/EicVZUSYTIJ1XGQJyvqWwPFhKqDIUQ8x29dAxWpEO5R +fEiWTlHuWGBd2VsZhdLqehbl7x2lNy0zUfjlZetQZqPIwbHCjHOisuRLfiLjUB55 +1yezHdi7fhb5H8hdEattT/RZrWItlZyLXb5PmgXMNZR3oUq8OQcFVoHAgAB+Hbm2 +nluYub7dlgIUG2lKgvqZamh6BKD8Cemock8XbrmOnfUqoyPxJZLYYVVsWzWPIbQD +MgUV+kxDfq974htuYQKK/BsYMXlqM15Abq4ObnKh8NdHBJUg+DOzc5usnohQuOoV +QreLgpzFTAPIPWH+KqLm0Zq2yseX+hMhwAPqFPbAlGpZE3YRmqEqJ+MbCMmk5QW8 +q+KdpZB3HUnLBtUfIm14Jfb9ndbrlXceXXXg2XwwyHOmAJ5h4d/gXwHB2SPAHnFE +MstcdFOxrxAnzyA+KO8vqGZzUdD1ZJcR7TS0HyNPJp/2OK9NIN8tFNARFZ2XzXYy +kEJlfqYsnR3jg47RDlWEW9JFf/Wqp6zgrI6LxyWNgFx/MFaIMF9BiDfKRm3SRdho +XvqU9+vhoC6zoXRKtfq6HgYbKV5Qx09GIxIzmSJ1yxuBXd/aMFqnSL+XAtaUiif1 +0UCuitTs5d/PIYkI1DM2LlRuBZYW4R++AkzhJ2iYEw1eGo0q/DlM4onFsX+Ch2Q/ +d2JlfYzF8RY1NmAid2G6soHpNdN1NhNfJcznfXiqkRnHqLOk/MtRJQ4CJnUCMlXc +x8b09zNhX7zIUKb/D2Cb78au8BsI2MC6iGP3+5+/4DZPKpGQL+RnUQn3hRp6c4RX +/BHLQvKTgrTo6HgPtUtmeWA7ay1BUQiA3WkihG7FWq6GlJ/EiLc5v1+bTiR3pxsQ +LEKKT+72qyUxfd43mZ9ghZ92JlzQsaPM2LT7e3VYYS+VeG2+WH7bmriy7vYt99zE +L7XLXaQKl4ssnVYtGqGL0ioTUXTCGr2fJoz4VtoH9GJlkGlVxPNF9KdYb5i5tp35 +whSgnJIt7Pm30HpjqIaX2uiihvtKpKQgcpi8lNWgzcjvSeoxJy5hiwq0tSiIfFZp +8QtmDBO1uw2slgwHIkCQ8wuyoTJaUjMJHWiBUffIzvCLmmB4mjvEGWtv4UifpD6V +hirdVZ7yAJyia9mJkGrtSSD3EpOxVGJ4QdmFpsytd3ItWAZZYNPwFjR+jThBo2xt +T1YnkfCtG+o4fyiKNdm4amBq7HS6qO9R83+bwqgUWjKvrZ1qk0EJ+VR/Pm4mBr7H +63VVSJ/NLk+TSfuTBuwg/BUf9eXRzKWlgwEjeM7O8VzRoNlwVuAYiMZFN51hsbrB +s3hM61q9g4iZtn167kSvR7TC7QRGThfalGQ//uctIOIbibJ2Xxg88oA+bbZT6rB8 +IQSigXbeEzJUCAQVfV0OKwIMXObQBCQzibCT+Gd9h4N/r4U6gX0vFd7sSq1jAnEU +uL32U7y/2c+XVJCfBA0DhOgK9wNPyUNjDK6AT7X1c1qKXdTJJUF+n5vseBv6eY2p +EV0bPEo34/tMSdkXy5eZ7N5qDHtledn4Eklrg2BkyyDrkidYUT9cZ8PkP3+7KecE +w/2KCKDQsM0jjZsjm0TkdELu51EgUr+jDW+V00dGpNb/sp4Xkjk+0bOVC2aDSnoZ +lL6r2wDGIo33/ljkhBLirLTpzNtsaaVWTUvfcLbffOhvXIrhqkRHjHqiPj7ryIN4 +eM/vnNjnQiz6JB4dOEmOU+kLjw01dkGX3AJ9jAVl97QdCBYfzF4eFk1utbN7GflG +jyPIql7PJl2NqibJ/WqBUcGzC4pmWJ7q95K9veZeTSGkVvclH7L2+qVmMC8P2PVz ++gUHqm9xZiBfnov2bBm3VnsEqzsOQt2Ts1YflkQe09NX93TfmkGKeYwV8BE1yiW1 +ii4meii2qCBB2fezFdB6yo95QKVaGGumYcKOvdxr7tqsBaQWwWkXNZyFaJBugnbm +9pLNCXDtJB9PfhqSY33dke9zDBnkxAEuMPePXwqi9kE9sGNUTAqXaaTlb4oYNde2 +Pal3EMbGQhxldK7UGEYkSKGd+9Vb+yYPm/2UsmmC8NVhsvJ/MI1r8aYL6KHrqtk2 +9GBI3vPwrzeRiKdEoXHz9oU+M9ZqWlifIqA5RVXSH/8woAR5sojzG97ZPN0gtdyi +SgmLRz3JNhGtR05SnhxkdPQn/ZV4wOLwN3JtQRhhJWKOozRv/cJHg0H+ggjJvNh/ +P2B4JE19/wZIhyPU7XTo83CQeLhs3tCZyY70snLAu5fGIFX8JWS3vcEb3WbsPCLy +d1c+KtSz8s0Nnfk0+qv7dvAF/pqQayLtZLghUIN0o6x02ciiqmQOPAiUM1NnrL5q +9R9jy7BUZvrWtv3bQDUoWnTkBxmk7f/IlMwcH3vWB2zBP2HRxZvsEhLRtWA2Oviy +5km1HW7Q2y/A1Zd9GRHJEo/PAKNbRCZnzLY135NSunrBNQ4RgGRCv2mIyccClQhb ++NkkoD79ya4vA+i+Q2GQh1R/xxyus/jbnD5KH72Oq8Y/JoS3zbKRK6gQ//4RYD4L +uKnEoqusvA6GQ5ti26SHNtd2VR15EAmO+K3Bxw2+9pUtCu+o2Hd5L1GVq6mryMB3 +THaVGr13hZf6dDFo9I5JBUCeWN+VlFjVNqlEkldSsmIoPrXLj4yDfNudzWjC+Md4 +UhkyxP3ksClGn2GjkPY9/HqWUT9WXqpsBAOCnjobpuUieflxI8u+6dCA2K2U1igr +KpbFG4WyV8bcW4crFXaIsLYjNHW03ysbx1FS7bVXjGIvvMbLx2bogaMK8eXdrCeM +s7bq8xct3opPIoIW+KxqYQ9+vqwe9/ObWAuO6jfQwszwjlgWUc8zIY1vXtV+hV47 +XFUeA3ukG8lcmnrtb+C+Vim45Goe0eTmd4wxYgYMnIvwYh2fBl/ydVE/PIVp0fWW +sVbYBpT487KL86HpyO3eFVUOtBBIiBuwCPdJ44Czmgw+yrjkQQNLbZfF5f5EikQx +O9vfyyyilPyi0rU6IYQ07QtgWpQUoD9CdnowppFWy0uGIB0X0PsBJELqVDRUE7ew +DMVtVDcykAc425wMbEoDj+XhooolMkDiE2FDXFZh9Iyj+jho8SxaaD+XLJo3jT7O +5JZes6sO+Rg3UuIe3DXgue7RwskP212FnZ6VakFztVwOqb9lfrkUCMUplIGQ8lX+ +nrZ4CKYNtusCypfkR60yeEv/Hz+mpocbeO2AOccC2Ma8gulwl2Pc6GiRSZ0lWhEK +Qm83uaTAO/Mox+p1uSWXUq3S/YnLKLHUn6mVQsABvCawSeNRBskVJL/g1Zl8bBji +Mt7mxG3Z+YkAKOdBmnu7UfNvx7zPMV8yAPEN9EqJ9OU4AdbKqd3Q516GEdz26+ZW +0Gk9qsfZ3N1o3nvOlJY1eSsPfpLuLkteSeXzimBhDQgmR9qtr7Rkq+W8ee9uS/vQ +UCsaf/OQyn3yB1v+0xLP0LKlGXNRaQLiF6f8ZmY3PYCXPFLvbAEk2i1ZqB6PokQA +xC3KrXZT0XM398PEp2a+HSWUAUk4iWw/DrqVxJIZgQ5FUJ41wa10n5fkxonH2VQr +2JhKnrHnnt9w7Bb4Apf97JZ+GNI2azU/iCcuF110+i0gO6qGY7s+6HYL+yjMIZuq +OLmsHeXBfr5veTFAZGT1VusKhweqrLcX4V5pVRypRPzz6lj0uDJKeoo+TUgih/98 +j2mp4EUygg+RKp3Yp21Uo01/GryoXyLgtQ0L99SM1OD9S2uAU/o+Z91SLqweZ/vM +/9VvNRKVUmTPWt0DOsdU7zlDLnkDLy6q0JKejZbXZYxI1MB4CnUS7qYbuYI5uy/S +ejh07cYGWprb6csyfTbjZBB4hIq7cTfd6wfQQWXmPDI4C8flewtPr9xY5X5hQjT6 +Wl7Sa3FEtbI3b7C137xIvp0DiRNIDR2/kZZhMQ+sn0pIVBB6MlW1DsRq70/DMdTS +nsiE24t73qK8jhBpZBquhQE4H1jCwORq2Qgyi/7EYtU2BOH83jQ9qv54I2HWkUMr +7IsP2ZYJbVzaQZ6deV1chyVig5R+nrYU4eJoQ0tCDbzyybCWKspz6XP1Mun0TdWa +HFgndP6UVkZLskIxVZ37WonARDhAUEdMXHlgQgCR2uNBpCq8gM4s+/JIlm+aW6GK +ieD1OnWWAQ7x/5+kvxjH1jv9XuXL1GhamQSVF7sQbMXDGKf8o75OdPmhCljMdanl +zVyg6CNj2xfj6kCa241L5avzk8r7oeE/KgGA3FWJVirz/h7RArewbzeN9v3HA+AW +ieyMfRY75U2eHjxqnE6d+Wx+AAJ7a1wIeuAnU7BBhJNbDB6oo5YAE6Dv2qpnoTxw +yC+crXfWWYsdVUmLwVW1jyCpx7PFF8Wsbvp2eG/dhe70txlXftCg8nfkI3BUyEdt +x3hoZw/a/TvIGgSb7wTQkGAyXYdey/0IaBv/sZplDlDzlLM8yL22vcBo1AfzwrQX +CC7I1tO59vprqWSmTY9FlOe7jTD0cveNKiE17UCSSs01b9EOH74oqrXYLfkZsZIr +n6ynkJfuc3A31G43fiYkKuIsqZfMOF+1iOjADbGFPtPWaB4cTqfPlzG1SmuUFMFR +5BJKM5jVUTSJENSrD49ZSPW+J6Zrh2fnAwsbFF8P8wp771GpmkANO0A4RfWYaARH +Jg8IQkbvsRryDdiZHiP3m/a3JLjfee6KEe5OfmjWQqI4dgAMemKZN2neo5TY0faF +vCPkzO30EJcGf49e9dX0BUSt+z81DZbsCGhEiZiMQBCKsna+Uq78MUCebMKnH5Kl +EvCI4mM+fwXv29iByzqbuTaKuUR9gi7wbznVTtq4SHC3BcvhjG9mYR2BBp+oewEE +2uvXuhCVMLt04YvSNXNeaMe7sHkr6mmPKDbM4Uyh2qrVAt7q/AnS/20YXGz9mTFG +tdv8iK5EgIjjG15JuybpCRYLJsy5nh9mRcGxVmdZpi8FmHdX+6s0pC6Nj7IQ9/zi +USOyIoxu5TV7iS73S1QL2OvYc99MuSnRggt6PebardKQsdGPNAEtBs21+WEbM0sJ +tsVyBAKPnyNFb1Yq4Xj+F4KI19l0F1TcUh29HzN0c2ffs59iiWOzjCW3i99Nbu+d +IynARxUsKWPZF4t2uSg5y2Y+u7QA3RO7rRAWk+z7A/IcphKkRIAAF2qon75KzN2h +F1ugq5TYKEA2eGXMSk1J/gSDZZceXONzZ8tm4Pwji1S8p0nZzQAoiTbtmfRJhLCO +HfiHpjVXi/pEtNKPPaOBHaQUiPjdjB4zfMmi4IxQtwX1i1Cj++fpNzbfYst5Nce7 +VnQt//2ONvi2AIrEBqQdrCPmIxj6smha3c18S7SIPHyU41S7HPirJR3YpSemKdv9 +Za+TAPDhYsy5yJkbHVRHuFNBRvPZkXWKe2m4yxHUS/0xFK3z40B1EzZ3AaLYOLpL +7GKkdzfXxULceo2VFAr63UEigXcNQVLo4MvloySrn1JRIt0ccVsbyryhvigEAQUz +wg/b/pAIgWhZLJ0CuZW8E1HJhOMXaoPx+2PICCLMlwHYCcUuhC8y7E9fHIWF0Nbz +Wuda0Cd586xMe83pl6QwwAVnQ+qefox0FSwnuOixCkaDVtLrDpeCicF+1Glxb+g4 +xVzbc2oH44QvvkOSEq9dlBgIoveFqnkC1jt7XDr2HMLbzVbQ+Cblt7373whepRz/ +qoCZmdAlmLh3vlFejWLUQa5aFT9VBpCKLtcTG/da6lFS+UAKL87JubBJH0Wfn8Wy +WnoOJ26jwKQ5hfEh9bGpfVE3GDxa1J3xiuj+yoKFYpdA6cdUbMA/n3f0aylW3HsY +j7WyDCjqMyRAq6JGjmWLyaIxgSX6qe/M5Om8FivGJ8seKRrDaqB2fxQHcnp5KeCY +8UzFQY2etrrBdxw9tOEBuRsHyPBCvE6NGI5XkV/1ETaptrWfliw7bu7IVaBtuvLi +nPdFCLTO0Vf79vnctLCsHSb2l65jSye/hGCQc9wCZagrdQTdYpNTZgKYRl1QCmv9 +sMuzOb+i8LVAmLSwG2pWLf021v2JnEv7VoDRA+gpFaoaeGC93Ot/U0L+XDRlBFSU +/2u152KQDlRW1Z9yz345xYQ+S/uMmg+dI6Rj0lOMJ8rWy5zNCropqVVfKapuAvPF +skpoazuZnrIuHtjCYWKik10MSwEIQkqCHKLqLvp3YU+sTLGKLVXV/X7VDQJ+Pez+ +z5QJGB2vy6Db7qpQorZXndg5E7AYcTj3U43AT/8CiVxY/vYjfRh6up3WLLYCbS5c +2S4Pul9NXm9wlhQvsh0kzL8NenK3db87e/IQXN0S2bry/x5QphEBlqEenswYZSmS +oavYXK7D7Yg9CPUb4aNnz9Bn/Cviwy/tXOhvxgfMNRfzkIANg7Crn5PzH9/CgqOj +uUrn2d9uDf8YDxp+KagIILg7hkNxqLAKLnpdm8PqtinM/pOhbLHeBZMY86Xt1DN/ +vCqF06e19h6h//WGJ676LZogd78DUIaryWhRIRPb7v/zxRbm5kMq5UHlKlljZBIL +j+DcSVa9pq+1dxaZb11nGcy2UWfFWi5JS+VjpFcMp64J1AD4W5XuVs8VsQ9imlJo +LA9ZVGgs01iISVUWvizgKwtKMXfbUQSrleXgYsLFSEELtdcgSkiJFddtm6yWxP4d +6c3hTb3oIBonJFwzH8qpeT8MBabinSXhpapHRqxBs8la0dlcJ7JMXCSRo6sllRP2 +b3ety4w1b4cEbfvqBIj+pQnzlTv34BuPs24fXMi/RDtLMCKf/bL1EdSENIIedalB +uOPKENteSPM/Oh7pqkCQDX8Yqh+evXJdjsWgNaB3rUyWJE6nCgf7gfmBva6kcfwW +fWDYAkvc4ZzVtG4zF55ZXkPT3MB4kyK0WFMP+tX0uwCjaDgsrCW7lsaWezHpkgvz +0Jpaad+6r36ZiXnjr/AUbwyxZCH6nOuuqoVxqg+JsQz0V5Hj4m8UBElB2cRUYA5j +H/HxGMLkRESdfoBIxDqcUxAF/QqgEYXW2gbmQKknZRVYrhp+Jj3VdY5GRepAu3Da +Ad3jAm8UVuSClMdsELEnG0WhpsVj7vuXt+3nOqZAIOjE552QpO70j5zNQGTFSVdf +45NnZWrZRbTDhwXekOTD+BNZyLIyEpzigugeAJfP/d16wYGQZXYEqS97JyfdAicM +Pcs5j0nN7Xi4Tlq52rDRe8tt6/vrdHcb/Ov3wH+QeW3PbsJBzx4BzKvKNMRsHM+g +p/edFnhpM/QUqtMzxOJW4qyLlUyvfey9gd9wjGwtLEbjC9BHqZBIdj0bJEwZLRrg +6Mnl5RCYb28KSlEL5f+NfoWy/SCU2lgdeyeg5efjzMeL0W7B9j3DffREck41unui +umXLLtPZpY3bp0XE8xURRgEF8iFQYGuUi4sYkq5zRXkdeyNrQtfG0AEGV0M2KjVS +QE6B+HJQJqkWFkTjg0aky6cgCJ7M7SKlkoqpH11zWpZ5Z8TMWNw5XEdeuISx5V2y +QX6SYyf5Xzu3XJOGxG5ghZLt/SW2eOBVcPm4ybc2Q0iADzwRBi/IvJL3m6K5FcVx +s7AOSi1yZvy09pzar8SixpzrD0C6V0fZerdmFKz2X2AaRiTHIsH76phB1gqvPq8P +qK6st1m8q5smlNlliIgdluGxHfjicAx2jwz65WKms9GZUFq6asGRLXUlccQFkUnE +AhzI8afGRij7eMR0tECVDLtnrf8UyZj0ypWbnRE5BscjZ96xMtTvt5EJzEjLYc/k +BYVkucG96HiZW1josGijBdcA72fP9ehJuB8orWF2j1+1xLFQBRkElMCQE1hZ2gct +MzDWNKPjYZeniSaRCKJSYPPQAaoBnYujyuah0/8r/6b1pJLW0LAfSlXgx8RRkKTv +uGk81ufMLIco9kuGGN68w/0jO+uQQn792hoITOqgbk148nDhU0NTg88DWlHBq6Uj +KoVf9J/aAjpDovNdAcBdqdqKVpY9CCJd2S8qdQEOI0kMAhUuRLyOTPbsK8Kqg9Eq +6RoZ0cdeWvRjAxk6RdbfECpewPP/mHxFLpvL+6zBvovZbgSfDmcjGOaK08dX0Mx7 +vZ6TTBtjhuAEl/slIjnxhsymuR2nKu6u351GhwBsB2TanwD6lKpublnnHuTboGY4 +N4DoMv5exl3HWcvlb9ZpeHRrBLo9Jp8b/0tlhxncy0qem5qXIH7DIR9sEXznBvUC +QbWFDFRQ6vsOj/3KXbs+ucAt4EkhN2FIbjTMa5Powo8Eo5hOXsVOz3W0Rd9x+W9M +SooOzMJIHb+zSzHe3hjiYgv4LsSCE4WUsdfOBbfFtr/KlTjfHqEBIVRHm0/H0e1L +rwdKFiReVCdUj/SbDxP62XTIwrVcxZOdYa2GY10dzOWzqhs0lZW2wIU6y3Y2GEf5 +8eG8qJYNpCJd93PCH9PqG93EkLRcGakDuzTo50hJSjI4+b76vibmIns6VXK7owRW +28gzPrFfVHpWxOBFuJ1DPTYuNzpWg5AbjGQPjMGusSgh4oFUyKhMl/ckrwFEDOVg +YI7oWtkar4f8ApsaN3w9YWKGU1YkhhE1v1zV/oLbPI7KOurXtrn09tm94UWwuIsc +rtPg1D3BaYc05MHSyQ1EvYvqsIOBBttoVCo1ALINhHPedCxdpmXphVN1862yYaNR +Dajy8ELpD1mz84ijJICBV7Xpe89eVTl1o704fHFFAqnrbB3rLayOsdA8YNUcGWKO +vbHdOILR0Bucf32i+QKjqo9pPKLllJTPmNMyke1XAe/guaa/TlriqbyxUf+gvBor ++OghmWuqd92ppKOIpeb53cmcSTEnPmSpddpGMZYOm6PMJ4Xv+Icq/aTdbOSNz+GG +0fPxeuVTcMu58hKhP9szUl8ef91OAvF0yXPBn0XN3fWrsLR8VO1luhqGxh5RQ451 +MsLCEKnAfHZRogp8g5XuTnZ0h/g42SsoyqsKG1XsgF0CGSBwPFYkoe9XNmMIJ9PW +LJ31R9i9TgMtINwtVQsqF7nkztm+EmCB8STTYOt8aEL5psXTQR2P5OPvIq6ChiCc +PQmrkWBMt9xjQL6k03OesPVX+dvfoVJxEcKZOkB+Uudo/5n95ks1eOF87jcN3qgU +3W+qM24nm57YilVu0/ucZ4M6Zn/ZX2UzOnopZ0EmWs/9FK+irDkkR/F6O5i5t5zo +fJTkY8fLC7DtoS+GpdufFSDYrOOLHRjkkTMtij7BoWrm5ktErVzHei99fY4gh55Q +5Ipm1gLG7huRYxtEZZdcDEFuV1nCmzL0YjRRWgX9GwXZYg4G52vlxEJ+FHtwuTd8 +HfiDBnbAi5Tq+3V01LzTOSes7zXiI9r89MTlqjwSN5XFONSy+WeCJGlSFElG+5Fu +pOEZ8uBhePY+L0KHjb2RSIC5FRVTHpnGWdwLVu4WZqcsHqqBDqdhw0E7INKLQ34M +DP8btgC9hVzUQ6QzSHL2CatiEqaMzl2/mUoN7qqR5vUTkWjCmuQCT7SX0+QCp8bu +OJGP7GWp+Auf3InICBuv9IFORngR+KGavIdr5QNjLNvMD+C6R/j6jC5rAtczWHig +36c8ObMpeN6vlTjtMkm0CnbfI542qyqxnMWAZr0hP2/6WZ/jWjOzQm6qJfATm5Zy +69n3TiZ6OnwDABiIG+CY8TvIoY8CKPfp7tAUL6Okh9bfItsVVbCFXZ5AlYaPnysy +MFK2m0g+n0Gs+vM+SVc2/TQnnkxmv2baZ0PeKTzx7+V1BHsQsiyGCiT59ANRr6US +xqiePHCHd3KeQ2pRcBVYuFcGN/yjDLeifmoyWZVmm2and9qxPcZwIyWJm7cUMcOZ +cb2HAhRAIR1/2ab49zKbWLroXmxNypGuUltaT78hToTwG2w5jsvLB/AiBclJe35U +ukOVSolIwB1tsMgzrQtHoixc/Q4/kgFLqm1O1jtpzjpWKmq/p0rzlp50ycbH/5J3 +/g2bDQe00KSx8IFNw23y9E133kwQ/x0qYHQ+Y73DdgyUkeHTyB3h9mR8Zp9tHy39 +zPTMLS3w+duh1XSKfwcwMUSWJJRGYPhNdpHF/KEmaNa9vpsRQCRHlQki/TT4FuKA +v4uqi2Te6UhyTYVaS0L08DftAbg3YxuRDZEe5Yl2CoSVo7WHQ0IxHDAusWoqDoeP +CA6eLhoDaYYOq8aAhoAbx9Ec/HmTTAW/WmrApVDx+n1rhrptMT4VXJ352q+9DecN +r4O7QLOKqOSjy3Cy+RcmV9URDewzjB9Vnv/xOzmd5lC81249GCQNLDzX1mJmZdcd +JxCb4lcvsLpFcYZffuS8nTwGUJh5mk4rODcGKOhBg7JAaETzslnijU54CXR/B9Az +gnZ/BYUAkb1o9cW441Ar5nGrGJuHA8OII4j+BffSmGyUi+0QC2oTK6kRbd3Tjx8P +Rg80mqKd+h9QvZS5WCtwFr3W9IYpvKmrqCpKoWV4rQZrlCL2SJ0ugRjVlln59EMx +MiexAIxX/2atFJInG7hCdjgl/P/6fBYuoGErmiZC8N6bwLFRObJBLN0n10FZKpOh +efWx0DF2fpdziD60qBSxOhh15EO3kzKdb/BMLjJ5ghx3vSZAmeGO3Nmjo2d87WCo +Fs2EGtNChEP5aCIcdJmbbtey49rKkKUMwLfyndf/kSILrLm19lBZzzalyAj59v9v +XUYNaRu5J17zf6v7xP1wItkFyOLXwS0TxRD8ehia34diy83rGmNiMbk1D15i922W +D6kSu1CazrEdJS+6ul2PoQi3+69FpYgBZE8cC3BQLNJA5PCykSxnNffP9DodC9rT +xtjaaQehcwEfADoVF6sUVzdEb/X86hTwH+Gr67WYh3DT+76/Wc80B2Y3RlbQDvdN +GBpithl+1ohRUfHoODWVzgBVo6WayllY6PY4ONa7HkvmAXt9aIhrHWy39icIxSqz +OGFYb1XZOoSo281JbML7xGVql2usFoE4xPYju+vsHNksPLlToAxCJn29WbAWR3vi +8gB285jMAe6PcK3ycOjcWUYVq3nQ/16bAjsnRns05wP58FUQh45oT5BM/o+GeHrV +Nuu+C4nviEBT+VCf4VzYjTtD9rwsf6xlrPjKi9y6L7zfgnXD5VJSvEfmt3Ui+n0j +iPSnLELJ4obBY91Bcvo9pLSnpJNIfJQ5KaR4NElx3i3cxQSX5TQxPgyOwS5cXx/A +bBN1Utov/BnjCDs/SDTo/vNWazrnQPGtCtf4Pk5ZE+CNinq8/qnQXGmTbtRx9ZMz +tsmwP/NRDxgxBN4SqUoCizrRQZ95/o9A/iGtnCsD3Ka+kCmzck89Dtqpn+F5kbXj +XhYbIWEbUhxb++kBl2W9RYUQf/kWpYaCMP2ZAESVo85SyZh3dbUwGZh7/RjaGw1T +bFos/6ZXLrxreROOtQl2zM+En7Wi1C4nU7hAlk0AZlkONEr/m9/jxX0mqP3MkuoF +VKftihfjnRSBFyK8SR/b6esPQ8G2a4wDJxyfGcMGl/ZeeAnXkA/6AFeFx50S1CF+ +NT/3lPib1r53wJGmazxobYihf9TrWTFcGGkMOFPd5I6WJZNCowwlwySIZUW5Bm8X +vQOwT0eE/hBK849Z/pKHL+F27MFw+5SufaSveBGGaml3UA7cng7418DPfjCXgGvt +wXvN8IKlfXwsvnSU8MfMgQbQ0Pt5FgbG9s+iIQeKKr4yLqZMjT/0PcN4eNpv/6AP +RUB+PrKe862ZV5633z4lHtAhQmluE9SI3viwxL/ll4R2cmScCwXzkm8yPLucz7t8 +ZciOPnpL3oyFUEW7qXJ+s89V6YxmYPFwFudyKv8wfRX8VGCgtFiG4ISvzTVSWgAJ +GPpRwYnmFaGj9xST6ebevCftFmOmH6hgtKbYOdTVZXdhwt8OVQmovQXj/y27KPSK +qlqA0dnl0GdHjzkVTCYwN4HlRSpg0B36s64xTszUvk5u99OjS6YKtQ0j5NekiIwa +fqu8TcwTfCM6LUKDflCMwjgXiQV0e/dYCpt6Td9w1nFxHPe3Z/6lgioHf2vXPeMh +v/cXpKVcCPFWEqKXKU7Y5DoPTduyCCgJGMrjGDYQ1cBLtLd6skAB1aJ32YKEIgAl +FA1/kRoAtP+B0txK59iEjlHPNz9h9okeEd/nvdd9pjXX31aCLEqJ7sLZQq0cfzSK +hmtmuwQHsjnPNdtq/C//0riJ1qg7sjWZ/qI2NbOMdAWzIlpDePlj/RDkuq4wE8fd +EnFlrFDoGL5CCYlaak5IMhx6adt79swZ2q5WdzMWrM/kGmn/kCj3gjvEyeEau78P +tIV2aosGtZwHuZRZrC88mTf+R5wQu5VNVzqz+m3YJsjHjEqIRCS2EoZnIv4pkayX +CL2TGBS5ASAQoxJPdsZYkHhIaiJ6C3aqtkX4uRKYbPQnR4aYjxX87LlgaFYoRjI6 +tffvOLUaTe/vDadOV3JCjUGdChrXF82EZGt4F7ucMkniWP8KHGDAdHm5HkExeVbR +KoiUrqeoIfxAJiyP3L8HBhDusjCDfm57giMN1hZ4PFGtfyUiPDuhHe28fkJT8WOs +OyJEygUgyAMs53BY+KIBzsA/l8MzZFgmBJS0cR2IycqZf3yRJfGPoNApZj4eLBr+ +ikDNRtXC8ZUAV0LtpP5e0YZnXjz/0/6qxHb7cyJ9305LvdyUuLXJPGS3tMXBV8mo +cEmpt5CScQ1p/AH6iR37GkP1hQGhpeO8sfBy7cZXDFSMiCN7p5BxC1erYWdMAMkA +xbJvXXTVd3HSmQ8nP8UmghN3ZL9ULWRjpjzkpZVRkIeijv38yyyBvgZ+BGAsQoHg +RSfeGKLGNDevZVGGaYfM6i4q6mGgdmlepY/j4v0QDq+3Is64cUrkfZsbeVua3YuU +crp6R6MzKmOTZw9U76GJyzNCBmT1lcCH2SrAN5KQXuURSGN8Ebho3wV1am8LGfBX +2b5cxtGJul+g8ILZYEZsR7n0tw2B4YVdXaUxWBUuhtJsKm+cvfbCRg4/HbT4H5hY +ouE8YK2QAfrPWDqEfMZMLFTxuxnfC6gNfyyLWb8EuJ5C0GpCGRfRRRhLv26kmddS +yO0h59pdAJ1xlWHwHs+f9OecTjKM6mE7WFUXm8iRrZPIH4KtV+Uev2R4U8jHCYjb +c86AiMiRnh21etBf24GVQ9tfqAnm/SPCs24MBJDBgKYIlcxd6uj7Io83U72honn/ +So5K5n8x+9TTZH89KX5CTnzrPZpXdEchWHNTiSVJ/2UPC+ke1bpsZDs1o1buIygg +qrsxIGUouW5BMhdNEMNyTQQrosK3Zzpw49oAM1SSuxZ5UutO7IHHl3mTx1aGks2M +kgtTB8ab67r4To2Zh2WgKgGie4d4SJuV8WPwaMWk/w5PSn/9TrWcW/dhMjSuuin2 +hBBFTIhgT0TP1NE6X3ZZ04gGjjYCKgzQ98kwWZpNZBYYbYKnuxEM6Ce+w2hL3daQ +p7nuNRIFMh65EFSDXZ02RqY8ALpgT4IdUv0s8Nl1Hgar+TAUf9LnytXHE1CZWmSo +9ZNABRzgdzni214pWXbyasXhoXRu/qirjDr78NUghlCgwQeuBoGxDduCGxacbek7 +6KBMrvAmmU8URkXLWW4h5uZoDRDHegOAR4kUu4cCU04ITJLhXKH8ZO+CGzZ5Z1A2 +g+3xpYwzuid+8FApQ6biL4PoK8Eyy4rlf6qD3DFnbB/4hRDkeWtbnHMbugl4fFAD +krn3GhmdFBXp34Derxho7O3qUsUyY1xWpK6A12fD8GIH657FoGx8zxozhogWzvc2 +Zysy4pBHeKvBmWCi3h3JQ9wt+E2Dzw5hj91argb06/WNgHINY656d65TyPIJd0KT +GoIs+HV/PIDo8KE0zlxZZ9W0kkO6X6ZBXuDuiCUzQhH3+SN7ExuVrSlD/gcVIcrR +qa3ClJhOxLRtEduzRQDOtS0T3Uf09Q00dSFgQDSz2X2bYzGA592/rK+9uw1via+Y +lyuWNJM9kbJGW9AusXDPJAXOm+BflVhy2hPW7auvOI9srI5tajWeqQvsvQsWA4Ov +6X0gs/zlijtXFL7/s2NTHGBExYahiVzEKSq0djXXFB6cg4arZcNO90EQnlqabqZp +anpJuTyBirPxKhA4B2NcJT8Tnmyg52pbDioHCposu39bH/FPwGy82gG6B0RR6NsF +TEgEqtmE8J4ehBLjLAL5Mlpnu1/Z9e7LC5asyD1rCE8JC99Xc3a8YFjM30FjBG/k +zODqRsmTZ+pjdyqLYc5IAIfF/70uBWAVhFXC5Y0RbMPDl0h0BtvTxUJZuMDj1UuR +7MLpiUQxDowKOzK+RbzDdJaK+4TN+3jA+01+7KmALX0FfNuDiarVyBXBRd0ZZ8nt +9ha4YItT919+K9JiNRpd+rDa2MfltmE8sb610p6gNIblSvUalVOGdUrVB9fEbxkc +ARQ9f2vAX4lGXiXRFgXa/NimO15IvvTm5PE/Ydth02rTy/eRyfiR8oRVAz9U0mV+ +dNBFOSZhaakKyXxZpZJyM7CSOR42jEs/M6LeVhsjb6ms+Str2N3Y3I70NWqbQ3a3 +/f9jqE1ueuG7PEhVtnBP00tvoHRVLYZDGeEQJFFfmEzURukEHRuZwVgfF2ayg0iF +UXBGrLEE9RSLouZeMg6ViuByTdSwNSNR+Lvt9abgjCa/BJ2cdVK9iOIG5krDevA/ +l9yuzEQ5EZpxrMAJZA1bwwqttO8yGC2ZSJjymrVr85saYwfdExVt6dhWoTvpbkfD +Y5o3xbAeMXzzWkZFjMvJceTRpZl93PGyYHfq5c5T/mqMNgWoGPew9DoBCcAahyv4 +Iw/9CkwFdIi/bju5JCXI21L0snbJCV9u/b8567c+ybhNKkThDN0A6FpFWT0HJBkS +V2YvrwJu6Jq8EnJgENuSofx88OoweKnV9rcwDAocHbqkDrTWGVt4n7rkmiyXGlYJ +HO9y/sanik57/qlozmencJc9YLvuZl0BDa1w4gfU6A1aLsZWVqBD17TnacRnA/17 +Pf6yZTrSetw0X5RNyNUYcFhUI74+XwiEZ0vxMrZudm1MAVebnvkT5q+Pspq1C0zt +Hzs+BYFfBA3wsCn4RHamZ+ijjwrvQmyyy7nFccTCfaZlsbemiXeJJ09QoodZ4OHK +Zw7/OgdhDhiO/uby/O6jmTpydjq6/3B4JSjWqx3n2V9Eh6snsKGQXfurFvaVNeb7 +hZC2odgUj1xlYWETlM84tFbBhrF1vmET9d4on4g//yF1y+K6IZ3BxSbKLjjx26JZ +a/P8LPcsHs4Xu4IftDNmkgQfT/x/ZJvLR28Nbz066ihuBXR0YeSmzySQwK+T7TWs +KEnYa+41i0QvmF/+8CpM29DxF6WbqS31S66B47B2TUqmRKhwmrKFhCx7c9U0Wdmp +0VxMCZS41QvWVg72MT3//BTTm4cTz/p0mlAvhbpLdy4R03YwSAWpvmL6ZtLjbVxG +Mu/1TltmtiXJOjVs4O03fLTpGfFgOu4SjnnVsmV5DHTUTh51KsHNt8kMeN9r9uXL +rcwBdDrcRfG6wqabv6V+UgJ1e/yKSJrjIeMyMCZ8WjIKFeoP+PENh+PL8AOf7mhQ +tHvkatjZ99ijtBlQNi7PP/61PI82pbJb+DR8EDuBwwj0hlv45dXgs/8ssmiofzcC +s5RYiOg4GvmaKMgyaly4qNksYC5J0WIXiA2pqn88WbQMqor2bS7ulP/h5fOvy+OI +QTy5AYNUw9OJFCZyWnKv648s9ecPMads8q/sz4b6E5S+77THd1l5Bc1GQXZynui0 +ghbGi0v3fNdi6CftjoulLUKGqORgv252LDdkDnz+5lEfb9/eUHybWMHTxr5dOTSt +G/Q+KAUM3+Ldt4JjX9l/nSd18zU2BOZ7Hj3JScXHNlBTaxFtmdtPooLUI18uStIu +Ns/3YP8NlLI7Si1m9fUW7ep4Ac9T33qHMGyk8UXtYU8TDeTN5f+5JMBtGKjo1vr2 +QYKU5ul1JDpvdVfXxmVDIWeJq87zmantjsA9DCCE/JNQEwXUAuu2AI2rKZxxDwHf +TOBOZJSLVoMj3H9cytHsOpCbwSguOfhA4fJnK0z90B+4lanA7ejCZYrYyz4eBehZ +Zto5PR75lV5vrznMB81BRpjyBJrfdElNeDhnHQVXjobe+ts9ukW6X3/Qu4gvph+7 +cJ+uOtTwwWH19iJFelMcRJtCSsb34jSZUKHA/LQdxSCN+frLEqznOHvzOTS4+g9+ +NgDlDH8QRxj/wXA4P9AY91GKCk3SfuP4Nx1OTujMGu4opoNR8jQcXF+kAgWYqpm7 +dfPImIu3h3gyE6Y2ZVq4jUGzYdncfLVvN8MpxUevIp9YoEToZLT8fG9+oFP8WezR +i3z+p6+8WAMq7rCcCHB/XKH3HCTnEn5tvfZRaOm4M+s9Iosgq7iS70Vm6p5YRKLg +sSmVTTMf1MFxZbbNDJE34VNgi2IyzR0VtlpnD/7MsZhenico6ajR1w0xtFdag6f/ +x915TyAAL98EWYp0wEizAlNNd7qval4gah0JwM5fnsPFH8Isqcf31Vh6aJzEmufe ++Wz31S8opCe/ZmJ1VZ1vEeE46uovuNN0k8CEdbaGCnjNldgO5xPqop/nlEqgy6I/ +sW0LWYtrvwRdRo9EcvScsdmFK863u637KU3OB0nZdpCdlHa4QfCCJ7L7rD/yltAZ +p0Ab5SpWTZJrpDDPadduCbCguh/NOrZzIsjuumA9xPnmgeNiGxhJZ0PZUDbeHqdY +NZg7JZd99wmWQmj+XCfcX9O3yxKUx8krTC+/tz5ZcQWM/2JWduLocyG+Fj5M/98M +5qe5aVpg6X6VipOuA07xXTbz0b6DKNlVAuC32hPAZ5+ZMkHmVIgems4NJXVVNyBW +OGo4a5+hfQHxnJ5i9Y3KDwfXpefSTls/u7e+5nAoeW+nk76i6WXK2I009b52eXrJ +aiChQYYonNIZtRureTjJmOmnnkf25dwyNmqh04TuzwcNvfygHLakTc7gsbP5Muw0 +WFdFurwJxAHJDycvsUTVVOc/GdA5Q4E+Ha/pbsXJDlzMuaZsJ09SUAd5I3AA25bV +bb1O6tK4614fwNjyEizYcLj220pgOGDrjfnNybnHRpP3weCVOFF6TniQwsdtbn9H +P+FKAY0H7v9aJCKRkIsxckNKSdV+jHqwbL6iE+8PeUstGWGJjWCuN9jTSh+D2n9a +ErO7/YsBb3oHKb06Ck24vow7lKTLLxIHqhLhn5nPOIvQvvGUheMF0Hs4vjFC+aXY +7TsHKR9k5KXQuGF4kTvHBklWzA3mZqWPe5VaMAblYzu+rCYYuCDnzh6jACBB2mii +DNDrxNY3KTyxpwn96X1nTsMp+RpIYmQG9ZI7tXdKQ6cSXdQHKTQPPYzMg5k+IVdC +XBp4ShDKQo2iWEGAOLE/2rjm8978QSaIkpsF6vxLlIW1rq1rqyA9uVTDEo5sPL+k +WyLlc6ZFcL96EIFLPt3B6z2M3RgHeiYntXNBbisFWfsgP9yyUBL3KMtPKnWO4E2d +NKQ2Bdeo3aPntBF95uXBYLbEvlLiKmGL9tuU0rjqcwweAZ6dvY0qvUcnGuMaGkxS +cpunY99MfirDdfheS2LNjQZKC28huZXyFa3hcL7852bfGdgxwFKElrhAgUAUms// +zxrtXqBkuFhZ/cEIPkp2sBi3QMWWsvpts8Y3C4/eynPC2Ce0WLe+EeD9mPBU/VQH +LoNM1dyxzzYp2vvaVspgvlhNhg4/d4aYDkERSCzzK8digkZyi329BSORLPQNASwd +q281vTk5glycnN8dziQ2M72kb0YKZtzzmfD7hGuaNwxqgp2cbfW5wNteV9Tcn8bZ +coFmmLhD7jkUSoX2bDJt+vOLTtTunMvmOgtrqBaEhfD9SCWd/iNHR43GrY8dSux7 +vvadyZVTCK1Sg7RZSC0dzgWXasGLH/NTkpIxNPgFi/PU2t09b6/8QYhSgPDy1e02 +R6K2E8m9SCoNpoBE+8uUmgLxkrLpswlgAgnQT9VcHACBEC9zlmWSoyv55R/ArbSM ++UOuAwI6IKinzNzR2/tjVPyJ4Da2GOez+34J34+CSkd2mQg6XNBkSnnlkRSlo2hJ +tpH4XTaCGhaooTmpQSRwC6EUcp34/WGOXOt36XkdjDkv1jEjFkow88IqECKjH1I3 +3LUzGT9lKyZKvKV/JFc+me2OPnEueRii/+D+WqhYSnnC0554xE1zhFJtMKpI9ZVV +HhzvVmlsb33opEUhtemPDBahi4+cqYLEI9Hyc1wvPfkS//fv7xOcrtvj21qb2KAH +aHXgGP0wk/EhBaatnF7gXavJDCxZD/RTACt7awyHMgcSkN2HgNYQDBBsg9lD5UZd +lzt3L1JGVcDq9RwXsKwaXqBZw7YL5TeC/cDqOGVR3TveqJoslfpSCde/PtGZTNau +1FRp0SmnzpIo49a3Hx1i5R5Tad8ob9a6FQkbD12+s7tRuZrXxA6IuDo1svuibPp7 +z+BhvaTnmQFr/lxO6oQNRjfr/TJ7l0OQBLhR6x7SBYz7aviNColhP04D5TgYXscz +//nEyJODK4lVi2XFnmtj13Z6NwpzmWA4NCBcu/tObj4b1ay2Rf+3M97nZhCjnT52 +pzlRDf0foBZlQKSJsCBe6XBQMYuUYO6aX0pUo2TJ1IpRsB4M4dT2FeyZrhAIyj/o +IOKBRfswHxXwwIcgP1TwUgJFOgrZJg/bH/2V/2EsKbkwVmDBiqPvF8+lBynjNc0M +tKoCpRgTFwjf3G0ErIl68kDwu/H8BE4apawOfSOzh7WWN7lcRYcTDM1We2D6wT01 +rklowVGoB948kepOBpb/THTrTarUF0w2IarNhXeYjzjC5lu68qP+NiC3kzkTBIWz +xPiyaxgpsYhq4Yuk9TMPvrQgSxBCs5mD1LKze7XGKWdNmF/v/gJdoZ4BvLHg8994 +Hl7yDwv2qOryA+FVUla9W6fS2Rf6/Snqqg78cjuV8PwAszYgy+iDM6llKjRWKlDt +H3qB66D2DOIsDW566+T3Y/4Jur/0g8aJZYVTWDOIqf3Lsmb8SpjmiFunZ7IsGSUU +Xv05Yvui2Vf2bS5L0Bc2TzMqIs34P8yrDDWRvEE19pXyoGsGVI7BL5z7q7Nu3kqT +gG5b/A24QzxM0tB4TceyAYzbEudISc6p+YtNsDncFtaSROaWE//RUB9GyM+DeKUZ +veXVwpcWeuBkEcJ1M7xSqM6YsmPOHn0WnkahVKk1GIyZynHrQbLLp2nyhsY1/vSS +qA5ReVZowMWtyJAMKl0YPIMUN595KTgaBU/CV5AurcGMaTD0jJUm89PIsvRoTSb4 +HnRY9XuvCcsU6AqnYFtR/Ivl+vN5O29TU241Mwu4DrmE6dDNGTFkDaGOY1N90mRG +XxQ7Cv60hSZ3KsKHsld9rfh8B8I7+uiGUYOo1zdoa0k+9oid22SCYRbWexlpGIzd +vcuTtqQaqUUe2RamXQq12SdKe/ITD3TUeGDWaULoMqpxgZzHL9daGK1UagCzvkD1 +9zBHe2wt7n6R0+247cPvDYZ6Ku8slJi7O1EMyZn4jwatnZPlW5uVhzdq2DcEMdtY +E1X7sQk+ORdEsdd/mkI2CJizrzMwsYCKD3z2oY8M0wbgwBlvl1bKMqfltwzWW5Kg +69jaLyadrj3F4jlIw3gBrEq1SbLgX9XM0rXvyk7W7r+GQhiiOBGhlSZZhcUswhh2 +D6yHnDX9oYtIpLFpJBRRTyFv2s1DH+vzHJw63zFDT4WKOpSvCMQIlAR53hY6owuf +0ErhUJUFg1d2o0iDxTQv5OchpUuzablUJp1KzNBe6CCexEnSNZsCD17Jv+XlyJua +SBfxS34XXxZgHhll3gABYhZNXBouaPp0p1CFrJbCfk3pXfow+AnPsO3rbYWPQ9wk +MkVqSiw+P6n9J0Z1L4dcxQWGkqDBy1bcFQFt5/PITrbZLLsvQ5GEYA3r8AqejgO+ +G/emf5fCi0EKUYfsoeDpOKkOngKDbfs5sm0ZjcPx7J/rTQa1Srii6KKT1x1dkBIh +//L0ELdtIX1G2m86KmoskKg4z2wHwWR+IdUEhrM4ST8jY32xrpSFDqYzHdwqzcAU +Dfbp4Zo6eYn1j9pWM+CiYJluFwBmHhxmzY0Y8WKcCxP4TialIMUAcL5IcF7UQis0 +IrjVyohzzWMAWftktD+S48f9UU9jedNLXgRAj2hT+d2AL1HVhu9ls0RHfxTpjQzL +F97/Am33EtLkUeVynOIuqJI0CyWu2nlUSTOqyF7YG7VCeImsDKDzBAVoEC+/5e7n +z4YV5kypFNyFYYNoRGFMEqCdMltz58lJKKss2Ws6qWhpkJaaev5HpcIFgXFgDCnH +CnuXFFemmbW1OsCzkk+ElPa/X3x+woS4sjEfCjW50rRISYIZtAysMuLve7JjV51r +hjsrhPzgHOjNhAhbeJrHBVGBLU6KqvpvjnXEKWaR5fjiupuk3llhmnOcM56QmiAj +w6shHOFMy9k2mYBpvofMlux15eJHn86PRww7+8XPUoOjyfON18d9xRbFHejLsw9m +wghfOuX8Fr3OCqECDxWQKYA1JonDxPcyLaZ3a5FAFiO4eK3aihqecAGUkJH7JhaM +RYgTqC4AVkx071eLayQFfP8Hongu3ta0fwwYKI7RuqvBsl/1CAKxIZ0dlQNUfFbH +DYGhYl5pOU+PfnPN1IiGTbxlkkFMQQw7v2mbB/r1iLDsb2FYHJRxd47vjaDTMAzc +iBibhsq7mhEVJIcBmqj5CZUTSjjYLHv6UPfkHq9CIYINPK2LHZBvYKyI+rNC9jDl +AWimMc1r5DOeWxaL6ccenx96iZTwOIlGDfUwQwknXzYR8meb5mS95UFQAzZqSFXk +vMFGHuSYlI+tr4JCiSt0q8ZKsa4qnjOaamhiwQmWcnaB1CXswIOWOHQoTFGWU78b +EfTIhrzMzy0nAFXuCAbPfEUzngLk2QY8/82U9KqyRnhgPkHVZur+KllhdG4C5u8C +l5AsaWBi3eAL529WWTK0J/IPzpwLQOyQFAvD1/DGk/B9Z4OqgnVWZjYXwPnLVtCS +pfzw3cSIn8z5PIq+6wK4D1VzFugmKKcU/1smfTI+EW/rd0yuv1Z1118ygobZ6hNh +lfkCSDQ56JBqoklmXPXyPD+Ju1EkvSHRkXEOtZM4toYcrrkdebLD1GZxbsvXZhWf +yEVewLfyR6jkU95NfmUvOCpjFOhnw2DGV+++dDhYX9fKimdiKCHC6KDABKMMM+1m +oI0wv2tXQru0DXs4GXFXAsf1uUxYiUUY4ChjGtZIqFEsRWBPcaQmTiyo0bAmVjQJ +PSXjth7H0tSHNFIW4GQJwTyUiUOjpyyKo29aad0FkkA6GH5c9zg8mWpeJhuG/Mpl +y46ecTHXcLD6vgiREnMtuJdl/pX7TqN2p1C/NlyoiHez3ibDDejzQV6mdWXuhf5m +8kAg/nVkboNRPPbPmF4jO3pQwRtn4IxANBhc9rTgGctf8w4Jz7N33knchafWwLxM +hGaUm5vR+KSlTh4HZDBzIlMUj2lCa6smoiuSg4a806z8yAcEWWqp5zkAiEArZ89z +/yuNaVYlyDzJUE0iJPZyPWh7RRIMTkDnJlmYLEUFvwvZKLcKgaGCZqOHpZ3PJfcq +XrU6n5rCXuXA5bM7zpnvOjQ6SEuRQpxxQPk7S1jzLWRTKQeBGziwWk+yhSHPBirt +husQfS2u72fntZOv07m6TQS5+I+nUJwLjwuvEOZo1rsu+j+Z2SED/It36jxzCcr/ +VkXb6paFiuzT68SjIr00i38YuM0MW46tITNHHp9rSSUhGNfScMq8W07uHJO3hIBy +67bxQLf2Qmxd+Ms05sEoi4Gk0Fn7UXai3BbV5OiRe3KXuzh243OVVfXX+Xmcm1o8 +Dzk72L6BxChKxp3fQygJpRS7ZW1pJTsahXGpNigf5wvvv+1P0s+7tvSsldhUyau8 +pHSYRSzWB4RMvqXqPxggKasHLa+EHxCEIBYcB0FH1l8naZxUEEWhLuq5mjmrnpuA +UmIsDCr+l8q5Gnc9p4axZVZjS9XudgebUHaoRJ6fowuR1+Qwnt7r+D2b2a10cHyQ +21hlz7hWckxqavLJP5p1fZVtXHWbOKQmcVSPnBrG1XMLkew5DnqhzpbLDkSerZDs +XXYcOVCxrqp+MCnOEwhZU92jDSVpyfvnKWVkYRUiHFp4AljIAx62GPNW3bJY3a1A +OYqQTdpRHq1bpnnuvBGWvdDD4gFyPYfsU5oS+uf/SDdfQSsslJ01bIdHa1uQztv6 +KYoyT5Qi30tkN7T2B2MBV5pm5qlmNNAtQ/g6swEdEUjGzBj8PlXqzy3mgEo9XZVj +KRrl3ONBIxxB6vpCy1/6/X6ZI6MrZlqMjwxwdPrtSjg4/SvwUjJxEzdcLRPrjyyP +BwxYayGgvB+iC0St2ccc3hCeZvwYUFTGXlfh6o9LOPkbJHUAO3LNZKaC81EuHHFo +U4n/9h43esE69Ea6BkPmJnhFiJ6PrwDStZCsKO6duo4cvHx0u/WPzwnhcXK6niQK +EdnvmJ3gmekwU4NgKDehv+sbn8d1xbOUB2aiJlXvXhUm8GvvVXKkuOiSDY4T5tTX +67HG9M19A+xPdJ3Kif3oJGDh684+P0EpTdmhJWCMPxdbyDU3W+HB96vfCt3G8L3x +7kdKVkW2A9a88CbQR/21KAe9MHczhwXU+cvI5hRTk4eYm/HseuwE/5A9Xs9WvLdL +Zt17p38eaMX3fsO83kGPQXFbjuSEKbLVRYabanbxrYrKd3F3bcy3RkRhX+tefXyv +HXWwfRKHmOeA8+WsTr6XH2AupZ5z24rxPEO0aOcCgQHfiUeKoNwMktgwiicQtWUI +KyA2Xdo2cbnaVddd6NeVqbA7V7hfj38QYHzc6ZPo02NCLV+QZStPIejnvYUOemB5 +1K0qw5HNaCbxjrnCbEXRV7cMxJWDH64tU+gkymarOCSPGVmo1Shmpl55ljIof0ct +kQ4Dc8/3i7x2bb7KJ7HL3FXU+r1iJ3XMFbZP0oSjrkhzX+Dkc6JMBKJZmMSyRu6n +FTGJ3SBB24gYUxaxyONtPTNgr5NS0Zy4s/eIZHCGVSUa4vwUCjsCpdGHfB01MC24 +zZoP84zk62IVxHiLymZtDYjCdd0jMWCuPaDbyidfa64QTzJ0xlIonjvtU9r8QHlH +slH6Z2oYTQfwCk9HLDhlsVQpm9FKp4sh1WhvM2yj2JuaJpPDwd0Z3QvaLBTLbv61 +QtGjRBY7GnVLBYcJFL8iVgSt4khU3nCQ6RUF3VK2snhkYQVqQe4K9L9se2zG+gyH +TR7tdYqSahvP7oiu8Z0hTtZPgU20sfdgMCfGPeBjkPTOccNlahCeo95GVCGo44q/ +ZrJNR/w8pfmwhZvAYwImJJhkjjq0yg1jtq6ZD6a7EXp5Y3ddlX77D8nvDEaZ6bbp +7E8cv130yq40meSOnYnKzlRSN1Ge6ZxFMig9skgV4fqD0iBBnDP4WtiA1+kaPF1N +7tymOAbxnvxt2uSpTYNBI31n245vTbpwNqNnKdgi81TQ0Ue33EuFUWFNk8WMafrY +92S8HUp7ie49ZyMVTlJPuSKE2jkwyNLm9ivEjVBELRk+HG4vK+Fj4ety/CSeBE7B +Os6uNcNXnQsnDHuQ8weD13YekwT33d9IluWVdN+9sts1F1q6d7q+Orfw9fG9U+PT +RgSdR7bWe0rvyTo6Cdpw4/vAafpom1tQRa+JjvnXFViNoDM11l0jGNeEhFpTgaD+ +irrNmgoDuj2AJCcClnyf/KVpoO6PEra3/9agvmVj55HP+PLs2ylyf1Yql0kTFdbR +XBRVyu0p9SsYg5gfxn6VLpHAq5TuCkdfeKKoh21D8Tk2VSNXZJEIXALRzLZXubiN +a5HT6Z1d9IZ3TUQqJ7mRYaywvEUbZ+fb6QJbS3rj8lulKqmKtyaxv7B4V/FwGa+W +/IiY0QCVpa9XWtAC4djqtg1qb5S1rB1/r4dzz4o+jty3KYKSmFkUHxzyu/OCR0A8 +OZe6rMBzx3v3Q/+piJj0IASA4eHrBDIta77b316NKyKwb6ATDC3bugGvw+9PdtzM +l56EmQlGOEpJpGyhI34sOcw6wWAOEB4oeiba2SAm/vHE6bSV7Be7kuw/tLnFc3je +HgjsvUk7k2M4m5k5EClFYYz4GmDGv+FCKK19E8cPhj65Y0ZIRmqOc8z/5X/4muar +lD2XKg3AYfIIyqG+U0jpowwIHlleYdvabKXZjC9S/T9MQR3zuUG8w9YpPcR08GJb +VYPuTxDYSwy/Tmnk5v0Zh7WlpAn7c30U4QwsRT9ODJAR4NN2/wAzRQxQ7dz1Etxm +p8LD35/DIeS94CMjow91gCefQ8LzqtHSoJtpKSH6Ln+7K75wAJGyPiq+ZTMtE8D8 +503nksg2UFkbn49xl9l2kbxyiAC3mi/ph/d9VlSryGbBqCA50gV4ObvzUEfp8Uou +2FMVmil1iYfrwMovcER5ib/NmQ2pi6X+2hPFKqQDtktiAeJzNFQ5WXO33lwwl5my +ohGcTwIjqXgFViU86eDbth69CzH7sQ/2ATW39U9EzRydH/cFD2VEvX7vO9jQa2lX +waP/tzl+aiBnSzofPCy8mHLfhFX157rjgQoDU/u3hwKbe5Llt8AJo0ZOxhf8nPBN +Y+UtHbkCh3v28q4U3pHOk/dnNUnJZ+V7ZNfhZxueYpEfxoCTppFQIVad8b7TbBW3 +ew7h3zaIkQ3Va2huPikybiDtLGLe3jWMhLeTciAtnZKxPRuSUtAwe99rv/zOvymr ++s8HazJH548m/30wJJdWHhcef1tVc5KSfT9FLe11M2A8rx331YsXG8I8BgD3j7Fy +dUX0MZ8Ti4NS5W9UtX06nQfmK+6zR5++8J9Lg8VEpATjqBf0EHe5sI0ENp5GhHcx +V4fFuRz4Haoq09qKy4v6uirkSy0B7k7UBmSdXcpnLZR/YeeTSn7lmMbDWk9nR4vf +i05mDbR10xrbASvu0FZTUd820lB4ykZwMyMPtDZtaD0oCpFhNJhsZBkZLHc0mNqv +nW0a1ev7PEZZcp/tK9/Oa2bBBYV06ETUHDK3cCb98YYJJ6wzrtX7rzyOzEu91M0R +mAbnPUKrtfq/i3xXcmqp8CEpdsuxdtqB7fB6gOGdSTcI+8UrEhHbfaTV0H676DB6 +el5JqKPpC0uk7rchYmyTg69cChfQHaq0/s7jnKhKVRdmCAwZJ5YLGmqIEOJDuYX7 +0h3PlzA7vDLu8bNCUMmbsGUCPbajZVRiYfktMduH+XlKJ4/ViIrV8DvwbsQsHkFP +VIo96UFp0h34Z6RJpPjHfVnmMmZxZHr+XGFNI+m4SwglBPNCbM7hbISA5uDhmqO1 +L7TCl9/EjFK6CxPDE67jgfHePCvZqYTzHAYu8/1SYwXHXgoOt2LR3MYra9zFOTMg +NCwb1XOmipefRMAy14f8KO4ceeVqqpidDI092AzcNfOJxkbDWxO+rQNdfTskjUvn +wP/XRGOk46IEPPkS6OosCj86It2soZfIe4soH4x+xeN0a4bp169xEd2y8q1GIwdr +dWRT/C4tYOZXTbHj/Ifnh4ZSPyvcnRr09A/S7kotX7uoETKxOFfqMOymZ7zEpIe6 +Y63Iy2IOACHULSB4mVol8geR9p5K3xNDl+UXw1ruKUjLwnQBUnVJWK2WdPEhf9VP +7EPmnZejl0JnRBy2Jjd2nrZmxpADMdJwtd/qmZEy0AnJG85Kl4TozdNydxtk5T4L +qsA+/rrNdEsNxKn7M1NvskQAdDrzfWELdZn5FsyoUIaDb9/xyce0WzLNXQjTHwuD +aX/ZKOwXfYtpA25cYNPOON/DQQQlNZdE3HPqqbvg0erc2qHkEb365faK4Bwxk1Yj +/nLSWrDEUwRa4enYsm3pj1Lx8eP3LLj4mk6IcXxh9kRVkjeZt9523e3HjAFHpfR2 +n3EEgyTwU+CFNlIOUAsx+bQvBV/9kf9cqlI6fukv8oWMqH38kROu/3amXYdCMi5f +9FurabUSxZwUEGrzTBf7QFz9XEaEenwDW1QBf2t59F1N9m7eRrUeDi2cizot5LoV +kVvdNnpRkat0ZkEZX/YxyP+8uP2hJhfjFwtjSq0Kt1GXPJCLs2SKWAtDyGRhlcHH +xkMUzmm3O88fgAQsIsNMIQ5AV7ClnbfpzBC1tfKBalNzQuh0i3SJr9rfVViOOTfC +4lean0mJ/NffsrJLt6rKlt/0xhil+jigeyx6+vJ+CjXqOnQsKepI3tEr2gDB5Eb0 +MJ1YWWPQIsR3X1j0Eml8ktBHLwWWiu5KFTVfH6NsOSYoOz8F4PRWZ3OmTWAEE6nf +BvNFpfF1LyPkOHAbVT/7D268DlbA3apdDMyCDK2QSwAV+px0Viat/rAfVYKpomnI +EegQ9nE75tDrNQ6kIWJw784pZpWnp7/AIXP7P6NDgiYC5lVKzYbutfA9wFh93x3W +7I+O7MlU8EDTC/7C0xNvixjq4h7V3qF/Tr9WhjQFBdX3lZHcY5FlFJ0+orl06c92 +NzP88wyE2azHVDX6xKnon2BsGwBv6rYrOGpMjyfFA3vehis5ALmpNPv+WgzkB1LH +cFs6KdWtkzSR39BXksWiqs5Xf6HrFHKjgjQuRZs0Xdr33ssbKzjOm0NB1gNseU4e +Rfk/TNJqqtmEU/wM+fiJk7a/HRx2RBDDopb6l2jMzDc5DoWIgrY2Js/t58BZw0uD +HoLDgElB+BkpDd13/S875RDWH7I/kgSdAUsJMqb6sElAYW7gDPmHc0f0bDSqma7V +V5ExRQn/Cxf9UPsAsKOJBzfnzYRV6/B/yVGm4YE9o5GZmaFQtZP4jodjexbXlhqL +4ESolTnIpPj2JOoSqOY++rq/rnNOqGLAaBYI5iRzWcBSRPYkRxM28hZfex8GdcZ4 +QLQ52VK4ay2rdf1Wtp/Lx2MqbpsMkyn7ym9Z8rURWFAWFeZ5zbVyKUcrKaZVE91m +t1ot4djapuH3d+oOBYmDaiLng/rVFLWY92icPO+gXHuATy1Ux/i1kFlEffv8/nHD +hbMy3G0oZgQUVT6UuX7F5ML+yzO+xwX3AMcIS28mL185V8emCPmYAop90VOTgl3B +/p7mmRBZLjLHNjZlhz8nivodf+cVJ3qiVbfeYMWuI/Tup46Hqh6YWuqzHkphEpNC +2J3l+wez/KzkAyFtv5/kIDsWG0xQwsvWxdUdSBynvpsKuLwsZ2naaY68zeqb+kbZ +7C4Vb8YG7Uk/FHaEnluB6yTeZ26au9N4Jw3Ze0G1xuRqqE/0mSsQr2kfLeGwO2ih +mh0N3VC0OxsljXdLE13bosjSBd8UhyZz2i91NyRTlU0sg/OwEUCh6TmnQbqw1g68 +OJy5XUYZas2me7FPkyl1q4o0ezLetxwOW32diOJlsiVR2rxJkMwsLvvkZeaU+cHg +zUPUFTEyl1rXLoAiGQHp0avqnxgc3o9fVf/lhnmLF/dY9geIZuyXWxY5k9o2Aj3g +L/PRBI1krMVr9hlhvZyMcztjSRjBcswGZQO69wsTp9aPJXef8oA1SUj++PtMSLgg +KIj/+VEhlF4QfQMDv656gBFw0Q23HFEayQN4OuCrAM+W1Hio92I7/1OyjQ6FcIrS +5zv83UWlW5Arcrpw2ohZZWETHPkXolWbibo8Oqr8Wtkb+v0YB8ABY+UL9zSlImvU +Ht7U/p/1hGURooHeaDkKts0p0q9x9lXp8sHquljRvld1X5B0p1bEk1blRy+2yBjQ +POem24dA6tzrACEjQQUypTVkv1AncWf5kdAlTwEV+JYGcKQRIrrpIr82MYVNoyN9 +i4AoV8o1IC7C2sszg0yBNEmEM64vgA0ddxoy3qL/J5EoTn3mEskWsLA4lni1d/M1 +MpT0NlgNFUTDS/SMqRZ/6sGXqvxXGW0QEnUEfqSjyzw9jHUMsvhVTROakn1W5dzl +5kh01VON4Cxqq2E183rOhZvGEZcwLCRXOJPA64w5+8+nROXI+7hXLq5NGhSvIdKe +vy2SFHp64FTzlIEXIdEOp6x9EMJBRDNFB/vdrHoCp4bptT6zeEyF2//INl7KlMgz +C8y18/kbZ7GNwpv0L7ZGpXggdl3nZ8TaHUv0uscRiPuocF+pWhLYEJb4YIh7cFJR +7FmGic3jldoVQ6n7irEe0Mp+2obWfBYs2Gwq07nAfVR+ueyTeNHM60VMZYK7/9Ko +0qU6pFrIe0KuD1x8+tbFDClMX3Rwl0LFsGwDe8f76bUuj40R7fAw72FDieslrxDW +xXHjpwiCpb4S0E+vV5ylOqoCTVeaW24mXRgDeHPKpq8byC1JvVKmqtIZNy+x8Oj4 +AR8Bg3Ym0FWOq26T9RaXNkT774V0ETdSBolN0tOMT7pDv8yYSLKGOD7ll2wg7ks8 +dWWC2RYHqp67LU/WIwnALUMWdTpsp+EauvO48kZhiM902/YAldqStCS0bVGSEzZZ +E47i1xulch6lvz7Uzfeu1rdOK4oWNLNRui1RbaMI9jf5E/mhv3mo/AkQYmV727Yj +Hjle+eN4fY9s3E2W35H6jQSZJ8AomjVpZtWE8zEbu2EAQ0+LQS2ML0Kp4qr7NGx6 +pHGMVZVJO0W8B5lTtQ8v721R6UZ13YU76zZOKUfnEdGijgPrg4pT4tTfloIYnrBt +gfQwcDmBivWrDc5I4Lv1Uw9gb3MRlMwkaCUKM2dapCqPtGk5Tx4UkeYt45LD4HOk +PawCPMaWKA93HyMVq4TPEBZLoBI7h09Iz9xOcwtvmpQG1P3g1WmsibLZ0zJ3hBRf +n3pH2EQKJU8VA6Sydscqelk/qZDyY//fpSPfLIhDg9LHgjFDjtz99ARQBBAN0yv3 +AlqDwPhgOJhFNwJT7Bc3vnvzVfPGQrPv0annZP32YGvwdJ0KgpuUVNfIHMz0Skbb +tVmJMJsh7X3CKsG2iliV7LPUzX2plYUv8X+zLNqfgo3e0eQjdo0EtzCpFou4mTkW +9CoRWFaeSa1KjplrDoVLHhinado0q22ML4d00a3iNjD1zyaraMAXzzQovGCKMeIj +z5ZeJ9arR9UUGRxFG3kD8Ppu912RkR62E/6nsJ99HNqr7lstfrj/LINQMBRqz9WN +Utihdlfm4rFwtnEsOH4zdi7nc9mWFCLN6JKFs2brWh3yPBG+FjpO89wmkVYpvaMe +Hnf+g/YZGB4BxF+PY64jUcKK5kIw1qdP5xqH5hY8BF7RSw7iseh+W/apEEszLtKB +v1VVYowJ1qF4rfzPNNQm2E89ot7sqIDcPmFquvYXCAaGv6/GR58wJYJFEtVJiUO5 +ZB3oD+pYF9p3tgcB/TjImsMVNIyKrwVdVq6QiTO8xvWPrGpUrv7A8ECrRu39lP/a +SspdCzfHgLH/1itE8GLWUT1sT3JbGNx/Zu1vDQWy/pxTPUE3JxTCLWt8IsrxsxrH +hSOTU4OuhSKnnITDc8E+ooZjm4sebZi8gXxYy4JmVzL5nRxjn6CaZi9XHxj6J+y8 +y7HxJb+tWvisfSKwV66hjb/CI1i9sI7yR91gpECpmfeKZ0ZY0XfyW5RULMejRmpG +wdDGM9FeYHVRYBgv+sse2/YHfeqZz/7ybJaPTe8wTCcywCig51SizSmtRG5hBOId +WNohlfoJHIP8mHYzKkOGLoacULEwCSHiQbOkR0Jh2Fy9yoKg7LNAG10+M99Bsy6F +fzgtLlPA6m3nfS2Pz8XOHPYchqbnLfqZDSnWZI7HmsWe7sPvvWKYXYIl5gDTm0WK +o7jsUOeyINFxLJPEeAdwqFPPwHh7cV+5gs0FcpTbY9feQQn6tPMNZY83v5l7afaG +hiQs/61ZG9/y/suhAQBM2BasQK92GlcAbePv+kDeSvCYMQmydsGD/LyV5z3ulgzB +EoDo8TyqWt5NZK5e9GdLV7Z+24ZhsYHMWbf/frfx/28segyQkSvbIJwSxKWOd6+D +dNlnJbKTeZC1liEeufcxrUX2v/mZ+osJYyKBnUN6f9qhwXK0j/X1HphOtuSGIyD3 +g/t3bs0lmASAj3gJpTOrQjEkuC5WMxWDpcWxJLb/9wvKbr6UuT4qvnH2O0r0lfzx +FGjRn3uhB0HuolaWaFb8ps4OkHolecmyMEqm4Bts+RFahwmE0+gQU4+OdLLhqQaz +yvOAD6RyNZ9jwV0+MAsPx5GETltPByU0GvqSNjBI6mLMTG+rLVEXS7cWLZ/ldK62 +gIy3oq9t52/WtZG1QtMbzrdRUEGNz+ZBhellietOC2TWlWVo8Kw7f39+icsn8Q3Q +AKzrEzgldwHo6FwV7aXBvWdOIGJda2OMhc7hKLy1XDaqzFmCbPg0M16QXQd03d5n +xArSVW60rwHmnFRRgFLgDjGpl02wdCmtwUs5r3eZHHNw+ncsJrN3jpO8X3Mgzr8T +UHehzwig8VfQf1Cd+YRc7vtMrMhliaaA3oVF3dk85VvwSj4dtNum+xJT0L5emRBG +H03AIhw12L1QjitimGb7lIPpWL1ZW/LXL4JmrV3cCbvhpk4qfQ1UMnXOCZ/OHqoW +BSFG5AvNZBbFULDKwUyP6sT853642xd0FZ43Txj/pPdE6Sc0jd8nilr4EHTO3Vkf +i7fCcpdVwJNV4ZVahoJUQwMm3jRwgTf2GFfGjOkrW3ev1CfB3cHqON070Jhwq+3x +7TC04PvJLSUt6LqQSaaB7PbdO/UahQhDG8Z8YDBSdQ3ZKGpqxfj8qvZ2AvPXHTR2 +hvjSri6MUzGOgPL+0qH1Rxbl58AP2vhxUI8aWQlAnL8LoErkWO+RHu1BXKyloiVj +sRcA5hHUx95GkzKbQGfW5zR4Gv3YXe09C0PRJlo+uFboi1eFG9FwBW6oiL02Y4Qz +42Plfqv8+5gkRNa4MSiBvuNspJ/9T2rep+NzRsW9Qlua3d8TvcELFKR7l1ot2GSm +r7jQLFRvuVD+bZuPzZZ2ma0b/sMIJdxUiM3r3o5mcHOGn0iPykWtfGlrmFS+A7ma +E62nSQ6ik2xaTofgU9Io9aACynWaLRbb6Ab4EXLD8HQsMALc0e+iVi1kI2Sw+lxP +QwCeFBif39CskK30QFR6T89IOhBAhcJcmmFXT/LI2AIFyh36EjSbfL75ODnL2+qD +OWq+ev7XY1+4rfbWMBjcpBYZjPIjnBWmP3a8JiZ0NqWeN+KFjoJ+DMlProPh1aP3 +G5xGJ5T6Nc79oYqeq8+qpYiolanlo0il7JhF52SdKhT/rvkI2DtUyOYGT4ZAXQnq +PUzloKgbNpeeRiZjNfQH9rwrZkSj0Q0WrsCFmSdB7s0qA7xO9Sx1Hay0GtF96al/ +AcJd90oDwtfZz+7EQccEES2G3OadzkGryJjvwAUXiykDuVsCJYFhcuSasm1BnJ7q +lrjrXRjSE8VeJS9euJHrZKSckwB9sy7kV0yQhzaHodIvbpO9jHbB8H4RU0S23acv +hMT51iYblFhIOKxTmG9MiwZUy48yjWyiyzEsBsS5udc4MgCYU5BikvgCZPT5yIdu +0v7toT4b9oYofZj0LUThSnXPM+jIPg2oniI23lLI9wM54wQyRZ8ZOpu3qRbSE4l4 +e9tvvf9vCtpfNndHU0o0phb9LnNm6ZBkpnRVvm+Hi8Ins6LvR0Ifbh8SJULQ7xfM +Cu3xQErHLJX7kzf0tIOey0WQcXJ8FPpLHLF2OKo4A6FSWq69F2u3+j24y/HzQU3k +iD4H1eu5QuJHGFm7hXjDVWt+Hwe/fwEakHlqd+wxoZEo1xNEeNhPSRHXT/MNfUyY +VHB/8RQPHNhGEmn5/Bi0MHL/jO5yMJKVRF/H+wuUjg/rDkfMOv7RAGrkyFA01l74 +xqyV3f2nkxoCtoG/kiHsaJv2hnZBTtu/qTibjiU4FpDV5MyhQj75zEWpFqRRiTXd +sM8h5j7bVP6x9/xmZDRXGuJy08NzqF71t4BROmRJKJ0/GtbBjSzTtqAgpN9+zLlF +oyPLHoHHL57Gmq3pHoKd+CR4+ni2rvVGh/4rCQ9t04kDAMcZ4mjZ7DGGhqEPah/D +UKySX2KhVe80o2n5OhbE5h6LnY1dWohQmF6pJGstfsh63bsTdLB2a7FX1fIhSM1y +9gMURuW31dVHdFi3qwi+2Fl1HIeA/bhlD4Of2R78Sv9lg6+Rfmobinu/nVtIg9Yt +378ME1S9fa2ZZhjjxl+IJUgHaZiQxjXqYZn2fKfVvwXVJZNnZpdRJr/FSvJLfueX +bzUXJ83pbcsim3dyGbGaKkHIeBDqU8GD5XA2o55tsxXiQYaC3YWmfsjMT5VUpDt9 +Uujzqp4/ysPgujalJrrY4WWwySFufG/2YJqSGrFIbCDJhXNgRBAcqTrMDNxGO/E9 +C1OobWkAagCgJ0zp2tzV1xPjRbajSuhLK2wZn5/f5+FEw3gqVZuWj7+wGjBogBol +kt/gYzlenIdrIVw5Npigqw37uRhC6qX9PUeFYITdKnTYTyGnl+pt5kTf0s0QOBj/ +3JjfEYaWI+dJvwdyQhkjztb0B6F4jQpxgIrF6UNW05/OWBrU+SpmBL80f1H0c4eO +OtpYpvXAjg5UqCzQ9Xzf1hN04YRl7ygs4X717PyO0ddMqjUaEaACId+Pp9CjZqOK +7wGTqOohw3+euF8FkTOpfx6ML40UOyexNPns4/2mWoi0kezoOjNtSH2VZJkGPEvo +Pn9CPGhYYgvolz1PDoGJocHZfdcVe4jOk7VEg4bBfYt7AZFPf2sXc53BMKF3hssQ +lJhb3cXOoClZVt9OdBxLTwpqZNeqWHCVawe64eQNG6nT095XSa9UiIzYtaI0B7fS +nSb9mcsyG+9ZD0fzovbIQe7jUDu+rw9We0BUdf2r9mI37hthphO/7BupmtEqNjkD +03QTavObjry6iYuv9TEs9E7R90TxtlO3XjRATkoJ2vXVfuWOkHEBmUuaDkdENF30 +Nizqke3mc3yZms5QvpixE7WzlnjTD/V95Iy4buvr8LZ9o+rb89an8rX43Td3hKsh +m5lc+09xQkM3xeQthfxxi4tCB+OSmmzbCeS+ohi2M7zAYy2y5qARP6ihQ+NX7Fbv +ghlSqCfzh0qqGrUOPQFosROFnwtZHYNeOltiJymNK/GLqaGsNlxQWciebgsLfKpa +g5EUW/D4E9xvMi7Nt2hncscKORnYxu2Ks5ltzJRyUmMVvZ+BZIIPoLnETiJP6Gvg +ARj9nZnYULCzannWfFIAeP2oUJjm7avbKCdqF7/rzZE4En6VXA2ccD9zGXmSu8DN +RvU5Uipsr7snm96t3YQYsbJBsvLel2zTp6V793O6WCW0K+cRAxV6UYIXsrZWKR5w +CA1YDTl8lvf0sY0kWo68fhUoIWTRo5zUuCToY0QNEpiB/03wQNP2HM8dxRr6NfGu +iaHae3wcJU+w87m12G28eILdLO3P/PuH6/ZKx4Q/tTF3oRkUDheItqHd5cSke2Ih +bdhV9tKQ2bebR+lIdSp7HLRxbc23/4IQP3zk742DuLzPaLqbUpLIoYSv0I+ujZ42 +SWdMHjQaTa08a0scCz4Vrnd+AmYg/+dEP8iVVUSTwEJ2toYIiWo2JVGvLbA/yKKw +Kwse17a7Z0RNNRc1oGjlUwWMkCpsno7GkDGo5Yw2qkZJZLTLB3wTvhcaGIGTwboX +xnxWkuumsDa7DWPj+ttXTSzF/uqEdqbAUwrOHvVBNsx7mLCty9X1ZO14ePHPb+h7 +pMjM6FNL7UqkbFqq4F1RYpwIGvwxHxB5c9Wn6OvdiixPP/60lAjc69LOo5NfbLnD +KnniB0rZy6ZTFaaKyPVKvayfYpOftbAGKikw1Fm2ZKkixBTo7BDoNsBNttM14Hsg +NRJqNLi9KqX+2UhYesIBJAiY81dHQmDn8rF3HqkuJb2Zr6TWM4bWY9/cecdFDdQs +9ht19W5hdkRiYkFFUEUMOWX/cuZeSsK5TWKnf0kv7iPbtTrus9ez+uteqbtvEEdB +6OUxFEm8KSIxlK4lbcEkL8x+zG6fm5xQybf0ORg3cgdozASnKjOMYSLoxCkP/2q4 +6xEymGuDEJFslA+vfR8Twzp0xD2JV4fGOvyfHi/28lUyMvYVdYyW1tuZZ4WmacXL +iR+Zt4j/l3I5aixbsA8CrIZxIOfCHnGOZLZygunfxhUhu8GJbF428Gi0u7iNidxh +1PxJDwdfxqTKsf4P2UvN6liXNmqRbY1KIX52nZLaqHih4SMTpnAW6xFOJF2AGz/0 +JvV/I0jXEL5x5aRUwCDyuP7pb5r6puEMk8T/F6Xw8juiNxVdBZXyXGsRdqFLb3j2 +uFytyuNaYtO9+cMwSNFbejj8w3Cw3n1hXEjVaJRxjWyg6Ch25x2nVCWrWAn1TTQi +uSyWrYmcsj0UHHL6PF63yhcppnV+CN+PTB9vo5hu5zdcazEyDZ9HsAstpjD6ZEOg +JnuXfy4z7bQ7PM45XfFVo3b75HAxXUDszHAJtryQj3GYAkHzPEj8J8yIp9DIC2yB +o8bjGlZohvn31n/bg0OPiDHdyU+tAzC++AktpsY67pLhj7zJleoUp8YxItDzLNpb +4Cw9CPR3pbei4zIoG42+MzHX7MEl02xCmc/y2DST2p2nwrlcrnxYMVSo1qTJzE83 +zH05Lnm3CleiyGnbkvBLic8yAN+hDxTopjTFkQTfj2IXFRddBrJyfT28enQu3L1X +E0AFlXWAXlI2f4rkytOe8RZPrczpqYTMOEdjy1YscMmrZ4MW7uBThHbTtccGn4pp +wjyYXfT+XREhQHbTOaaH2qceWI4F0H6ukxURaAZ//bU7zfq6zdzaDclsBDKQ/nR1 +QWRRcof2SG/YmNrJs5VFlknWmPM56FCIFpv4iM7APOl1MkdfzGiyB9w6nPgXQY3I +Jb9hUOFz9utBgNcM/7ntYLuGAqkeDTWWV1xhPG9DZSPgBjKmEhvSgwccu3jfpYlm +f8gcv6MgOET3eINwHPnapMa+WWMBq2y84qzyGYgx6JQi/x4NBAH/Fd+0y4nUdPbs +jF5WKTOi8U4abGlvh4eY48Kcx3tMq+U40T0B5ihAqARwNqnI41xwP7RTanymoxXA +r6akIad0E4SJt4nTQBa+VupVPwDqEWvSkaGHn9h0dLaeMHI32mhZj2RWlufjhlD+ +yNaqfHUlsXORh3PA3hxt/Hvs+KBMuk1IRHg/41OknkUvb94FZuibGjOedo/gUMYU +h7rp5GtCw3l8jMcKYwGOD8H78bXFcIOUgU5wQu0WsUPZM35h6fl4Wq/0OERH61Le +twdJN4wR8AARmValUAuXPM2n+99ljKs4nFWlki51Ip1mUf74sXyGdL0ZiIV2xP54 +W+wIIjqG48lk7UvLn3LneXM6UgupK0srmXtSO4zSMoLoflA0uKJB113N460idl/c +/PTKi0/YIHHkacFdP0GHq/8mdc9F6VHJsYLk4Xv3VAOnydGLLzdDYR93zLQt8QtX +lIYrC/IbCn8TxEHnwLtL4NWp5mDTUBYgei8Ebt7upYU9PeFfcqBt7FmI3L4RMooA +Gb5JcXwu6te1Y8htJ16LQj1ABWk3HbrtJV2y/yEIR+chF23mkhGQQOPqOcJMLVkb +qxn8t7DOAJ+dfmV41/FV8U7Fpie3fZpPpQcyq1CwfcDZVzUVZwSpGuHcb+MllKkI +vSEKwL9/1bAYlhs577UaVsIgIDa+TtQXJTfdB5nZ1fnhUA+e8oERqTfFw5SJp+pO +i8Yi672QJPrYuuQTDNuHytBx22F8Ws3HsLWLFbJXmL7OlZaXhyIgSoVcCM6YSYlF +ItI8clEOm8tqI7E3/I35DOwUUGDuohWKpBl+afCiL80sMTcWsap7YQlC8d84KhN1 +tMH5oaOE+m7qv9kDfXZHdskcD+jJaArd0beLmsMN1P0A8Jwht9ES6Pu7OeEkKFbV +lpDEyp2J+skxlptO087OjITN+McKxslE2RX/b+zQHVzCI/4Cn6N606APZvmOcS6c +TXgQboenbi1dv9JukuMk3KMHJ6mPX7cLnKAhp+OCLLIrmvnVd7ZFnu8+EuhMpiiu +xC148+Cgy2ZEen/MX8GtPsMoi/z2dTWQokGoAZnnDj9mTJvc7U1STpVlB4Mg9BrV +6WptmY3aTBpBCdryurf5i2zUaFjmA02gGPrjke/A+EF6UVJV/fBV24MbY5t02fC/ +3Xgw0ZsG4wJCjstHwH2HclIO/GM97ol6+6ttTubHF636e19kXnZfN4wQnCfB/0Ho +y+EocbLlKeaSpH5s603luTy6NfuSrch3UvGvc0kqrx04lOAMZpTBx7MkgZ6i279o +9gBOW2pbGxkh6Moqd0aESz9sFMSV50fi/MXv0Wkf2gq/vOzWht6RVczNcUhe+2tT +sK5E+kX58jdDizPi2wVqk8Gm7V5ItP8R/VOm4X53O2AUCXLzjq3VXIxXpD6AcLiK +tcOYUIg49FZS4KsPgadOE9yYpVz2Y/SrTnaIblHtA7TK0YqujjgVzeTFpnMHl+gO +1/rkChZfeg8+DXUf/lA3thr7csiAxK9/VYlNjPtPyADofsFRdGuDvHi8GYQItGzc +zoG0sEg+nBbCVBuO5jIoiJnYd1EYbAyR+Dg0OE8gHj0frT90jXtaHnV+I/Dwy+tx +RjWT2wsaLiKRPYRmkUooImtTLDh9SJPK1im++H6yc4tJ/CluV4ZKtIFHkxxIl+y9 +2ctT9A8cWRI8wwg6xZH6rGXAe2U6GlLEQvsKPXJ0IEWocPWAxkjtT6mx2OOfL9/v +w1U+JyQHhzKtgDlWXGSJmU6M4n1DNUFj5bFKm4uEjSYKk6pBqbaBRPnVkBeHmEGR +lqctbSYIo/+tT98qTP3Mi86AIscjQa39iTS/24leIFCB2LQfVlhp+XlIekdYChU+ +k/LJlPp4O1AN8MwfiUYkZSC3yuxmPzn2K7fTbOTh6kCvR1dgLP25ruMbqvd8A2yG +1/iz7DV8BZoGlWKItLfmKJbqA34LGlASRag5O7roSPFHZhqsi0GUMbgAMlfyhgPh +ADwY6xmk6+HwULEHMWy67Dlj6nWVi5faZ8UjgRT7FiS7swW4hL+tmvdaNz6rVRUz +7vqJd+jJ2Vc8AmjVVN27tJ7bKLrhljYjtBmW10lbn09Wt4mPA+t+xtuMEKNefvHI +cvsErJ4uZ/9NMzs1cOtN0waWCZR3oiDPntjCVRN5JTVdFeCDbzjuZGt+FvwbBx/e +QQHE+JHv/s+8XxN5f4tIO59Jj1BmtaBoYEY+wXlks9nC/KvTBlJA4/sBUOGS6teK +Q4yw9RjRIu2YAIovrOWwVabMlt5UraBNICCB8Z4jyX7mGWOthQtIMUltNe1FFxdC +f48SlngroA1ygbi4t2LSkx6BxERMTpe+PZskWIINZ5fxGUiqaHuXkNi2HgDPAesp +rc7ijyJPlZnAYmPWgOeWy/7MhS8Xuqeeu9LCxfROcKvMWDbJgRvKbWvDWILDdJpw +Cd9kl8+7erW25CQ3S4KKIH0c2TN+2PTodUmr5hZs173knu6JkIZ1RanCi8C/Hthx +H++tsN6EQ7dLj0TBBZpV26yQxzVf0iIzsJSFH0RPqlRYKuUeohnbdMdUi5F+GuWl +gbzNUMDxqx+mDNbxGn/Ror9TGrwsCKafggygboizWgxxFXWxAHDwDC2XZ0v+nww+ +OnxKs8ayQa+UCP5VPExTkvYMutSeOddC3gBg4Vd5jyi+ApnzhHE7Cs2QXQ/G0ljF +BWnnBN6Xc5ou8KfTxUcGnWQbRF41LFtf129C60jZwe1LtPbj8JjUHI6oMnpI85CY +R8Zsjkkjkw7zUwnL1C3XoPE4LLlBy6PuO1MmgABr0qfeOSf6fkVXHwb1tCfWq6lf +iXEIj6a8sfunNnYJQjAqk6EE+5CuMl0zbhUpU9w50IJjop8E/Fv1jVczFARLiTJ4 +9tVc6UGaZKg2L0fJ92j5m9fl98giWyZGwx0Xn144ZaYB7aY94Ug9wbj7T9NNQ9Vz +bqiUSChEbzYnAiZRbn1vAR1Dq/egKAP8XEOPs5qOtiJ7ekjMv8j5RyOCe2HGXJht +OKFtmZIctk0/YGZUgTAXLjqGC0aijwZy0OplLuXwFADoQS6f5LgyeRW3daAzsjVz +IUZn7HazQQLRNH4ati284JKv1pe9TXWj6TSmirlxd9M0ikkjz4ngA7dnBk43Xzgh +yfJMAP+NoPoWGpDpfiMIIoUkcD42Nwtd/x1tYhSq7Bsbs74fKi+4NsRJVzx4921o +mFHe87xJeR93yEFeUVXr0GgIUI7d8LuBmhNQAtxWz5BkmeIq5m8yfYBJgRy5nKzD +vdiFJ/GMNW6ZQO9fqnG8+HpQzYLh6ywkBR20VlwdfoNhx1HE7KLn/iQ5kiMEoa27 +CmBReeKz30S7vAZ+TfWzxC30Z6a7B01ZTRQHLtAlD5X0YCKg9zPwyBmdMAoQkFEV +AzrQ3q6V+MMzD9aYefr6mE2C60WiRsLUNxKLoP8XAW+GuILdljMjctbHibK1IWtK +naaKyLv7e+WnpbfDwpwTzwAWIDW34Ppwle5gmGnSlWBx5D/26JAM9SOnJG3BIDK2 +Y4o2vIpLzssOG8UnJrA3esU+xFttI2DKazDfsfMiZZdqU0i5SeC7/RIetrnpb3Xr +0t3nDm9/iV9U5Q+khwuYwSV1LrVbDNn1ItpdPZU/7ux24l38Lk/a9x4CAaVm/T9O +E+/aDunywaEDtOh2yTiQvRnKLbc7tzMgVIVK4vRvzom0DcdwU51u75b0wjqkemvo +ZZ9rgW8o4+68wlNIQj2IafJmDzkioweSzLk21VC7lIciKhOfM+T8B1CPGo90v5rR +cYcbkVx3Ud1Zi9Msr+8kGfm8WZMUNOG8DZvzGlV/qp67XX2GdmZ6S8YeJjH7UQlT +yTWxcfZ5orfdnor3xaQIUBxRrXe6Qz+SdOTdoW/mNhyANCaI7kmOJY09GBX81UoR +LTUu1IxzUd/Y9oNvurtZsX56Ew7dnrHC/0saQOpnUdlJE0fd5FqdsSfEaAeE2y4W +qtpEiCxAJQhWXqlydTZoAIH3yq54wxbVahrs0KQp+xdWBD+xFvEAFwyvzIKSR1yr +VCEIL/zG4HabVEzWSDI937V3/qsXAgdjqHiIT/Gpn0dzERKOBwzzj79XnLxMBc8T +x/x98zMP1tcF/UInRLZGUctyz1ga3vAlrGRw2x8jFL0YAuUFEGiA22z50iLWNQke +dmN32zPoR9T0Jcqe32GENYZqya8D+4uXhwZY2xYAyrKodnooqekag7XT3OotxprV +r1Ew8i8iBAhbN0cc53nE9IE0b2idUuqTXB0NIPiIjXT7cKWH6VFcHSwnXwPXL57V +E6HR81NPn1Df3P2XGQZB5YcYQ98lTNsCXTkPDupueQZz+CFzJsuyksrW7GrT3/JD +x24DDqN0HvTL0HB1DnO7QQZljqvtB9XDrf0Sy8JXju1r2C9KPwu6H/npXXnLR1Ax +if1sA5o2oQ+312Eo2mijAcVMGGgUJsasBkRItQnbvwJdiZK4eJqrYtqlw3nt6NpY +ovkSu1rGWhYttIpO19eaZkXNEw8zoCmHZCVmYb+RfWOteEP5HE0aQpTM0uLzyC/g +15U/GlPnJNR8EtsIyNcYwrhwyJl6Q/UMW9YnK5f/Ritb7T1YYIjDyIRGXhOLCbej +rjr8FD6b7V+ZgErpiVPuPJpxiJaCTF/7EmGafUTW3by4anSbY1r+g7Mcws4SD9fk +uMt2+slZLZ3I6RgBbxCTvw4OBgvKq4BGFv9uxcPq2PvXOIl0kjsyGf5rTbjMTW+h +0rQnNXwRpffQc0PvZaq8b6Qh1P2P8KUj4TIIkihJKOCg1ShaZ5uF6Whu24GC4pDY +SC6Aupb/P6G/11mmC3Z01ga4MZPUT7lTCsxhcNNPXQ5pUXpUwAa+gwrtjphypfVx +OMCeZHCFn8vsUcsif3TrWLlHsrn4k1eYDRS1H/XcNQJUOM9jNObm5bVvOSXkdcwv +J8b76K4URt3/sKsxTEqNxYL+zpUiFqYzo+4xJjZlIXbTL4tE1i/lAHKDRIs2I4pu +Rx+sl5rH5FIgn8dnjQJxHUZoFtq7uZRnC2AZcGi4U3ra1HiluKIZ2h6uiudeA1E+ +vgcIKh13XM+Y4bCrHJ6OWxBP3i5nLrZwRbGAq/XryalCu9P47gjMSxg6mnlFYi9q +JKJCQcl+9BpdogZXua3LbqLoz8KFmRxsth3pAaP5S6yspGAY+rz9DuUofrdjJ6Sj +5V6vFWtFXjB1sUGRK3b+AnefQwmq4PeWwuVBefIFqWx/JvEk80KFIWXiBV/By/IQ +2ozKA1JVuzjESwws3Uab8UW86qRJiafiE8EsXlnn448V+Og7m0vfswAazniI2QI6 +aO4/6icGB4zs7uC1Nq3Vzaw3UtBAyr6UruSMnNnZFFUW6ZH7sMaoc43G4nWoLIHh +pzeiZxFSuC+QIrhhqTgdxF5bjETHWJ6C76jzk83a3o0M0QClAE35t9NCq3zRL9JA +++dR4eNv64X/OQwZUr9ly9sUVw9YmQX2iv/eyXhY6Y2pWsENAjLsP60f+hXBS0Xn +UYXd5I/4K9Xq+Z53wHFp2YvMWS0gUMyCYLtimNycgVucV1Tmp947cjtZAFHhiCaM +XlY4Oq8L/t0c/nAZnocaSKZmnXqoF/4rzT0qUFer4TAkMCLlsVoHa+FSiOx5jjzc +YRu+qwnWd+sINg8Kyn5csdoZ9mWh1Ls8eI86KT3BmXMmTGht5HSH7+ab+huxZEKL ++dWcL7xrSvNtVYzGxjZz2sR/M9vD8DAJQaDL1RT2ifje+Iuxp6qEdHVeG1ZqmRPA +btDrip/AnItRXmThYR4gngK1F10HSxmIwtqGJ61OZ/yG97s0O8Y9h98LRSLu6gtd +iI8Mg4N27dn8VGJc2qt+gWcDajSMva+BHbh43efSkaXLCTqdFDgaeHfIvQt+D6oK +79Uo5kmPPawECcjwRFcxagjfPDToo6ty482mCJkpttKcpoWne2anKeRujCpA91fA +bKtoHGZVvFKCeDwj8OnsBEvFzTotWhjNYcKiUXIbXCazBsqd5vzRk9MWeJKRbjOV +tPO5qchYFDcDhIRVsckQIpBuHhQi/lL2KYAffchRhlLHU9eSoNCO5BGHR5Hi9zuc +fjUyD1flz/YzJHbEnt4LAVZS43utPYblhfVdnYEDm4hcUDGKzQeocRgKAS67M8Iw +07DhUR2cM0/lt87vR64G2LmpZnVPKChOj980O5coY71iBzXAEwiTONTajohL5haC +k0CreFFod+TlI+4UxePJ93a+JA2vlMlMRiomF/V8XP/SVVTzzBUV3jiJXi5vwNt+ +Mqf/fEP2gFf0RnexTL/WunUE13hhiRQg09R6+qJqunoKiLwxx8rvRJY+GasEnpT4 +2BJYHQQIRggkY1Zzl7CLBWuJPvg1wepdHrz26KrZ8mTETFdCHA3/WicbEm9bxm5F ++FgMEf9qxzZFU/jhJHiS9dN2qLv4cZ+scoULn6j9S6jibc5VfPrxt4eFqg8ubqje +funnqH6halb+7tiPGVIAG7kHJYdAZ7Yx8vnLKCRMrSaWIORRrL1rs2qyTOxE6xNU +uiFZxxsQ8YUY6GIVXbBqLmus7p2RAVWBiZDBkYKcv6UlTsn2rT56nN4JZydFyhb0 +To+FDgd7MD5J4W00PieTZFWujUnW/iSfbF7weI4zlQr/ST2kkB9sBnlqhogVZsOS +x6c2SxMXHcWLFOFwiTTw3FcoagCmH+L8zyIPVjZG7gmjnGnQ+R45zPGIjTFCDZYv +x1CrjddApj64Zag49LvgwxioymKGsLndIiLzV1mzMRBYSUjRPO5yt5vT0GE1Q/TF +RHdGP/UGrkEkj9GC9NdyVlLrvwBn41Dnf/BlyeKS9HpsAlf2fGwd8OwC8tIXqfKA +ITIV7slyTGmyPOek3nEgIebdGcnznXPDnwqM+hBi2R9CGSEHCb/xFMTG1rV8tuZB +FMZLz77oRY84odVmK1Ms52cXBCSWM2g+6ENS4xAZM37Y0B1jSL4dlyroTepmGfFG +4d41KB4G/KGpjqqzw5G6yP/70IeMk7gIXVSRq961PJVjum8unaQSISdWwmbwmIz8 +EJPNPTi/Ej8E4uSciyCL2hUxeGMThJ29LfkzJkIALKxeX2jwSqdqC8XHqVvm6sA1 +NExZ3SV4ATtrkuLr9mjsLTlKMtWZyycU1ANWTvyUqh1++JmybY4hzGcLUMIKbe16 +W0F3K+6hRz9khAQej7aMNyT6iJ2qvg3GR9chjjwHmONZwgu2zqUDNP/8Gauo3y2f +ODDjvmVEBbOhyJscFf1y3NxLx7Eghu8D4OwXCXZ20vBKYgv8J0ySPPF8eGTJBwUG +oacFrjCe2q1EdRQ7ooa6GFsZC0I7RlwqYmJHbPaGNz5LhO0Q+0PXaoUNsB6HO2Cm +/30MMT/SyHPWqIbU4yf/qok33nkH0dJBu8Old0y/tFrwTfIW1ImE+8FefRfy3OU3 +cP8MuwLCENhuoDh1J5Ve0FFjz9qpON2v0DsXoMign/yr+y9k1siFom8nVgkq4HqH +GzcRI7R8dup/FJmxIjPeQ7o0yZm9D8oFT8oeomi1dFTg6F2p3L2Kt5YdcVKHJB/G +RH2AGKciIx18JNWJXSf7Jxy7El+t/JYagmAb55brfY9xwI5wmOzgto/ChZscSaZw +80ppka1Ku62BhP1uOq9vTMYURokVRqNt/acSAvm+WjKh9uo0BG5YcwSt3anKiQRi +kZJmSJM4XhJAfydn9D/iOQ4NrZCd0KsSXLAd2iwItZMelsNlZjxzsOExGY0v/1M4 +fhCpFc79Nv5R+Au6A9iJVCp1tWUqqjnEZ+FCqbEp4DdVAhQyu14gFLKYMJnI/TmS +VkyXvSV5y9E70W3uCj57WEIQEJfQdpkpZI0FN16Dsa4vKgCidADNTwlR0Hz6lXIj +OU71Fuh3KQ6ldZObUyAnMUfhHOGMUhTZpOD9ZJB1wzyeJ6Ei+72kvdxpm45m0+Df +5K0scGBPHtbAdkUDcnqSmhI59ckoxp9W5ItJY/zBhdYU4BwX6ONwssmkc2zjFgRp +JIIDI9ZEMYFL8Xw4QuvVZD9Wots3q60Uv9D/A2ewNMspgqtPlv9HOMe27VdsDo+q +J0ShQMWTyry7DAduRVq/ebIs+GFlEUcoQuJX+4rc8w2H3DPuxTjIClB0BTkZwbn9 +9u0zzFBhKhTl1PceHjkydJKAhgAAwykWIkxD0jpXq6gP0j8KW/dusq0vohulnK4K +MQ26hD9ozqSmxgoFoTxGw3a/tGjuXMlYogxyCsUh7OE/WocMNhB92MvN+9uyFCC5 +RJfztLrm7kW0Iy9WKyR+2yST8QYlzEiCP4ZaqSWNTMhsc6Y1rRK27emglg3sWTvc +TxHTqYVweya2P9xeRm5NnKVWkG7sYfxX2PR0mK9J8gJLcY+ngwvTpKYWRSqU2rq/ +UgWp+N8GqjQfHGmYjdYWrnEInM+J01KRWhidKpJphxuzcRCvYWT+cJwZqonSyT+b +Cer+iqsVHoUGFzltXQ0kiHPtnEgD0mPilTPmmMqkRh+wrZiS+Av2u5rDzhEX1ks7 +dHMxwrbBsqbr8Gj/iFF3FWS5o1dWC2Llk93ARxib7YM2SeOnLkFbKkD8TJO/1tHD +BXFZ5BU3nEcyievjREQXDjQeiVdJDvBWdzKDwR5emWL7f1g74bT1ndkS+6JAKyJn +6ffH+nHcVaWxTzuhccoE9OM7deJRY8GNCfTOsEpHzON2p2PjqjMZTLn6Lqo8qbo6 +LUjTjhu5eDNGSTU442kI0dnxRYftydtrhUF3av4kNGyw8/ZnBAqluTICK/3TU40f +BQ7UICiocxDBMjAkJNRT5wWdsR7unzcoF0qbZgeK4jTkC1G/pBTBacjMESOaiu6V +HEH+EcKuGpOigyjOBnTmA9gam5MnjgMDz1Ye5J+g7X8rvrZNGR8p97QwDGt3cdNk +ruxl05oHVuqBMgvO3ABO5iOqr8MGg4D0Ozt9FXEROVC+BD5Z90TlGMHgaMLW8meH +ayroE14Tfggoddrx9Njr8CDrVJaIzSqMxbgIHTqf89D8yrU7MwSUK6MzSnAg1FfA +R/sC5J26VSefSo2Cp4P+ByaaJ6rcIlQq4swRbuVf2WJuRA2tukkK18a1eFPrFCUV +CToHb/VHJZpG2M2b5cWI5ljkUAEFUepTQ9w5WJqOvLkumRHbqVwJPLGSG+5uJ0OO +LAfSsjEBpg+eD5JJVyqFbvlMQrRVlflW0O+gfoSJsvdc8FoZ6gI3JFvTH7y56KEN +Xa8B5KCtDq0/noN3lh4UrEbOJ4V3OOYRknpCm+61hKzj7sQ3jfIFKQewQ+MNCcEB +DOBuU7kknuju/dThNT6jWdp7xzRYazF2rf1chsauRlo7+MvrsGrT6669KEkUwpgk +eC5N6+7Zr+Gru+bUmS3raag9Mfa5M3XNLbo+7VfTNXDUGFSMmywCSRWMFHX9Mvvx +eTrewiRBfBkYbbRyLWn/V9x/gAW5bh9K4MLNmrfqjhQbFwKQMQJc9PiyQ34vJcnw +a5OrCk5UNbnuBTVaXASFtxgdk3p3qXYQvs6fZM8HqtY3RE1qG83jna9/gN/CaETk +K35oFtEJDnzpVjub524stD4LSQJ7ResrAztzjCBTKkqmUrxBBuT1ucgwBxtwahs/ +zOGH0XivqArDn+d1G6hKDbGccPDG/7tANigmw9M6oQWvARld4GVGTeZs3rNnVLSe +KABiinltgArXyxIva+Q/e/5nllYTJUU/blmKwcXKxdshfK6ykB8bNJydvCcHlUz0 +JE4/YkjUax0SKe21LQ9tSg7YJQnfSfW4250HJhb8QhGU71U9HLWfePkALDJWhi0k +PPzI7gyVp40C1Y4HBaPPgVQKlw4oJwcZdEPA10TIOIpw0vprpTAa+fPKBJXovRx/ +MeSOfuiMoZaqz7oDzxwnRnuyLnJJfnk3Vt0bElcxMn7TM6J1zsz20As0xHtk8HQr +/x/2y3Y1LKezEgwnBfduxS6Uv6ljgfTWpcXAtYF4yxTVMIFac6CGej1ppbI/JAB1 +gwsZ2iw/VrucEMCNwwveqVFzXKtAwYr+A2jV5yOrcwu968ugvSIGTbzAqC+JXoEA +09c3gU+mQwt397Sg2NFXtn6lOZfx6ss4r9Zgvo5G6AZ/t3WccVkFEte2BQAOQFp7 +y0BJlpHOXwB43/zYrOfV+g6Z2pCtB/k6cl+HifQfksNPHAuVn9AxQj3Nt2JyGSDg +2dQSgUc9wSe5GFTCsubT+RlUec9vM7f80+lCKagFkHCwHtY5b9Zg/rd8TiQvHrG1 +DO78qlMdiQyIpkB8iGHhr1FP5zo3T7DNGNrw9VjclWzbVq99qc3x96wkqijhXJyy +YwOjvngjDwIp1z6MYE6Em7eogY784zjtVLKrMRK0T+O5Nf1xAKjyC14YHDGRn7lg +zxyZ9Sb3ddvOv0aiWnkb1Y8hlYDITbQ1cjviRGj1lUayuXdbBGvvxtoheHJM1EXT +VoMohj1h2ZAO9nGQyRZAVHfChM32+FbU7nLI7U8f4ohmy0d+NBXM8qmQwIMGK2v6 +3TnINtraxXr+vj/vWgyw8nqQIZE8YOPGQGXcaqOlyKRLHjyK1ezZDWYVeooDbxOW +quraa2A0vmTnjvLURDnt9t9jP3t+DOWxwn688SF3o0cN2ID7/nEKb78Jyr5R2DAc +b2oqrrTfoe4vl/S1fEprxMey2yDT7ymHFLQVF8p4FsWJL0s1XgVP0KwfzHHpUfdA +pQZBKTRsPl/oeKenY3HfKpOk5xrFSZ7IQcw1E9eIdbgYh7vb5m1I2BlHX7smaVD/ +PXDVddywbW2y+j/au8DUCDvxYEQ1f7mmxrOJPi0y7FMiNiO28GaZlLtZY64w+OQH +GI96E2jeckbcQTMYUdTCKMoVwAWfF7b24b7SV0BSKKfKfRAC1zuThC/YSLNFcIdZ +/rqwdJV/VRhg1In2zxZbsMGaO7aPxx9QtF/R3wwhW7ZD9qk9soUdQ6hr7gj54LPf +O+jRzuYQS9CWlRFpDCZTlPPJl4v++FogLKupIgRzSI0FeXBNjBQpJIJDt7dCIhwX +2fn7mUIEG1owFpjwi6MwMuXlcIZjJmuQuOXSKWVugnT0uaIvJCvv2s3rDjJd2FwV +mmXGfExLXS8WzF5FgdaZPBffRtE30+0lRFqd6HZ6bdfR2PJzH2uHYcLAPqzvpHCk +G1gn022cfpymtW4HiOsnj19ikPClFr8NNsq54zJFEZMdwhwEDrU2Ovu1hn08qnkE +oQWVj+yWnDQx6jbt2JOO4EdH72ku4b9gpve87eQTgY/9GMyIMhZiUlEUcxCKhm/y +enFVhzZUeoWAbQaZEbFcR9A7/dp3A/jMAy6EBEbKr8Lup7eih7DpBupBLMhrsp5v +tSwU+VJ+khoI87/R/sHn7XA69tOZo4LUpplBkeiTorx8Ymo3sM1HzdfoWvl7OSer +2YLNblnUCnHqDy94CQGySrH4CpvP/2LfY78mvN3Hn9aIEQkgMqlA+c7o0BGiw0SQ +pFr4W6DHUu8zK9DHjEkiUZC3/chFS9KAK3d770MLstqv0yPKwg2oYL8pZiWJwAgV +C2pHcbowX4h4IwXEVauaBv6HsxvWTmyDFTOR+plgqDkURjAKn8qer+202w4ta2vx +HTrEn9aQfrspO7SrVZr/R93ww2HYkGaICm6WcEUe+4OWgYlk5sGphvD0qJzZtM17 +qoimdS1QJa96+lA//IeKeOBGD6Sj4rKyKFt8H5pjKht9C0+6Zfb5vEgoHt+XC05v +7EV7fFKLHA+4d6TZ4J+Cv5IM2OA1g+D/grq3dE9EIF5sQiBpoa2U3HaVAWqGBmPJ +oJrnOUoRciYO6jeXGjCvxGeA83sKRuRJUCGVwNgOgyJJwgOGpAcUVkHlLb1/eu1X +yafSiRtoaEuvwfuSoNEQJNaKY6Tuk3PayqUPRmJq9VUtlByMfKGllWlcRHXCxdmp +SHCgOA4tfBAZnSCCcvQ6kgvgQmphwiO0LvZmTIFQeXroctKZBjVUDMRHFAEtUZli +2CRIPRW5qzLjANbLSdV21Aad0kuu9DOk9IrYjS8dDcHV/qQoMKBIcaFWBV8nONyi +vWBERFBgdKMBMzhV3i99uuAAPYrBbP/4UMfiqJ+OAzQFdZDS/aSopx/H7qcSGdQV +dB6y9rZj2ih/v/nUlGTVjsXVvF+fUS3/yfdmANBvs158c/jS1NTy+nh92T3oDN2i +2o/PoFqfsl5OHSC2bWSfxgtoL2cSBF0Q6nveFPE9xOaAnVZb/Pr2JMYeKpqG+zwz +mAaNTPenupmoiitI3jz0G4o55srDxGkj2FwkONWmr57aWKPI0UPHu4fsaL/k/rDs +u37BeQLnV1kefzkML1O7hPEgbJNCJqGqzdfL1r49Mkghwey4STptRlr415NH89hA +J4ViBbcpybvmEb+Ar4Z+42Ai5G9uyeO4yAKF2Si0ob6R0KapxKZ+/FipoQP8EVUm +qH36RJu8fz3igfBV6hoSgORL9C/2gG7fOp0iBYP8Vml/9Sk5Wxq6udVdsG3gEHDU +M3FyPRc+ZUrbpwR7FuQvJubid8ztRx+EybkMrCvxq3zGvy9oDpay58gHbPJmql+c +O7JRatLABBi0g1HAQLLOEK+ze0sLA+ZO/AcRcRUd4jObgDvpiUUnWzfXRTWYU/7i +GsaKrUHf2m3FrrtuzNkTWSUvq13cUUB64v0khCPfQ3VVQ20RuBlV0bvdhUJ+rgwf +w//LU1PSswyfGsSbIj3kfq5wOCejzQL9ULBH00NGgDwrdpP22WIEkiQ2HaqXVk9H +a3H4D3WdQP0nhtsEEElrKuX+ZPBdhlhNU/d7yk5l9sgKr/vpOQeD429QI2YWZwU6 +hZLaXSsfmAxjvS+EFBtcvPNjV3GVbcWX9gsQPz4IiBwHtdFQSCp/zjN4evy2bRfb +GfOs3IuYTE7s+rp69ke8n/PY9v72RMpBW+AsntXvARZNWm1Y5kWY5yZRrpO3CFvc +yIavxmz1O5doevggsEmOb21yXyltEBn74wLaBzk6/PyAWMtpSwWgW7DRX/AUBvDB +g3q8o3EuGC3d3OWAJElPD+soyzrJDOyV00W4LosthkWtOCQqboKtGCNB21swmEZP +yB5r+4kmyDMmyZdV0U+Aou+dVgZte4AgUrTUYhMSQh3VxnutX/y2OWmlrsIKWaNn +gh/0h8riZCVHvnu8G2nbG75zqavF176UklcqxgfH/EKnXqzIULZJCgcEsGT3X32w +7cn0sTYWV3FiLixxqxGOBrdC2cJ7N0EpqlauR6UNs0qhwkXrCvC3Zs1Mwvc0nS50 +Fb3aiPpecBQFpEKZ9FKcaxViESjr8C3mjWzVFTQg7mfbdXxvkYt22EvVraxFgoU1 +sdH/9czX8CAFJk5RYgb+CwEH7uglixCMhjZs/abrFugCWLJozpoejtK/RiH10A4h +bCkQjH5r7a6kQ0ok/q0AkWQDuVFkPiRYC4kMyx29XbF/sMJ909mJVnG0xQxAaBmu +QTLEJcCFKqkbeAIN01eT39icYf0FruJkMk6ySJ1kLExhciiFTMgxajbXDD/QUlXL +BNm9jVh2z6LGlRwYpshjlE/IkOISLUc9Y/DrSWShFQhMu0qVuATq5BvdH0odaVqu +9K3SvHiYhYnxzBeDI6aBywOS2MjBAgJv0dPYIcjNlex+HgjAQkPFtdzTr+pXXYk1 +3We7dSfq226cH/9BVctmGQzafV7SLgbHtUgWDPjplNgh3n/3dlkVkwlQcRXzVFfq +XP0PnFAkFBSQmf3Upe4pwupvenV8aVQItHyCyToL8KbfHPe8fZqEtsRZNxKa7Hsz +MBhfzZr2PSrhmo6jbyI20r4lN9S7K0aGyZhsWxo3sDTIiWpZ66tnPISZpyg/awSy +lGaaMvWGY7fhABn4g6ucK02FBFzgnUxsZFe6yM1ABTzE1oTRKXinquoeo1dvaqaw +Tx9Mf+uXyQB+IUkTH98sec+wjWmNmHWNwtwRdPcbAtYNdlZZNdrsn6esHS83Ez3s +DUOmaQ/MMIsI5k2thlJitrs2687qI2RoSqbj6kdOH+CQIx8uKAMvcnpHAe1sSyUO +/XTZsDpp4jC6eMqwwRjtNy+dtj1WL+ReQRH3Mkd+FbWc2r1FoV88V0j+Xb9LwKRb +n5YW8AjdyY3rCPNDGyYCycCnbzS9bBouJtH9/8RzI5QR+ZFPpoQ7Bx/jBd6V7Jua +hUdNkAbVpzD4jXsnMmfoeDXu6jnuPOUb48dkSHuroMREQ/CPvZOBaGCaMI9IP+W7 +Dmcr0STuyotGHHdhJ3mD8qIDNtU7+6UU6I2958PMW36YS21tbf0BuFJT+D8r0XNA +Q6rCC0aEFWowrMokR8/LkWYo0sKDeYH/Dw+XvCkekJxSvfNWotCjj8Or4ECVJ7Yr +PIwKNbn1bU6JyJ2gx7h9hTCkejw45Du2G6cmQb/VG90JViWghGbNy/Q8JSWyR50o +qCCRPMhrMHNGzeI+76QVS7Xn1UOEtEj9kMHxYJh9q2pGl4ye5Gpq5u+Jx7vlyHc7 +YETSrQmVtcKtLrpnJLEoI6M4/3GefF6YPW6zJLnkhOsWXc9VZ9Ni6oXbRMFpZmkh +wzXtHmrLJJElFdTNzf2uRkMVW7vzAm1nfjaBuexO3hirdGVeLAgVwQB7U0uRTNgX +pe1vnJDxFbA23KkKl3Fof1NjxKsr+HL8M8/RYwJvBpsmPV5bjd/N1vTVR84zfZ+2 +cISQT0WrSJphPO+0NWiD4FaBMPBD0WNDEjDqxqKShTRT8LJs75szyYWEfqtE021D ++AaokR4rLzzXk3LxKi6hulgzMjj7eDR6uc81plM9LDQk/tPRIzuCuuAJ6waADVZH +imA3Q+L15GlaRUxi8unRITX4eeDT+7fjAnHEwMnhPGyVoDf+Jaa2UlaxdQBUoY13 +aHzvxp2Ur7yj+oc5vDrvLwjegmTn3xR1JrWsjoA8RT2QLWP0FojZMtzp63ijotvY +YgTWkzSqGqt6NzLUAOeFy2TvIL5uRuIRBU9HtjIM0bp0m0IBnquvgN3hrKlV9yMW +L34eoZui3orTjehTeTRrGBspa3obLenBOhvtr+nP6IHdOg1Ca5fqicJ9KQWYkwfK +vIZr2zXDZiFREpCBWiAJysVK6znNzSBDBJFpXlsnc2Vn6z4aj8bMucjPVCkZy7ng +txMPZoEt9aH3RXMkJzadMDPSVg89AhMv0AodJHr7vQe4AXhfPQPhizkEoNx6mB/E +OwB2mFHgQZ6gOZUc6Nyz27He9MzXhI8Bmeb/gaXaiVgTSZlD9EYqNu5+iKrecHDl +gy1SCUuTb6QNvEMGQcANZlUy9Pbi8Sv5UcKURg2XffGoJ/NIHGQB3RBwDSoVMHtC +cbYm/tEo6LX6TR+oIcDI6ybDb0LqUUrL93qsi6+ikf0qY1GF6WoPPji9DJ4s+L+i +Wdtd0H5C+/WQI+N3PX6dl5pN5/XpEW2fkDYosyCv8y6MVLOlii2didthSILCPCN1 +T0jGcZa00KlNwB3LJ1yX5Thu0hw8PstVJ6mEHEB3GH5CN6WPQbZtP21XYVQR7sCL +sClGphGGUTcFp2rkyeHt0nK/FhFTeyF1NhWOpAWbOCdWWPxwT2c2dT3O8UArge9M +9GeYSSK61UET6DloWW1sean6LdY6n9mcIj77R0svZIC0nFn9kITHawKC3b8gKuEy +BvYhBHmaBxGkmHDxilKKc/Ft1ywZI2e6K0V9hpYqqQHKpHXUk/7eWCkrtou8vMLx +eg43o/VMqmWfPfocNFr/KRzPax1xIXcI7kJEj6FuUqHZWCJKndPdxIRXn5ikFq20 +ZgsV6LMfhMXPCkw7u1tuspKMAUCxWzQvcglchWvfkXwRmDMDOxS/ZXBaEigUBAIt +L8PdTFtdaOhKhzHNsotTA5FPSK4hYduprK3kaxwHNEoAjDQU2DGhI/ZjC/9lpAyI +ATqKsuSHlrxMUiPT8zT4sU5NChQpcRF1LxJunOpKi8JMQVfooggx/23Snrv8G0dX +jh/8e7mtwR7Dg0J1y4TTIVSCSo+eoMeyT0wLMDqSc2pd41XHBnpeb0+p4ffjEdhT +FjqMyd+FRkk7IH9PhRw+Ex+BRMBa8E3gGAdY8iY9eatAvM7WVNB8Hnc3Ptn4H3i3 +ZgH8yqTqmG93Ac90LcRXuOXnLAYNKwy9jG3PFcX1OO3oFLrJggufO6Y4HdZXnlMn +kGnoiCCM0eE+EAzpl3UidxEwpoCVaWXEnUJ3CMBX5h16JZj5gOYTz/CA6UIjj7TS ++SIUdKxE9POf423TTUDbZlzU3NIhQGY6k+o2Hap4nF9o3Z1pJvuqlykTm1zHltCD +VvQn0CNnci7+X8yhKIKWeRvOCLB3ytdKKJERnKzMEEBd66A1CLd56kMYO6kuOlnU +0aCGc+YwJ4mG9j++wNK0WiOZrKXRfb/XUyBDwslhPVLFmWVInwzgh9ZHYP5ub/lB +A+GQs4Mcpx9MfKSH0SnD4rVzvOORNJaVS3TCWWpU7WAqLv+DTb+1qC95vmdbxBLX +Cbh92onQp0pExigIJHiA0SmN9qzBZ/TYF55mvaKN/uGc7ZTEGqukBOgE5kmCNg66 +Dah4V4Fx4NukzAHh+0Oh8yrw6hlYY70qzJHW1zmRymhPGgIgjWsC3pc+RM/gUYwv +ZHQ05YZPaAgGp2dFIrNrcFJr5cMhQcvNuMn+1ygn1ILBo4G+i1picwQbs6GfgDv9 +VLameBYdW6BvqAxS30iHijzFrA9q+EPAhjxhnR7f65svWtUmpbdeaqJ2zknUbzb0 +xXRCn12PA9yq+Lx2CZo4zk5qnyxmpz1OMCvPsX4GI5MoXRGsO+FwhUCs3grufdTU +sXyuQ/lss6uYFHZJVyNL/2mp1rBF1s8d3WfA/ZMordhQNmgbcdxm3kR6T/KZ2RPV +57ZAsvgop0s9wloOOFKsFzUE12aCY5dk6De2yFQdgIx+ej6/LZ033QTpWErxnnlQ +GpkW3xjD89a8sEWewNZKyDWEGnF4+7urVcjUArYTnetocHHokOfIxIXEhq6X2rZi +Tgx1pQzC9kpaMxU5SVwZeO6zeYjsiDog/DPpbSnz49kmf+qrw67Hc4mpmWtQeJz7 +5rueXK8FARVybafy4EHoES/9pm5eVlXeiEvJ/4wkxvtlxF1B5KwP4NS9e0eWRnfg +t7HWCk64TENnVM2A2RRU9RjG2gWZTi9JBEoWj5iwZYIDrZLzT21IW9anyTUoJoGU +GULINAhtOT3X7IaWrcyLH9kEckX1+dQhQhagQMVyf7arZM0llc/que4MlgTmsKIh ++ly8KcSlt1KnyDXZaRc3gHRp+fGZJYM7iqR7Eghr1lcsvykdCLRQFxnHNcBoEBzT +NLsCCYY4ijgm550E9Z48s62xgMlOOm0INlNScgkJHpuNLcFmR03+d2lmSOWLv4Z0 +YV6R7k+nof0oW+LUOX34ieJACn6Y1bMVsFtCK5gZFzZKjpuiUe90fQ1VolC+2Gga +7W/3YyRmGUGe02gGfiRNH56uYRcC+h6qGaqcOeGLkEXEsL/qI2tVWBUIX91qzQqL +lXnK5Jf6+BC/vT9Iza1XDDgwrCmun5jxcF/Bs2A1sXf8d6+uqfR9PL3qlM1jdRnY +h5rFahysxS68NOdXyy13Dg0Jn4W4UZnlP9Sf8eKc2s58q2w+iy4jtTFSzCW7dO2g +kUlS5RpuBrBpfYyQZKtlhSCwBsUC2N2mxhLu2j5ibmxlcJgQux+uowhY9Bze+lnk +vO/yvqypa/Tb9/8bF4reA32DN7DaJXv+yCI/f07OFxuRBsD4AQ41u9KvcvBJUgzk +ro7vk2RNeKap/NMCcAnQrFN4YO2ZRq1s6IK+u5MQ1Kg9rRl06m6c1l+uB02nr3M4 +yMvh51Fhkle++EV1WiHKDt+YYe4lV8HqafpNojij5yyQTLWiwHcd/9KcEJAqu1m2 +RQSzswO9wB4lZThKDHbeNiBuJPYWrKtiUz6RoGWTlsP7Huv9meZdcv7U/7hD+x9H +wNSGumft2SQWGn2J6l9sPEQvWjC2TQznIFSFek8HOiA95S0t0dNWutq3o05dASzf +VXXIa6fJi1Ufj2/rG2kauZbTK7ZW8FP7o2PnWML5JnhIqUAkhWyQVKKlZCOEuHPa +bpP1Rudy+Zx4V5FclkrsD3RM3J9KA4yJI2cmZscxiBb9Zxt7bbUOKb6bT2nJbJq4 +R1CkfhOT6YXPPFusoXb/eDFEwcT0+MdmqmmMZbebFZsb/9ipebR3w/TjUmE9SKcj +SmlJ+s49uQNs9mtDbpFv8UcaeFCOGa320anM4SslCVa5wpylB8e8JQW3cO8ZkKKB +S3NQgV9birKntcKdcsY/cEeq/pFzu0/SJzMZo/9jJXDCZgGivsTcURtboa8cuj3x +1ppyCTdcvvmscPgAUjQwKhFzkCAz7tXN3Ceojpl2oZ2mq6HEJiRlD4wCGZi/43Dt +M/IBbXVcJXbm3dz0Jga1T4k6GVUWkjRfMj8CI4WMcErq833sti5PVc71msQ/S67+ +DGP1sP+pDadCuWAxKWfTWSdHVO9NpQLchYgptlhDejw9NMNF2t9U5NDw5gdYyaJR +raSmzpZF6R6Rk4RmevJeWj7U/muBoKdAz4kGpaIFkoUjHq+YPvaCAyqrMDbRiob7 +GgmVYsU9qhNjKr3CijJ0G1tJKdTnor25F/S+InKpYVv3JqsfQEmZN226appV0QJP +Pr409LMxmSZQl3Ylh/lFLnlBdhOVwrtaahQ+9b5RwBPzSrDLLtqq2A59xKn0c9du +KkGmwBJFfYvJjdIvcEe9TnbfsMBMtjLdwZL/npqY0qY/93LWE9OdS6MiUAkj9w3C +a4w5Tk1LaEXb2wBt/tjMk2BOSAYiRT+Ye7OIkgr3y/QNmGgWAnliGK6kIk6PyiEm +jU5RvgdMLZmiwhI74oyMXZIyAs8hmZUljZ0Rjxs0ILK1nbraHVNcDRxNArYpuLIZ +8miKRMyJp1exiICAgvNCuhj5ICcsY2mnfE2UMcdkDFNoBbM0yrK2hRQ7KVEs4LeS +yV0FwPgfPDYJOmcCMQTv4F4c1F7uQAN7RE6QubvIWx7gE3vEvfpOnB3rI4KYnu4k +u4cmEXroPxEDlRFTG3wgbW7Ofnp21o4ZkJsXw6Te8SX/ZZZ2+IL44+RDoc5qFB3x +IE2L/IniCaPzAmfIj9RcbrU5UpYKFE182Y5d4TPrbKr1oyrhn0rA2ebhtTlABdNj +ghVJ1Y7K22BrNoKIDDqhs2m2EoAX0HB3LWAJtCcjwGs6Ev/nPKX0yRqzh0ohDrBk +R/D78zuHjxK665np39Joa4bsKZPlzrhXqvq53OCB3hg76hDfzeQYeRLwfCysSjcm +6jctVyK7GPtkRa7stBA9TKeI21fVc6NoeA37SRALudIklFJNpIoYuqsLzA1Aw0v1 +TqoGyly7XJLpW/dVbp/Qa5TeUAyo70WIflc+OgEnQOyGqeoOap7H6izSCOa+TGED +M580t/37t/gx3ce4vbqFvRAgTLnGgZ8BeADwDqSAGzq/yHxNUyt2c42MXZ3z4Tph +JK3sHXh8SP4dK/K4gNWkRba6Xur9pEYaOkSs64qSnmX7g/Vu1dNCsH/laX9r3RZQ +HlnV1SUMzVOIVfsSiZnfN71bWblVx8ER/NpDaZWFghAEkijw1WUYxhtUzMMbCSMx +o5ymEwEhUVESUf25nKOm13Q0WqPqs+V7e3tZWAMs3SFOExO9O79ceHoiWfo+OO1u +YxJhVWM+VizdB1mlKbGuY3H1SeV3Wdgz0Z5gkhYcsd925lasisJ4Mrq5YABP39Zs +3jFmj1azElwcQdbDDCsUFQm/5G94neq0ODi4nZ900YtXIWdV56DbLTowjdL7atne +ornxn5mx4g0/P0+W+VKXg7RXoXurW3aD/a3AEApFamoO5mxqipPIWOQIYxhEu2CQ +CRzR4M/Ezou6h6TJKcYi7fZ8iS6g5gsvbqvjUmt+4ydVTvtYw52Wm0pUm8cedeng +kFQPevMb57dlkPi4eqL7oiaotzbe6nqwpV+EqTDP+h7Dw2SQOlsTZtkytwzy2gUI +HoSnRN3dC4J8wnCI1cE+TaIVn0KIVfZdpvQqoIUtd5d2c4uEvGhTzQYRlCaaIECj +Ys/5x4TsarqbeFSBwDPjZ6Bc/lIaQTvO3MkPy0Rr4JFLE2j9v7pVJ85CqTdSyFGL +7VQw7xAhKR2p9000k7BCQeSqSF6TsV6VzvZUxHQ09e+h1tWU0fEmKWI4l3s8Zlgh +ox3wHxI19eZovT8C9pOagX+bm/A1vHQnqdoYzySI6ssIZ8jKq+STb5gRhB23JzIC +f3/sfqdizHiAINvdHH3LUSeoRqrO3smJ/Q7AGo7gQHV545xKD5big9yDONKNtvI/ +CSZX3AWkp5TAX0nXeBDNWnV9TfXrm2oLD3lSFhDVDeNhrdUeGvt057uNubfEwgia +4djKUB6qa6yWyj5D6a4sbP7cd1RT50t5B06yCl5FMg6P2BkWkqYwogjIY7GyfayC +r5wT4qEC5ZCvZyhKPGmLkLQMrMe82ZWUowJAOzp7bAROm6UKxP38zdi9e1n8aI3C +PL+ZjQ2n9Wsz8VXIFrfmyNkgCR6cCDbieeoik7uzSmdcTA7Ry245X7kDCbcU1UoC +/lC4feJwGSvmxlgT3uVaW71kGTW4ulD0co9Vd8zdT1kaxJ63KLPNGQsedc/CDtca ++urCcFHAEaMBEpqtix+D2BXAE9IHyUU8umP5scubS8F4+Q65U7xkYEkKN9G5e9Ah +V0LN+vhezUO5izCk7dEgOBQXyT0TVjAGk6SQ27JsI5cIPz8UOdcgh70zFrokgswy +Ui0fN5WoMyuQCx+ZbTx9JWiO9w7m/PCGPKXFv5gBk7qABfEWr+zgQcKYTMb1lFMV +UyrLjtlq2wnoPXlOi5KbJcH2EI7CvGNby8xRXtfkGLqxVsoHJp1azXpFG7vdHYft +XLkWNFZ/1NdBr/LWPmOWvkA+EiltivQDNovHYqdjXvcNtTb+UNVjawMzQJLDh+fF +IbrKZNQgzW24SrA0iqR2GRR3zf1l44LRyvjMptfIi4ZQ3Z8Nj8n3azuQLiCALUkK +U5cOJqPwbl1uDisuskYyV6fiJeFeMSi8gVpSzvgLuxTEWmKFKK4wmPDNyiEPU9d8 +etHNSsuwMVJkqXaRAvWb/1j4x8SlvfRX5N+Lbk17YShGLarDyGRwak+sVYGN9ndH +0JHBwmf5kyqhBifm596VJzkjObQzITKTkFAdjdca3tkvmEnd2bc5vSkrABNHnwEn +P6L5hckIe3nrq3B2nIaJSJRJHbZf6cEjK9frbLGJ+IO71BHEOKD3TqNmbHXNZyVr +dBffYvaDs0I4j3eFHjZ/Ob742gJN+2ljY6fbmt6e373pXk5zRd5Kl2CwD0kNTeCN +KzPDbryM9bT5gO63rQ4XTYrjlY7OlO50Ir68qS/H84cK2JD1cl+HZCMXjXkO7kOK +I9yQdW8IWlQIA6ybVIAAo+/OCqpgsO4Fp+1INcaUV5br1VF6N6gjjKDqJm/Dmm9J +BzRVs0sFQZlsMpLdeOIXy/nZ7WFCLkBp7PsUA6v9WcvRLcZd7H3O1CVVmGCXlEKc +DRAp16cfplHsDUWFtQlFAy89dGeQQhAYnDVSTlbf5C4AZ1QLzmhID7dAAGXnkrKm ++IWyxIsjRT/KbqoBP7FlunnvGUfLiFkYLyZouNwIvfdwSql7IBnYBU47TBIrv19F +iJxPO2f5lfWLicq0sOWabln5pkrkrfUP191u/fpvm2HJzhZct4hpfb34hMW4Upwu +NyIINpwPqjlmPuiLxzTHCv11MREv313704JxcpFKHs2cG5AXDi2uWupmcHdqkf/N +ztn7m1EGwY7RBaiu5n5VhQUArOzbUev4Oa4QBeU+mMwCUqf8CBMrSmczqlKlHInq +oGDUZBgRYzI+C0CbPYs5Bqsyj4x0x1sNIk0aYGfQf29j8mc0u0rCLNEGX+k4jzQt +0qlhF5L1qyy1XJvJ+EISJKKzD97jpGsoqRKFGkbotaETSzsp8yXqIgIAAWVZVw0x +3jgqAgTsmVdN/fuqhyyVjZUvNU2sqbDenx5Dgivpdf7CNYUoL8jjNTBZKJ/Zb2Zh +TyD/zcLi+MEqBkSpVVDb3BDbaZQplSb+jh+d01KwbMTjNKwU1o8ZsZiItPQss9Oy +h6G3AzPQ61RuDypumJ9YYA/+bnO8OlrIeEdJjjYFBiuMYyl64XATjmAXWV3dwBrK +YVPmuof6MpFPub9YMDPpLIxtKHMUh9uudZ5RYsJ6wAirXdRNWlCVlkv5vD5oG+VS +FV/seTbCSNI9friBh3fTbyruhmH6tkNl2Y5Gl1ghmnRCdlNM6ehpzNrSvD8UX5RN +2fbIYbR6qPFdW5qzm/pRANuemNe0S5ZnPop16suqiBseGaJvJZ5DL5xw6LBRU1sp +oLeqecyW8//EOJALONqOABmSFlLwgjiBKWZLRrFtIwHHLxVCyy0pDU/z9J+IgDlt +ilUHdAy2g3eQ95M0PqwdfOlHuuFNbd+SK1LO1RRKAJd2/D45w7P0BPQa435cBHX1 +LGgkOtdAi7NcSp9FOy1bXY9h+xwK0LSJ+QSqNwzWhZrNEAV34bPVnQiR6NBaYbY8 +Rnt1/7hhA44u4zsj2JjvS6+oZrIAUpU4ZEfUDu6j5zEATpyjSKMWfxP5acvamxP3 +I7GoLxw2/BOgTF42rB9Yn1EjezzWj9sqYGsxZQQAdXvOxCvM/X1Flpd3aOE7zqs9 +75MWKksiThFmckYNoP5xhjmvT4YQF5loYVVYS54j9ig/3/H5Wp/ig8hvDO5ZnRCT +3BFN1bEyUcMzm+FVFvUxcy1H9RkSK6lc1+eWoF/IHKkDx6HFovdi/EoEVP75lM5o +uxZe076ajf102PezS303XqqRl7hZh4uBVROThzvds6/PIk5cZ8aRsbQxIIv3kGEN +SkheTUu8pIVPB8xnT1GfK3jHj0Ei72Ku+J5AS5AVwdCEgCGzGs14X1yq2zkaGvrS +DjBXaPE17b0SmEzCyTC3jcpTabkOtD3BBp1bmvQ1feeLrhaWwU3kUMDc5sO0vgsI +lNcxEpYpiwa+lzySfHmk/jC2UnSfMLAHdA8NRVJAr/joGw+Mm+QPlRvuaVO/gF2R +w8FbKcaSnCN/8IsGvovYd/qacTe9wkh2gr6HgG4TVMfWvLoQcNLqTZ7en0SomOf6 +M6det/jFKwcyE4WcjTr/mpoiS8ZwB81Juxje2H4L9IROe/EO7hUVu9fyn5c47Uhn +pypj7yqoCioyx5I963ZauLpVTs/NVynqwFp8lnfkes7qNrky8oZHUsSAnJ6PUybN +x2RJKEqsjjW2TjJ2cmPMHCxndX1+5LjcmBV/qHYcDYyuV7pYEzxmGFkcg4rzwfug +wxdno8mOC23X8SDDUAVhztGx7sBcFOX6IsvrFb0Mc/zaKH25p2rdq+ZWRRXvyIHX +pjh2tddBxyywPNK3afC9QWD8LDjo30DBWRPc6s5AXwbxpdpnVnHLqg6MgyM1/48G +5JLrD82Hha9EEedzH5FpT7Dx+t5inVeB8Lx303xz/esRN1p0h8s16FaIpHbFvDh4 +xbYUyFoX59jHYHtZ5gz7IdEN/ZMSXTgbmsjO3eyKeo2shEcig6ZDienwaMLgGke1 +5t3qZ+iSGG9Dctx1y3GLWSPbx8blLtrk08URnt9kZdLYWXe7R6NSkeYlinblSbqK +HI/5XPIq3c2SpN2kSWLD6TK4YERELRPf4Z4iwesqiFeQUlXshqzVcmTyihyy8lKQ +ur0MNzGDM5z82wAv3dT+szzSCZOR/Fyr8bs0DlJvUGFL3ZNgetE/0BBa+bwqPvLT +oy4VBDfG01nYQeQovS0q4SYBSVC1KmcGzsUS8FcQNVTRHVG96jlLaVB/bk6DO0It +KpOtkmIptY9P0tI8chsLut4Q91Y8l1K43LvNz+pLShtcgXTekAJU7QOU5rC9blym +1O82VH0gX9nN5F5tymFiwIJTJPsN/MKb1trKQXSOFs2RkAP/j6C+I/epHHucIPGu +2gNswr8QQ+uv8hjMnJSuIpyhPeCjuCTPBFhdMy8+gdZqU8v85YgEPT4sfGbW+X/m +4wkdqtNMgoQjjecQNB+3J8QuVozzbxA21VGDIvZxJu6kXuVZPibyG6rxjsngtG2p +HyFDGDU35bB7zbr26tTmijj9ah4doxVHe0+7xoI+zco9AWj/Ee6KrB13fThXSVYQ +nV33FCYoi3hNFrYGmmzGUg/5OuOsnUbWHES1c54zM+xlMNObc2wOWKqPOZtLbrUN +To56f2h4SiSfok0VKz0SOGf9ew/tNA1e+4L63Qh+mvhIVaFBytUyg2NtzPQjZ7z6 ++e5XpV4cBdF+mXLV7ap0eN/3mm+u8QbZLCPBC1qp6mpaTB54cy4/hj/eFIiRVdOk +hujXbzFUbNugPVy6nmo02sQzMn0aBB4DTSP/piXYzC2Ua4Wl+dXuEwGzXX/SRvpe +eVhLtcZ0MOTYBv8xLbUZoRBlouPYAYrVIM3jdml7JwdUx39FIdhv79ZfXjZlVsG5 +6LmFhYt30v8IqLsB7PsogeKK6a1R4xqlMH/SRTr6w7qTVSkwdiL/Em4TGE2jwwqI +MjQb3x722cTkbG1oEp0Erh7LgMO+MHUSfzYMdf4VdRs2t+ucDqsFkjpe/xbEZVgT +PtTPGteSptwnkoV8EHjQifK+ripcM7EELHx7HU3jol5mn4nlxMwoBl+Mrbe0dSKI +Ru5hfv0BaWoiV/ItT9WSbzx3p4g/C+pqESWyx8S/sisRxruO58FmS6m3P52zybrf +JGUjSQLFrfilCO16NhncAjuz5W/YVgY0fKZIcLBwHm5FWLCDs5u1QwRb2vq5zIpr +q/azbl9wEIqji761s5SnVgloWmTyw81D3/uu7Kgnpq9fz8lwNDIUK2TIPQh0TV+I +jfwUYIsE6J0KxcX4H7Ngn9MqMCBrdYOnCNM891jocpHA7mOvVfru9zj6YDU7Ohnw +I+k5yHWZMpIZgvxZtvJ9jWMtvsI3prZqukPtGJPtEWAQx5K0ow64r0wlBFmq7wA2 +jDOWQBDN8KH9g9HE95mA2J0p79nRawCsX9PAVNk3UJadj3SukZB4zu6zZPKKFRAX +m+1AlkBgQ8PJPNm98KBPPQtIthlhFATxBEAzDri6LWgiR3ilycLmenK4src/LE0U +p62cOu/bg84UV/lnrOxP7YW5kk7V1JJEQBiJ/SCCSoIUSzL7TPMk+ZBG1ujoxn1O +aGlv4I/V9XbMEYXF5qXc9GOaJyzaE/aZjYyMQTmh27wz812/Ju4H/CSKAbkM+M0M +p69X14dBc9EqRT3tzCWMxZplPAhzdPo2btuiIHgq5Tu/pMAqh/lXyFcD0TKI3IoA +K96QDd10tXUu49QJn9fBnAtgVDaKzyRo8I1dCxQVk2bUtCp++IREHcatnTfhOA+s +zSGbZk0costMVOisnt3e3Hwvsaxq+l80mC6101GikOmtHJm2o2fpfiufKOnZKnwX +bL0bpeldWN97LhbN5F3yUEAUEGSEkUcoJOGzLPkYWD78xYJpNJKjgCM9OiEBzGCy +PbWmV8anDUWx/1HkoA31BcOg8Yp/1pQM0DMO5+1sIEg6sX6vFmGKhUe0r8fWh+RB +NJuqSpv0G9b0gIwxluSKF+cGgsFqgFLY0SADcOpI6EyJP9cbDGuVv4lVZ63guYyZ +ZKb3aOmP20UZ1OeI5fbmoYN3d/qbHpa41jE5JGj4WFgns9gLxS5EMYpX/ZSz24Px +GwAMPjxTv6YyZMtwxJv0yHeBsCxvSI+y0Grk1oK6DjMwd3z3It54hg/1JuJ1FKAp +JZLcleOqZIIw4+CitFrSCDyEQ0VrgzUM+SkOWDuOJxCraEAuCAtmGND4sU7cR/9u +2+DQnTmR07vsYO5S5fuZpvPfcyHRgYH9OPhUTcF0WKIgmO5+zPi8BnfD1NTHCxbi +4asvskGKRxOhhiIlFh/T7UOCRhXUNx1nQsfvcNI55rM9H7yMSjNt/e6mO36lXf4r +7BUzFcHlduzbh2QeZ/Z+NKLHMjzHd2n24u8ddqfUWaf9iB6b+3xSK4XBDwRKpF2M +UgzU5tS6Lmc3q/nf7Xecc4XZlUjIGZYmkBy5wS3qBgaBc5gfJtxGLiylVpYUPA9R +FGAcDCOfS9i2ifS7+XK82oh/Rape6MDNpiJhqnBtDpBAe8pCG2vpKsDtcx2Gm5xZ +NUVOYR7iOpigrxnzWMJaG5CZ3AmPUD1apO9Qw4Nh8IUW0nNKkZm68Wu+CWscL3MU +iNhO7eyFVmcH6ayf24GmhSOkccFPysV5ETV5iXq2D9RIRmuCWTWgUdFC7JFp3K0G +lhT4+prGO9sVnPYjtoqhqhnn3nqWY7CXwXih09YCLOFfSIdxb3v8aKMCrLfSViZD +UF+JR8r24Jwr6I/iIIxT3f6u55xZMY8aQ7J+5lKms2Zepi+S1gXKgKg2Q7ekm2fT +MQKJl0tv6UWeXkxs7XeAVp12W7nTSiNEAcQDBKUqwIC3XkBVInBhi36nDLT/IrGC +PxBiAsZ4oPBpKPhIdpqPAJoM5L5F150IxFVjjWFq3DfQ29uWHtU0LfHsdDHADm5B +65s/7mLTFigqrHPXiGb+KB83aTVHg898pvgoF/01eAt3VWVi6kxhycH8eUBaafqw +GitZ3LxmW+1+M9ih/P59gQpbjFmTXKus9iq6JuBIVa+AXG20cAUqh5p4Nm37VHDD +XZEMyvI3IzgqLID4VYYkJ+VHa3xj84eJ+FQufQdVku/RyvFRuuTH5AcJyYs7EYSg +qBs0X7a7GCKmGEMuv6Y+TxtgscW5RamtZjVDfy401XyQYdJDXABlm6vEPwrJfxZV +qaBd3Iv1nleLERtyFP49tuvoEH92pfZHekjnO5xlFU6KrBDKOZ9OP8ZuGBSfsWiv +7CoLBH2SHFoubrGYf1mtmFj/X01swFRZsbhzavjPazYrjULJ6KXjha8oqx+MkFhx +CkEyff27SCm4vpjWHcqJqWIL0s6pQkY+miZT4SQV1K2oVNjeyIQuMWS+86weiTZj +eiKwVZtkSqvsH31dG6NCYisi2Lgp2qB8oLQ8qxsd7XMQhxi3M8tq68Wp6sI39yTW +4aMK06Nv8X/TCRh9mICWPs3t4cBengLGwxhs7+63PHigKe8gQ2aqnqRtuOoY+iRR +vxnQCa8XaPzLt+pBXiO+4+MeoRzenkAlPziwkQYZuWSZnfahaNTJtQ+BW1hZYb3J +u1ITNQZEzubGMkjd2tVMbPI6/Zp+jbQgZBLHigp0bWqPsnr8wmd2FyZ3fNf/QddA +n1uGuTjDbz3lajqvUKyvy2OM/sc4lsK7Wl1dMbssF7zqVaXVYdEXAuUHANowj6xE +9pAqIc99+otLmH7UswBsAyY8JzWvBccHBBQBeCAIGfKNMc/ZV9cJZgo72loJfA13 +OC9DJlP/7kuJHJKaNfIHfeKXiJnPSwMDD4pA3BJqfgDVDWdvFegjzh4liWQgLYg4 +4Mtd4d5x44YcICAL5b/6Tua8gfihA2eqn9qD/hdSeXF0qbhHERpeyPbgVW5V1NkM +U/xeLe6DBpBfKufJDWGwD27Czmh5SSmUdx5hgSqz+yhOr5gkPS/L0doC60JCBOXg +4c29kAh6ZchLpsTKO7SPXOcjpclaqqTtY1pGFZz6Tzc+TFUWo6KaU3r288PfemEM +z2I0tXc/stD0cdY/KX0N4E4mEtmexDq+C+5wduy5lnJ/Ms9K0m6hPBTjyzWyKcqY +zxH8qviaT3yNDy+zxKBfTBfHIlNO4SQbr7ldj6Pajn5PRoqMk8gZEZNOKEmaCLKJ +8vT+FeF8ucDnWhRIM6RP1dUJWznKvC2721jqfo0vpA7LpSX1bfPGWufzaHmwu7YZ +XWpePX2IaOJp3nm+h67K7AGPXiyTrA3b2uszlQ4TOvJrLEjMScVHY5TqMz0Rld1g +ocsvfyDGZiSQ5yn9aPT8Qyk35NmsFa1RkAvaCKmT5168Z3oSdAcvxKci02gZTXwD +mLYawYTGFTlYywhxtDzPUr+W+36P3nfZVRqrJNaOiYCduMqlwvrI6mySRJfwZoOX +qzNuTIBWprBDMrTorQqyXBtM5Pda/znBhlDY5oYeCQgBj/SmZFZpbRy7L8CyzSL5 +f4kH4JrY3XyWsHLwOXPxWLVtz1o1g+riVd9fAPPNVABhh7xLlXgY5y9E9rfv4c0Z +GEwmbVh8/q5qOecX0XbN+4KMpO85tjSmcyC9HT3EvZDRPoS1e9nYnjUJWsv+/qz+ +taZ4ltbECaYWHjwToYhqZTXB1OMD1VF+LWzKuS2Cq+CT01QN1P+m79xXLVD2c/jD +r++g4vAzDeejgHZ2+0uefvws0iacVpoL5TmVLzVuzIr3I/iwsm0pV210Y6uFkm1Q +/paPwgyKO9YS3qoFygkeIppm/BMu/IfJQJEMDH3q8/7RgPiTpIO0zqpKA4k+Cejv +va8r56NmzLMcHH2ISOimj4htf4KhBmT4lni0UVVT1JAf45LlPxhOEuw4qNLBJVTy +abG2TGlMmmzDR3eA1yIazrZXrKdawRNN/86EW/PihGRWFglOMsgpfcK1xi5r9Sl8 ++lpyrxydjiMXxJa3BIwJYU9pNkd1fkIQkzFOpDiIupxhx6CY/8eWY5o13JDHCT8/ +ND1vZxh/1RATwua/KwvUNL8lt6O+abN0mcJ2VT1UiIK7exRgnzU+zMvxGRDVtgOR +m0GZsPUAGQucPUZrpf+BirSC/mpXxV5zYupujJOqNulAqP7pGmQL0VkTqoqGHKWU +tatmUWVdXbrWfhUeLnvGnVH1xfMX32talZFBYQoYntxRs/BaUYEEdTvQmottdvl3 +vpsc+O7lZXdOpGsjpMdd2vXFzW/9syA+mAa8GBT8CKuCpD/x2lbqOwz9AKxpQotM +VOruE78AnoHvInWl+NCVCaLJapVye9HtxDVX1a1A4pVT2c7zIA9KqSAa+gdednK/ +iU7wruF7iq9DbkfXBgpc6ptM7w9EQQHyEukF7F7LMerbUpVJcfjpTLSJp7zFgTn2 +knKqiDrNo54DcHWlIItkcnMoBbyuo/7LCjV6lxW/9hjKDGJgb47YUsdG38Mfv99q +n69ANeciDUV/RuIGp4TWBEWhyJnXmrIIBVQh6KOgRrnv65uKvfcLf/fSEbQGlKfZ +hp0zjjjEGWc5coJBvVjs773o9fMZ0ZDO74ssATIJbaFGBfUadkILfMsqItKVKPaa +Pmq6UcLjkJ1wNsrM4Xd8qbv289yJEQeo/Ytpfb3GF3D5FrFlpnSSTu/bfry2mFca +YjSZK6Ds8Su7ZIuDTc/okklcbv3KY6q4/dD9U+E2m0aBzyd3QnGJ2YGvi2YjIGFj +zzoDAoQDVTsrFmlhXDCl7w6hKsHF3JvirYKT7TUDFmy46a2U1cPhI9bZ8bvREAi4 +Q+mSzWoT7J/hl8WqxzyVrbHmBigf3Zz+D1krYIPF4srMuklabXcyScS0BDSzpNNu +EWZvu7D4V+7J78Q8R2eFKC3Auh8bXRllE+jPYAYQ5VjN5L57y/inYaCBMyPbzRf8 +p1J+tY5s57FN+CLvArPyTnVfkWkQFvaoJEP0dH5QUQwUT4lpBSkUsNTFSUg0JmFD +dbqyfs7g/g05qfefZjE9ReLW96ukLUTlUnHhL59dr+KxouG8HOrCozD3m2ProKuS +FkglLBw2PU+W3lA/IEGhyeMPCbn9QC0Sm+uDpz+zr3nxBDuY8PvbSfFodBT6e3fA +3wqeryBETxoJ4IeNmmtkCyIUnXCAPqfGtC5oq5Pj9IJX5eMnM2tAko52JlF4LM84 +Bpsjij8Hf2toqyLEk9v5D6CmpcWO3glBAR6e2Gk1MOrzx6i4c3SoMKhkppT5artR +VGJf6nuEQKfty7kngPKmXUMuBPRUpURk8sR5NuDIjGDTyCCqYvjYIRWKAU3tlmwf +sCAGyNMfMpVG+HNEbtmDx5HGQTu9fmsraHrQaI/XuP2eNB/lBFPOkYafMi8qJRO5 +qfoY8mhiYaex1hjcF1wvQFOGHBsZyPWwOOb7BslNnr1JDSoOe92ZItLjy8RlS8+h +Ub62cJVi9FKyRWYrKOwJiCOCUIkMvJqLIi5MnLaPzZ+Qs1WLYaLuA1NrbgqNzFV+ +M5i/SiZ3v+yJuzPA3PLFFIN/PuVx97/7gRMxUnytXVBQMh9CwAfnTiioOm3WeJBZ +JG1KrE/BbgM5XOy+1CYGgdpP08UI6qhdtqmMxfAfsic4H1A9V2obt6UcXJ0QpKIr +Iwp/exFMBhiF2AkX/bREDNSCDt4vXGTlFPZWBTkuLyCJwcvwc/77CHuOmKD2GSOg +UJWejzXLU9AFZUHmsjD8dDaoWNP6cRG6ngBmpTNec3qTsh13FBujtU35/TCKzpHj +nAYbl9wjiCaWM/1S6JQ31d+RH0fQt+sjVRGWRyA2Ei0UztzoUKYcVaOfFAymsS4W +HuM4WbAFjoLczlRQbeDnMSIc2pLPs18SLC6FNglkaC08y6SQ+udrEqds446/NBOV +XxvVw54Cwvu5UoswqPcLt2nepbCo3a6kzE2wEP9lqr/TyLce6sUMPtFd1oSOe+4a +qjYsdVLGo5h6MmDge5XUAMJM+p+6MrqCUo2jQmqKiaJvP7E2ae7y4B5PWHwpOG0G +Yn4fl15Abs5doWOZpmcnicHoCVa35Dkm5eEN904gAizFPXnzDwAs/x+/iue0+FSu +TBjAjEx66hbJNMZa1pZaS02MehxFLw4jKYFYtIeGmQkpF58pI5ZderulCIm+vdZ5 +QZHTh1QLHTIYF4taypk7hULUS9v9JUt4VhrtAVoz1ZTIExZcs7coKSQvU8Voz7/G +GJeHidOZ54T03tdrtG1dIbeu2xlgpndiYz4ioS9tgz6WHHUSV85Zx9wawIPkpb56 +nJtURpusZNQSFwgyheOfjhAqAG1fvzLn4pPYATcn4Pe/62rorgyDmXpTsLKiddXs +/6O2bcLwb8ol6KWbtP9Q20FoXkE4K/9DNOlCNmyDUSC06OfJLs5m9gvvekO/V0SQ +SBEEsta1U53ZElfSFOq7yScgkh2SNG0EoySGviBn8T6KQIYP/S3fbOMtKufm7Lda ++MWG2Uabpt0o7tT569pPb+0O0evs+dV5xxOk3Ith6xCkDZFFy39q7Tr2wig7j/mQ +lV8tLkehuGpCXEnMs5PZBKsFBrmQNg4+w7xBkbOdnVfvhbEtZsljJHmAf19kn+gW +FI60TfpBcTAsCk+Di4yDuS+HfPX1EX66qFbkCEiTfhhiT4PawqDFWGNo55pdBrFX +F6b4eionzkOV4DIetxhTcsvALMzWP03EDhkv84B1wp3QMvjl1JrlZPZJI1610PLp +Z+NlKTdqnLM55E1AOzfJQF5bixw+S2EQRS7qaruzUPiJXC8RSogn+q74YaORTAAP +YKHBVYUlXqa/5N9aPHosQyrvqCUtIpSH58l889kD8quAZsOxikxgrBNnIDZWcE/p +5hJoMNcavUmQMd34SetFYhh99h+cQAqimz0wD/ku81K7E2RZEpBhSvIgLetkL7O7 +lC9nk5Pczme4mWaXgWDWF5nhqblNXGzomoxWAbBN+PHN8V2k9uSen5OtUlk/he+c +YgZURrLmLC2E6q/V8AzaTzebkceIR42e5Mnas0fp3kZagcLCjN0o8/zZnwSvPqLo +Kxc8NZZErbCNUMKH0A6/5JBfk3d+CFNqeO+6hIwXan1hQQgD4H3PmkdBXQn3fMb0 +MJxGdivldt13fvZSBTfsyYHwM2YqsyH9hLZjebRImTW9Y4SNR/teOXT9Bn3G+NNS +J5GDltxxAaZFQnN6EycszO4v2LfbqjctDdglVlWFG26bajz/Wi7wUj1EgogtUeuF +xpkpGE3QaD51+QHma5ITy7LC1jlPNQUyehvj6ebdfg8GH91qBUZhq2ljIBSxRHeo +UVSfx6o2X1984QICQmG8bqkcM7vos6RGqXiPLsVPHJDv70FkCSuSl9P8RHa8C3hO +jvsgpo9m5ZcQmrf1yCFUxQJ+YAHSD1vM4/Z2kqcvG9u4O+UkQtBZ1QQ4Ne91sYL1 +kbavwS+tBo0pxEnrHwa3csXMHTMLHzZJK56+8HVlXa7b8nEAihKyFW04cS9xwyoD +V6PQv7j/Lq9RaDBsRa2fo64FeOpBO0iD+DKijo5VmGmEZc2swwWaplu7CCWyCIjF +COkxDKhTd1CwNujdQ03F+b2A5yDb9RFsDJhpQxfkyp1iCP5hLt40e/jA8WeXt3/c +93nbyjd3mFZO/ABo6/FT3cQsjeAqTu1wFhj9TRcf9LfPSg6qnJ2+g+XwmZcV6V4X +NQzF+sDTKycjo+X1Avr0/EKbXp5gNLUW1OWlKPdkbY4J47s35+xH2/giEvsz1WLy +vAUv9OI0l9FKCYN/MuWOUoRVoCel7KL8XF9hvt29EfNGqdp7cxhKclGGWMaYRtUy +HhFjbrE3ksU/Uosx429x7p0wuCl/6MN9660mDg7HzUdYYWSPoCw5Lv1deeF7GC0l +J1t8Tbmt/BWhLXYAL810MfrZWUKRwA9XzId2R5DTF5WdIgrRyRT8QK2gTeph8W00 +KVC+3iiIOwh6zEfFcUNjLxqCCuNVmLHn1IbOYIOVYMRYQWOKnf9iaRObddgp0s1j +uXodfY6BYlcQrYf9Z7meVdWJ+v233Jj3jw5PzLRYLA8iY4XtqrvP2NkwXBCdVMz6 +UZDKAKng63kz5YsyVM9UuxBefUQXexf57oBykbywsRYG4bILCrI5NVEmhAcvvK8i +e858FeVUI72GLzy78uKimsJt1mji58dgIeWW8W5mrrfNi7vFovVFVrwYkicHz6Zr +4XxbIA9yi5cNgcRMHZFw95X8x00FJXJ675mXnexN1PxCK22wi3PXte8JWa5tCbpq +iusgxma1NE7RFpvlORAbVOAQdAY4F6KuGItqy+CkXVMGTJ3ee3iThSgwYaNZnWBX +xZFEi8FbjZ9YHako6LwVX1x+HAWAKAiMxLm/ZZo/qq4L81Je16QTCV7Cp9csiY6S +menl0IFXMJAXN9my/KtORiPAVKM5eaahOkZV1C3Vuzm/PIVbxjtGeo0nkVwFwces +BpzJFVtk4WJdpEYUsR2Wcn92TtozFaE2nSNttKMfz4UZBl5fu9sdPqPqwMH6ESrO +VdV8gqLu3lxazBdfiLKeDGZw+rmT2OlteQq2XLZof57ZRzGwuoK/vPwxYK0UaCOU +jg3KEaYNztP3V+OLjJaVFfO64mVlcSJcMnaF4Nu97lBl1x0/Xr9tLfCg3onK0Ji+ +xy7dF0JymgFzwg08OsJCw8VoGW7OLQ++7KOHGEOqGU2IHBKQLDC/SvYKG4RwzEhp +pt4OivhhAGlF4aelzaQQKHGeDOUdm/E6G2DnbU7757JA0v29v/g6vJLRSDmVtULA +MrH4URHYYRPOCHUPuXFEINO3qSBaCX4/eLmEYvzH/pJ33s/hGNW3S8z9P20i884i +SWZ9gDPzdXuypW8x5LB4KWtypSb2wmKF+TMmtBEuqXWn4ltvzXrXt31jhSs3cWTR +gdK/+J5OAImgbwi0hmhP44lmG8XZM+fFFKh1cQkzeiyiHnN8xW9NNkdfa+SZmnYP +zrIKRXdeas40lDlHrhZ874A2WfhNwG46VZuW2Mm6BwrHFzH3NX0xk5VTTULTP/VF +ZBDdtXoPEH6FUU5zNSdYr4gMryb79qwHWV5paDF1MWM7pXdWgM5QZAPudgtDisiu +ciDQCjxDmj2fC6w7vJgRYDSjUhsQvIBu22M8Zwc2xYycMeH9O5LXxqtGaqEfffpo +fJ9x2T2e8NEDLRPSr6fUZjzKOEpbhzM7OxGL1/Ehnhjec8JWDl40eeKOnN//Fuxa +esuFSmGBpH+VQDmsyW0N/gNFlZV6Cg1oR8Qo9hbQJB+emod2CqmV9bh820Zn5Egf +yVUSuw1tVNQP6fpO1Od863FkwAo8xLCgwh5cIe0HV/rMFbLL6LV5GLVqsOhnA35S +Skdimte/J6ILDIYShIppmGKzHGtkDYySB739DcQVjxOmkWWl58oCW/5a7sggKv1l +iXqNkJjZKnO6bEyi6Zxl+yNg3VApeREy6co+/qItLoNSqzN/UmCQodl7jnDz+V0L +fe5zrim78MGjpCGFDXucuq9WFai0cf5eyue9LHwSMLCuz/Hk1REzfAD1A8wIsnfO +kJHa3Ad5wmZnqDHRq1beLDUEb+znxAYJo0BnnmVvEuKGqta+cmFNu9WeNXSPWBZe +Z4LQdL2sRSYmkhSyOn7NgO4yY+1Lwb4lYMGvdtVDfOLorUiDVrqlka9eIwIL0XZn +9k7p2Q1+aFUV15QBFCcK3qjsVFH0zpo0ppuS2sA/2sGZyB5uUM5OoxTlpZl5eJLM +McC6rJAIDi+yJpGAVO+czEPbtgMfN6ZjC+UA3WW95FnxMYvux+E0eFSnnNkH7jvk +s1pBFSKFc8boTz5EH8Wm4+/pZ8588KVbwsQQXp/FhIbRnJclcURMoJKfpC5g8T1Q +GeTT8IoBtO6kr6k78EWdUWbx7BvZ4B7mBHLU3q2btJ0EU2qyDsFCdOxMXs8Gap0u +QbOP80Db1VsSjS974A+qXGWlgWvgv6KbWTgQbiHarYRN1NEtcSxF7tKYcxskfB1s +E3MsXXzPSkGW5UFz/xiv2uCh9d9iAu2eZVDcYmSgsOhtrr84LrOd+PIkkknMSGr+ +SUHP3ql3+XeSAheiw8QpUnmhyD0BJhAirMZVIG4HiO2ikPPpaSLij9SkRtQa4BYi +C1ZnJUPJm6jIeiFjTnIms6kc32KiS5GYxcWbgW3GhxtDSyqJ56Ag4+5ofFkNQT6v +6M+XuE7QMWbqjmZJyhL0nukFubujpHep9C75aDR1sE3qfnka7wETW/QyJwlqyytO +asiM5sKGL+FeDDvE89gHllojiM4fMIERUV1SieMStRAbM2RncRkwjnszsGwaEeLN +OfThwlRlCiIrKlJ9Jm2uojPzJf/NDu7fFkLmYHzAN43X7zxuZVLgqX7GNYsDk74t +NTsdWoaiAIZ4KZZLMLNoka2y8nIRSFpAykCf+j/w4WgcMYfMmzKYRI0OwrvEp0NB +j7GUxNfi3Rbup5ixiuiVLryAuWtSTgxTf8JEcQnkws3T8ZaM+wFcN5bpoaTfibwb +vJ+gF529Rr2wTjFcw9Vta/c9VBdzXQdmgRpr4Nbbum4bGU0OC9NxEM4s2tFzLF7a +gtmBV6rqZFSK8WHeHJNNvByxQKV/tBFInFkroNYR5llvi5r25HDxfb8wG9uNRoiT +UunwRm6r+a5GY25uT6vShulUDffGNkg6kZ720vGp3Qdqi5Hyg5B5A5CHitgfrxk9 +jYW+xWjZx4DUeVf5mdnqQ1d+2MpUa/8mwx05irAK1li4NGQOLmpHWPk3m6c7Bgwm +AxnpWO6AUK6TVTmb95tN7bAT8Oi9j5/DAE4HtdCc4sICGr1eU1AhbKveEdiVuQe7 +WT0YNASMDATJRo/oudpHvPYOvd7OExShHz6jsacnvc5gwRnJ8Qg0udTMCG29mAQt +8N1FFDIgiBysI8STLKo8/Ix7Q64htaBsAv7BTduyeJfvZIFPKz/PT7DWBP5hVKH2 +1Oa0kDhUYZZWmvNA4xEdn2xzg5HdarF9iig6BczF44Ugn4f04eHtFoRyrSxCge6A +94VkgkhvrVVBxHAdz6XPlU9jbFrCv9fdYHI07khwPj/qARzPl+7JTGojZ5V6MIMy +Be8nkRvh+k22Q2iq9Ai9ii39K0X98uXiBPzQQaOLB5VkDI+Jq0h0lMXEICjk9y9p +etwSJ5/doZvwQ+zaRSAbR4Z1iX+ZU+bYdUWxrzExgAeIOYrveVwf6OTLNpj7AY9m +oU8gs4gZxtyZtQ68iWI5NvHzUqFGgXCCwedyiSpVpAxkE8ETkYLzeiZrX3XsAMSm +soABo8uhL/7r7ErhOqDQVlA93N5iYOoDoKIpGTX7dFl4ZPr/9JTw97t2pgiZ5Sai +wGVO8WJlWfDN6xspAREEdmZgvIof8ki60KlXYKVD2U0twFXODdwU2ZvZzGcLAxR3 +vFgH9jJvZsDbvAbTAeMftaa7gkybehyyLyf+2JYjzT1x/KutTerwBGdrLabTEK8m ++cRyyNs5A7CIMY/GkMNniTQnxyo/IYTXbecX7tPj+gX9UCP5bfMPUrr+nznONu9z +JzqrVNcWAgarB37ZYNKik0KKiB2sm8UzMXilByP+lNuLvBfu2ulD0GOSWKoLwRNB +z1TG+ZG7EBi0Oh1IMS8WRtJt1FnP7f0y2jP8wgXof205+AIbYzqBKattGZ8SOwRg +KO+hNI3Tzn75SHuITV6GQM+DzlI5WkITo6BzfacaPEWBF+VRCvVB8iA5MSC/rNg0 +WvcptrW9mb/Eb6NikU1Im6mhXjbKHn4nNXuoaUUswZSlRBF/heCp+VapM+zhXErd +/6PKVzhxZ2UH9a12vQHcJcPGZOZYIibgLOY0XAfbsIQ+PmjLFKUuvioJ6cylYoV7 +jr0ZhzUdgX+JYT4/SjkI24G7GItCj4RUCUCyLDZG29j5ULGw/jAe+I40PRGiUE/Q +DuFOkb9Ye16Il/qb+GE4ZvKau+S6IWuQEdhH8dw6y/LNT+v9Wrvf5tLxeXBp0kVT +sjbX/wCPPS0i8wytDti/TiLESvejrdie0fmQlRE/Fz9wx+fNPSc8F8L4zwZmgrad +uBFrYMFtnEHhFpYahnd5SAIkXHbh37Q+ol4RY9G9oyqIzvquLpQ1FEKKlbgPWu39 +eooTqVxLEYwsXMiLUSU7Hy9EN2ACcTrknWxhmRRRGCAni5nNvxxMUIlTB89GqDG7 +yIB1raabEoHxixvf6f2CDFEhNOQBrM86UkbfzkmT77IJ0lKuIWv3okPwI5a1Jc58 +Q8JIsfKTNvHCz1XbvKokRYEPwf0ce4c+1XjupaJ7WONgbw4jHTpd65Juoaw++TvO +HN9OPH2yZOlgLtjCOgNgDpAGA2yy2gZ5mSPTdCKSHT7hHEzBLzGXCUW2N53tRNJE +WTwNTX8iSTeSlbvMcWjTvFHiVf1YdVu+pxW6cWkcdZVKpR8enjnurz10SFO0gtv7 +YslZ4qPp/E7a3uZ3wyNta2WHi+Mn1A/NglWzdonDHfyNDP9qTCoHYC9gbJGVUX4G +9vuip2rJdn8BVlv0uQ6y1dDTwYTGvlMw20D9lAAiEvYTnKy3tO5LCMRn3Mof+JrD ++6c6U8p/Lw0+0sSUsjPk+1+Y+vZ7cGjFYtCBIvGvcRrL7Ph+8lkboCEJof7UHm6W +7xKkun57mve7FiEqM/negatWGYeKvJA1YZc/lovw7UcSfNWo39SpwYhiX0wUgAgB +KdsKZeYcvWxHC4Hb06gaHtFG3NDUQ5CXCUgy59+k/oUzV8DqSz2rcFKZHQJ8sLRw +pgUmxZ/3XCj8OhMKy/5YQU8T/qZK91CIN0S5+0SZYS8Tx5pFcDAvvMcjQ3LlUbBj +45CLXs1hVhKVVddKNGo9743F2XC5VI81KP8a1oj8gBdHqAkoxNjEvAbXYvKoa05t +jLU56QSioBKJlfwt+pQkioHtw9ykRktZfdyNwNFGdXvZaCCd46Yz33SFyt7WBZY9 +VR6uAMrkHkdsgqniThbEpNI8UUpRM9HQP/bLjpeY/5ga37suYkzZjFmwV2fZNhJZ +cv5LFRcUpFyzsCfbNMuTKPcKfORgIsNyajbg/MSUoA608c07xzQov7oYEc5DNmvJ +wZAAxq+lmZeczk+pdkESpqB6k2C8rb7YqMqkBmkJj7aWdeZnPLdJymi5Zd/i8AO6 +zetEo0kptCaLMStWhRk+Scb9oRZg9kHBnD6u/cTMpfaCWyqKFElmcFvKBu2lrqTZ +izph56Ybm33onXdo1+vJFl4N8V6+ljx57MDSdSJuMnESOZBU0yscBjdGmTu0KSC8 +bu+B/6XV84L7CvX7Oth11ZqfujZX6zWUFvUjIYKfLvAiGStLwn8Id5FFvmNJSzSv +gjVjFxzjxxkfjyPm24PIwUel/s1HAEpXxLxuGWkLh4nc9oiNfrgeOgNOXwmfrSIw +MdJuVJ4E9WxfNUjdFjYw+pcv/ub9UYaY1DMhq0CxS7SXiyGcmXGqbCi3bh/w1FZI +CmPDQLC4wFbWl9G2s3uZOI2wRWVYMbZ4fNRGMeaYK+QEft4qfiyJZMYP9kT69bsP +hW375gQkauDYsyzL8oY6yuqjPn2nH0djTVpk/t/3rzBZg55V3azhi+HNf027b4SK +K+Do+7yGYhk77ohGpX0uxXbXl+DcNkMFmK/eR+Q12A3oqDsFdOfCyk1+fVSVFjyU +1V6xgvttmMVKvThHhedLYBgOuF42loKch4AkZqEhCAUHwGQ/dfPRMSqzGNOA6QX4 +pfRWVLGDHsIRUIkPFVEusBbaDtu+ZTjZ/Mspk4VqpSumtiI53Fps8wLQFEaDLUGc +94U01UYFhs3CboitGVzf8sOFveLy5XmSdJI336DvfJMhWaeiix319Su3KLqshmme +fa+2CSbd8+4aAcqvn6GxHrwbgD8X0lSSv6x4cPF/P3OWD32G9Hl7vmhRQ/NXMVA/ +ggDpSUvsR43daHjv2G3062Sk9QzR5WVzxfYK6OLYoKotq0xrHbGPSImWyt/URdeO +94UUY29MjDcg/ARJvWZtwTA+ggHCT23K8s1Ej1bGSX/1/Id5pb4+gTPMhSlrQkij +hX5MiVMc8SBOrv6p08E8swxaltak1F6hblgbWRfqiwP8X5g3FlHsOJQp1B82/1KE +sl+QBg/6nivubSom08FLl+IzMWo7eRz8BYR/I/Xv/ZNwYAhSExG/IUR1CtC7gGE/ +DswLUnuAqAd1QL9VEPouI40/2YFnl1mSamUlInULJW79P3KZMCIz0GnP4o2i58FJ +mgU2QeHXb2mt/oYnUfhwQn+88OPkgSVhBkNpPWyOdwBaserhP+tH6piKFslzG3XJ +nC+lKVZU2rhKb5OuoW/rSwE21k7nMMzqagrNG5IIZqdZkw+wxNcZ+4vkowlTidM2 +WeZs/ZsmNzN8+5sMettt6O5YKhyNpQXjwX0LsbiQFzNKO3ycazbpu2Y2b2cL+E36 +ed+pJ+7WKB/ZLs97MlA0obZKR8QGa4UjVxNPS0kfhlQ8HZ9K0XWPXpaY8pWicNaa +NwzcUt+fPRU6PK5Mred7/2LSKxzH0iG+HTLfxdyvSfWgZacl+FDCbCBPOkbj77rw +t0091x0DtEDtuViffFjefrmMhLXbTlKkANOPTpvlU8ZfNwdJHWhjT0PzsOQ75lE0 +3Wbvgb5d/jeCqI/CWG7USNYD3GVclRLd3s++1zvIlsiUqULIT50ikD6lUyu7gdeA +vJsRbfBo4zCLodYy5HipJRbOxz/XMq+bhShEWihUyVPyz5BvsXWsalvZutcWXcLe +vrP1/lu2Xa3eZTGznExe5n3e5W/uE2D+50fZzozAdBTgrfhTk31jJGnNwkpIl7xc +EgeY+Mzp02/YXB5kGhu9ZBsAHzXTXt3IiU0ZgqCa8EHe8CjsWCvbNHeAS/r25Zmi +S3jsH0v0J9zo4lBuAnpIgmMaE8ZQd2XEOEk4ocJsbHo0IfPQHjz7UQqcj8QzkG7a +2wZvODLnoDUVrfs2dSho9cIIXfsG0VRAFFWxKwm/29M5d0uo9ERO4EY72OAa0eTt +efYTAOpZ+HKfpLuZfnGEsCwJvZVWddgKcnw2PlZh94kAfsj/oPAYIFu3r5jWFc8v +czck+jNX4+Bk/zlxLbpbuh+sdeEUR53kEgYLq8tefBbkUZxQbtiRc+uANY4SlAGE +wzOXZj56KLpv496OWtkVad0joVyxQho6BFA1E62OE1pVBQWhD8CASu0Iky8rIF6q +OVfzKuya79c/FKfH+HiB/msf4xgk/+fnG0z3hQtrnNrZpDLgFPsi+wzlrcJyrn9R +lYIulk4YR3b/bixO+U+dG3dwAYC53ciV3ySnBiC0Q+97U9zfpETf7yLrx0OQfgdA +txS9Qsyvx7Wzvl0E0c910LONsMnFs9qnfZY7YchRezpHjwRl5upCJm8pzTAQX7bj +Uu4ZDtPQQ2YuBUITKcyygbpQFxQdiGtuekD7+hXTksbnC/1MT4+ruj68cYosaBii +6FZyjAk2cm71E3e7uNHKcx3Mz8KZI2r/yTX7/hevEsdCRyDPy7WXnO/rSdqEzOWX +a/iUBepQhAU3z+pWONuUfstVgpLfxQc52vKKvqC5zHb6IysBLDyvM+tYdXxbXjDE +sGr0d898t+5+iMwiPbxK0nhPz1h5OorTkWK5AeJ6qMVKlNToKOOKHV60OXphHrqh +bluQ7PoF2X5mmuSqh31jRjhOSKc4tMc2vTcaYqtetQ+FR6ct0MpdvxCRYHMnl4TI +7cGaaB7PlGkF9K0w533jKnyiD2zI4mm29kt01LKjslS5yyogL/CaOXqeQHRFb959 +vXV4SE34QM6e+XmyVtOkoheTEq2DrUt58omb1Ih4NITvwZ5qmqqIqOWlHmFBBXwH +W8GU07TIBfFMbGHY6l/9E4XoBM+nWpEOIWvUkS5ZYLc0Zl8SYRnexLHF7FL7RpHp +20+GOf0dfyuUnib9iR6uwodEwk1i+V+QVby5MfKTqPiLN8747ochC9tyKv1BkKmB +REoXFVnYcq9Hv5OL3V2jnDiZwTzzSqTTciJ+0HYz34wueulSYIdKDU+iDYXMp1ZM +YtCOOIb7L6heWHvV7WfZYLH2lbOdEI00hVwl2ELjz5VYWXJGLsgyLFHklGrbuwWi +lCe+6L5bVRsL3w31tq4PRmFfWhAvNjx1gBnvb0lNzriBFQmj0F+6b19Iwuu9Tgu9 +Mn/+MzsMHGyqPmDc8tX7yBX0yGe0nQOAsZXYuWqCl129vI8T7SfjluzKC05K03BW +W+RG1KFxWFb5DVirysRzbhUPzq/C1sA/p74d645Dp8q86TnABFpLtoMrbu4rcqtH +amwwU/r6JFzVhNZ5URH1aDg/hzJrV9C9y0oWeSby2fh0ZSCRwYvtvXfKCEBxW+0t +Q3sRs2sTZqNNCt3XBs8VwN/gQpE5NWlW7+8RKfK7n4K/KelTjg0b2n/I13SmHU+z +M1qNpYlWtzeOGPQrcYaYsZNnpuFpP11T7IU77DrI40tRtfEC9Bbx+2x8bvQdhrlQ +LOsD0bDgcImId2EDoxChLw6RAYyKDdTURBiUxPXQEpmgyOBNp/kNa8Y5tVEG7De0 +oNCApzXe45KLm7T+x9bmmjAQ1CqqQoMUTOzQcNhQ4tew4g5gfeUd8bI9kgFevZWi +kZWvl5WRmxYhdiEx0BAkLI/kx8rCQ1JhSWFgw+5ZJ73D22W5Q/gmLRFaQzJiEIVZ +FQfToOAwxWKg+S89iMLOhcRk7A2oFr1dpZjrXX0lx4v4SXTnrN/pnUUImChaWiJ/ +ljVJhH5OxIqaO1c4VPHkjW/Rhpiv1hMHi5XRvGH1WfjDK8Kq1ddgPmmCcley4qvI +FgSTzOj2m1D57DNtHVlh2q8SIQkQXpzZWlii+k27+t8G/auRmaOi1+tEXdOSKPrG +wrLQItk/TDeCdFKADwj+xcGmDybpfAh4ybk0eYHtEMOMpdNloexFxJdwalSaT64f +xgwthVUAAKS9GyLQv+8y9Di4BmjdLdGp0GAPRVVJtp5RGEaSxOiKR8+RiMw0zdPZ +OCFeMm5QW2wPoCIpBf4sjoiG1FRWZdZkSepm+ZalOsIdy6uKFxhe1U+0dlase4kG +S29kpKxHsIYCRIIKEKlvddQcvr8gfhehbrxXO0MnFkgHA99HK/cq4dypD8v4MkMN +vXznujVvoTlzWKL1VVB9S18+iRrRyLH1OO12SXCwUxTVRUopkOJ0LN3sbviEo6lw ++iu8UVc3SHn3BWvHvKVq9awmJ1jqMrTiX0zXinOnU5AOQwcZFM6eWukYwFdwNPYj +MBpy6JvKn/XNu3ZiCbmOGh61QN3hP4wLiXDsPKQPuxGe5dC69xGXCjbfJOi971b0 +40rlVQNdHskre/J7LV2SmZanNK1duvgIehMm5Q3dr1I2dNcfo0Wde12js35RHR8v +M60pcWNqcMR0zbfg47LN61Oc5McDchXAtFBiEPPc+vdipdDCOZtn7HJI0YNrjqrK +NwF2jGRHh5yCIhnpunfYcBP6V1H2cCOVGEb4n0CNSNl1n3XmkM+TE+skagWa4bGJ +dhADK4IAUWdDGDol0tl+APWGmnyAVa6VTNgHxIptXPUA8xHcBTJvuPiSNU6VHOMr +5V4pXYmKDYmfusieOYWkdicNkcoFdAIhLkENZoeaLUpKHsjTTWRD8ekcxZxq7gMB +QbVpBeXHRvz5cT1grm03YahXFCA905s8t9whgMwGOwKesrQ8tWnxaphey2rY+Hl1 +cjSTvs3rx6/cYVYWuuAs3MVFK12OxaD3NWUMLNVu8PMJdcXBuHz/rce441JPW5WS ++mZ9LsvgZ4VKb8JYWG4mO6kww3XRFKCOfqu99i2jyCHwFPCpTptRvZ++inhYg/tX +vsImfItAV5wgxCyQiKbVbcn/AK5IPYqCq7D5dD0+07BQulI7yynsdyjaDI9AJkWM +Ohh3+2jWElh1Paa3adPC60nCNcxom+QLOO68O5wF7fnT75fHrcSsVQGjPuD/l26r +u+7w1ia0dSU1Bcjgq1W+ZXbpPY6f5R1X5DqP5b8DNDmhR11w4LAxCCzsfGZ5MWZG +ogWkEYSYZon1LAeat+wI2z43rGENqLB6Anho9g+IFD9jvvqwagLALHeiv8fNEiFj +6/2W5CVb0JaB8Nd6TnXIOOi6G1kaqS/U+wdZ0kHzm7EgyhDvqHy0VE120+FJxi/L +KeyAKEsGRcuupYMwbXo8D1z3aYgegEDvvglMODguvXNlV9XP8/xwvjz5adHcMzkp +noXLEY63BLklZXkxvpGiDo1LUx3aWQ9flLMQPiVeyxKHkcYlLsbIDjQL0Gx3LRfa +wTUYIDs0gj2HF6H4JR7oq0+E5IWH7VqGQuyiAed8qUrTfjX5DwVIoqkwdtaI2nEo +vCu1X893N/yg/f/4PGzzmpmHA7cj0AtqHyi0XD5tHHY6dzR6fifOx/7U1p93HweJ +8VY3AVsXyfiXz4KUNeOamEHWle7FOTtu/EQXkUWxMoyBvsjW0EyUM7xvDdFZ852v +zn1JLbMWTTVNTZ/8gHqKe+e9s7QZyhvwaIqdPzO5JsoNcGYfvqZligJx7gSj6i0P +aCID11GSCrR+hZ7popEin0l9XeWNxUbcooRSdKtI5CGXlHF8i+I4AyqidaUEKYFy +AYjqoNY7/ucVWU5+dhkTL+2TBzL7E51yjv+FeeeI/CPFx+o2FQ7otAbI3i+TxESX +dCBwZ2LoyBRVHRzCTiELL8KNIQ3LN/bIeRJXBiVTRu4+i54OWUKa5VzTHJu7OPD/ +UvN6oQe+zu9owEZK0gdd1PxBH8/ghS1W71/q4Vwlx388fE84ZQ70mFV50oISDxqb +BWx/H3iUGwQaIythwAiQs/hyH3gYpJoenOUmpAzXVIjt3sJUTH2I1AcAApVKu/5m +btusZvNrFIqRswPmCNX01h+CQJD10kfUUh5tWv+2Kz8lv0J8wNvYwQ8Wq6KhrdgO +iQLFsmQtkDBUt8XaegnbvduL6keZ+41mkvrBhG3EETwEEqGZjBA7PZ74k0YjX3/8 +e4RfpmDt0CKxvTushVOhVSCxJjJR3jDcEdFYASDU49kifZkWJq6PU4b5/BgWZmkC +cdwu74uV2ai5G3b14HKviEeeDiwSAqTo0H1gX0zDeKoeK4gLFaRGW1F3Q8DdWazm +3IDwWsGpUvV+GOGlu0Vd/CZQTarQDDsyPbDbloWvY4HRBSoSw4j8eyvDNoc8Vspt +uM5Qk3YDVsTXrWLnu09ghp0zUL/56UpuwAFxxX/8qE2soOFVVI084tWlKIgRMnCz +Wxb4t9rAxm0JXMHEqMGMqlVPXUeO5Jkes5O9bCOBlRzC/cf/HbljE5vu8k8iboLx +vgmOq/K44lG4e+CB0Ah2wtEvNnz836p3Wlandzp+7LrNNJQpApPmTMXbucMaNrxq +azw/lja14ATyb+lBtwAeRq6/hwtb6jciavlehmQQ6PTYsPLY7lgEETaMaeG/uLMS +Gwih3Jtqg9liiN8/Sqqe85h9O+xJTKGW2qIkBht7k9egia0GBZYamNvxD+nb622D +/ioRhHIUG0poZmpe2Lqg9+x9s9NVT4YmLdczdKEZpUgDKdUei7BBV7LAAolqhmn1 +WclPc3m34P0D6retrhbmEo6eSbkfQZvbotQ1SK8AHTDCw8f4zqkBmjEzaxAbujss +SgMP0Zz+JRpd2SDKxNjiqIeu05IXxmgDO6obEfQKnTlm2aWRrTXFxXS9ZlNdmgFv +3ZmtDau4oicV6IE9scMkacKx8BcqDrah/V0Fe+n4QUJbhWDn03xAQAPXiW0hmiPA +L9q3Yrf+Uv1yM62lapWoIghWBv1bXgUTdr7hUReOYHNfT9fOmKn3lXady6Wwj0JI +2uO2xBlM4Q6QDQ8G7w6uioVXRSJoUp41pgjmlWmsNKmlLxe9LC/OUCR6NXqmH9rW +bz2A0EVYNQ1oBFfmWDXUvFYYOF+6eqwH5HrhLNN3k6L6yVn4EzuLAXloSj7sTFaH +TRQmZnstMECa7nYrzkaI2JPTmPaar84k20H70fkdPCTOS1UuFSqptaSTO56f0AdJ +F6mjt72ynxQ6zYLsTlpBdYLmfSCautkTpKygbsnaAekmOrlIyVHTtfD1QkpwjZAz +ufX/qTYVbnhQkmzfZ/Pfx3XIwgRe31QGXFe9xDpLxsnGVHUs1IfZExz20+EZtmEc +8ACmVqlljaJNn/J2vI6d15qVSyDyl/QgUDYiAhv7f/Y7ZvzOxo48Hbk+Mjuy8h15 +nkfA8nQbB9Pyyvnct3fnj9sRZ1Df9As/kUlJvgNSKveDh2QHUoFcUuAFbKbuPdnK +0GHnVwwKUgn6STwOcj+3qq8kBLzbTT0fCPxhbQD1aPls1aCqMhDrzPBQ/FeVxYd0 +dzJVge5q7zxe2YPunvcheB1SDzYQza7TDNs2qV+zCrnuLQ307oEkBcG9vLvn+jux +4FeM/O+j21I6AsxOOqIXj1np8eYlDLY+1cEDntYgWLXKHp7NfB3XR1HSlURmcC2n +jWPgrDrP/Zaz+binz9og2uVN0/dVEA6DVJKueIfPs+rEK6X6+/3YXel4U2Ls7gfc +87Ew1yD1XRo+au0AFj8zdCcYZjwtyNvd7st+KON+X56G77vJdCAY7fbf7yvQl0lA +soxpts+ex1Cv8rnN/UeqI/efq5H9/BgUVexbY/JVhHMo0G2bIyg5soLyQB6Fa+dY +HQcrOoFa0Pi/jGK+eBlztjE2/nmXSWh3EytzTsDuhW8J0Uvuhx0PorTNQZQENaMI +E4T0fn1tT5phw3x4EH8cH6lhuTrsvzAgfztbs+c8oAwe3nEcZnHf1UbGXw8KdvLy +unnvFFDLp9Fs67+JOtzmoZ7KHHgLsF7mmvML42aAioj5xuhbCJAFFv3AajIjCiuC +1t+7DFf2Ajg+9CmHAkqShQiD1i9Htv/+PU8DciwF4DPvW430gq613v7zm+1EjvJw +Hq7wPELqK/+97fI607p5ke4rvyW0ZgVsH9ZEWpeNMWR5XAjhr7BwecTEMVBEG8f9 +V8g7w6ArEB/Mv46K8dBOa7sYsp80UcOtsSOVbwv0EfBBWadiMlopdC99DhQGfsaM +19uWz0KKjq/Z9QRxXueGP38/vCNIzhP4zdvPuIJZWEGkOxBoScXJ4eARSvS/UV30 +UgZ9lK1cr2PtQRoH/sddiZldE8MSWN1F9STHbEbXL1K1oZ8lAFpSsSqp4SM3wRrv +jGsUL2Bjw5MkVrtRrhcYpvrpIsxQk7IPka3u//ltOdlpiZmv4jpys8FWGMlmMRog +Se9YZGBj3nGB4vBXgAKu3hUzpRkkH2yS2Rp0V8jcXzK96IFLvHI8eqr6pqJVa4RB +YCX8fJdIkoFNrlqX7nGZE6obPn9c3TNKKdfudQvFjUlbuj/S2YB+Ub4c7kefCIlc +3qZu7bLkdVZkIyQ+R+qDzIazF/uf1tVKb/0iGZ9KuWaFLmqn/qee3Qtizz2CKZQN +mD1N+550r3ESrnl4f+28MLdU65/KWZoFvrd3gV4F8YJ5YpBFCRIlPHtm+aMopr1z +lrz2DoUaFxS3xmMKP4uF0EiJxqQzzmppB2p3qsQafDTygEKwgZX2SV7OXhFnTyqJ +tPA/9iEYUuoL4UyGLn0snRnWMSQVdbJ0Ckwpa0+gNIPCqedYiW1+okjB+km0NGtD +wplZ4GZPRYXazsDjcFkMUrkeY5jeWUFY+K9XyaWmEU4Gw3TRLV1bmQ9+uxWV1NjK +t+NL2UYJmkxIzp4rWQ0Wn1IX6FmC1vrBjgTqmV1tPrW2bbq+5xzP661Juh4S13AI +q59381RzcE1zu4NxIssqRAGDurojfr6qCZYtcFGAX/uthmcEDj3lccInpMVysI0v +BBBIWAG/py2DiXP8M2yBMD0M5g739lq4WRx3ID1qowUGpbsChFyScIhY2ch0yQlR +PsUVQBwiE++XwBELk6FEKdQ2fG1FwucDweeUFfbDV0FTo5y5DAQtoZg11Ynl7dpN +jOYJTWUAd/80fdrgqac7c4qowBri63aP3aN4sGm+g/4X+8VNU1eUaW/VVdJbI8H9 +7IUjllId4DiZKQxJLbz4nMQhaZkYcd7c4OxP7YpBcYw42fmK7MdR4RWYVfjnxfkC +0Ny6WC8t992kkQ/9S7O38Adu1yOd/Ww5+W/cfV41OBHQ4hM3UPu8I60bE2n164Lu +Goyth7cBV2y8BcX7ERUv6qHfReqnSVoBVRheEmFQcLcziZLlD0OKzWzqBg0mAUI0 +XOU2N3bWz4VJDylQjhEQYPwAev5nQboTZOTRgc0kW0WJojdSda4EP0q4gB8hxC0w +zHCFEEQpHvQoBXRooMLRJJWyTZ+CwpynYWtGT1DSqECiDWzYKI6UehpJAzEdX11M +NCSw4D5IOeJjOj1u0Zn8hq0blOxU+ujozx6oLdizUIhzia6YiXYVZhyK6stkrfZz +ex2ayGrjEhWnpbUhVEILx0vCt6Ob7xXZETaW5DKpBaJg7r4j8esSgWvLo8Ds0aNC +UsVXY30Rgd3Ht0T5FcfxhJqFyuObzFAcRjSMKR2XAJYcxFmXeZdZCMH0X5u5Cas9 ++VkmtsHyNwy0j2TnsQl4aQ1vveVDCDmeHRL4KwQZOMGBtA7lrALuzsi9vUZ99vgv +9lmA4IHCu2JFTXJIocXvAlC0efww4rDk3cFnKtRmjVyWhWasHP3YQLj1tCZlxedr +MSHcRjw0AStlJ7uEIZUh7Fh5eIQNTcbXiRKziFVgHl4SafPrK6CsL1H3dzVFJ4y8 +eEVhtuLEDDPyXvRwKvFlNkQaOmJCOw1XHPkymOyuFgAspSfElZxs9LmDZh8JVqiO +OB1uX9liVrdDQzhsMACbQ61RwhdB3JlBAUz58asveNOP4iRG84Fa9dW/lpW2y76W +HJGEclzk2FVTYLOtge0zPpmf153WfBUPwAp4/KsV0wZ2odxtm5ZWY8GtbQTDhUzc +ZmqGIZvOylII9WqNoCuy4B2AqKlwvjJQ5a6qMeVXf370lSvUaiWQmlbTiA73NJqb +00MzqxE63eP2DrvpvW8wmUeHz3VsfmmUqX8575BHWS9tRL7K6vrR7RJWqSzEX8aW +77ahz7z6bqilSceM8DhWykvUwki3p+JaPUTkGSF0ynK/fxlVBATdT96m40sdnSXz +7D2a+Jsb/TACd1zAnGEqEN4/ibvLNZ4ztOommRGChWincuCwTgXjY/xY4CElZQ4a +z/RJgojI4c1zvkB20iwPt29Wp6cCJcea4TAR1bf+xzuM0BG9SlC/dfdMB4+w9xP8 +6GRc/Mkk4fAWHqgwQ65B7vjQpAG9zOR7fsaSX+fFQqwobH8oRrSTbDusbT1EtzpC +39R2YtKqj+xHvh9yS9+GpFq/j2WwB0fJ+tabYjdG28vtU4dEF1Q4SSe/59B7jclL +gTBwJvNPW7A6GHxL+0s7/Irq5/Ss2FmeWo/oh2pmMwt85H3P7wH4nMauslE0nJa4 +ESu1HN6iwE6rECxlWZ7SzMvhCKaFmNkrRgBjLkcntpS+YNtkvAruoRsJCXKkQsi6 +ljJxRoX2+zbxZ+54Z3vyexVxiKZPiZgFqiMd34Z8mI+XJ/l6pTCyAslav1L+Yow+ +URrKiRi0Yd4ETZYx4iB2fNr3tvmQnoPhlAHGHt4DbJ8IqzN2eM5zYOGb2xyf/bkg +fbwhMruKvenPxVSIr7JbNHzD45KmrJxIRyF1JE2emhXF8bdFkLq9EHis4+k7KnJF +BaGwkc7YjykTFEtFQeaMFoK7kI0OvQQdZfnL/zI5lI3di/KNhObH7wl1HQxEF/sM +lewZk+lVjKGxUSa7WNgfm9cfnis277XIejOAErRT3Bpkgr8K2iT97u9kDrU3pGq1 +GfX7rOxOnbPMyiQWPoXI1dZtd/eod4dQ1XZ/NACNVv5h/MmFomDvefPpDVA//iBf +x6mCcCAaeVNq/DVcRe+MeaemucgKsII6xJl15VUdEi+6Yo2rYt13YesUZ4xROqIz +IgGcIoX49MmG2otnVSW27ducMosaYfGfdwus+6V4oYjKTZhpy+ucb/Lgbo9qVFoj +KLb0KZWgyQZUGRc6iCSqLZ3nJsMz7z/yPjdbt4hWd0QFQ2ms+0AX7t6eEUoyHrLn +VCo2R5U7SGCFhr34/LCJM7xih0y+5J9Puy1cSufDuyclHqibwy+LnJApkcwWxcB7 +1wynuCpufUXvXHem4cljpoaWLEZEbEXHa8gk+ifRiBk9AywS2foc838Da2sOTYu0 +JPGLaIii9J9OXk6kwLKxzwb7/MADGdet9+X1sfySszUQvyZePqaiOAkJYdDbLXmZ +DVrtFoeojY8mPkz1p7xdBrfk90oWNelIKrenJl3udMe3xUcqFdUK5JlOvDmMhDMZ +UQkBm5seo0/q8sellW30Lnc9n7ybeqskBqGc928p6KsdwgMSrV0egXp26S+wHuiU +y9nXacsl7c/j2niTX71rhLLlNGbO9W6gVimnxvHSUAznAUtSWhEZNoMFUkJQbUW1 +87Sg+IxAZOrV/+yEIiBmCTHWMn1EfTCwtpc3TpgarcDkx+4sVM4Sy8eYMhniPViG +W0Wn9UiErdjxgKkguLcxCBO+9BiDJf/qxdGJidduzHjqn/ireFuLUhEOYFgrmOex +xTa13Koo3+2wvcYJCAcolAc7o+xcw1n8XuHRylwGuiiJDUXk62SIQMDtGoLxDUtG +nvzeSXLrE9xPy6gBpRiU2cF+ysHKfOBowZfXHyr+xvCOvykBSwx69YZWXP3t5cNG +OhhuqLsveAr7bJfKetzFn5kvcvbYjaVnJGhUYpHPAdBxmJ51neMOB6kgfWR4dnee +ynCIHpC3jRyhv/1+eTCv0NOSGihnoS+wV1MAWwZ/GunFxlk/CFDUYjzkWoi9Q2TH +haR03qFdzaoT5i1u12s0kl8Ekc33Q8iYZf/56ApnPTxioq50BAyYoyVyZhVrp4Bg +GxDtlsi0emmAwuAtsHylr/zmrgBwXFpbdjk2ECOApYWJYU2ZofHqv9bHsYbZGeD2 +wZE5iX8hUnswafGbaDRWFrTIBCiyi2XhjoILhr0bzelHdQcdHb6gPT8wSj0DvGIX +si1Hqp9idC5f/M6HDGuj53xrDJXqISMEOjPI4xBz54YXisV5PzZzCYn1UMlll0KU +c65MQQ4k/wwSYwxmuqZiBouPg9jlUxhdYd2hSh0zDWuHmBGxY60Bz+bXXm2GuSOt +IDOwhCC5tGV4meKvCaKcT23yGiTTXBwLejiAXerE+gRt/TNupgWgduJjtL89f2+X +Z8yeky5Yf8FJ1n+QsXdxOyEL083jpGppguanLN2aYxJ1ssYZbEjWx9ftuHA3MCUx +gbGS3Dem2KQv/7R0ud2rXxHXxJOp4SiseC9kK4aY5e5CdS2rg8DmuiJA+iFMiQtg +Aw0QfDmoQJuQAXxKFSctEKWZyBEJqWofkIpGBh1vDD5yKNR5UheFlNT7RKUY5kMP +k3AYfv14rcRByap2pLJ0QtUN3YBj92fMxLoYozc521MhcXbXstI8NjUioV/NGeIq +N/Rz9ZyDQj0znz/DuEqUTp21wgjUyICqPh6RMRBeul2StCyLBP83EjFhudYMiVQ0 +eaII61E4/kLRKm7zr5j4BYhZ33A0vqWWMt6x3jzbR9qOpTrvH+kkDX0fqScPYPfG +x1afo2CdIy3Hh0CCsSIs2BgmvKkjyrgFCfuq96bU0/g9IEHRLKwpdWmLwgLW39QD +5tAeyScqmV1SyEAd0vENuKbdtxxIHmu09+UJ5AF2ZjbCxPDy/68nJdRY5O2oJFP7 +iSLH7axMZfRNPoAajB4pTJtqvFL7OFnquSTVKyy8bv+spHpt6bdicvYjgh/ekEph +3J9Atoc4omgoxGUuJk4Sb9GExQ6RXSkfVRwr2R7vCIdZE7d3Z3XkECPorRQwtzpi +Kn7CsCKv+Bcd8y4gXFII1jkMrIAHWKf9cUHB0lSmm0MyOQhTNFsbMxV1pEuuEuin +z8G8UkewPpt28f6gKKmOp4IfY8VrVKO9Z3VR+ZQjxVzdDtDMvDV701c4E7lTocRI +U4AR4b7mJyqUh3oW33eW6WtRiECLsIF26erv5FMecsmK5BF2lkkAXle4DxcmRGbD +dR1q4EbPusjjqgEuCHNobDS++lvAN+sNCaIs+ibUwQYfIeDFZ3N05WbVl+ZPSOcf +fxolkhgD7TOa4PklkeDf4W5V+2bkpPYUty/DAW1PlNOeYjpTIY/GvEOjxgL7klTZ +fbTDjDIlJ7cU/SwwaqgLRHh+YpPyghke+Xg2d+jhtHPwK1FlB6pAWiVqe9JJ1DYQ +MP6FQTexkSMynq0gKTQ53rwnYX72N3fEd71WnWqsEF3eowdhEfQuC1VTzGq14EtY +FWdP3S1JTtmTG4y7hoYeqw8s9oWT6eJkReyzbfULkaNYeWigdSmQ5w1rO9LO+Y1M +LmIgmIM5gzy8b2pfHgOzhCpVHJIJngccVAN0N0L/oITyP2t/4tjJc2NXMwHJn9ON +Gthjl3agsV3P+3EpGzKDT39cb2dHsoHmM16ClZ8KRwlPIyIhkGTyBzoSAer0Vs/L +8ptNgo6ufsTzwjVp3qbI6JhwLisThlE5+SUNKrKCghlBiZ4EEFuiZ9QpzR1JbjuP +u0m3az84nGwpy30V3ROnQKP8tojcn9in/mN8doqq6nnM3W3HAtlFJeZF86NS7FtJ +b1DQ/8Clgw5rl4L5VHBTZ9alSPrJBETAFDrkTbC1s9Z7hmkuYjyST1iCq45T0IoM +WLniS94pfbsUJwxhmgF4yNIAmgmHboVm7A99ju06HyyxijIvZhzqAHxx67IsQhU9 +Z6ZpvEaPg1BJLVmlQZL4szyCVSJhP8wEbLZfOY/hQbmsmOcerO2qaxbURlaCNiNS +OlvHNGHUoaB/vZ8iI8dep/ObmGcZKppqikJxXDKieMEjJrPt5NPXWXwADCMMkTWU +dd3bfLxqjft5Uo/dEZfoBNYny/9MM7QCiOcKz1GTyGnn8bvhp+fZJBoE2NPBk1oP +ulv4WkeqOJj7IJsWnUholmOzEFGXBX6Vs8jB7ctsR9qLWbLPSRqzsu2VW0LVZJ8h +wtczeaLOwXJhFFjEq6MN4IKJ5MkRX0+nmDbdAjfatnTVSRWMnR5etLBUFG32Qpkm +5xjeW32HfTTbsde1en2YWAQKkORVRaenjxl2392vnIoIMY+LVM/v/2lU5F236YbC +x427NcQ5ICOWIe9OVyQXRjIaLvkd3/yu/Jh2yxWl9cmM6RGl+g8Ma5bOFnJ0PGWl +yCL7zP8+EUkhFWohqdV8kRvZw/SfoaVWrNDwe0g4QkOmHl6i7+ugV2cln4a8tnko +W74mCj6/4kyiTefms77Vc8yiQqaiDU32m8wq2yQv5qB091LkOzGEr978//bPWILX +8kPHpHp8eOUfPB5OEP0IicsZuE9jOK3+3p8DR/Qlnvk+FLlLDRDrIU8fBswn0Vto +YIg7a0D2VtBTXmYQ5brzqDtC7KWwXnifNNNhS0V6j3hNzaINWioYNNpnhkl1DmrP +bQwBQz/iU8prNf1w/v/DJ64rXr3xhQaXwUV7rUx86SxhKLIZ6wgMx32oCAIWJRZt +Uy2Qe0YpYnyM6Yy6Jq40n5v8bKtlUdQWFJTDipHJ9biA20JL1qVikXpTnxldY6jM +hn6UwEmQdvifix9NN9BovIeXRRmXYjntAyksdOZjxpiWThYfH+Y61QdHSLnFTpZ8 +Zbj8rnMAfFgbnoAypfGsWcKDl/eGIRLH51OYL0HpWqudIie0QF4jzjIdEmex9Upb +ytvLwIRa5YfWMs+CAI3IOQturEmAoLnAxE1YsAGmUcr/D6Lz/fH4khkcqOynjpBR +a/iEfopCiN68gI8KPFmKxkmizwXptDGlS3oXpwex0HxX7JKWr8JWOAClbbqxGzbn +xYpI9QLL1bAyr8Z+bnjOoou3djn+wIwd4MhExNXa6cshljPz3C3BUCq9Wbnaw7Mx +LAIkPZbUtUQ6KdWNRGJs+LqYpD/Gu3UXb53eoEjxIES/crL5+8myjEGRB/EZD4kb +fT0wLYHDsJv/G56/QR2+4TyFzGYes0Vx3KSm+cEYlSXnpf47NPDIdtaLKlvEICrx +TwTvI04hyHbQwSoRaXfZtumcq3rNxTNB7uBFOdx71trVb203KDQBl/N014TVaC60 +7yzgC1ltm8J8fQ0JvSO6xCjX6e+xt+klbt9adiezm5waSdvOYgLmz2Vt9zHSfoqS +lMawGJuf+To0wlvmn5SLE4/h3MvbAlbFuI2do8ZzkFUfcSs4uaMBuRSWUmEDf03Q +GCdaj+QN4uw893JYarpDREosOTUebWTdbfAVxePrNtd+Z/biUpkGmwtzvdQlbxRb +6OsgqTT6RK2ly1QJAyeOBTY+RvNu6huxd29B/QVYwcg5yIdPzY7TOErPEmQNXn2e +4hrNXmoxhwDEAG4MXsTxlwe5qfFpdyiD7w5VZzBP/BdFKrTFFVurgZTgNFGiigOo +X6c/23BWnkfjzNqdHfP2+Udh5kYdGv9toPoUPlPKuhs4nxqx20j++eiEQk91ycEC +g0qmQvgXfz8W7nkXtoAUJ4SPx3bfEDdjhLp0+1NRyi0Asjeyx1/GukoeRGfeonr3 +Ts3SkCFmgNCWw97rV63gLWGoddJkoT5hvBs9Mx3kCqPzCfs3m23by83d0vbXN4Z5 +X8ycLeMaXN6qdI1bnt/FCS6QgeDQeIEna933P8DfnenCpyX6C78ZWyRAlzRlmWGq +Noygtnjt+tqDduB/tjfPFFUKW0cBcoo7pUaEYQR1RyMmLNUtZUmXuGvlsOtVDTPH +mn/iB5Xh2KY30v0LoQB4oFtKjhLJ97rI3s4L0z/G1uHO3T6YEmMroKV5QzLFfcgN +gdrFdh5RekKP9vw7QBscTW8+DGL2dk/8b8/CqGmeNBIye6Fz3vFtTvvVkw3Z0IPE +5FjLwsJrrukILRZMn3R4WZ6pRVGe/LihOwfyplu/ehhRytF1Esit5F1t1RxdQEo0 +YTZRp4BFauqEtYKFV4GppVnSqr4QJlJox8WetVva/bwIxxAKp7qcC4K+DJGN101b +lrX6xl2E/K5sYNAU6QVF0sNGezn4fOJHhi2aYToSl13DdInlvODMoljGDm0XHCl1 +SoBnrefUg6gsWBJT+epPwY935XqXPjHD6wEHEzt6Y7zzrNO13rcC7z7hHwQgWPe1 +XH9DSylnAzlXoxtukJNUtHIkzDuJDwLpmWzSdxIFRigDMfpP6ScLJ1NJY92jpPqS +cYjUG9dL9Vzn2UFpUwfPy3Z8L32b9pdjpe5M9VJTWsCXnoCahqWL7xChp4bTT0/6 +xK70GkmoSP/Reibt8PJTmUbyBHfKwyZdUOk9pSg9mxxxYk7QSEFbL5F8ugEcbkdy +3ErdgUzxMyjCefqbvwR6ubcwKg/9les6BLfsjOo+A/gcN7ksiMAobhguZAF3Yqbg +f+rqDCdZbWiOdxw+icYqekrhoNjaxm3B05qVWlmvzcJu8Zhojvbd6hgO5UxQRJrA +b9wPQAut72WVe/rniyb5DZKKvwGajjuojwBhDrzPtI+kw5yHLLcC+wTTip6pVsvL ++wstd+/soEw7E8nDZJJ4diVtwXN58LivIaR7bowIPEdKQdXD5IwIJ7HEQGKQYf/4 +xLrAnwbj3IK2oTeC0DCtP3XJ98JyTVUkPQXW8/uyJG13jm3HkEvDN8h1fUNk3qyc +UzBsUyZ0W+T/ugcH/jdijCY605YEcYNx3N0ZmHlw/r8VaOO65XTSZux6j1z4lN01 +PdXjuINibsNh1BjMmK5Q0s7v0T4+AX8wTO8Nm82JV+XYBMF3TZ/0LoCgpanWjRN6 +7KAUEC+XZXQ6PwS6q+19AIhkUJkQ1dgRma2C6O1g+0Q/z5MsXCBQkjWcMDp+tjGA +Pwv1MWecUxPp5wvFVJeWcwnHE4xGveTc1z4Vpn+xghqjXP9FIVQz00mMK3si+0cL +tWrgoxjlHqXQQ2rf5L9NZQZaCKYQxpn6o3XurxOJup1nRLKARZCWML2ZH45E8+EM +X+VyLad9MwAqQXYnHfp8iwCJVrCW0lEWgo8P4LQyEreSj5zeDwkTEiHewpPOk6ns +Pj10ADgXGG1c3maaJva1P7UyZoHHQPNNPjASm2NTSqhpY06fARzhxGhOEMZ8ti4V +KzVvPfiQeHduxKzQ7mvtCrU4Rd46R+mLFtsicaaVy7IvhQvM8EKZhnXWHZqcGbX0 +9zFgVBHYGKtW/Dj8Q+lk0ah5C8qS6/Anwk/zfzcQIp+BWbJ/bdVKpX/aGMXP5izy +oGJ7qJE79sS4mWn2pqVd5o9bPpYX+lihTXZaBT1aIsNoos2HPGbzsJ5sJcguauTY +lqvC4EbhUyjanyVyA0dUVeOLGPtqEt6ZIXYU/YL+PeAaeiYHBtmG2Ko3XmaNtvr+ +A3eyDNUE2l71p8iq4R1HkTgs9F1R5I1UBJsR+tcofqo/hmLiDx8XfLesI5uRIfBl +DBlntK0DcCOokfr04VJg3ksSxxnv8PmMSNAOTGVJmscKdnIwLlMyd9HagpX70i2j +JNQd77xkVdiOX1xGaHhGiuFpoChDmlEUofmJW+NSE6UCc1O41TJhBW0A7zsQRc4H +7ZYyGuw2mPjVQa/i/gTXKhPQfn961osvwuBEWIA9e8/62rMVODuyX5rYsoSTINRe +ASc3bfWAdNcnjrAh5g+mwnynjyr1PDqI0USllJcavPGl24pgjE9nOSpEN+wa/2To +t2vljrQNPPYaxPiGwIcABQSvGsEEeuoRpAvBw83T/UAbBso6VaCuQsMWTyyzYdLr +2xJXEc/vXVhvEMZ/JraDg30IKebdrfUfq4ZNEIoCwWtJLIs/e2ZAGuchTOjo0Fyi +J8MQRwLBYmofY5S7evm1cBxvDhG0UWONRNv7QcNdJSKLFAP3DeTnSfiCi8rD2qQC +689/oPn/e3HSf4/YQwumzTQCPWk8YnGUew4muwfTFXgDt2rCEwUr7JxW6tteoKle +ydIghVjdocSIzoqPeRYuCc5Ax3tMc25xcMQ3ReDXcIIQDww4lNsDCgowJzj0izUV +jokoIov7oOcN76TP3suHKjB/VIq9kElZgAsXbqGZOkYLYILgP3YjdUIAmt5ix53F +iLE+jTs7uwTaZGdshWGWrgr/nYvcsLhHR5uNA6kxK/Swca+DN9ZAA40Gw89bMAnM +/4RGhOh8WdonXgHBSBbnFRHUWlCFS87nSds4GhF+y+ZecazfRtBEKY4cKyc50qHi +OCT1jA8vjbhL2sMq/AEIZIhW+f5ZZCOvwZL2XjaNO81iHlwO2HcGVTWmEGLkRliG +FzBTAqXAcCztqJnW17QbsXBVoe2GS3A6/rGyyJpt1rOTVCUxyLJh9oIRKLYBRqjY +1VqTyXvFAvfVZtpGGNUM4AZFtHJQw2lYGBI8+xsohZMZRjslwjNfTCkYGOUzAu6H +QMtbLTTiA7JCN3hStjLZeCd5Xlwj4Wza2BCeZCOK+0cPLvc+oe7OessqVN6r9GlB +MuCcrXwAMedSExS3mgpBwx94wqxrTnwo4pH8T7ASHO9GfoazIQq/YDLnYGGGc1R0 +YfN8lFHSWOav3ywaT/Sqhu/qfFzEdfPHF6Djc/Py6ocIbWHe82bCC1KJ1LCepAU4 +SQfCKjtmJ6L6nMUePRVPrBW8aPZ6k91MUBms27WbwCPb+38L6giNqhjHL1VkCOQ1 +zNeaA2BhAOKwxERxuGabp7DxBUXlm/Tw77GR8xHyEYLt31fXP4zfYPe3ED2C957I +/zrhQVV7Og3zyMhIE85KR3bWTjwEmpaymw0ExjcTf0u6hilYiu9+RMhuYPvtyxTR +piCm8R2UngOyHkjWyhTbKnH3se8fps6lvMgLoFNvuAqU22nhKFKBHhl3EKWtMaAI +BTrPFCTq4sTE102mDSfjAY92KL9SfZJFQvBZ4RZfOCw5TuBNf766VVA8QCsXUgt8 +hBc8D1n2RoQajetU97Iqo1pUuEEKHAPsDVEKArf2rKwwsHMmGz1Ew4XVwa1++UEO +o7TzguPi/97wBjFOLOK7EABFA86Cmym03W+RY2IcF+uhfvv5Bh2aWJfnUv1Y5CMR +1ZUrRUTUbvk+fwM1K3c6Arheai6CFR0H8KT5bHW/5C+mb3M+lxnqgkId5LWeZ56D +ffIKV3xf3uqriznJJHaV4HOX3hxUaSxvY8OOTDapm6h/C39yqjF8uSHjSi4E/5bv +f3HlhF7cm4Rbc4W+gtEEhQi22IgO5tMuncaINh4gsRiw4JuWiln6j6hD7oWkgSEF +0OlSMz9ZptRAUZ17SD6Qz99DPGvyYjPOTU2kZmnnc79Kmk4f/HUQs5QuKF63TKC6 +WjSKrMR4EFa6wS7ECKle/y1d8XQ1OLHZQV5oT996ut9i2FPuspTctD5joxfM5uc4 +RcZhabfbPRKm7vRAsxapiEPYo/AsyrSkobyKcDPkJNM2TzuyzIoLcSABMdSC1/pj +0wLA+X6MQqlbhThNPAGgkQ1k0t8J+kavoSj6jvtf4nGJFc+WRK50QJyT05iVdJAs +tCDy/czRmF+S/ZNOFCqzG6Dq4slE5RuivZKqv74bMo+WGvfzzzR2rFYnsQssBdDa +tEeS0GgLV9LQkgqLpMVl7fGE92C2Im3UjiyPnFUY9UoUjtPO6JoFPCdfRzZzkOnh +4wL6bmEdZsyzQJnsQsicbaFntgugP2iU7zaBVOklzbchvNpemd9nWa46IBXh4DnK +RKxGapeZXluxt78hu94c45l3djkSWfSMbO9n99m/9cUUjMRbkbve/1y3yc7rsX6S +TIoazbzG7JeZasmUiEUVi7qVaUyLuJW8vZbua3pKGVmsqpN+CL4C5TkjC+0gqBI2 +mpGHoYEF8G9xA5ZjAlXR/gOn9plsy9H0ruZA7gei5vlZrQnqT2yZpU9jiCO+Y7g1 +ZZqlN6GoZSDFoEbJjjkoc+ahupGXHKPOpVtiKRR0FCE4JZk1UevopZuAx6dA2xj3 +pepiVrDq/Z6uuDXb36sdp3GKI0VAH2mentQFBEb8BuFKilGhIRzPxYoQziWjYvV9 +nsnIC7rDhvkvhSWlBnd6ITdEmjuAgXwJp1nCq3YTIvypPAWv890JSl8HKPQQieCE +YCrA9Ykqvftm6JqI+irrHM5OWO8DeBS3QL4q2wLmHRJ+8HTZ4WmGPRQKleQrG+QH +B13P1wRDERRclZyG/h1eLhIqqGRHOOAUJ5vpwqX/wbEL34e0k8CJ/t3kR6P6xWUP +/55UonijkRvylp/hpkCk3ZHa+4vO0e5yWaEQTAF2lH/xE4i1NmmuWNJ8NFsdMRC2 +aXgZz/3XgSZYPkQXSCauhPdNXTIfIfd8ib4wTMsH+G/1myAbC3zi/GSmpaoiwj8I +sK+HTVDwmvnvvfTJEz9QA5fjzXL7B4Bw090XC+UgdG5Lc2Vt81434qAdOqRma6gG +n6uGIVUHttZnAmFSfmXUARSx6+5UJZhlkWr+YSCDHBOX7F3/OJcmG0ejCU95ryXj +XFUeqZUYL8CKi8Te8DMMTEy2RvklKYLO4FCYsTN4ye2xi5o3PJlMP3zHAGvRBM1C +s3EvOTLBCJMExd1BwwbtzfZTAdRHiSgcEedidQYlesLZTvNSvM30yOQI6VrDA2Hg +/X8ttklmi6Dd8gVnd+IOGGUekixq4c4VwVNI8WFXNu0UyUAHQKEA7A2aVty0YMb4 +zT5CsUppC9p5GYQsGWwsrlML2Lwifqs9/YdniRNMBqEQ6iV21S0w6pudoacxdBJR +fnaiTLEzksBdQqud8Ghz31ghUo1viQ9i0+1gaC6PauzchMBj4QfO/OWCtZQ1kh2k +wGzwZPdBjnlp2XodMngebNLmWplITTzwS/Biben7trqTqpPEx9lWL1zD35LqEuTu +c4qzWsqtFhBdPIvE9ChPlVN2DG28WO/w2i7XXhK3dJrS/T/7GgveBVS8hIuoLenI +i+RkhTZOAf5E8/dSpu84POFNPjzFDAjAzrBNG4EYQOK88DvCqm79cHxu9zXg4L6N +QSC2dwoujEBQBBJAbjBePsg29eZoo6t190jjo3Nd+oRBfszgku9qJx1+hESn+wHj +a9gPt3kzPQLeNfYTpfL/pxLeiBQY13Fj5oMzGJBVUEgTwdEqQ2mnETv8neWFcBxn +HwVxmwulQ/nm6lgtUo2gf5c3hN0lCSQcnazGAdialIVXtW2EBmbG7zwqwtv6LaOb +ciDt/cxN+Ib+d1nDf+diWa4bUECruxXp6JUS40d8LaIERnxb/sAa+9sxTjTEQ8r5 +ANaGUoRts8moP5R2cbvbQ1tVfpUBWMMv8ijB50r4E85SmajRiZZGm9NkB2Cx5jkT +R+00xjEwXky+/yGRWi3h3WjnqFlpkucMlAaNcGbjT3NGNaoXdS1XiriZR1NsMAKf +yuTzj6ua4cECPWsOi4KZt33hOXgn08Uxwq4qVJwmQyVumj7t9eTSiFLsG1FjClOf ++FOPO2/Iq3DXESSF+fo5n1bfv3XnN0GNjFCcFxXTWIr2J9CpqKvyG4p7B8iXgDDb +FgcuXGJ6RvSvPNId0d4pvJZ01C3ejx7HiSlN595oj5zsFPE452eSHhOc/eM8Qg3k +a1on4Cgt2IomzPyqR6sKUvoy+HJd2ZalizZrRoX5q5zB9aGYVv9bGJ4rG1o0cSML +AhkmYaYWrk3zbCwYYNNfn3rcal3E/uxElMsXchjZUgNO9/wYmRdGLXAa6rVyYIYh +R8rQnm2q0ynNdW5cBJATm3qpImfMI3DpvNKC/awnXt5hDjFL7aAMu/odZPpLuJ// +V3dTdWQ61USndJmdegLHrtsqlDeaxa9SGcGob5haL6AYyeCfHVJiKaRyOTQxPLKH +eHdP4HbuFyjE/VZhVNaLw7b80wohZNAFgTKw/Xu2RWhxSUeB7Ik+yi2dxARx/NqT +9aAPiPA9JdUC4b6CcP4wNckwsH4kY8NN2F/Yb/RnqvqsjTmJRGMO73qbQseUGLWC +h7S8zkcyrEDqgtAI08yJ0AkOYQROoolj1bL1wtl510VNMYPQAmTa6xgQmqtC5GNq +u6FJK7eDExNybfndWOjhJoUZ4hU54r6BI+LEA4DX+kWyaMw1DBkiwOumFLmh3/9K +inKB3iW3hyvSa5rt72G6gGGv8+ElhICwqy5u5vVR56CbTugVSknRRHORAEzo+Lcj +vh8urTHcvWKWGboCfGgaxEG5D+e15a6q7q8+6ynIsN/fZwx0EEZzAdVp+3KdmFqM +LPOoudEivtGeQkZ8nQBQiXdl6PDTaUiYJUzLWZNvwaIHskBxdf4MRMkBOiWYfPW1 +EHjschl/ZitPkfnWdfUaIe/VvWrEhaOBPoDC0Zf7n/w5tqMWGixOeYMh9rBH/vwP ++P7lLHi1OoLFlWqiglCidetjhlzUBd5V2XSfLlEktwqCfx3KTnCYZQGHwKzfuJps ++MwPmQiJKgLsadLs0/0C/P/hv7kugMYPqlKFnkweGK9Lx74ad7/CrUj8Dn00P9/K +EiSfHKgBTE/zHSolcHiptJI2As3J1CXUu3xiKBgFcEpM81aibtI5t6Jfw33MGLvo +zIEfiTby1xxAkxpsu7wj/lyQG2Yj5S3vipKToEFHaXa/wFvsdyihXFCga3QOiMpP +SGo4YNJdvSMhJ7sX///4mVgAxB6d+50u0e8C8yVkTfL3O47ee2P504Q8S7XlmrfY +oHfOUHxFa53z94ae3wDa4JbRRla+l58/4UQfzX2GrJpJYLXpF7mGk2tct1mK6Kvr +rKBXvqjm72SY6RRskazi6Y5RWvmBvHCLxIGzIP4WfO+SfG8V05YCfVuiGzYUftW1 +9DiR9fgRwoXx5mHEuCu0NPD3UTVE0CYA2DKNthCfuTvWKpTCUmrIjzumK0cVXuiy +fw93tsohctA707NHa7TX4bvXCRXiYHDNioea7vV1/ntXnpoVcxHo6rY95n9eahQL +Mj0F4erLUW3JGHjfw52KWUcHoxA4qH93YcmwtKof4/Te36kmAWq0F9Vm6z4UyLfy +aX4FlDJDmT4bB3V4yhMJiFZDzpRh7jqlS9FqZ62bIei7iznmo7RFt0/DBzAL5tVS +q7pi8AcJRbfrS5NBKyGtJUQ/c2Jm+MWx2KWolMVuS67ZXoxH5gCoMIU7vSy0ep3X +8QLtIVf/qR6SyMecmkjhmZYbeEataS0i2gSzHmAcs9BmN8sOVJB+OkLgxbt7zGCd +bFggHmkgDcaugcuGfQylSzjEz3Lvpv/QhtLC1Z8MpVo2yGJUC1ay30Z4WAkHjg1d +slfrRxEVcd5qGh+Y4qVyWOCVgC1Ksn2sstUWGyDpBW/cuq1U8NVo+nyqIHHfB2Pd +Ww/D2A9Zc94zBgQTbISYB6Oazj5pU2JmCU354otXsgFbjuTrg1A9adncV2WP5jLI +FrpBA98Vziy20BUucA/XZQXGp8b8SvQoxATUqFQWe1zZcXfoWlhY2V2Dm+iLcVRF +Asv2yAgUOqW7vpCZi4N5QlIkauMxFNrhce5QeLU3n1hG/LM6f96OiWYKRwmSmosX +noVzTTy2ahS6wSjI8MdRp2WBy/zBAfMkmFdu+5DrjyfTjQ3ovQMAMimzA8+IsyJ8 +kWiMJO8Aa4/ZLR7V1WS1XIZ6mlHEyGYBkaDMhFvGepliE12Ig4AMNxpku3UI5eaZ +CjRi+C/ChzAgm/vRGhokqBnKgE8lrNCCfOm9n5d2vaSAqZqk/G7N2fgKefzaMmzA +VrGQlV+64yPhQhN6sHe2FfPCGE7ffwciidbOmJtwY6c7W/lGCEluIF/loDJN8rDU +J2zTIVvfuydyN/od4TQiZGFtVIE9f1QSikTqh2clMFqKE+kORvruk37v0uEqEytN +a6dVjoRxXOG/pT9SAeqLa7g1h8BUSjYXYBvE0GFawZ8wsfsJCO6VdZFP3otBJ8RE +FD/ACbKXOh/Fr6U4zpuCxBe20MuoJnTdfepDhvXtJ/bwBCTeoY6DIiM1StkAgjpk +bcGzBoWrjZ59EkQjkz26Altglv5DYjyTIUXF1iJrTrwsFmcB6Z/HpIOPudYm9CM+ +x9mz/kLmr8aK3QOFJCkC9wHasvGVvl/we8SbWs+fT2frlOMWtdlcwZPJ1L76PkcT +v8yJouvjnLvAOqnuMxv4CGg5SKoOUUWVIJR/Sq9gjnKIpb2OwQy0EoaV7WgcqEG1 +pfIgiDI5jhBcgHqbO2zUWtsT3zsOLeKHW0IF3jF4tfuqXHFcLj3O/OnXqJKKXHV6 +kypRLG/NebbcctpqOsklWtGswnsb8/7fiqzpSMwrJWpN7Z5ZKtQ6XhH/eSdAUnfR +KbA3evaVL4bMxj05lbY33HoP+TKhZ9q9Exvi+9/am0p5biG7dTuWmx0viSCUuY/h +qi3Q7ZXk4ydHJd5txYFbiIE5HtOt8/iNGmmLkMoh+342h4/RkS6vuq+UFgXMyfz+ +1TLS2i1Yj/DjzmUdhfSzJ4lwYxjMQD0Mr1mmyMKLDilU592zG7TatW2VZo1IOZks +6yMqUOlo9ExYRp7P5EW2pTOkfmaZ83+wnQZ4xhwxqZ8R7N0M1L8H3hhcxVe2x5y9 +ec/QsvdrAuckZa89IoyAeloyfF5d/vpb0V2k32BzbPqW2+bX4IDqt0VfxK23OEHu ++3OzyoTVIYESbDn0Wt31v19kCm9hIAoltE01cnS7LGvbutpbx3GvB/5R66ufuuzg +/DGXraktUn8vPP9ryw1KGLhgZPls03okbhxLKidPE2nLpnUqaD1m03KYnnWZXiDw +x00N/jcxZvdQbOpXXUHUnWyk+iDZrEE2oWrE68+XYZozVYxtoMmIrQ6M5qVZBDb4 +s9qRUh1ICqhwH/b0gubagvPlUWzARbGCTIA3igAi03xKfqGOe0+7cmFf+F00dTpj +WrRJAQGkUQh0awV0WffSS7uqXSz0dYOrwxJXr1nSyjnDo+HZU6XZVP0GV1cfIfQU +pTT07YQeNPFqnI4xsOC7tkvIpBY8TZDYvr8T1Qf/8LTQ/F5HDxCQpcSTT+yun0vl +MhZrdHKWR3eRHXo4LPXCELjF+1W/+k0s28dn/P9kYKC4uyiq6NTN/wizgmEoYklT +g565Z/CSKZ2ViPyMSEXObhXRcRKkiDivO7HHJe+UenxjQQLdMWIgBiJ1Skvn4j4H +gjigNaOySfj/l/QsdyuvWNZAHw5/nJWQW58sgHYJfeLhlyzfOAeKxc2b9j1HbHkG +khfAE5+kqnvaFbFOataKxNElXgH5d9jywDtk6qYCnB585nQjuniJ26S+BiqIfCss +046o0bRpflMYoGY1KfqchIOIpWt3zBmttMFGYr3Gcie8gxqRKe7GJcYn2bVDKkyN +eJ8QjbwkSnuVJqE28OOwO4KCm3UbpR9PlGr7s0c6/Fqjxav6W6KKTV3Jq9JnQkUg +sy//acbeJcRubW2+cvYc/eg18AaxsQ97g/p90YMDUigZuwQbJeaDeXf6yCwYi0r5 +rvdNXYyzbfAfvNsnQvegX2TEYyxsg/Ifoo71FMgmlWGtDVGl/OJyl7O+Gh7B2xKt +5gYrQSq4b1uSMxhmsd1K+F9LeHUnbrj46HcdYEm/Jc3JtYeBM7Y6SGg6gQDKibtv +uQB3PmYGXoYZmjANMCIIsNxFlSPDYBCk059LlblC39g522M7yaSxVIFhQbYfeikP +uP/R3YbGvMXoAFUEdSFla7NLDF5fCcnbLyOwYZRaMRLbzS0NBtOVBjo4Fzw+qEKu +EEBAmD4/P0NZWpjpd1Ou0ysWHD3V2qcCw5jjbJHW3n2jMbwXiROaACuvKk/HXrB6 +8wHEPg2hWNI/zBrGmMfDA3/n7qsTiQabOh6/kiSqvlPtQyurAa9GyZTcGw2z26E/ +Q9npvYKsqGAkyg3yYb5FA6StZFQkH0oa/L1DmDf6FHIHgMsEYYbN+GZbGMINA901 +++5GWGzMp+WKHCMC3a64bt6wqOtNkeiZVEZa7lFgrEgRlTRZ0uWFkl/iLAXFwF1F +2J+i/pBIYBfwCoen542X9fV/YCgU4tdsWlPdCVjfhSLT3qLbPDWDJiSTgIyl0QEJ +BjpVvTfC+zI69qUlTYWpu/54NXI8TtgffKM4g/1eYUifFxkU7VioSKaXmJAYa8Km +SMhboHWbFzJOQwroHHzotnF4G/sWBvTjWfkBzuQCeGL3havbStPhPraCBx0ph00+ +fpVrZGt2IZOjhAuSM5q98YNBUKsoFaYncjrV6NAJVu1bpvtFvNligsJrGMZa/o6Q +qFxQJXcd/uCtiNQX+6/a9fYDnHGwAZTL9wr3R+N2sW4JyHeuIMk/Yp+lbtHahGT5 +4j+6wOnsxmm1IjfOqGRQpD5MA+tYRvjHcOOj1b6GCfpgoWux4PRMDohhl3zxHJ2q +q1URz4rSlpeKT1fwlkGkFMsO4BSx44/P55zw4YNKUU+UEsqKENo9Yby+9zcQClFS +A9Iw7B85cfyKZgZn5VgoyNkdTQtO3ENxxesuxjwfu2JS8MCyjML0/OmYB38Q59CN +kbFGeKwdvmtse9Nja/jQSVfnjxoC4oZ6IE3cyQpmrHBYbSNrUjSY6ADg6vNwfiEM +RUqnouBJ1CyQRCGrTqxctCrdh2O08xzNbnynwlF5XJULxLiRpvxawPEgKo+urXTX +JWyzUL9W7FoG5jhRYdM1PeDXWLJx9oh6PlBbR+hvvsz76C2dSVPmFoIYel1lUr/i +CCBM2EGzZBdLoJPsRMnSI+oAf2cyzrK+WUz3uThJehTHnOP0OpfhIdQfaXY5oI5N +0L2Gfk9WOaHNnzqBf1Dqq1NVbFgLSiYNkZXhYAIM746w9bexBop74uGGfNONhOfk +161jxPpauLwnHXKF9irm93KebfDxkxs9H/77oXmbaETD3906FwYcsNcsJY+l1N0N +JBSVLsSWtyrW7OxLNI8bWsGr8nxkRFaEVW8BwWl1UNZLTYCLQ6a3WzE9zk9ubYSZ +DTNXu8jw8bv61k/vUQ5mobdFseiDe1z+nPUNTRqk4OhueeTaEUyO4fofluxJVn8M +iLwgX0EE6wBz96erhvXsMPzCxBV+a819FegkytpY02kuGR5plCLmhKX23V7tKTqo +0ChDaDB1f0Z03jiOauTr7mUsBy98HUcNNlr0f1At1yTtAURpVhM0qm8hbnw7Dpgp +7jbkL6sG5xpnylp1zcj9jsMZT0n855NjUMKNa0/7uOa0O/5HH/bhnzCVQIxkcka8 +S/jORuY6pmf6YZaa6DK9RervdEyZrz+KF7m+JqxqsgmjEH66/kNOh33ZOR+aPzpj +291dz75mY94CkfrtII8Wu5daiNTbQP23jDQYUaQEuGidnUFx4Wlb8hrlznqCkjXS +E0UzMhjr1r6KtdDyj8q/NHADoxGdnt7Rb0PPqY9G9gpbfi6SvzeNypoLGdRtAqNp +bZbTVb5BSABe/EGY4x1HZPGjgNT8zSr8aeuo67bRzkQfbhtcn8mW7Q7BEoDum0bm +IxTUT6dHSGndOR9Pl+y5svib3cpVn2zJaLL1/22RZuymLJ9ds65kPPoxTOw8we5r +EcDxJR6p+Kw7uUHHJfyWJOx1a4tqSrxYPA6bzwG2EYMwvE3C+9vE38ruQtsVbpTV +5ml8Klb52vTj2EyIZD5A1GKTJDa1UzH+WSUjInA4WvDICFACLmiSTCRnG6uGsg/J +RLxlzEx1aEbkrtpzBfIPnDk5c0oYlH2c7L0LtQcJ/nBRzij35fHqI02erQDEJpbj +D4X1NYJISN8OTJ0kjcz8KS8T5zKtq25zDn9P1AeqE5I61fMaqVxBdNWO1OfJe9v0 +z5m1bi/7195nPOG2o+hRWSn5Tui/LPjCcvv2NOG16cZAxPxiKElW+liVU1YE9aNg +d5NCEzSfB1sWNw+3sDkSTEL9cnqThgugyr62/jbXAq1Hl76sZOyc+iKF0PQqRtXh +asa9WnO4KWjwd6Y/DQWpeFiS6b8RBTjaXPf6d+zFmQ5tiOG18F/honhFgfQXrYV1 +ZuU2cNTXhDx0pH5USdmL/ZoLUYqQHRs6Mc/Fu9ovQkWgKQ2XvSe0dpA9hmHeM014 +tXTgGfRni+yW26Y2NGnIwpEsUR4S1SyyjimYfrlA3wDTbOBXpmuVK35qVsSICSWg +7XymmC5PbMMLPI4uramMrEq9h9AbldFb7rcFXtcoYw3dP7ONEU5qHCXMnCC51x2l +UirYFmz5prTQ2UYTOAN9K2UsfM3IFl4BvTULERYPHctzPvCtsDQjm+GGMighwbGE +w26a7rerBEMr2MUmEA/GZeXxeHoIyEFq4BMRK/PmKDnLarZU76feWGWUQEewtj3U +qg++pwRNJ9ZJINCOdPrY7VXOi+4o9jskUsCZQgwkdC9NMizDRO8KNRGa02vaMePN +2sACG3QEfRbkORl6Bg7VvUjaeWTqAksT0BFiInAkUNNVO8OQfDBdQtcLSDa5lOWt +EIQ0ghXQb3W0c5pB6BF3hrRgAKAKKJpxS50nssOlVQX67WWmbsLv3iDsFcdqZqjB +qiFuwaFoJYIhNRFYtiUa39XBdk0r4A0sGBLRt6nMarcfpFCGNZ2fA/sWusHhg77R +CJhKbngtwS4T0E5swUrxCWLbrPFEMakJTfns29pvaN8IhWQuwd8lctMQW3BypONf +BOkz9+2HfC01BA2dflHCGPQtLTp6p5CHdZB7UapSjQk2Jcms/DjXvNRadE35l/mz +/iBSRG/RO6NiudSRE9h980OViYfKQ2pKeyStKUtvidw5HzYDxEK8GiMQj4lD+bmz +5lGw1p1gEFuKetJenWRo1JJt04xFl/8tJZuTyzad0nvFDYHst8XdvZ8s6kGb0+Kt +rgb1fEMPDg9Vz6Hm1LjhXzaUvognZ2U4w832fB0Iln533loIjI86ZI67XxvmJ1Bj +4SNp/qi5uoKZMVcf6H6RC0t5RbUSQzMTFl0U9nFmjeGt4+BdvSNJueo8YtWInlSn +xU0bPSx5PLNrHQFiIiMiI8ZyA3JKpR0B06uREQdgaw1RCDbqqEB5rKBmfnZnd1vt +iYhkUcrVQao5xF4HDff4hUN6HuijydXPIpcvYQPyRbyybb5ro1/AtGMyHThEDz6M +gz230odcaK1uDFiILiO+W2Y24r4g9TB345wnJloeOCbpq4hHzeOcE/JaOlcEqF1+ +dcVpedh8WMoroEjV6JHG867AzvKIE09jWsFjfrfzcMtIt/6LYqLACHipSYx4+sJD +EKUv/1k++QFa2auQRa0BLl5gVDSqCgMQHnitsREtKemhjcc8hFnRShkznAaWDoH2 +VMCbJMsK+Mzjhqhg363fZZBEes9Rl0LQw+j7qRxVdc+v/0BOcNwH3tR2K4NANCex +QjJKy4FaYIrOavx61rPnZ1gLaoIgXp0i/BfMEPELWdETVDisVtdgy4U8tZXR5Jrt +ifIkHqdIr8WKuBzXfcGZD9jrL0Fmf9Bf8lBSOBGPyFlabSdvNVwziBCQKxbFQWSE +DQBHPj/dht4+yNed3l8xXxqEQMUEGQ0ZXvaq1ROJ//NSAvCFK2IjQBPYHUadYPeC +Z4iSvqG+3K6RJ12LbkoiJc/oZyGwhdTLQLhygIuSvNHw7pqvmS91eSCPNbNOhiV0 +y7M4SvUFNKafTw4JbPPPzkOhiOzhlVDlYuo/OmOLbEHH+QRUAf/LU6m040rtdmiG +F77BOgBxo6Sx3d00Pt8sWJBgMxawrqsogoJhL1Aywui7HdwYIB8JMk5y/RlIM7zq +Cy30WxsCadzZhcnEBmv6nkYBWiGpEqDJAz/LGhX7V7Z0xz4d4bpsKu8NXcT2lUS1 +BiAVxc66ui76QyQLWJqA37EwarRTh0nLQLoK3lvwUsDlnbjMuR4ZdK8rBJPxxGtN +0fmNlk/aklBYqHyqVassxnby/Of+rmdm3CW6qEr9Wtc/oul2wJZ+SXve6IkxZqBh +lHCv1qXZmYoPS0F9XkdKAvNQQFqMYq1WJGEf9Ev6iV05/WyilXjoMYxRHLG5KFgV ++KC3WJlQ6rbkuXOTiwGMxgO8PFn+f5A4HXZtaC+7Nt9QTPhRlWg4YxlCM26AWeZi +Aj6E11d2+fxPFrJMsFgV2jBj18fbjwc1trWESUmJu6w2r0SVdzqteGpbqR806Emp +7Dm22liECZSrwX/ZRQIToGyFGlRONGuIL1ZwLdUZe0z4n+n9QNqYMfJ9stLDQ2Wd +VkR0kBDhx9f84M4wBomMLSR9DGK0LHPGPUUr6zmN8poWVFDbGilrvg1L+HQJEs2W +Yz8squnvdZ6T5/R5ycnfkKXuR3NypvqJhKa6iylqwkwHfQJPm4WeGaUx2zpykF1M +fZIdNIBR5zWL/sFqVcs6UK5ke6BWxlKi/ef+i9+0Xw3QvEWTltK5NTLra5fnvlWx +CrIL5gMEbkUnBQ85etSHhxqtm2gOIt0cMeG4LsVuBpUQxLqHRjcfXNXsMUFJrPE+ +r3XD39yV29yZoClooVYVvOdBUpMDCDqmYPpNAzPXpXQQJKjV9nvfL2ND/NFS+VJF +Bg8uoKJ5feDcVSx0zikN4XhEwTGl7sEzHAmtBGzWv8BTh8a23ZgB5L303ZgGZO8R +p9awnIYpg5fE10xv9MndnS6d58yh627vQ0RLOOA51iTODjf2M7I4FJ1yWbnYTGpr +nf55QPaeuqaDBO3qZSxO6cgj+UpexYcPrLOBaHtCXrWzVeT+zD4IuR+Kg9LGzXQr +xjDSHBiRJ7WNroEpf0kV9VE+UQp3on3wWunCJQasy5TUhnF9X41RaIPiz5Kg/HTs +9iwIuWG9aomAZuJGrsznlOZhu5MIhqwjBEcW9p1OrcqhOJwzFzDmgSLJhTC5bGct +DNBRRFkA2VKXBLYSjltbdYOs3o80GKw7c5g4XVQjvG5w+TqwJ38VffP0BGgIqglv +KLMN3kNhlDBxBTJzKx8eYGVbyswDa7dOOswWmUCLjUX40b/TY9sW34fxyJd+TbNv +OuffZo6EK48ostc/DmT6Kl9juXGxQE2d5+vSTnw8QsvTLL/FIjAaARJVNJ7AUegT +2XChAefmCJrQsu79h2dqJ5U//vo3ymXr8H5dpCgU+Muaf5nyfrTylmnKnUatYLwF +YOcah6lfAIsDlX/qIlcP8oUmARtOSb9zK4fiCTjrvUjKrEhaCOWT7LmOE2Hx4aRP +8t2cKPqo2K1JYtfxw/IsWx6JzpYO4afmFEN6C34Ck4fqZmxUI9Q7VybynRQdxQVe +wmkiFoyPjQgtXdQ2GgcQ0gb3ca7codpcvHuqMJfE9+kh+6HxvKiJgT/6qWpQIemL +3BCjW4D0yjvukIdGvTOe5K0KgTG/EOGaZFhQiFYvidDqxZhCIdgfNC7dXWdCVffU +37jGtPDC36sqHiuxAd18EqK4vk/LIrHmUOPX5ftLFM6avNayYmKJZxLyFVD++PsS +/VmG0Az947oflWwuj4pYvNGYdkZBeGF6yK0RDHdIdPzVWxikmhH3IAXuJwdCkI+5 +G+OV9+i38shKMstDi/GYfXxGw53sEqOeUxjCNPes7i0NfCAgW048oRonDTIReUE0 +hfDYac8nDIbuepVPo7kzSLx0cleL881Uus3nUB8PGDhl6Bj4mpKuxiISO7yZFg+3 +RnEfha0mlFUi+txCbQav29DtxL9CBcMUBPpUCeOXc8U6LK8UxFV7VvUlCE5Ohu6Q +AjnbBiRg0p3KnN9nJ4/SUj0InAaXPMBoEWU4gD60YRcT4hpy/VLYvVwMYW9U6U+r +OZ6GNJ1oR+OO5+uo126NCW2eILHbZaVy5YREY9+UJ7RP8U4hFb5EuVXGohaoOdMA +NEDIGlGjD/cp432iiaetk90t58Z0Bv2sg3G7Vh1NfRqZhEaQDToQB0AkH+Amnx+N +6574t0zwEGa8IAWwqMhFNQagNp1XpsPn7QStWF4zLYR9ru2z6R8Xr9+XmhmGBJ0/ +YPOa5FbH3pxz5h9uLgBY/FUbwzjBA8UU3qpzlN6q371YVJ9iek0lu80qQICq9KyL +HuStWBd119UljzrY756EtkAL+bRKTdU3lEXWSqzSDfMd40+q+43H1I+p2tOOC5dp +BqHVfsBGj20mOxima7pkjLX5vpbcVKuLUZYKGigVWNzlfYqsyOCRFgGgMI+fvFns +Mot4iglJBsWK5BFgu45hMGSj9QkZVij5eTlpBXeVQpHBWSHEp41pVOEu3uME+2dN +qs/2ZNIkXLo238jeGI0ueMw4wWJquxx0TZgYbCqz+ZkFeilfk5zzttD0IMRPuZGd +3Xh58ShtSYcWRcZLdnnoIS8peGUmESTWCt9UMTH20YnX0V73mkW0FtiXl9Draw63 +Cp/oSaQZDu1Gv8sgD0wpRJYpkn2ZH2CNxCKOhZKfnrCkZ/0/vBNJV8secLYdboBr +BonelzmH3yr8tiL3d5gr+8u82aZ5NIw3M89InAZ3Yr8gjHmxJQY+Gql1zyWLU4Av ++tFMzNURTQtig+MYO8iItjbwfqrjJ1ZAQ6PrfPTRqDQKbFkYV6OqWadbH8XJKHeT +n1um9CubpNtjzVtb3cfIJ4V8M0Gs4Mi2e23qRu3cB+LXZLsloggrDytSk+nyVDDQ +BsEXCUjwoiXf2J0vOPYsW5Nl6qoG2gnZoca1+j9n3GgLSAgxymlnEKho8291Q/RU +XU5zfE6jST6u3OHS+SORBufzMVCjAINA39mtKqCMllGFPIcjbFIHk2F6QS8nLuST +RHBI67S8HWQOfKNvWEAjdZvwol9b+PjwfpLTTr9NK6rE2wuGxQbJC+4NGEPRZcDc +uMjc54tb+bgLZ4aOSGD5e64Yz3iphDnCJ4ew7fy+kSopvU3CAiR6I9/4S2Hdc1sY +6cuCwC1q3IJOIpHGh9e9XhArNeyPAOIlh8GBEh8hm6rJUr9pBdBzpSye4OC4jTuU +bY8j/DC2Vd0QXXMKnsDel0KMWIv1mw7TmibmOvXjY1+4sldICMDjD7fqvMpGAUZU +KVoWz2lo2/7Pdzy+NczKFfYfYFDmFC5U6YbIb9ywQE580IaaGN8i8yKi4K9au+Sr +aqf6XC30Ws6mcDGlXKC7c50UDHYFrmNwJw+gaBtG1bDgdrRfED185DZDyBYbb30f +Mzd5Q6HFHCJk8OvHL7KxLSXecmS5b4g0B2D/TrZy5oeS8nKKBiIa4dImFvvAiix7 +8cuVtZpseNeTpYEGQmMmTNQKbLDgsKfZ99LF3mJRjwQ3AY8VSvkpPxlDA8KrKaMR +33jGou+oxBFqxS0YNpAFs1KwH3NKArXWFI5gmdCfdhR7d4eHPed2M8PDCStEbUFK +R7BlmQJVBY3NH338EQ/EC6bhLuGSsf5XkqhuwAVvsoAeAOObqKXb/t0eZKckTHhQ +9WKTFLEpeS/owZxSSLTZzN3qvrV3OlHQolx3HQGxb8U2hYMiloEfRXASDhJ+1PE2 +HU85KENgh5g1VQbrdnfFqHHRkdygldgSFtCCn1zjNTFac6SuoT+MIH7Gfai35193 +WF2ZZNLzZUSQmXb9Ij66t462ohYbvw7X//YHJyBKn2Bszwj9BBhblvtB/w+iIq0g +q9le8oMMTfk4v60KFZUJA6++wtXV7wxGuixdueFyS2H6yXwfpH54LMf/TOTQEadR +vOxX7AiyNDE4pkSLgYv/GTvd5fl9U72Ytnjbx6LfbXQTyrMR4p6JFhmH/WPOojz8 +yPPvzRuDAioMosWEfOnfMuAgSBnxFgLvI8iT/xlCxMOYAU+67OXCqHnlPwRD4Fka +3ch2tq0gc3fo5wpDLEmaMv7B2dJ2vKCSAzBGzPuOzwtsrUpLbtRe9RPABEYvKwIa +BVaHHr/v4McBvDgWXOCC39mdHQje51MC8FfcXcERR0x6X4cfA5CDu7sg1wgRB9or +MpRTxI/e7Ke5BYfNr8XN64Zw7f4p1STntbPaxjcoqKou9ElPEBNBT/IgicFalZAf +iu2bY/D/WVsgv6XZg4HKVwnklpk4lR/vmx1mzWs2ZgVnfiQc1weJcu04d+bXJtZN +Am0VD8tnyxhz1AlvLIPbpiL9s1GKpNYhDnkhiBxB44JFmI4sN3KZF1z4a0yvtIh7 +hQ2FGRuYMtpOmkaS0r+06V5UvE40pOV9qDgtLHb2jElM13uwNIWUyzh8S1zSbCiA +9Jp0t/xp2GjokNoc8G9Z0uiDDXyO5gyBXgJlduy1cw3yWGqCcIZPkEvoo0/z1e+W +44L4LsCAjvnuwC25bzZTeBVZUuKLu5RC+CJONAbnzjvB25HQ24p9BOiN4eya2NlX +E0w/QyfEcVyC7RRASbatXAtfjumCMBCy8xHU+qFb899yplUSDTkUx2vWuiPmrIrL +E9x2CsN2WAbMubrmjMSmm4SLDEHBEn4FeBXJt8kEXUaO9nmMbX+d19nPBCjt3OcY +9gAjw8kN3eqHZs6IsutlKleWKfya8YCysOxXLF2ECHiSCxQx4dDfduNzXIaOmxxT +Jjaaq5P/KnG5XEGYIBKNnQ5yrfvMqQZFshoOt2w9WUn5B7R4mdizo9fXrjtaJnpN ++klIZ/V/Ecv7Py4fqmG0sn3x4q1YJI1AuSQjYE97okYJiw4Aqw++iTL1EXTHbPMw +F482pSgr+BAmvQbumhLH1a6jBI8CV+N2Z5V6JHhbfs9wgaoIGwNGWTB9GD048wc6 +dc4SBJCGmJIc+tc8UGggbl6zZMustN0O1pH3ZNSLMzb5H8MU/jd6ih08Axi/SzjI +wLOCkIxtC/Ze7q52nRh3ptCe5hXF0XX6h5KgLl2DKrZXX7v/GejlQQ6hmy+kaUMp +NzCflw3SOrCqRQAHovbwAzjuwkzhAN61Z0xss/9+hqWkOQvo0UQRJs/uKuSMZWXg +P8SuFAoexm3D2YJeuKmnBlzKrPr6yjKcAR6X8weg232t6BRHV/UZhmpbDoZ8+Q4I +twUWrLIHpRIHfPZfv6b16uB8ZsaQ7z53prOy/blm8uNcTLvjLiMFsnudQUqQSz4w +sGA8QXkIVgv/YqHgpR6Kgx8rcy2v7/Ew7/KKQ1DZywJ+cM18i1FqutB3lgr4GcOZ +m0cC1W9NFO7y/o4ENB3b25o0o3guNnt5FudV2BnyGExaLilFohCfzXOJEWaKX3ke +ENsyMXHZ0DeOJ0qvgXsAc6gonpclZOEkRgRS1aHNcW+C3Ipm8i738VyUnyxlUtKj +EwK8wn/IXLyqk6R/s1xPL2lEPSNKvV0holTdKBWRHrrijmFynLSod7WQPJjsNjHa +XYDAW/+w4C2uAiGLuWFRxl0ffIH4R9hJdD9F0FqpUyqqSaTJhUzzn12U1WwPuLut +Grt3qWpYGuf5gVPb2S7lwulDqurON8xrwio5Z5KyYwMAY/EHAWCux8n9WTRxjOXm +IwlsUnokjHWZjIKwDe+SMd3W9ry2LZ4LQkb6+BssnOvsOMovspVWtZ22kyPfqVsF +QYRG7/EE+J0zIO2yOGwIIJr2DC/2so25+HLsa/M46SSEd27Huf2tX8CxKZ1JLrUn +m/moZBP9DvpVGSfS7BNGozGhmOlPNJV413pxB0OFvkQW5sa9aEG7M+8RtJ2QozOB +5z2tAekZBzktvUm54fddobM0Bd3eanRUQj+bkQ11UsgOkv5uJlzGul2RKIVqmDXO +IJY63AMMF/ZGaDSdlEFeVYhpQU7WKoWx0JpEP8cHG4pdeG9BFnQMax6Z1grCQkxe +JF835AaUfasIybh/xtCGl92BhZQUBYOQjEE8nq1MOD3kRbonFX8mLPva2BiDHTw/ +B+b80FOMCfKekoaSzavypMu9zlruP7oeoqwX5Rv9vlyQ+kWNRPXbYBSbrpqBxr91 +C5tM2hknxUnuxGOEoEVdi5u3BucaHOzegy8LDW0coSvB2uPn+OY5YLigWN6pSADg +84btGKFg4Q7YUQ4YZ7sw/6v8dBWq/QiihdsgdRq1QZ5VaaP/kfpOOLOIOhwpyU0R +Q+bj3LG5f13wfgfYICKfQLy4HP54YWrjaqnud+Ea3zmnoUBcNpxoyKDjxu+s636u +goJ0h0t87ckok5MLgxO92z994t1HNcrHJ5issyjvW+g1kB1+wyu9JVA1AhNmEUTx +Tg9121HdX1/1wtlFT2s3qK7K2cMM9mv7CtgYXxeHHYC+M60am99NGml6xYPDen+7 +Kt+n49ikoCzNdb/MFMC6hBt3bh5dftaBETsyMipdCT2YEZoBZUtiw5MgT9WjrHrG +jEWziXouuWz8yfHg2ilM0WdQgYNxtQPC0XEw+VNhgjjnanFVjbyF656ufie/WPgw +y/9to1+E92scGqW6q79C2Y3A6gMHtU8I89cboNEBKRTfRUUsGF2uKtOLVD4guPLJ +LEMmNYHQzZDQXSX7Zk4624cewGaJE9lwm4N2m4NRxB/Hok6PAvXfJXhHWm7LF6Fp +FyAXgrnGkEI3NtfdOVWcjklYNnF5EjQcxLK2Hz7jh9nnsdnOHWQAaKKWCOG2+mwb +2B5Bz9zu0NpHNwYG8kYni2i8I74RutDQxjv2HbBHr+/iYk770op3HCWCTVGXVANN +2lPMDzzNsABaurZ/bNulWojz5QskZKtWAr0Am5yIvXegUTt0K5QpBVRVkaUvnqo7 +au2JQZBm+iNCgdCKS8Ufr7diQiB+3g38mi8dtPGTrEqXDQiwLDUpQClrCPJ16LmL +nLews4KZt6whC6K122bnw83O48efgNytP7T+vWucYAWr2fE7P0IwpK8US9mhbmIw +hWL4IZAVfEJ0kra/0eOYJKA5t6lJ0dOXGtmnsorw59Zr/3jau9NfIavn2dIQNie5 +kZb5/rE6TtU06R7nXW3UG15oHEw5OxZRhEsuQFcbtJdRSxNVHNxa/9Kim2GnL0IA +n0urEFpdGJR5uqUNJt7yrIVDJmGXNA+pOHMA7gUwRo7MKd2mIsFtq5UGSESMFTXJ +GgoHCvauAqESrHcuwZrXCR1FPk11Ieh5UUmPEZQzakqFCYagkzyiGq4ukmJZgb1D +kAPPWWUgtfEa6HbUoLo2GAL6t5RXhpEEQEyWS8FV8b39UeeqaEmdzEV2GfgRy8kO +eS21wou6dTuj5dvOF6UeEvhP4dz37jEfVQjSOFT50bJn/jQXHd1pqceGQZHFg7BX +FdDMY3jaYU59L56FNR4lftRX19y3n4qqtmAFJqaq6Jcix8IcDDZ2nd6KIzPKXZRU +U1uF3aF0dxmQYEhdSKu93rleaYDnEwp0ftW2iEiWJkEoQoYiEiyBzm5ukJENfEqb +jGf5e/GKBghyDVwab3qe1o1RovQHiTo58/hgk5iFgQ7U8HHHD6o0cJloL5S4lR2U +kQJYok6fpxUDlIinhGAjf1rGJM+aGifaY6kAge4wMmzGCjWi8zr/u94nxtY0FQ1u +GAsSPTcnab+X+lBukqgQl7QuBMW7Una7j9K5LW9rlsCDo3CY4nwy6eFu3L7O5Uxp +58/aSGyH4d7sRHruDH9vTKsL45/7YIf7rHYOPm6/PPaxCJKrWbOlG162r81g0TrT +NMYEvYCIuPburEH8q05EP4DY/5AQcLpTDE4ZeDx2pZFYm6dJRfxdA9WLTvJM90Bh +gsK2HZGnT6ffFZf9KoBpKWudKVMNR+9mW7mzNkx+7zysuNFRizd3QLLz6Yep44Qc +CAEuS/U8PbolCk40gtVURB9K3O1xViyKGAqpUZzo8pgyKvwWg1vnowPY0OlAq5ko +RIklf4tqMOW8HooysiCBsafbdW0Ks9M0HkToOnzNSkkO8WFzoUWp8uMlZRtlmcx2 +YbDSeI8erzCErd4icr3qms7khijVxSA7lwomEUdbrfdU/ddFJqToEkGlJhp3/WbS +T1x1LIucOazswcSmSpXCnxKP+dARJ5tXTF8LFC1J98RpegkahCBZJ+Ms9DaXh30B +owCpGfAi59Sr6XAxdhNlf/NfLYLGxNvUP2J7QK0df2wQB7C3fcxVLaIGCROiPR97 +R3a6CQrGsilzpgVK4A4Zft1UWrrBujbcb7Poqs8YGuzB6J9Pzc1ZBGsOh0cmoYgJ +ext6u3Rp1No8ZS4ZaBmQGO0ov8qtDInNv6pNF7I7fyY5dsVJdA6/l9mNIqSfWPnI +2EAxwuzhIers5fhn+sGhlgLK1Xr8Y3EBSL75h/ORm8qfNNRkOYWLt7KjvovSQXcR +cSN7k2kjKz4rA3tdQ3OKhjeCs8BB2mjHf3C21KvJAzdKBUmcf3EC99ZZXHokS4ds ++3/1ajU09JYC8Kx54Kzoc3xpeigJIfbCEMi02FRrbfsEx8ATgYQgzq42mFUQVYo/ +0TMk/gZTVrazhvMM82sjS56o8wcZCDISPwwDU4Kw0xFMnFKlR9JKS4JH+4Q1af0o +0OYkf3Pn+haZC35C71dVjjbzjsT9cHELfypp9K8wE7P2p3rDdkJKuldDJQa7T4e4 +Q/ZAaH4wAec9VgOjA0NLiUNvbMILN4XsEnF2UNSPs5zA+xnx26FAPQwXGqHR45RS +rBWz4oWSfOB/EQBHWF4YhDtVhXMqfdPzOFQnqnbp/D9WX8DiBOGXbzVQQNoui03l +3qT1XZahe9ZpfKZfm7I4iGq7Nc6rTxRZIVjht8ys4iIKGIFgx4g6BDTYjv4iLvu9 +thU8hKCKxFViAtH+w1nD2T90FZ2eia6GNLRZW1Z+WgaN0PYh+UIiHXY1fhuQR02m +pkkZ4CkjyDmcAdtiDCGAGEi+/u4syflQI38s3ootxm4fwutHKYRE6xzNBeQyfNhm +PbJZhWfL8tC9+8g7eNFxuwZjkF+KWK2epawwbZW8ViSALPSbWt02tTL/ho96zN4t +MGHzwWM9RvDC49e3//ofw+xJmetJeqty8/FOgLI0EWF/EcPZmsqJyq4t1lWkzSsr +FEnK8Z0UGssIoPy7CXi/7wfrYnR8GPx0I+yU/oK/5IB2HfDxNW64a3iJ0qf7bikR +t9cNITLUXDHCfg+x2jeWfm+KRc4IVhnJwKOaGvk10B7yK+JQ89C664rfPJar9ijm +YazHz417WepPPwq1W6Coaa4ouPlcS2S3lqE1j7bD0vW4hLOCdbpvYYHC67ljutPj +xB37KACMqR6ZoVVD9zBf5zAMGEz4GRneLYUzRHp7HGEBvqTBqwlqw/sZ5J7woSsE +91jtqLpuQKm4gki0H10aiLpU0ClH5VyAJ+XLpIDoMCbsCtt6w/vOcSUhGtKuRfpd +KqYzpaMCOxPX7qIPWTZW3ZBcNv1tkDiI1A5wvxP1XxZdkq63xp6yt4N+sp7qVDoW +KLBC57xIlwqYgpJgmP5fxxx4Re11Fl6nKqnL1rovaFeKVOBuE+u0Jj1VHYvJHjwU +CbmCg/MlAlmlCmyflpo5r3g0votcEnpHPFUzSnCnUhBHgUljWLae85pDEwa9njDG +p1YT0VdXaOGm8mi+hlrlaASJH4gzoQ5Z2XBHOoVOULT70FKpP+OT9ltrO9tba42u +yf1I0nnpiRDfNlsG3JkWh2GEveoKTZBHtFpK5YqZdef+BCx+mFxHYLSjAGh2tMhd +k6InLWGwl1NHxxj892pfRjRF1U5cQ5PFx0hwhiBBa8jWZoB+JKf9WbRNOk3nPoeb +dmW1043kq5wUEe/glzWXBF5AOKt+FQdF5j0UwNwx7jIq+l63Pm3sQ86sSgb7kSeA +67j7N6wypqAvMFaj9QAkIUH5aGt4fzhQrdtM3IEJpAv1XBlND0NaKbcAczKj5xlo +gs6unEyyr5Ew698ZdCyd5bRifGZkmTkYemVw5g+68Urym7v8b2Cjjs0jyirsORVn +0CImYb/NPp4P8DoVVHpUWSELqMys76d2cGF7ipjUcEYK2oUpzsGG+E8Ly4cCTxvF +K57t0naX6qJwfy95vayOy616RxZ9/rOIYC0HzYBABFDsXZ732kU9y5YY5lD9YxEG +RRNELKEWCPR5G+JCQrr7Fzk9cmD33bBoCcb9T9IWd4ug4K2Z9tZ379ZfMCgbjwOk +q5/mR1TucSBkZ1l0Uqwz/BbP+KGWkjjPy7h8jVHjyeBzjB0RIh/ULUXa/o6fBgzL +6NWugJZVhDUVmm+NYGPNsGtONBPMyJBxEArTZhJXg6tJaoxAPVPrVY6ZgeXGJHbh +FvmSn9yFRpuwHTeLBXtamvkpERs41Lfsy6v6MlkqbKFAiU0JyAqkCWrpABvHQJ3U +aHJ5GLgsfcxfp66Sd9myOr67Dv2diLlsb8zrVt3LkfhMeJnP/qbPyXZ5Ig1+u6Yz +FBigZI/0DNeXjXJqS+d/MBuRvVwr5eD+rai8iC/VVF2Kwqb3+cBn704FgEGpucoG +ZaqBUKtTVPFiMTIaaTADEVk+O0h9lZWjoDnFYJBg1+VZb/jXbtGpu3ItZuLeXhm6 +POaaUvsmMcjNkd4kESNb++X05BSAJDFdAwVIU8DrAFC88nF6zxI99+zLJSjLuW5W +OMJhbQMouyzKieB6QJ2oOFehJ5Lyvdl1aLvVXQfo43hXyY4gio5wl1fvNv6wvc6c +MSu9N9hDdJyOLNVJex/1aTkrLEIcUOi3/8Y0MTuAxq5Z5jR64sRSSxqsauFkmIMb +RsV+6Wbabgq/sZ8NjbayVCcs+/jTmaSLfgds49ySUf+JBWN6bDBlf/QPIc1DfuQ0 +0zcbKwpK9gOgBTCJpoT3okN+kLiBAC+hu+co+RISpGDLyT14CpbuWsDwVu6GguuW +Uu3l7EeBtgQpqKUN+rY5LMo3bYz+/avQD9K3B2FjmX+fyNm7FR3t5M7XNPCjsz87 +zUXdZM9ZJKtiTrsoOxwZYNOHwv65JwUicRdAii/Us0W2oC7W/pFYqdxjOxfMJyT+ +K9E4cfHz0wn692nqml+vtLBsbySUyZIlURO/gFRwNveOvqw6CBJy5zuAT32z2PqM +D4BNvGRBmLYCfEbbB1NsjIbPLHP7k1R/nfUyj2CD3D4kGsMQZecWrqb+84vksjtP +BqnrEwJxq2mb3j9pr0+RR+csRmf3eQALsKKLAtnzYLTbhYXu/veytZRi6008ZSlm ++BoCOESXnneuoBW73xkxJmJ14ksIkCy/0s1TaXW4LnmhTHQgzAMlzAq7yCqFaDig +XijYhJFHPt+D/bp3H2dnuS2xGzGWHwplDiZaYW1uasCBbN5WSr5lKoRR/ftegZNM +dYKpZKikT9pXXBsz7Tjm1MhdVbDyKikvt1LEKHYaDDBPY1gpkAhCY6H9t5zP2XGM +KYjD70pyoNFCXqNmmS/a3NC3Ps2Y9c56eLeWuT4CbGbJJOTh2BVwUO+koqYy1hKH +sF1XTW+F14ES5W9TptynHNgO7pISLsH1ncQWM2DIdfX0+UuGDTkTm+kmnhok722K +PoCZdddGP3otwSI2VvGLRn63bcpKyN1XXzgGogImT6NNRLykuVp82HamJp1TKN6j +GcLqMcyucpLVfmC5XdTwz85uSIFV9hMgARVkbtSqlYbbHmu3VNiNmv1wTvmmExWd +gKJgCaBTGVmMIBVdPgB13NDBCHCRBtkvxFfFKdWios8XQmayU+58GDPHm4VnmQKd +jZWGzfv9FaRNYR1aIpSNzh2Gaoy1pGhl1DGjoHZQ/ZAwE0YlpT4vnLWbGs4GjSAw +6BMiaiBowzvGCmJhxN9xHc5blurCiRr8x+o7Oh5tKvesfkq5Z0BJMfWEvw+y0PP1 +vRYKOywxPZcXy4wbvUmxAEfG3aV7U5hDGvnJ+0Erld0782pUGyvVecKdVO5XehHP +92um31WDwduoDYfF1Tj9Ss2dLki5j0zN5KMB3ouCgHnONJfO+MrAahC+0VcCgH73 +FcZ7dmPpvopcIiYCQe9I8C92BQbKWI7qMnzkFcX3SxvF2oikDxoo2w9guCfv6GK3 +xWqK4WKpP0F4OXLEZoq6S4g7QKFUda9OHhkGTPvT8DhJBu1S4L+Qa2FDjiXat7k+ +z9rnDFFwQ42wNC6O7Mstz9Vajg0hfzqWWU4Rx71S4MNepeH5nD42aMioWP6XCZYK +Hmv+z/pPmb2MekI4v6Bm48G3V1pnK0JlYax8WvNh6luERqE11yM74q9INzUZN53V +m9s+ySwZgz8RcQkf7VMT+VuMIcUANBPR6ztkGYYOkzS4Wo6HRwMqkO0hCK17xrGW +joFEY0PmIWDrdV5z3AkwscjzUzIbmRvMv9Y2ArKHEj4WZcd825kJjb+bTby2qPms +d0knJIbGELuOva5dR3Vxexy1548AvvCKs6tfHLyWy41cM66ql2vf++W3cIHT5C+7 +8WEUU3EuanHaLRVel5/bpSg8TVshZo2hpzdT/igfvz8ZqazwA+mp2pCINXSBvGQQ +1oUXjemDxn+yji7sTsLTECWtsc6QAWF83qX/ht+V74I7mKjT6ur9jBUH6DfSP/qv +R1plHDXjlpRKkcD6arn/tZIQGPlG6Ajbi/M6yt7dnsJxF88e2CWUdcT80uysmOCR +0fMnJ3guShlkevdh/w5NpKr8jShlC6xDU58njF9fSmP2E8g4I2fmJG0Ce612cCcZ +7DpaJDog1RSWwLoCmBk7aBhHxbvs6mlAXXkboGrMgwwSwKVq+HrFIEw1crg2FPKB +Y6jLkiWLWSQjL5HW4XoPolSDgEkn7HK9h4axqGvWVcipFwzgP9ExRMuPchTs+M73 ++ESSnC8qChx2bSHyTde6EI9xGIcjozw8nUqVjCxAjyyVY3HekA/MNAce6Q3753Sa +gza6Vp7sJZiHoPgv45KeQn4XvXx602w+cNCRkiUIxjqbv+hHe0CS250bCcwp+UIe +iJ+xec3LSLJ9dad/aoZenOO8/LoYImrtRBTZTMjenxhD/VzJsif773Hq5ieQdybh +gW6mBap+w0SGsHZm20RvbT1KXskCWt5Ag5wPuX6J89BpOVXiYKRpWNz6uobW75FN +sMtb3WK6v9oBN2qsvk+JZec3VAVRGWbyZcfC5e4JDHzOvO9fFqdzK8zwSm5Z/ecE +BGj26R8ff2h5fyYDq0JLbg3unkurtuip+T558rPAunz0awA1ykOqghMSgDmY5HeZ +QaIkF5xjog1k9t7D3+F3GXDGuvxGxSDJBuCG3PIUGt/ToiXOSxSEmh3CraVk7UFQ +Qvyma+aZ7IJOnviFa/8tjKSWVUSebL10NlzkDYxxrLOFw+VKIPNd5rLcbQgR2zlh +Q8T3j0lYxJZFaM4XJMWYEPQKSjEQTj8+Ug1v0f+jKsnnHCQ/djWj5R0SFKbX89J4 +X3qlageDmi5jg+GNlDSgiiF0v0q9/LryIOKoUfhFkKpdFfS9H5pNAfd+s+DRrN6Z +x9tUIv4PznI/CLOV/jXHC7R5vfOcKa/WKreyFXM6I5m0LBrC1183ckJew+ntf2iw +SpJwmVlZHfrb9ZOBP/oGsaILuaqHV83qaNEOtZuFFGY4XZu2XN9px9aaoYgKQt3W +a2/mxcsCjkof6FHMX6N6Fj5Vg6Y3IZmC9VfQkl/eXWQ2JpNtjd7Stf5X6yJpWyyL +75GVwV4SvzU8FB8UXeE7vQVvmN86VGZ6V/1c4p5Y53TFZP1Cz3YDjs7dkKxVMhjV +0M5wiBGwwcICF+7Nm65QE3Py9EX8KSqqoPJV5GtKEJQgWDFly4njZwPXSMiyxWeR +nI8bPJv6uYL/fmwoEj8UAops25lgmSq0n5l9Lbaxhnwuq2vj9iLiDo+JWtnDK8Tk +yBLQnIdlhliI1L9Lm/nDkcaMRT869Kf+1JT5qbJwaGXYTPVlI1wiRBKjvvmrJ3Md +fMTtK7rIX4t/uFAGd0JRJS+EcxHQdTEIyjb1Ur/00dj1W6B+9Xol0Uo5V8yzmjOX +rDKCBPgh4TfO3MVf2rCzkRuLmTqNWxOPKFhQVQbU8jwQKoQZB/GNTswSxOtS4rkx +MRBm4vmrxSThfFauJALD4381zeF2tplbS/dipbz58S1KA0zWa7DE4gKtVR+XNPul +v59KoP+BvRyb/dxnhehUspmuT654FecPdhxA39OHNiYpC14+Dpx1KrLOYcO3VNpd +jyaocpOJod17IDa0gEFqKyTFL4XxUj9AQLk8Zmsv41jPyfYNvygGWGtbDEIMf/+/ +vnEAUGx1DeRRFwtScn8URtRCHoVmzSjlOosy6Mfe2lXyX+Ls7kuU6jurkz2QcmbA +q2mdquqp+qQBzUZF8hMsADV6UUdiAyaoJnlUD99DfydG/zeQXI2OmU8bYsIgBsPx +Yh4U/Z+immC2oH5B3pP8Y31eoZeOfHaW/tn7NpslV7mysTCviPIacBYNqRUtPhzg +YXjTMx8UFE5QzNuQqU9sLivOdcHS6ylme65Uf90oxga7JKAlQtKkwbO7TgGo7qls +Zdwu1UZW24rQy7+s4Sm74b/UBIgqXAAmaQjr8hrZvAJYT1SxSy5sotRgwBdQ1SCs +uYGaPg+7ZRaY7lBUxgZUR54C2QvPTavaK4aG8r9/zu0c3ggLfxzhBojmoOolLQAd +LL7njKEfys7JUY3C+WUxB3ZxGH7Kmg0LIgZo+nwSLIPJY0QXpz9JwfCYR5A/jZBN +vCGJS31wHmfo7qRqbuPES5htqdCm+OCukjVj5lINWyOxiryv23dXr1EkbHDsnY4v +e7uvq1qaCaCaC0OzFlWoDry9v01zvROMZhbwgPeHFLXxbAj3lj60m+lBEqjex8w8 +C7MhdrrL4iqGIVbTnHamE7Bq/QGzuWEx0QHo4OfgcKC/DZbbjlOYlHBxX1uVXXLm +R1BL74t8rHZddPMm40DFchBVkh3EJAppk9mNEEbLeK6rfU+TcPsN4rvp5EDPMBBO +WpEfjFaAkdc09KV9aMqEDXwvqdPgRAJbg2q3Blf0TlNtiJkIt3j3R8wRW4aamEsX +FWF1IRzn+QoAlP/HlnmSfryHqnCulsubMBFXJ0e0InbCqzShm2s7nMsSn/w3C9eb +oazS2xFG3FScGBxZgnnI3X1c2QK71ZtSAkoZZDkvag/MPXwlbYpwYEy89zSXcrhF +hfLP/KF4iSe8qHh1jwB21YERDIDzFRSlZCiBatrp5H66OiPJDOI+qbNxuRgqpBJL +UBXGs5xB4xVK6dIqYPfgYBgULAf2X2m8y6OZ2oJ/weLCmdEa2dlQYGBYUdejlEYI +8KSCeYfpDjO1VAKDXyv2fKj8oU8wsHx2lICMvjIYTq3VAe4rIMHFxiPZTomDGgm6 +EznVz1Jhd37dofhtMlWY7lRNejqxNR1A0jdEstXqe6tP0exzSW6aEqoD/jvACm9W ++i4/TlMJnvo4Km8zItr9X2F73aqg6NhweVgWeC90MIbYPG4XRaVF9x/ftracpRG+ +j/Gd/v+QFO3Tve+A3gSxEtrr18PPMqx14FydCefs6w3z0Gc3Czon4971nEG+vPDa +KNGjpuLfd6Dt3PVNQzDUKY2PnDL3Id0WiluvzCKJONR0PT5Wgk89f7c2xK75eb5O +QLPKyNXCNSPWB8Gls/oxWVtXd28lTwPqjDYTtHy1zwBK38QaK7E4ZgMs0jbN83lh +AFN0lBqvnWnyUl/kaZ0VcHOXOtM8wAg1CQlTVnko8dHn8iTbanOZO2PqHZWMAtb5 +Gg1NuY7XrCVXe/zBc69MNXpoHMcPBgr/aJ2NnQXmJoxee/cHFehfLIav/pzO39IA +jWDDqA+np95zjLVjeSZamOk7LCL0cTjMaSAuobcrF66RgYtaOoPPv2hs0XEMJ1hg +hRRyp9ydvaBJ1A1zRM1CrCutFtkDZqj+Gp8Z/k5rfYtck7kdtUcFAqO+dhjGr244 +15ugZeOD2P6qbkfxL29aUEOk8mZFri2cHdc0TH6oqSe29Lw+nmtnA6FP2PekKTqj +0FvdrM9cQMV5J1FLzZ5wRxHFYvHnSR2zUzzN4P4e8e++8wLEvTgiv6SuyZrFHF18 +5Zt3PsvNTH6ZdnJuAicit2cgEX3f2dKaZtmiB6VuMNWC88BiU6sYJVwvcN+d73Ih +eNAOmoH37GZ7zlmeIrSDD+qCItRqD1/7iyWSqH+Ch5z3y16W3hd081h6BTYrQO0M +Yr4L+Tx7TpA3v64l7SJJGK4umwhg/n/luzPnHTZtkrTiryyADjmueQnKMJp8JYSh +mjkSnEcAWZhUCK5s1/17fXrqDEtnFRNGz+HaCjAhd6sa8X3DVzTZ2V8LcwRioGf5 +1OgUtbxr5BdqWMDSLuXKuraZXshXFAWfE5uRrC1By5ThsQC/Y4T5Q+r+AiDNE9vR +V6MCoHm/eid6QPPCygU34LdVIEvogS5GNzhRq2xYspPdfP17OhxTYWTgA7O+65wq +65wL2N3qPSRElTqx+beot7dB5epZC1WXbTP03t3mRPDUkmbXsZ8V8mW8bCFhFLBd +BSeEDnmxUwDD2P97SYnCanIzqX9pyMxoOLo6eHxkWVI5skXpwsJWNRI4TgP6X6rS +YMcaNdjAOc6/nxLrkD4Ua2SaqvRIGbXxgmQdMdfTf6jM2JI6Xwf/OYE+RFOaPkkX +kulu6UH8s2mrG+N25lBaj54PMz9ZL14Ew/vco48wcJ8ahOX283E5h9bE7VkPu4G+ +CCvMq+qBWY/OyaLW2DQj/KyzVjM9r9cEeCMnECIEKL78UXIJp7gjbbSJiJcB0kNB +Q3NcuhgvnkWtDKQK0uxTb9ObGErKmuYq/UvNT5RvxlGGMW5CvQKGSvgWM/eCpf3u +X94n4ndnf/y3XS3rJ6+P3e+XmLfBFAWSxWRX14zbV8fjqs29eWZJQOHe8CvTsMXZ +hsvv4lc8yBjaPKtJDucIsHAGrj+OzSoNdAp1ZxCvq8vB/SOPgWDR+c+QEzTMd0AE +ZRWin2V4nzGRlkoI9efM8BP/BpNqIqAtdFFLhqRgmClUZqmtZWue54MTo8OCMr5p +lfmnJJcjIcgNTkf2wKXA8xTP3CYeRZqu0YOMrYKMGIJkncch168LOIJV4fPkMcN1 +3HSinxzzJNoEUsNFF++uRcmDxcXDycFz8V4+/S3dQQNRJqH/x0QrIglD+cKzxL7m +z3xET920B9SVyaYEy4L6/E/58PWgcZd9vX5JbSfYimqcIjNSqO72inLA+EZJVbHn +WUgLIQo+lBnliO3hhmE7o6gN76vWFHER8TXGLshAFeI2FIHzSxXmX71JSGzEInby +MJ81PzH3QzFdmoV3ZJdzfIdml8r2c/sbf1UaVNZh9MWI6SeEcO4G8uQ9QALBPDqJ +oKPal059k5uTEnNucopcUbwqO0Ez7NIq3gAb8x+Jx9beFSrdYGny9XPcJVxwJdbW +5khOmwM+IhPMzDwob64TU5ldAkBqN1Ks6dqgxqnvThVMDpuYU7t9LfvK3CZzQm9x +7XrN7E6O7sLqVbTkZ6eKkKv708jrgLG6v95T4szSD4MvoBB04rShZag/UbjP0kHO +29+bTi1qiP19Of2UXPVRBDlbWUkA9o+2K7XGioZNqa+KwMT+RRjaQ3Nv8IDawcoU +G2TqyQt/w4g25QEitEw5QqipE3G2hQQs0GsA8OJIhNGsvQDuwJ8UDG4mqpRryyhx +bHzb3uruvjWaPpOs4/DXmuHVmz07ADp9E1kEN3zGobr7xRSE1g4ylpCTf9sCe5pH +BmNp+lQNs51DoUDspuZz0+tAvSIn7c/+lURDOJhbssRndlNMtGdgeITIBSD2cPRF +zIfP3gW5NTX13dSW2eqE2SvmSSX6hkWWoHRYlzHxjYDunsCofW2zTCWQrMN/oUoZ +aRADwcjbnRqla3GYri5xMZOmHdtzzbixC1F83sRmWRCRkjdHE68cJ7flyz914rCI +aHh3f7ucG8H0IQTJe83Xd0SWDW4RT9JAq5mFdioPMU1Ac76/wxhqe1bloCJiEQSA +TpmqD0r82r4tw/7Z5qZ/yTGlMPVuZU7aFNkm/l+ZuIp6SNDTHf0xUWd+ON+5MwnZ +eQ29whzN1arlB09ah++Wjf/EF8/5KrSposqW7NoIxXDP2rS8eZrn0/Eam4lWQZ3n +FeLxkv4d7NhX5B1WtGB9LeMZsAxrPu3y+t5YZhsL1g2rOTIpxMgr0zyFEnHULX00 +kvxTFt2ZCUVnW1ufwy4TIKwmPjwBPLdBDBgbzC/mw6drTTm5D+r0KoBy/Rp+uUlX +6QEMCnhT7JaZL03ArSIWhzh1JHKGVLSE3/gxCciLxBqGFS/TB8SxhKwSqhxlAkBm +yX51rHXwxTzjA21HIzU71gs660qx7gWcyCi86QEIkbzkzZ9iwf39mkVxJsRdqjIS +I2MzjZEIqlcIEs+7N4yLVIvngg4z8dLqySl1DxSxKHwBo0nlwa8IhSbMHmbq2tUd +ewnbMv4qDt066UDwdXLa/P3zbqrX2vVp1xRULZk0hmsKZMktsfAq+z8Oku/xWAsD +rhFsale6w5QuqhtYpRcjp/X2Bqxbcq8uGU79WpdEORFcfuQJV58XxUQfr5a8ei7F +RZt8mNujyqkSuhYtgQCaK7H4g0q0r+5VDGHDx2UiHUlfeM2pszMcGNn118je4ovp +MoMDZTlyrbQt1rLpbCnZEhsmPQ4oPYR1LPtKTwLzaETS93nU1HnFYwezUPcl5hQO +4K8S/J24qXde264bS77lo7QNFDxL0SXFvlM7c0lVB1J6KAlI3ddGDna7WSOzg0Be +jkoX0THcxJCWepsjejd9UyKR0txYd+agm+f/pKGNNCxccYgXF2wcpVj0GI2ieFKl +a017wr6eHSrOXX0oN5akasDq/8m2dPt9GA7DjHfIndPQ0frhyWorasHVioVjfCbG +IMTEnq3ha02XvQp5B8P3raI/qrNJAowcSRZCegQseUACdGhgePfjkn09qJv7gcKo +c+WbgYCjQpz1LrV+Ax3O2wL13TLelVjrY9uvLnoQcG8ySHMQDNRdGauQyHph+B9x +CXR3uIcpzttLFlsqH2k2ZmsRiWhGDOUhql3dZQZEUAvgEkyOXl9HHPqE64Ck/ZAV ++EaOtpBurt8qaRsBV/p8GDD9YRDqJZURa8uvLUSF3AE+ojnPMTCf/TQy6SVqI91B +xIZmbidz6JE9/hdeGSvi+ja0bsAht7W6ysL67YNh9AX8/ewSNKdaO56dfT6LmXkZ +2yYAs6xn4T97jSKId5kZv0n39L3bKiUGzsJ7xJNMkyK/pLINTG8qSkfyjbsPC63r +qoUBePz7BBJYZKjf3dUGPZANr8rMAb+TOwk7AWO0usQMWCDtJzuTc+Rp5d3AT1nH +DnOpT7Bsg3ayenC073Vpv8pKjdVXhmoW8odFTuvO1VZ0JrPojVC5xX5mxwdfvcRC +2iccuvCgj7zaKWqMAVz+b2Oj7w8AAqVQSibbSV7PzfvsgGS8NjIVNmJXxCFMEkzb +7JhDGQNtDSLBWfByS57pAQVBu/rb4TTyyQo9LcmNSzRBDqZYdYQWPN3GXrlaHeOn +jUYrpnaPhBywj+KMCClqjoiaMy4mCqSCFJCEVgPvQHz1bKEh7EihZn0BDuRwixUM +9Ffpdllqrpsv1u4xWzrah1tZCRzJEtJDAHPLeFa5azMtQoY0vSlDSJYATkcZWqIR +Idr6v3NhIdAQO1eol9GELQiR4NH9PU2V9n6Ke8Fwrm5b3y8aIiPZG/Rp58kiVkpm +gejuMTgcmfo+aHw20vIL1/EkRvJWeYAqpsThcMehqSqIv3U33oQOJZjJeSIrxkkk +VgRyipodjrbPd3iIxy71/UEon+f9qqpZZEq2frHs7IYFQLls78Xy3pRhS2Njrj/T +sE4jqW1lOM5oYvnAs7mPTAO5DYf87mHsg3v4Rpi+QDFkqU5TwRTLon4/mGBAwDR7 +8ffgxjM44GH6czqQkBrSKQSDy7JlgctW7cS+cBfhrXC5ai9QTcJlgbAjx7S6jt2p +Ld5uEIlszluYR7cof/OuSbRVbVwerjsV1WqchfT4tTpkiUkGASFLkPqInGaG6vk/ +qdyyAZXmOAUNLSfjLAyRDBtBRi7GIzvSjqP5TJ5xq9SqX9uTD5kR21QR+uXCAY1y +sInIdG4jkS2Z3fUIaVtaCXM2Hvr/LPEwQvHT1Dyt15X0PzF9rASnyg4X4ytqPgFP +i1KShXGn2HDEOus1HDp1q9a+pCO1vJEnembeitImoezGMqsHTVd+iboVgXEO5/KQ +3yY9t14EcCylZf5wCJVbTuDGFU/wYCPtAi8opnWnvJDNeQFdLiN5a1GQ+5b4zC6j +/gsAt0rzqqvHj67ssSTkVqY/WPC6+S+4pRXk5ZwLkbcb+vz1NeoaFFrT/CUTcTSo +7vEeHyOXao5P4dIw18tqjHW79N6fpESlx/SJfgwCgJiIBRj3yUqD7LKZIeZiEskU +he0AusXOQ5gYcpc6YsDht5juOe09/096MSdcVNFdtFqRFGtmLRfWty/nnda2scnA +qx9WhlJ2mBWfCjqL2GAtEDbLWBAcZrhoF3TI7sZN726EMUQWBorHmNuXfuwdu/iz +Wb6wGqLmNm5CFwiShKIRvxrJqMpPCbRpDMQwwBP+f6LnE34lHrYhmA8wJQc63pfy +djDSIe9IPq7C0uyizf3s6Kl/E+dpf+5XsxUn3+Fm19Ok79qLSms/8B/uwLzQcYkq +ddVPL+YDx3nJqwvCjMHBBa60PE7MsisWeaq31J2cVHjAcFLeRrVvB06AwJQgtGWG +vKNgH1A5XsTJ0TNICuC4phu7M6sqPsyOh3dfFADWk9E1E6moVntLo2i3TPDkVsqy +hKExkHiQnQAm5Ck+mAdyyrMIw6ni5zyOc3d1Z477iDxbXqOHMfLjvaUHThlcmvQV +R666PDP6XqkIIi1N0WMAGhB6tphm6gwM5C3UDHh7U4GstpB4J0p4ej3enhbrHN34 +UMnzCGX3+HFmLiv5rWfMHzWReqWXt1obA4QLT+ypC7yyM9ljs6tWswHQ0X4jg/z/ +RKus0S/ougXeYF+XW0K+7Wz1a7Ddp962jqAOBh6j1D1xybioZWbolRIAQ4g3z9oo +T3TzMhSNBiPdIjmzcWQ6N5uKVhVCGtUiL6w3CQkwj0eL1aZxCfpn8LfeBkb9iA/J +286XHGpAeeXI/LDml3QKDTgmpy+jmHeGK22bCaEx/LenxFDACS9s36ecDN1YU9kx +lZSRs5bddtnu1LtLPsqlw9uXVuG4sXL2kG/aSm4XkyXL2KsVDl1HjPKuTXnira42 +PXyc3g2CQPfSVcqcLMl4agYTvvL5PgU0sdcZCmnUF5lTXXd/Slqs8H6VB3spbUUZ +qEev4n240fPPaeYJIJzTM1S0anZf/xqgrp8HPZzg2sdBMts9ef4xuerhTtCE55lz +V3q6PDQ0nR9ER2b3jW+q4gtlv9RGCg9j7ot5idrGQ/BUJ95+nhu+j43vyjZRvxFg +yKDZcdjuP+LHRBxXj2A29kUZ1XlXqZehChNlzkFmfj5e+AI/ColCMizTdeb0m/63 +RSb/Ldb3F2m4tbCYmReysz60mbj/oG0krrd8eLpEdBb6efj2nuhoiwHNZBPLsQub +p6gzfas+QV8t5qGBpXex58l54vxUN1Zct74l4X+aBl5qb+AIDoFbQdWAumxBTgmI +M5MXtuuqnoX9Xtvf1sswGsgXteTTdJeEp3hllq5Bb21VCPD+6tanrxu+6TTyAU2w +5v3YKA5wHANKwwC1EX/gTVZROfrQsURAj0xaj1oi/CyiByDo/PfiHr7zUQx2T/L6 +2FR8LQ6bujqtZycqBgqYYIXjrvp8P9EXEnlYmeVsmVJvXlWLnbQNvOUA+JwrPUbb +moK7LDPTBG59bwYBobKrQoQVwb8gidwRsRaThxw8ru1u7YrmFeH56AufFjQwZMnz +tYZCZW+CitNbwwoUVJLWHdWuSRojjQC6bZrJA+yJVuuXw0zA0w0nzWFlO2rvvkJd +AYKOre3w1A0z/+RDWHJVaqCrgE3w8UlIrICfENfaF5YPW5KqwazVWzMz91iwhV7v +hjMIdmIKRftRWSZgkS+i0RAJLrKlosudTo1TQbidklsrwYRg2HAQph+8olJl/xh1 +pb7rP9IjfzRPIsS7gM3rPPz5k8vINkPquEogwyDzab1RZhJY5yhG5KDzpsCn0HZQ +IL0L2r2xWzCKaGYNpz/3cXyQM4qaeDGGq1hPVDDw2g/xPo5E0u+UQ1yWskUdmd9w +JOryy4wsyHc0/YlKiAqDxKbDnJs8PER+AMvJ1IVQcbbxy2m7Rx7f9vJKryH083Sx +PtOe5D70QwtJ9CGSDgvRmJckshssFuhjE81pSykp4Kp9CGIVuHL+gqFt0dSuAbiv +BVBBN99IK1Y92+aC/TCifnSsjsM6meFssfrLRywyYMnGL0hD1u6RAop2hllbiAfF +uNeT/IbnRLSW2czG7rMMhrC25E5QsEiKZ2qjqmJoh91mWvqnU4M9G7m7st6vexjC +aghxS8zpwL8b43m11SbweqFiRkzKkGIJznUv1HgXmESouswgDFgbMg3R7yRh1pW+ +MzZuMH2tmwMvKkPWPVujkx/dUhN7xBk+1U5TEIcP5YFWTQnjNijR0D2N9W1Nmwpt +PEbrKSJld9PWsga1jTEXdOaScsfWB8dj4xBvYQAwihRfPJitBTavBfWhZMDf9NI3 +E7I2lLR3VWHCVpjtcnfjDboe3uAfN1QuGYdFvZlYejOsJaZz2tuscAvf4ttkuSIG +bKI0i8l9+bYUcpwrEMhs5H+1ZqeAGLa9RgutOU1uyXNY2ieUhvJBPgPvVoBHo5t+ +ZeXg6Uyj9gUitziaXcJZ9sVg3F8kHKShU0HKngh285l4b2GJr1JChJ8HvjPUKpc2 +af55Wk6ofTMCc2K33fseezKLKJHV5jMJxi3TKvW9FNAMOfZJZyEoFKugPoHSUWl9 +Zo5EQu58SbWguTkjZ81LBIqWBuOrDG754f1kLdVmbgryPc5v/6mvZxJgXvUJLvee +XgxfJHRlit8JJq2oIwPP2C7fcw7sw1dOnzr9ByswrfrcijDwbvuGqve4pZTQP9vY +h1FZOfXFYZLU8B/q/UhNSCW3IUfgrcynJYesHM/P+cSPTtlU7I6Ib/ROBx4hApVb +YwzhXOu5/EIpt7936J5BPoJ6HDqcL89j6+PPjaI5d036ZheMECm8gPMFmbQQjBZ/ +WE6+bQD3QZeLmuq8ueqxbvWBwB+oT+bN7OgXmKt34EqTS8Wz2bCjT0qcujzpNwj4 +TuSRzTgemr6ShLDeh7SjmEQ63Oh9+rEE05+E70N2DM2AhsOdf81CblIWHeoTDMan +ZkU01S10h/152PR18a1JCYmEFYHBACmVX7TLz0p+1KKbLP5Ym3E74veuv8ednjVE +oLYlpe6wvlbGk3FgN2o+jMGgW+N0/VnhoaGHykUjNnX2Zs7qT3Ml+L3WTAjuQByT +mKAy87MLGxq+uWeMFUopoDUZuTGvFUO35zl6GlqIewU34GAPJ3XuWcGvnnMOTc4B +eqmwn7B1UqnPtiwz6+MYsD6ZgjBek0TQGHgRqqIE6yZ+VqGWoq6czbOW2JgEk56B +EXCLeR8hyhcik/H0C0CLdlyWR7eKiH/WfbrJG5fesNNnIWSXQ/vqwyN61/QOnXXt +whA4aKIpy0oZ+9Jd63UbtdLMhFeXxlIqRsEf47S5+31yHrLBCzxMjh3QJspIi6rN +uA+ZELrMcUIV8SzaFPJubrv2BRIAZ9ie1dCb9s4iQl6+XEzx+UnObycmg3h0/ffm +cI33E/Jao2xdmbnkG7+cC0QAegdxQ6ChsRSrl2WgsDgJIvjFwTWXoyGzGCHP/1yV +0Gt32ndEOLfuPXJtLtrUtLrkyy4KCyVdo9wSygvCD0sQYOu0JxTFvC2wuh+FEQdN +5d1PpvWgk6Ekl2GJBZ14vFaR6N6zFeVUCGejQnPjX/IXcPiTs43WcqWmbaxahec4 +ua1yp1DIuxiL6zXhDugvGbAlz+L3cuMwxv82vRwadAYEJU7ZfowEsWkUrhsYzMDG +2P+Scq/7WlX0jf2SLJfRdjLkduPekiyM5OO4PcKv8y4SeZtFOJtMfq3gh8o7vPuT +noyIp7tXsMdh6mS9fPEF1YNTnfbyQ3PqQzKc1hQ53I24aPYll8KxgQlo9LPqri8b +8dGxYnlTWX/8m4059ScGosLKbNzdzxmsrUvVrtwIorB74KVkrVRrD/bvlQVfItqI +NPeVZ4TfiGb5EsPTiah5w6DB92+XyORzmRP8P/UKj2fG7DRQ1zGlk/X5ExV1ayiO +htMQ0z294SpEHxi2M9aegK86LqZdd07GF0Ac6H9uyq0pdYQW3xPzKeAco+hvxg1k +oxAfK+Hy/2czhBun8HC01vr+Tz1k1Tsk6TsVIQLH7Ci029v7LESI/vueQ5Kn/gAi +ZzQ15zWDcz//gZ/ePSAwMAWpJvHRzJvOC795JqutNuRftnGWjyqLSEFJYo3xRaau +9nWbxc3l+NPSYKomYX+EuVGB3i/3vr2NL5sK+ZuVqPMTSjaXC43vMLOxu2SnDCqe +Dka9JJuPkPdVPzMmjCWp4FGg5qrxloc8CnKFOjRB6gnLdAk/yjtZZWPCTdNAl9wX +VTBESkCOSmI66AwJSJulrIPYUd5fKwu4Rwiz5heWIsydqza6lGc/2I9kDIGME+f8 +dy5fQv3ghGzP5X2/1QM+pVU8vO5j0+6Y6WABPwPgFvna1deSSzF4p2S2xlemnYAy +pAew05P9yKY7xvODor7WsqeyQY1L043DwajEGEtX5KIBamu8N0tk90C6y/tb/+H1 +aLnfWJY1LfqmprT7oZA0qr1xwsUSvOQrTSNxGcmoTIXGcVSKhFb0rs7oGX98K31q +aahVWQLNEPtdwUyUpYvWVL7DgW7y73nfhPMvWu5kHPa+XeRL3QBuZhZnPWjDLxKy +UwH5ScAVm2cQ+Qd6NyNfWMWi8Kvb64zImLsGIBPntXxmSuPH9eo41V8JfnYlUwCE +0iIu59zUZ9tk6wgjSFYJoo3v0pyJS2DaHX1TsNcrX8PKTgn502juDgWbMM/e+0hT +IDxw5JAoWaftoWdnZONxWce9iBc+9b07NxfTHA7k53iXNIwLw9r9DImJVRKUfi9N +uphUVZFryECXNAKmXg6dXe8bQq3/0dmwEo8Y7h6G7FTBQIBpDj6X1rpLAOYN0cjY +sB0a0jAYK/id45eJDiidTn88H5kguTcS1ccHlXyYhAJt2uaMcix7ykUjapr1QDH8 +mMJaRkPLA8iU7gR8IQraDcy0x5COhmRc/kjiawNEPXHFRj6hZKOWWfYZRRjOYdHh +4gKA7fufHW0/8K2+FgPTpVMB1cv/tTg/uOYU+rh2xCRN2tNwkMNaNvdiKY/n+sIl +O2WHfbxVfhMvFZ1aIx1Bu8jslGp/QiFDCvSgyGyyeAO7CK6el3JSV+zpFBnfZHli +dv2gfMGHlSXiZZrqRsIe3fRTs+g53FruCWe8TVsbk99fB/rbuisdbPPPdkKrMMPk +0ybeut4cJx3XdluCGvkSQplreEDcVolyC9Xc5b8uNsPhATQiB1sZaFgGppPCkhSl +iZcpYP1e3vT2PeodgJhlBbm5eyOEFAi3xJighYwt8T/Jx0ZxvfKOajOpnA37s73P +HUYW8tvAdzCe8c2s4slTy2zAn1VW/Tt0HxNB9NEXn4ZvP7X1bW5d3TB0sWUOgU8G +NNnMbM/eprxNe3+wPVp2QazP35hDiklmRGWEUX4Vx7N+4jokuBVpXkqwyZX7z5Z9 +SnUVwALABi372FjShxI0NwAe7XA6hy8x1tOsx3N87ePawukQqCCasaxGnbMNeQHk +GpBagjvNy2VOXPpmCS7Mmo2IGPYg25GDtCvkGS99F5ChPs5ucSJqyr6M+52vcrVk +E9LElJhNtSgceXq/OwZuT+ng1+6SaUdAheTfNFwBr3pjH/MLOs5pcOzYMdg53UC2 +M4UH9XjIMwskgcB9Jm1pB1733/VMQGySpD8o+iWRPe3zPgNa2D7Wm+W4Bj3HEQD4 +EjcwGHdI4hkAFW4JSxqEKOVUYUMDImGGSji0S1Ns9LTpt4QaZtTRRUvu5uZx274g +WlzKOK8m9EAyIsmsvi/h5M+neEfF9zewsRfUDAIDsWLUjcBsoZtnUzQ7DnhDkRp6 +ZsnNEK1v/x7d5gsSvOnU5f4oLIyt8pz5aW1NmztBeYxf5i7tpj5v+6gxigSGwher +X/GCkmNCmOuvINqJxxnWdyMOZoLIDBMJCHsX+6LQMEFXY/eoryiy67pHB93I6jdA +CklBKqB93RPWJnBkIcIMD7gC6ErJH/Nl0SFmKgMQXqlQZnhKwmZZtFrvzAsT9U5E +lrxK9ojBqDpwGRFT6kHySszEf1EQTi5N6AMq3DXYGvrzeuGEEpaQTjciSd/kPUR+ +fJWVMjRRjw75mgo20zzErXU+c7Ekm8erR5ESDDQvDhznPWqcr++COEDBuDNGFApG +EjhGPPkSzENPNz1I/WN5d+zQFMxoNVIzX4YTRd+59CeZTBaHz3ZbbThCcP0w8Fi/ +vwN4wM57BmsC3X8psCKbMtsjkg9KYb/M9LsFijfKjom4LBXdYVL30YkJfxrXH/EI +v+1xRjxP7fCfigTdlv9N9TTm4s7nXzQ8SVqZLVXJAvpE5CK9MovTAh7qIP1Q6kF7 +UsVP5oJl2B9wVPBJWgh4xfaviyNSNZbu1ThvQcJhMEckXzfxEL2Pa7zaMJut51uP +MPZsJcVB2nVVd8gCS47peR4yhClpUhRqzKaBVFUd1VlKhHWr+NkRTLwIWa+liAuk +U6Qw8DFntGXvs7Y+PI1jZ86T1fLwQG9DzLCds2NQQMSa9hSD1XP15oSGIYqEp/AH +K3gRtSzj9C6DoR0fM9OOpA5uUr62PkJFZ3/yNWKSDqEWIsH7UtFqAWoMYioJKUtb +Fw4vbOnoYFlQIfc4Wx2dwJ9V5zZZ7jTuF0BoSIkEXY71VAlgvHXV6Abrf9WEKoCG +lI+GxndUhn1XqmZJdR/9JtwWDnNgE7B2QqI7Jod367LqnRA5RS2pkbttE68kgL2h +n5ZwNP08jNnxRcv0t4Z8qF/b50Kfucbbtj/anKMY7bI7nFKHSCUnuVlvCZhdvBa2 +TkxE+QPDRsXs5mdvLy5vChHVpc7gaPEbxRGt27UcjhuF1JS/+thmIe6Hoz3ScsdO +Kx7dV9pwRWmOhHB9fOGJRkgXjgnJzuBk2KXA+mmUMEIDrdm7q3HyRQtCbodxHnO2 +6sFg6Rdd7ax9R8xXiAzqCUGvldGepSyl2DXYvVU7N6WeguyqKZ3Gqdjqkqkbf2oV +067JVElxN2M22E/pV1Cemhx3sq9+MyRvsPcY68xBGsgHRHfuwvebmD4g/LOSzO9J +5i4GxzpnvkfHSCpkgiyVUI4KmoKvQtNHSwMtYjARpHTVBZ0j2mxEj0mXJMlQLy0m +E3H5mKGK+X9L/ZNLHSCQxiozraSMLSX0L4nCwvUZCJMzj5WTeFe2BY/Q41vzTO0w +IwGc9yTjUsr+4zeP2pf5sj0bktl5Gp/+mLc93Wf9KAZ27oJy1IU2OQ2fkYpemM7r +AMUAuiRNkyc4uTyClu94Xk//jAyLk4WUE71ahLe1KHLeo5+RR8wewi0HBVFnuYRb +qPjVvlwWOpq7kuWHWAkLAX+PfXqQFZK/Xs9D4IACgzfMvNHXnfeCmesKDaxmVXOS +KyEyFjB7Z1Sw/FDBtuflmcoYaj8unoNo1rWh4NWBBGQxoZA/ny3t3gVZWDcUzD4F +eIJoOOvMATfZLgAoPtSqGFZt2FhU43hEWCfIFsvp7wCNSoSCwYRrt9we7c8U/86l +gy4Cx4PUHuT8A3y6Yo5GGc6GZRB4rjPVVLQI06G/PgptMG7ckSSwPWq2wQcX8ScP +VRAtM0G+IIiGAHYOofCokNvnjLPb9h2xSPPfy9HcXLr0cgC0G/SXijFY4lcFJa6d +CkP+iZC/ySKcv9HQAmX/grvKQkSr4A2viBVnRnQnG2p9Lc+7Vps4AZPtspS2SgAE +4/x1nltdqByca05PVQ0PVZIDo3lLeOo9EaMaQxKMGjCtWQ3gYshnawp/bxn433+C +f0ooNEmLPVUbilupLD1sZ+WRE/1QbM6NqWbdtlDb7n09L2CaVPwmLX8DXO+aOwux +TsaLrZeFBHVR2bvRyZpCsi64MDeRg9+yiP7vvf9nMmoXluADydVo860d+wvMGGKv +D1emcnRc/f/ZJ/pSI4xWiaKsLXq6kfzgBM5CJpGwzYUh36ol5HC/+CNPWqbKAdnI +C7TuTScXiHUbWMcCinOu1c6d9353rejiYNCNgtFBkzX1hVSYVfocyMV1t0l2qbf3 +1IBlLBds+AIAatciegqwK0PVb4flfdhGiDMW1lhcVxP2hWrGdkYwKPl+wgQhEA03 +cFVHef1UJYoNY9bpp7+nUhEN8ZGbU6Xz/DqV1i82sAUnuOugWuxgccrcvXXGIT74 +JbJ2M5uwRIoi1qbLl26OKmDTNJgCp7eI4fDw8oE1FKjzw1Z4BGh5iG+ND0/FVqrW +LT8a/eibsvsI33CyJ8wnwHi88c0CnV9rmh0C6UujFmkULrB663FLtB6Esq6edPlb +wSxFp4fvY+LlfurI1+d3a8f16iugJ+2BoduM+sdPatidxmVnyBj0SnskLm1fht9A +UDj4BsQmqaQVuwofCn0t8EfNwfjUB9oTSrfHrENaCpBvga++A65Nnlts5KOFnlGN +zQZZ4IwPMrurD0GwOq/Mzec+zYVlpZQw/GlRGyN9iPRQru+3ejzHAFjXBwkzg+8m +guUOEW0BbCY2IobgZu3UDOlFa5kFFJ99ysCI9c/Gv5CzvZDbuNSZnCimF5S+WRe8 +KHr6+UB7zIXK1MhQ8Vnz/lg7Fec58YTDT+uqWXaKEbLj5TWGjfQnVpA3Sy4j62fs +I5bxGHN5OBKv87Y7YysbZkzKSFQPtBh6ubzj+Cu+Wj1HcNwTAY4vQKPjNXDV65ZO +ILPnLCVelr63KMGrTCTDdxUzdF/7jlyEtJ4a9s8yIBABr2wXTjG89bCCLX98fxEy +5SsCnLNzHXh/JmbummHJgo28JTTHKr3ASF118i/RkkOp69EZiQ2sX0nLnjlSboMm +l6lbTDvILfyWblxO+XDq7S28Co+UdEC17HlQSIja85BRGfNKa6XqBFBLAl3TX7BU +6Zgyy9vhSDgns5qQ9ZZ3rlrRHzzU8CoJI7gz/MDyybFiVkZQ3565tmA4VNew/DCr +pzuG8hJl/I+dZGOIyEq5OFAPE+6PVYHPat0fXHm2uMMe6Kn3w4VOiUaIpVBq4iqT +0rb7vi4T9BYD1Wgb54PGBNRMM/MJCQYVyMivTpVLAgWwvITwbD33v195iJU2i3IP +S6quQB3YC5rJzS8s/BcY6ZyZp7MMoneGIeSaU6mnRqDFmcLbEVqLJfyThSRFPB4p +2Dcsu0fWdqYeOHX5C37aJfBDHbEV0syqZtk4JWd/DoQuMD44qmGijRlLR5/LrGGD +pSE31WM2ZJcWnuQBKlteVxQAEo7Zx+AjFbOJh2DAxjwR4P7vBHc1KyZ8tsSS79de +anwdv54b5zOhxCfPY4lzS+vinVnxBVUG9dgaDeNSUAFj4ZQWE+Kw6iyxXcDKeqkH +afqT1fqyC+fOJkOEgyvJh0CSYrq7GEgXEbb+XHSZ00tsOqtK/42TWIOZqeMdIb3N +t534KyHOeV171m+S9KGFhmkUfo937eMGfSkjC8/OaluFpOvTz3qb7458JwIIjRo8 +Wf8FPXKgR8lu0ztBNOxI2Hf4xmdthLpWyHr/ufqTCY68QBZqQR0u6/rWfxzhp2KM +hQuQkGvfaRE+MZ8JJ+zg7/vEeOu6eo0cn+Dw3+FY2PVxdZVbrXjvVnM9ls9ix0O0 +BHaxfCTJ1frG9MaVPFr+urgyvgDBdsO3zVhrTeBMDI9Y7j8ejW9N8L2pT5ss/qVZ +NoBJQlsg9cG/nf+wjSMW1az+/+5SUlZwuk/+5meyt4yQeWYfYOp6gZpqZfo8qmae +DGMVQMdp7PdYUaF2AupgIrMM3g7N3jdW2rHr5BUdlAklo86rkI2lZbnMn57Afh4D +pNKPayLCo9BHUn11PyNmGiGEHkasQzpRBAhgs5cM2sIYuSF5dNP/xsfsXPPOwDvb +0FjNo+nnNdwmpyTWK2u3HZW0+5M/iR0iyPlbH183CctbZ1CGWGve2RR2aK3mUxuM +pW9LtSQ4MQ6wceBjeN+kwenC77H4JxaiUEuamsW6SZ9b1QCEElQWau+EreVoKioV +eaD94mye1Yo3ITOV2bbSkaOImSXLEbTr10SqU7y/OScucXMrN49P4+GNAPnIs/Ab +TRZxautkCMKQ2skA4u2oYldhzF6miHkzUJQ5+cTSuU+dF9Z02+/eo+CEAUC/r4uY +/hHRYVPVXYf6FaTMY6kCR8jm39pOn7C2YaF3+S66+XmwyFhncRQE4xd86TM8gYrB +LKiqUzpXiHMK46ckpGtd3+d6sC5N/8KtXpqtYJuP9ZoBQT/6zis8Na9AxmX528w8 +jW5hKW5V/wCJdonIb6DACz/ehowjinX3OVgHLS/7BA7OXkY9AJEMxQWXt43xUSJX +3jISrl6xlsmYxYySriWpUVaH9YRbYq3ExZGMNoSxhKBv4bPly9IcQrz1XM1mfvcN +iafhheNKKrRIzmpeIBmbXU5osLlBn+N3YbIm2H9JquhRQtC0aW1fvzF2VsFlXdi7 +z4wn3w677PiuATzUM1AJ0o3e9Dhly7uKoGBfrD9B0YNhk8T+yze1i8zrphkoY4MU +Laigig0II7s/ePRa5BuWFJHeWer27eaua14i4yTmfCB2fdO6bDeHKga6Y18SoI4v +VEjEh3ipCTX/pd4fYNllTUMMwsLaxyPQrls/BAAp4vkaDJgKnKKkGVM/BamGGURi +urLLsXWF7FeAXMU3Hi68LaQS3/aRxEtG2+o0mjyyH8L6dWh+kvUKxr6uhqwaXM2S +dGjAkXP9428ykQZRi7bRY0pUf1+ESuvn+dtb+/2vsioxb8nLCmp8tCtKJST0RcA8 +yRHKcgcey3pUoEU2g3PDQpHlGzrGPaY1opidsZ7jqKTNazCb69DPNoG4Kk6+CINM +RhXjgWkWNOcmtrKGzlVHy/GAb2ZwwvjE/dvGmWaglMKS06RHVnJVZqNa7rFidQn4 +yucY82rg1oSThlq1XUnK4JcIuqxQKk67Z+0uEz53AUaV+z1dPYeGL2xQcxsapBQD +OUxrJ++lQhNvTUgp+U8g1VqTD539N9GnYPJjbdUnM/HFbJVQSYMR3IZ8Xh4KRle4 +TaTh0c6Rl7DdaW/xvaDYuY7crllZZXVxarLggTOoM+UxgkpoRVa6QyMa5a/3R7dQ +adk6JyQbNbceDLLjihgCtYyadRR2QxDb5r6ZNYyPiZxHY1PPqcTjm9O1HKk/d7qD +LGOnNMeDHA1MJFgSU5EbbCIAfbu9T4J2QhDz0HTn4n8k/FoumObTOYPOeJ6sChhl +6K2IBhZMdbUxMRZsZO6p6KMds+EJXKaXnZAFJaOGUSnchulM3MStxBI1UWfxeP69 +4kGPvUwd9sNMlvQFTge3d/75TAFyafllDf4D29hkBNUb3BdbTompY0q0mOeEUZwD +V5FotqEauKnv+LXSTzh/qQGrbL360PuWvj/qbplN9I0eYF3FCZ25jpKl9IQufHyr +qYUFzW1KbhEPmmm0UIQY4RoG7DQ6ZfVGNhANP5jWBEwyBF7zzdrlsiuK+Ea91m87 +cg9zeRyLKOlYBplM/6PtV5gM+Hh44fjhpwyQbRsCUWnKXpgkglz2rcXDKkSeblqt +WIbGvvFaau7g9hwGDkU7A8mIPDWnxcpSiblozB2r0cjxYboA/bougVNS80zQgpkO +oUYjB2HETJ5fZZIOgFGrJNemk5H1iNV+6PcC04+L3yN9wQAcB1crtvQmHaBs121W ++vzNNgUgF5HO3b3RqPwYRyV+CTOzE4p6C8FkvFa1YB32O94U26yR3CLkoCcx79rn +Evim51Igk9mg/MGWDIoMkehksh9YJGHiSNAOBRf5/t2NTt4IvfTE1LDpOI98qZHL +gZRm08D2EIH1c4DQMueYhbcILN7PECcme8hKbyE57cI9MK/wSD+VbTmO7fh1WEfs +g5DIbZWOuiY4rAxbviqQgu0h9J4Q02ncCWeiA9oYb9BJhdWotAVgKSZT9rljCqws +xak+i4z972rJZDsk63SOnybmQUIa4lNq0Ymg8ykyjR++hPIvbQeAkKzUpsv9MZS7 +7vU/vAS0mkrMGz/4ZO2dfkAmPui/zxssCcNVMDaPmny9dMZ9YHL0bRsDTZVkD5cp +1u30T4BpV4j4Kr4vDAAth6UGnSn8Jo4FS8u23oPjVAsBGzDCI939aGKQ9aCuPoE6 +0nhAJlV4IF1T3A+xZ4AdkcAG5FNzcsJo5s8CSEkLFAwHERGWU8dQA1p/BHCjRaVX +fpeBkFf7Hs8rPQK4uJ024ZPZLzs3PK8cbjC2sxtBIKsMSZNs6jqf7xr1jLXO8T3j +dx/m1DvbPl5rdFP5XJpisjFSmJQuIWPLgNzuzqR21qhmtiP6/mNdF+52ie4A8wKs +6VlbZVgHfcYb9SZbFRzjo9aEB0uc17/N+TbWNZoWu+QHZAdY5IkUPEZYS8AIceDn +vkR080G49l8v7EzNPS1U5++Xvj23PlcyMZzy4ZpFWueayOty+fBkyBS3mYMAwBLU +WH7mDhUZh7W7iOW3zKa0GwinsjfRg9hXy+vsi1Qxvjq2oKVilTYQMzdNzOEsy8FR +LHLlGCADcyHGKyL3w5RjPiHmk3gy4S5/sm5yh8Ut6FJNfmSJKn8UcN6A+pvB9UaT +uG6Bh37XZNqkR+le8y7qKNjrQppwUdyD1Zyf2GSWiR9ah0heoxUV86qqawVk8Pzs +FpMyjvygD4l6hflOgd1+JaWeGZyAWluW6VSynlXrsjkc6ULT5bCDz91JLgX4xYyG +YG0HbIUCCgufmP+qY4zsoBf2CItIXcQuEW0Tf6l0hepMIDjZWE/Zu9OThoGDuCT5 +WysRpCIjuMRuvTQz0Uc7idjg2mtkbUz09zoMC7UgpnK1D5YDdtWp4r8qGHN8h6qZ +LcWsv9PeAWlL4ffjL335ND3C7s9jMK/Ub+6MqbjbIqHd0pfuu5PcWYD1T3k+vUR+ +Wa/aU8qZ6+w11XHIuMZ25ACJSOVQhFTHlxMLD+0J3DvDz5RsfoevpfjlEJRXJ9Ea +/aqWNMY5H2tXPJWu6Bcd7feynITxvmTt0q7PBbYbEk3wH4YlbPOKSoy7dm7v+kHe +JyQ812rncGBCyvBFhVaNeXsWJm1DhsRLU1g7EDU8bZJlzHhIn8nA/b3oL5rKbVn3 +WA7PYDcS8NbiZMEs93wxfIWRzoIeIsns5w5F8P8kIcDze0OT0K5Y5ozdKc0JvmK3 +QtXnC2K7aRLM34+GR29L3YGdadQuHzPIa5jUgC/aApuFMEPgnpX3BaiNXiLyWsKa +wlrk+71c4O0w8MShThPnkIY/B67BKPhxlm14nr5G3clzfld4BnV/whoVApMEGGyJ +FzL3aaS2cFdQrQJLLIW9zKc0rXSzHnMp4PhROFLRDXyS2wBy1xHfTOHO6Z/Q3/yY +rwTr7QFJazQ471pUM4xPyY92of4CJmdBEOs5a9lnOi4Jqxf0mpgj7B099//uZYcx +8MqEDtMpQFwltQCsrGoiS/nb8hHp2mChFHINTn0CaDyMiEf/XSOr0J6LMGsM2Yl8 +iZObDnTK30jzkdxezg2z+vUwiWOZHxbD2qmjzr4KXatY6aGvmMrS05EG+/FbseW3 +3TLdIKbxJDJnovIzfZdM71dVl2IY+oEWAFpG23dgbwZWz7dPnlLZVhSAfgRuSzwS +caSwyIMkgLEke35Sdfk+9rfJLZ4DU1JG1ec/3AVFOoV30BLAXH5HiNen37XhFSy9 +CxGrKey1H/QuWyMthfxaXqNHlAcIAPszci1D+BoEa7UCIJj6/7YHgl5ZH2Yuo8+n +XC++bf6pmPnFZZ9/AdsJ2Hx77hIc6XJ6LkCwNYe1sKp4lb22MlKEUQDzAc8T7Vfm +kBan2eGh8Oyf2j8V2sKIJgw3lcnsWikYV3kTyW+1VMBMiIfIYF6wjpMEn1CeoD/9 +HVFn7BlVtE4si/dZWgqw1x7mJ4nmEjBSmdgh5MKdwB4qWa6ew58jGrTkURSV1qdR +4kqHAKK2d3ILW3h+cs0YvEXziXhQDaRybshKsZpN+FpdEFbYr0afKd1KaOoStyAc +VHa60r2x8Jrb+UI3MyJohy/QOQa4rEOsjWlTKoiX7hsaf3cQTg5lG+vGuILHWcGL +xIPGKRLc/IyP34FF9oH9z25naM6EwJ0YuNP3r+T+fxjPpG+j/uLJw+N1JpOeQK72 +ZNgslKMaiSyCW1CnPe2UuHH6dJunP80W8ihxLtWNdkIiz+oORYIcM87CuCoU5Sb2 +4vQze+0Pp76HQxYOw/dc63YT/m2pAMKo12KKNNM7vpd9YJMQR1yVtLACE18Rn+P/ +t5GbtjmJnPu+gkbZSpDcrvrlOS4X+6hOc+LPJsDHjzZjCbolCDPHocCLJApStjww +x178Tlm9sDvIf2oq+t+vC5f305wUax+Ad9z84rouERjHsr5rOVAi7e1Z39OLUWj9 +WjTLlR5LOq3YysEh9SYoNaFL41nMIF2aQXkKJb5B0JepkHZ6CWP4DL8OWaASx12I +5qmc38RMxchPePPAobu+/fLTAzMNZVdky8ghVRXQ8+v/c8ahZ4VSAM/8dNViKKZh +JfeLWeJp26UPv61AYvhv1YRebWTGf1sIXS4RGZUMe9GjD2Xl76jzBd5N8GhRh9eO +q1LnDwQvjYbDBcKkLczw6z4JlmYGr+i+19ZW0ICtKb6pIJ7+qhUy6y4y4y1XjRdu +gxDal7ekE1PHuFEIqBFkelllkbh5Ot6yvNvoYa26+QSJqabfzEfA80djg72AI4Pf +X27LqyXve+Fk8PbSlaLJoHZSZlsJX6TsQA1YdIag3/2MQHAJa1SEG2t3kLZK2FwA +wfnsRi/kbEIOsGnVadVUL/nljtZ687SgykuiUHtwRPUVVFJ2k78GutfJLjSHueK6 +FVpUncGYgraIwBJlXOhA0S+7RNPeh0gsx3sPaycDWi0BYbXHkjI0eFER/ZfpMSpy +/Srt597i4rta+DFf3EvLslNII/1Xbg1zW3Yjksc3roVI2IF/uEAz7Sy/F6E6jAXO +r70nT020gKqwGUtxqSssp5h5Ju+U38tQcl5PoJ35PvHfBUGC8RN0KaZdpjpLIjwg +++QcHqZV8tlp/ZNUXvSRcpPoNsJCiTm7Y74Xqol0vf/pnxNCy0FIZxd4HDnNLDoy +BCAb/BKhgb1ez8azNRE0AoQrDXHUI56rNgfzeCfN08hmlyqmd6Ti29/UipjaSJoG +AAvRGhAgT6o7EA97Ukfyv54J61nhuaTISx9mA2a6VMqc1rUI7f47FFiHGwfcHX0q +2lyefPxMml5a3Gvlw77BF19gGb7n9PcAqHTXWn7zk+RYvJpWJx4tzaPQRP3QPwuK +spOsvPzx8K9Sett5CzlZ2o00a0pIf2Fu54rnFObzkUDTh4VM9qGTEXTzfjhZzEwf +cWy4NtFJ5AP4HJXGLMtXrNER3FgrsXwiaJ+wuFlLrSM9I3wD2dc0cA/+8pMJfcvd +yo5nYRkh1zWRYSCIcLF3lxnQ8yiG04A06UaXKljSbRd5/EHSs3R25OJRgpaugnA1 +6Ig7TncWZdf07fluFreT9JDhXbayIVwKZog2pTvFgxSdblteEtOtGIH9Q3NaXWss +kMqJWhGyX9qdmZsn4KbT4P/sL5gFes54yogloBWGetKBbRVpHExHT9LY/FazNdId +YkBosAjkuKKN47OjKkUf540oSKvFyvFigS6i/fGvviPYopeb45BTA+EwERvvOFLW +vai7+azHu4Xcv46U1Ky62nCU3Ouu+W5fv7uEnXC71W3L4sgHxoohswYFCto2V6IQ +4orcoPOipLloZBaq4xulV8swb1D6pNq5laFhI3Xh86rRHAPi/kSCZ4ao2K1sfPuQ +ZgIVZn4eZUIj9vpesWyIvlSq9iN1uxy6R2VcCVAxo19eq6hn+GU+impPXycj6ALA +T40Xg9t0uXroIR6L0Ebw249TIIDvS2+BHwyLY5yBFGIXReICpMA3TjkqvRwVKcM4 +DKW4aBxiaf87IoH/Vx0ArvgTjW2KJFUlt1RH/MJzvBL/Q38SaekcHRscYGC3rNke +kHxU3LmX/yyYLnkdSUeq9zWD8LKi8CGvQ+YuMG53ra4lWal9w0uPI9VCcgIaq71x +xreCeNKO2Mg7Yh00llOa6I+aUyohOOJRG9DzptoI3wzPxPhUxRnpVYItNPgoUGzL +6AfVD9cbRvimr/+TnuwX2qXgNNLwtVr22Ebdr+Ws81Aye742fzOGFnlVQu+TVO2L +4ByrM83VGh7FLhjEsl+sQ+LRP0jNLtcNtd4sAamrS2s+rdo2dGMLg+Zs2fw2fPEx +d0oyaUEUODSHYg3dNo+efl9ipn6oMsARq5mVxc4ejCl3fWD6Uf/wgd5FsCCTaKcp +mG4m4hGu+nxYV3nTv9v4lXyGouw1f/VmmNEy+v4p6N3kbZf+RkrXzU3yxPZjEQV5 +H8kXxOTBgDQIYCxanpdSVWK8unjjcxWU5Qb9rt2CJCMsKsamEla2P8eZ+ztYFAdP +8v4b765V3e2C/xf+E7nKwdmA1afoi3MD1tEkysw10io7xdISLO6cqZCABUW1u+5E +TTq6KkYP1ZrhJndSLuCiVSezMn8kOVJV+hjEuystLU0yGbTc//oqU2gcxxUs3Va7 +1cSJ+6nnIL2lu31UPLayfHNBZbJtASbBAiJmxZTejp0JFCkYlxNN6ePs9gWn9ARU +zqocx3za7T+OZ5FJ15x2OImOuIuTWGjnXgvOFwTXXIfNx1y1fBWDQiZvk/O5tJbJ +EagWPOgIWz45NcabKK2PJJB4pV2l7WtwIyDkXvLG0yAak7oegyoHoLBk7rdruFTX +Qk+MCWbqEMP3l8V1+uWAZWGStURlCQubx3UhJ29ICNjEhGmbQjtntGei9ekrDXRY +2SY9fp43oMg67F+xf1MOkHvzdxFTmLowDumnskZijsepNx4CGftFc5hbU7vlhfRr +uFcVl669dI7L+6zwMGqeFgo9S9J2XlVUWARXWTvfzdvnlAq1LNf0snUFGMOixKHF +wtA3hC09HfHxFGpaQUuUWvcqNlNIZzw/Pf33jzw60JYlXAefwK1FdAO7+ck7IUDu +2ucln2axmUj+4OnINNjnGSs288X7loLW++D5fcfYZ0RtjiEM3SGQxTgl5rsimQOF +Y2ba9owQvsHJv5Wig2/ENu8lgQMZpZwAfsz2oMtp1QZp0qNqKGZC3iNakNbe0/FO +sWBOwRDIXMif9iymTVg58LdHyr69GUaV2v5CfcZ4oXCCoT1pjS7U/nPPoy8vHjyq +6WDCP+Sl51nm+Y3VWSgnioLcz3MIiULpwj8EQi1Nf3wTG5yUcHJGHc3RCh0lYS7N +Z2EjwJWy4ruiJhzHOlFyi9PSESEdzMHM7Z3Gy+6hI82eusP4CaHlITqmrG5/olXc +LyJQ+1vw2P3cKobclbYdg/utE99K4UhtESE4lcFxa5SeDcczJV+V1TNXQer6N2TL +RByPbnBpxfQcwTGpsTzOwAPzl4Ta+06oop9dac+ftlddbOR9DGOFD6XFBgcwQBzy +CrjB30e9Q3huW8BulIGNMThQsl+TbUhadewYyxCfIRz0V6NDscgcXIEzxFdfddQb +qdSgXLpQLCWW+G2o810tKj8WY/Eb+POKSOURjFcUPkfKfq5CfzGikqI1gn/QCzdv +xArFA3lVRNo1u/OrVvk6weJGoHIOB0AsFRa5E/aQPOLi+yLfFIGG73SLJLj2SxkW +Wop62bmu9+ZN6RzN/gnhW32fFPaOwHSB13THrmklP9bnB06zy5xhN3R4iBmzWdK+ +bm77zsuBIlhEjCGKTYE7pXdIl8XwNN4JcUMix1L2pI4aUtT3N0OSNKv69gJbxmuz +cBCJm1T7Dcc6VBde6nA26h0yURitDsuZSqSV4vF5oXgge3JXIjUoRC/MLgGPmeYf +IsW3j5/DPcud6WlV2dduppVh3EWjR1Lv2TuawHCEFNppE9LvvjyhG9jb2bfbA+7Y +9olvQjxrv0kXSg4w+Ch06vyh7S0z7cCEQFi0ukhyhb/JayTEQRxHzRzB6l7H2sQ4 +C4QVjjOHJFgEdDSEhRbYQOnTAA/CwCMw4TRvRnWxxDMIREme3gawsmsccFoXZP7/ +PhT982QJ1HeYci0j9HWaLG9z8rn5WPlAeO3axc7ZMO4Cv/kbJV3Pen4FA3O7ekvs ++f6FpC5te//EXtrjdihwNRnebonMNpOrbMK8DstuKNPD2XQsLNOpCjzlyAlAHIMz +KYgorfjHML+Tml1iL1rXbRqUoEzigCyrjXluHUrMEZusnNH/w7uOZko/GwJVR9yQ +FjEKHwfETcXWqhwuYq9VXNQmtzcDFeYIrlGcdlRa1WIGv+HQSR711yR0QouF7BBa +Oua+amh771azcMS4QcaMhwv7F9TBNGNJAvKLUE6/+dAQdJwhN8FzJXLscW2wxiU/ +pJlLjC8jsoUjJQzV6U0RRCx37tAQ3q0cLpQHiPJeXYxNLtgDaTy+sM9nsTwF00Ai +nWilD0vrCa51MViGH50hp8gKcYc42ZTCwnS/8gu+iyx7cHpKRVvlKPy3lIGrOWlm +Ya7nb6Tc/TZe31r8goJW2KERsnrxiWZa2K7go27ywmz3KUNxN9M1h+1MLunLD5M0 +lNyg+RUJJaJBvBBN8n6+VEGj9Jp0iKUnr4/qQCVfYMJc8kK/JCyewJHYU3ByRTUB +Dk9pl7s1nULadoF7a91VbHo+NIJEsmmTdLU6nJ8emPi5S4s4GFSYNmmQrOrs/Hl2 +gunlo+Ak1RMQ1ZXnyrEtQ3tipYAUyr2jAaH2518wQQ5Otk8Wk5W0zRi9Mop/xEdj +OOpnp7UD44tDZ+bflrdJm4f6nElr4lASbbabpz9mQ/jAep6jUGQVPIyNoKqVQMHp +APKwY7bfWpZNlK53eWwBWiBvBzevf8F7Od0AbMcD4enXAVLiE7yx9eWJhIg2t6J+ +uQe1TcwwanFL0BDlEXXv8WowCehxBxi1x1hGOyqYe/DjcT8em69OY0dyz3edS2eR +z90/0gTo3ITsmp0gXLYMtPgJCAOJYUyayJkR3O5viP3Cl5seHNFfGnZlsEPY2ye0 +V5hfHv8z4cC73bPWqGgK0w5+Sc/+0IrLYjm171hNelykGWwJrzAoA9tHA1PQIZJ/ +xN6kSU/QlMzEMSUdb3BS9eMZWnPLZypcLIxnHy/5JgygeBgPujf9S3VoLaqCLZfI +XUjUy1hc6FTY9c0Q3p/oncOZ9OuGsKPB+6Krcr91S6y0gHFCNF+RHnfKoVAwMYAP +BxBIgRtsx1WeqfWgsP6FY6Sz16Yl/VZ8uCOVxj7kSqCQgWc77dEkCgDzqeixMUT4 +r23gGpe7j7BGERSpTXM7luanz+ZoCBC3tw/xPyBj4MxrTXTpN1Iw3IsCOGFbwz0u +pD/lT4X3iXsHrKmAjwT1p7MMZnVUD/o1ZN4vCyGg3XEx2ODLH5DueN9rFg/U3/MO +xo+aXV+mus4fUKqfos9LicrTv04QB7DkaLlHWJUNDLAFS46J5PfCIKz+dbq0B+IE +XaoW2+/sWgYxfB2EWxb/5ugYaOp5vyJaPWZAw/i35TkHR2aUpDyDryAUNY1HfOlx +EWXPO4SIzdbpF0AwS6FBiZfIgpWlhZA05laULRWDokfS0WiqQdVj5NLxQGQyT9oT +o2oJGWZx7t84YQsIXo8TmC30TcGNLsGT5ZEUZhdPWsxsluqZcGakj8TIbSp6GQTG +ZZesZFWsabR+kg760H4R7MIiaqWx8muBZ6v9HgXXsTkf5kBFjxkS9I6S397vTuFT +y2akj4Vtb9AL+fJcYJqIbMvNVh7OD+5c1+7EPSMkh4+b7Mfvqd5wyxpHV4ZB3rx+ +WBRyVlSAd0hn1NhHUcQH+hnWbJ30bJ7jQe4Pl8P2PtZGmqg0bDD4vfF0drQs/A7W +69JOzFVkxrC24CzRNGIFvb3IzxeNgqChr0DbkROU+lADmlsIO69r/XZF3rS/E60c +vAmRSSxZjd3EcD6SIA2sxBYh5oVJAxRtts3hiwKBT1gHW45IIcsTR9T5aG8T9RxV +cPwyEl/4DIORZrP657RKyiffpFlwHyLO68jKy2QJs87Z0NRBSWhSaNuQKJidEtY0 +bTY/l2SGCN0HnlWVxMAnuGtU+mxQ7a9LEu0c1QDvG9acu5RPASMHqjdbta7WdDdo +atk3+6/PdQ4Ptl4wrPedsDRMLyFFqK/pKRIuvMxP3U82mKUaWZR5AM3Wuotq085x +Ncpey5OKHcrhzaeDmiuI29sy9nMQJt5wP2UkTkKCYHuMWEpLaZfaiMPqMq9vm51X ++4/GybnQ+tfmKWcEukRjCMCxy/4K5fb+3f8QAltigDQc6DGuLbCz5ikGxNK0/mmI +PL6l4TzAVZnxx+di4BM4+31qbB6U9trv3fYu+MQ9WS480oLicCmcPEeUGS/oiqMV +zjW/+AdxJA7KP8wcdPfs2T7CRdPPy9Fgorwrhz1OZzlTflRFabkXvMqafF46LbmG +68ts3M0jzQ/51iqGc5J+1zgh4eBZvOGfoCBwLkTXCbsrLHVtYIvHxEeW91Au8Rkq +g7GnVVfS9NmMI9OkBrEmujbbON+2N+mVzU+TWWUhROU8sPyLmaMcT4e+ufaJtzom +r+Dg9P8ERl35AoOEAy1zuRYpOszRqWXs+j8MYL4kXdQCRfHK2bTibfdkOZkQQZfB +0xkpNXfftyp+WwZku5k9TVh9Lq7iuQRX6tU481cgzCtP0vqDSOSoEVVt+izXn7Bf +tdx5iBi/3AD7RJLqahTm2z+TSg9/Y1qjuxXKtrGFsdgvsS5v7NnT/UBucuppQ1N6 +cxyV6say/32jlYRSPftkaWykXDT8NQqfzvAtDkPXLwFl/ZOZ14Qm9WOcL5zSa/BQ +a1azn83vsF52X6I1HYN2rXRDpXG2wvqRE3ty/Zew357Kt8AIDziXcFgB5OzIy4lG +IVxh5cFQpBKgZzry55cdntqFii5kBysL2a17I/SjrMuxGwqhrBqdBlw1S3/PeJpo +FzkG9sdajnD18AX7C9BIjaUsOg2cpePfQkdBw7uvPJ6HMtPrtTX7LIUS+inuHVgk +XazqimBc4UYfGqAjF2QKrZHmUUgdch9S0peffS791SsrDrwuuiERCa9bCt/ID62r +vnpgVS/i+/3I6qsvMJigrlZLXhvVJ7BTjigQpx5i4BApMCG/TdjaR6SRIk+OtPaS +dfjqmXrnWM2oKoT9DC0h/zZtfRsbV/VeF4IvmuBGHuahC1x/CkMKU++D4QXZCtxA +l06+hUBh2nfbBv3HtR+NjbPYx8dXf9KahASyhwJQkI90ZGfB/uRODInDKvSVLcqh +Zr/4mzBgJMq/3TRBgxHzPyf7ocW8UtAZZW5U7aF/OVBdIwjcCrNUqGDiJcHCM1Fp +cRC8Gjp6Lc4fB15+yzCX+SgTNRujdPemhpi1+NEHardtFRftt1ptyxDpSLWNPI5B +Eko6ovYxBAdjuPfLCZjZlB4om6C/zgNXqsuVKyEr//JggE5bIRF1vDNyjgTpG8uT +eLEE23hfgI8WD/+sbhefJEyu33qcGVnvAuMRgA6M0oGqSBKuCcssJj5uOI6bNNjl +CBrCstjKwmfrbDv3B9WMlUghJybbdPZRvjsyzhFuuehudFQlpbT8GZ7D1wm9/Um9 +jythgCOc4znypxCNf9S+qK/ER4eb1xlEd/b66Yzdk4rzXSFWZi05lbnqNVYijHhR +IDzmj0WJEPTs2gbRipSSIYvbCT0hGXfRqd3XRViQvYVYyoT2KCQTJxoRhe3L9RD6 +sWgGoIPT3H7Vj0p7S9c9KAL7jPnwyL+OKEaxByamuptBwc3n/Yapf5PRlp5KR+ko +E9A/PCmB/QuY3gGue9coa5maZK5D84SSju7aWuK8VrTvVwavdZIj4wnx7DtXOnra +X0NOeEi1Qtq+YPmqDXc389Rbo5v3afNezLXkFUosj4nD2X1HFFyrlNfeDDfoTUo8 +CawJmVGIdjeDmHGe9RuZHxxx0yVw1KklyJuMxCLgxgd4yGI7lkPdbRXrJKPLuhvJ +xocqpXLNz0kF/2QNqEhKNZqM8fH0rffJvDGL0WEAWK3vNMLmELHVIx3UQlaoRMwi +yCVEM2NKlYfM+iz7coVjHZ+bKsxHHN8NiEh3VBeODD/IA4qUDLjUyofJIjIqzNE0 +GtWJbWS3xxzY1IoEdMhWXvsY9/pEww1IDORmclM15QGmaZ94ovRO4dQKFxm71IyR +VqQCeQYEx8PR4CnltWlA+G8yFvKV/VwYkwNBYRg+OCqjcxhxKJHoYp3hKYTyUMH6 +u0OCAPpNoNga08ROp1KThhy0E3bCQIaseYkMLsuT5zXBOqXt094WcQyjapsKTwCw +uIlrLkABEbpxNnO6iWKBsAXqHM+bgkQMuGKBja27MBxZ8MxfKBYxWY/1pvgEfV1z +jHXDVP8ynkIhwBem/U/4iZ8/GbLgXQveC33yhUcHoFX/eiK19F3U+3B07BQ6do2X +HX2C1vUOV+kG5Ju9sGpUZVGn9u6gGLlqQ5jOF93oG722QJZTkm/FWrvCwkMnGcbe +wy0gIBFanAf2vC0S7GCtvsz1TAGs/ATjEqMBDYbNov0oPZ7vcuZhu34ZDP74qyob +hcZi5o4nBzuorlzrOhKaUxCJo6NDNSqlyO3UxEdzPkaIpE18cN59dvlUuD88Wg4a +QZQ4Ap1nCeoMqewvzieFjg+3tdB2tX3nfL6AMu2L73PIW3HMlfuL9ka5vOhHM08O +EYg5WmrnTp9Gf3qzz2Hkljm6P9ZG4iIuH8qiXzebSQtdzkFjNXrhIQgKhVIkoLnZ +VbnIUMa2TY4GjfIZbfkMeogVeqWrFnhQwL3fsDTSjik9PB8Ij5SkRADwIP5LCB4m +k6MGhK7Cpedf6il+GgiAGRC3Quc6h+DV5piDx3SMxqm6k3a5YJE9zVo1z7EoogFM +r2cgFJIzV/owJLIHQVuks8M2dBdyotJX8z6HdQZTLLN0zu6zVUsAns4JFsXs8oMo +H0W8Trx3unrbx8txIT7mOi2/Q1+zlyzJfDpa1j2evtsmkewvmuP7BD5iZg2bjSYJ +uLez7Amnu30L+PyWt9Q2BRESaqcWefP9lOgUfKdTPUGbyLaaeAAtTX2NQf+CQYBU +4BT4Hjm6KRQV+MfcVHkvyzmmfHiLxdrNFKS3aTHX3m1EJo5bsd7pUEJP6vwtbFYZ +1ejJWD5Nb3j4iEaCFRSeETYS4TGspW7iozP6VOHonuEAascfhp6yawxc4IXJv7Z5 +ZGLs0Zs/20WI+UXOAIc8dzDywdVx+DZkqOD1i3clPg+tNYPlxNgwmOvzKjrMg4x7 +/YStF+xyaLih6lr19YFbJhOQ6C+5JySgHrcE96uuBoDz4NsZNMQH41d1fyGGlMWo ++DSFoStYPWUd8AQxAPtpnD4hhGMPZ4AH/X26tMJM7PRY/JGl7ybu1v0Z4oND2/OO +VDze+Yo8u/Fm6a39/8Jjwy7yeNBfocVyBOr6ZRlrOobUIf9f40NP8t3ORkIxBriv +nc8dUZKQeIhgb9re9a5Y9KOnCrj63P3U7RQa6/XF5IrNru4nMf4kboTAkHcCbfkk +OEfY2ZY164Y9REK8PFzOyE76QkwIhzelf+Wrj+W+kktLuc4Ba7peQ3eTwMexOzs9 +1GZ6LGbVuvkUrPgtjl93kO825hP3zYbQav+vhtrtwx4K6pqBbYGxIZeZ1irAnqtR +yotO6Z7gRLxreLopy5S8bLHQBLL8aT/Q4tOML72RHnxMV8qQhiM64EUJLMPM12dj +330IEBAmt9kT6shM0J9Eh+GamUGNp0iBZW1u4Xo6ZErV8hQRdzMD4chM17KrIJxn +SybuuEucmOA60Rxujzpf/AWBf6Xiu9bD0bb/HKIMolfINwYA6iRb19SnYP6rKyGD +DEZYUUa1z1R8pUvGphYYwCGpCzo6CY0UccMfw6bY8EiHPzcLA+h6d3HAd4gUmv6B +plPMAMwhY9Q6ICPnCXhoTZ7sGn3U1u/xzdeqtLo7SdgW0lm9omQg8xmfZosbGc1K +Zb4t/yu4jdnAucR3p8hKZ57mESsrWPKu98Ijxno8NgSMr+ZtDzQN7GVLpdDOZ3Rp +t25jY8fAfsU/NheWEVF7AGalh0lfDwfK8VkNFz8pYj3Q3qTyYFJpqzHzZbSwY+Qq +5p2xWfYO4miL0Uzzl6175mfGCjlGPECpK3R3203q6UcWFfozTGGEO+4dzC3J74h1 +JARC1QeQDpCb/0113AFyjxeLBp+vX6CMP7IiBw0kkUUH/GHn1SzRJPBvgcqNRbEA +3265S7Zdb5o/JG4zFCgQIadjnr1WvobsyHTWpMcPIFKHMsDjeJwPa6PzFjN8mDwi +JO7iPnI34koI3MtOuANUH3lQ34O7JmuNFPh5IqnHAFk9YGh4LRgqHwdRiVOHCexE +MAAQoOe2nfmrIQcSARdgabK7/bpAtyVCTwa5Z12RTHmpZU9C3ZXEDH+UKVNxWDp4 +erSrl4p7LF0MJQfeUWODPtJdu/c03o38CeAZlZj39XdGMoqE3U/okLi7P4fbGhnw +Q940QVZ1f+j7jyTxb/HvITO6OqPxQfk/s84UBXgWoMT+rlnAlkmOHkqD0EhzfsxH +maBFXnSK4J+3nfc3IQ8dYdTnZ1WNQW+FEVCvkyIqjnpQZiXhUUatGLW7NXbA4dGw +DO0NFqj1ZhztWRvRnKFYh0RzH4ZgF1Kl+tOD7wy0fNVKLTt7enufrHHaDY+PAQ8A +ZfvUp7PDUgpb8fxadVs7wobMX3F6aEtWZbPmnYMaAYbtVIDSg87+QNx5nwMdvzDC +Hgz2UEJkv7+yb5zR3X34cJetPSs/Q11MtX2tr59BTdLVgQqkMnav3NbimqLI9oE6 +Ubwxxvi4PC2T6b2zpB4eKq7dAnXS8LAwc0XS6yvZKzH/BaLuXl+nAjqG2bM6amlt +pogwj4CLDb/KrXxDRefPQwOuHUd38LrNgW8rBUDGHbAmUffYAS7n0UktOgvCcic2 +pbl4dbqZPULqf+trfXLrfdQk8+gEWsVYJ+lMudovQl++sDtRqy9LN7oA58MBXaxu +Jij+FbhgSaF/6k8dP5/Xuj9ld93qwiFj72Tfy4Rdk+LbEsK9fnd+bFaydIddsPaA +govRHjN8jfQii/0YQWmp2N/fGih4M2Fn7C6JJgED6xuWi3p+x/MIHTnckOt4FTSa +sFSHqFUOvu/Va4Qr6FzEbQ9dNQfCt/OIY5sfPQzkOz2zdamRV6R7kkMI3GrXIDU9 ++enPfGf3W7CdBUWbfspG6jfnQY3FFQQAop2J6DSfV/V7x1rB/SS0vQQ2t0xpZ9+8 +9Yq+6TdblvWmNM3o68o84bj4POgzj21QBAvxGpgDndzA3mEckNdKOet/znj7fFSO +MnxdjaXiwYHOXJdkQaUqkVt6DU915T9Dykgqff3/Kk8QPxVi+uURgL56f2dN1mhg +0yPJMQnzaf+w4AJtNZFrwwvaBEcLgSmlDFxAz1iEOZ5aIyypFKBjcGwAVlA5JRXH +LxMMzGa9m9U+xqgGag8XW1Ir9VOZd87hdUJK7PJ1aXb30gpUhQHtVKH1rnq9G1m1 +ZES0kAs1nvcQglPC9f5ddudm4RmfdT9Jz3/pyw0savuJZbax+r56/Bf5x6LbTsrN +cw++y1w4HoKHH10qtdvePgB0IEM5SkOJNkH1H4lvdfOYE/OPExg7doJhM5BTkhtY +wMpvxyx6xHrSyniZEMXRsqqJRCmFw/1ZnGPcxkH/KVXJaKPPDV/sb8quDZUimBVQ +YiLMuliyA98MNirXkLopNItB39OAfqUSlJ4OD9g+AoSjN2A8PYI4Nkfx+qMLR9pp +L/Bnk4SrGEu1+OAp7eADEzvcdIWDaAPPMUe0dr6NcPRapE+MSKq++TCbKCYB78PG +DMt1VMJIn3lCn2NiCmdsf1gTyNVQN29DprjBX0eC6fo0E8TEVMOaorrP9J0IDyzM +iGQul3rxn7Ap3pHLiTcmvzOtSxAJ23PGzfCPjPaBxtMYCziGIONv56p4rW27eHZ7 +GuGFscGZl4J8NGLVWlCcikosETmsu3Td5th6CrH8zjJ89J4pDBDDxfOHO2T98lbM +aNSDmr6kom82yg3NYZgD+9HErovD9J63Lolm1QGNCvjrw5qIqpOdu2tTyNv3bqkO +bf8yC4iasKQyLukCAOhfs+0kBMWzb+RNxkWIUNDlRpaon9baA1ocbT+de+bVG7Fq +seZ2iCG0/KN/nazzbjb6dP1S26Yg240wOj8UTg7hRXN5jKb7rb7pu9VT0GGHlccP +36FIFngK8qd/pTMJo3rp1vY7c309nS44WZLD+tQjgYcIn1be6ALoSIKQPcmBuMK/ +jUGJ28AZzY8sEDY/MtUOlppHPNRpLLpfeM3LHtG3N1LGeu7no0s9s0LD83/3OhOQ +/ji1ArpnMqnoTw88RhOuKk8NC4iLJeEzi4k2JSmCkkiaQAo+qS8N+wIFpDHxKMGR +rhyMAGSpdGf1sqrM6Z+pEoRi1r04YYtVqPcTSHhsWXq95/FJWRgz4yoRcn90n3zp +0fHNvbZpKj2C7NQ1InyLNiBSV2WdD2ZNh0EZh3W1Fc/x/omOjN7NXYoZFidlqEH+ +k5U2hHCiE4/MAObEDCv7Q3IKOc5BLIEJONeDYKsgn8dmus+j5A1Mc2CJqi8midB3 +c56GisgFwiOXrJmO0TBgmWxlq+WZ7jbXZWe6JtQZyg2AexS/yMk7rAuqTsrR7Cq2 +X1IvoVVSO8c7OZAYAmXdY0Jgx74j/BeHgUwRhuP/FIiLAvn+oMshJALv9dQILFTS +DWO4WNRZ/bv6TEL2lG4fJcTawb6YQ0QBAp9weELPkbIIRXBCjYRCjs2N5oZRYGhA +gd1xV79wLzAuuEcCthmuXcwvXnZ1DJ81qxbMkyxP3nZdhc3pvD+Yl871erNyYdop +6Dh5pgqw/N2KpfO6D+i/sLyueVWgc8LZ9Y4kXMIKiivTbVRGSquehmuKtWkM+lul +JJ8u502LnWAcXn7kW+OBIHS1d93pipc7exQ02fLx64YrhDAdpy77xlpVHHGC3WcX +YFh2YG59IU/Kfyyyz2oUq/GX1X1BpUnPE59zk53evunXdIKtCBykPNOhXwSZJkNE +OT6cVs8nhSS0Wc1XWEAxrMXTy3sAk/n7To4c3nIHwHBxyGdgU0UkSsDfrq/oa/yL +1OHoqUEM4ZILFdE0kCarjpIHfaw4Gjd3K1XD2BDI2HFG7Bs3EltG34t4UBuZUKry +LotbK2/7aDdqwsh2111KrCWgMRJtckBt53768UA7hWpBNl0Xwt1myCwoX9ecX1MT +ftLq3asmuMPctwogpXrsNmLLGxGTh9+PNVHFoj4pKr1e5r2/TBdR/he0Vlz2ybm9 +nLx+Nf5SJ6HhNezlqvkUCDxCp2lM6SsKFWHDSlthwlbIpyB/8PjUg4PAf5w6Aru+ +xRii7n0rOYS/uy+a1f8r/CfYr8Z86WoTtyWrq1cPKEdsgsvT6bdP6Prp+0tXQ4aC +XLk+NNjSG4mNr3/IYr5mZjY1Fjii50+T5gjn6V+0g5+VKnzMHUv5Qgmh/R4gK7J7 +Og5NqiBzDw9EOKjUBf4GwSRmjhBj9DUU10B6Tz/MD8pW4rtjvCmRDi11pHnqqj4I +vkwjngTlX9818HCJgTqcKZeu0H9RY9d4cxk4HFsBwT4WTEr7Tfzuot6yjLlqs/E5 +j77khyENm/nBVz0nfm7IDnBUMXQnNiZ+HQTU0/YtZJbTRhUVflk0Su65PMvrK1eh +tiPyXw2xgziGyyNUUzGUu1CNT3yQPd2p14XXAmcPifnu6rciT7K/giWUEgip8g8w +CcTYbwLeJl/m3YMQaZCuqdNPclEO4Z7XRJcQwXEqPvLwgiqbccCwJTUPFczzTbJo +hU1bXeZ+ew0yMOsuDzKTo6Og7xsdHJOJNvJBXT7BjcN/teYC1W0i2geMceIZuR8l +qW3z7CEiaypgrYpLJH8hf0hPiMx+3OCacnMkj3ROcd2u6hz1gRF/uL7NPF03UNRG +N708cRYAeXkLMyuHnQ4yUxMdtCsqo1CTWSlYvYnF8mfuxS5VHYXMV2lJQXE8NOB8 +wx7RabfcdWgwTPNmuvHTT7KmZVP3IT/JT+Sd2icxw+Cgx5lBHdPpP5SzI2krQx8M +q/gx0SKw+On2VS87VkUQ6+PkR/SLyPaCkHd3v378iFcQ5e5amZNUg1IZzG+QMeMd +UPguW+XiFnzfkhMAfDaftVLwflxI0LbLDRVxafrHuqmmYb5LRYQjvgi2a9vrky55 +fY1X8tcsYNBty4mMEbBVK+k+b2tIIH1t03YBV8JUB9B95ZkvMkrQuvPxceIaavc7 +ZgCqzf/ppyg9QiIGBZ51zPlRpaJ111fyBN23cxZgw9So6kTZNGeuoNm9PzmJvJnQ +yAN9wiwPuqk1/wZtcc9JF2QsaCE7qw6IXosmTrbm8V2MQFcbh92dLjZ7VzWBbNWO +RkX9F0qFBiTjUOkEiGkctw2xGKqj61IZkZLzH+YJwLLAYAa1UJlQC9ZoL6aCM7Mj +xAEGMXcJWBDWtAV4jtIy/YvYWfxVIT0hAv0dQ4LJc2C2kw5M1CMFqgOT4qX6ih2j +CpDFES9GGU2R06EZng8OF/dJsvwLS2Cr5vcddRDrn0Z0DIC2dO1qfWiMnL7t6VUT +dMsawHL4Sbm/8HEnalVhzUWND+scrBmMFzAoqbWT/nadC+kN4FHb+f1K5gULHllU +v6YdAV26fyN5uOjN807ptk236zkljEqYzrHS/n2eAkvFviSgT4maeEO1FbOqpwHU +uJpatP1IwjH7ZBcmi3CgfgTuUePW7ieinjn3whEvigilwGkUpKfA3QrpstKto5II +xoz65DQ4Nm0BKVNRvPnZKu7kZHt8gisx3jLZJxTQeAaWv5jNt9M/vz/8VK5sEmAQ +GcpOGg3tJraWgFv/oEz4kz/UZ9mAzTc/TuqCXQzShhotCZYDAb2yBHzwrp6GJZxV +ImeU4U8EK+fnPr9d0nAfzPjB3uwKCm7Zl8YllxI6zZB1rCcnIJhNbsjwnMtQJSaP +xZnojGrGqfNDgL5CcURt46xfjKfyDWSPFE6b07lXeacxWlrhxr8BCcnEI7p6rxLI +Zm1iXCzJ3VcTI+1jHJA5fXLqZ7GVqmmguVAoHfU6lkaUZOwQb0bRzACYdI49/UB0 +mTcPNMtlgiwcjwW8hxdAeMvSLtaXwzkJK+qCBbHqTZCW8HKwQTnGF7FLOU+X14O2 +2XQjiVt8Fk6H9EXW+b+rROd4yBZ+i3ehR+LGWOvlyRCJIbmyTgK6vQbir5M8rPUc +S/CjPifGmb3Zlao5O6IAJZbGQsmvjNM03HoYBtfoqstANInj9tNo4Gds/sqUls9D +RFQfgKPQpPX5e982EUy59xleDmgX9BUb5GMMr5Rwfln4tkxHop+Gbcjipk0c5ZCb +xr32/Uf8UkjPNEOUhnQSnCKgU0LED3QmEnW7T5aTp+fnYoSzXS0gxPGRDalTl0Rh +zUYURAY1EQNz7hnsCDaQpy7AVoDIrkmF0fdlzAPkt+y+m+YfF3oudaLyv24kngLm +AMiZftcF7mknzY81dWvL8AD2Tm1acG9fjlu8lmDqrBGUcf0wAhsnKVgXvKvYWMo1 +Cy5Y8KKPz5kfCGbTpbo+jpO5NWpViFdAzmuS3r8IGGD0NckTxxI/n3dx9uaIE4Bx +6CNlvxO2CdXyE50eOwJwO8Xurh4z4apuvKuCjguVCZAE0nFplHVGSc69OblBdQMR +W3iUMojKlSRljZVUq3ULYWEvlUcfzhMz+qABrGZR/OM49yhXr3PN/HMN3MgbuJsX +auMvFRMA/Nsq8LwSpu4v8QtqUhNuE9Ko9kb+QUopnM+hxYe8iTiuS0kaKqYPPV/T +7KwoC3vYGzjzcA7VR0NZTJYSvzlK2aMRCWu5YGWgOaomoeO7A4odOIjPIxk4Xn+7 +rzdOaTpuapL6yiWj0nB3MqQnIwGjoLf94qvpWnjd3RZtPvCzRecUIgfbGr2qOBzQ +lwn9qp9xSL3yCkb67DdQHet3oBcXU+96wXDsL+cenLjAH5kkwVC89yt1fOPONMT2 +icdp+lKQUwdZyNwRpGXvZBDoXOo6MtssLBt7JM27W2x78kRaxRbpvWwLDcplxKkb +NzlwLxcl+d1X4ZP5pJjTNvTfq8awmVcHRK1hu6jCa94+ifYUyOrAZbHMYlr2+T9e +Uc0jY2E8Xmk9nTppKzWrlvTBzyKNJb6k+30nITcUADgXkr3OJp1EGDDO4GUsoGpe +1pngjIJVHZRtrt3Iim7DDLkS4ws12IliUiL52xGwThfrlJ17LX4QKUYBnhk9liS0 +lYoolNbCuNjf59KpPPfpJRNNfoRhI7A0ZchfhAgxDjHgrrteQ6zj9WGpYLxdzkiT +IKb1VOYlVpsN0kg76pQoGQkyF5umGkcqq6NYI+pU6sTIiWXWS2s+IREufH6e1tIB +qbFLh1oX06Z19RbZfi1TA3z0TwQVJkoqpztLbdlXQpKsuWl5olagH6s+2i1rToZn +5AVdF1BZ06uyYwnaSqi5qusg462JFzAtSkVw94Kmky9Ofw7Tbz4sclBNvYvJxmBU +K/9GWFtnjecYPhlOJWcvV9c2QLqZqR7rZ3JrmtMJ9VpxzrLwgmWf1VvVudnlyNsV +fkjiA7bmpVvXeaQl+nh2nTjsdI+0Z10cPfcCot3WFz1NJKa3m6IynupLRU8C9UVd +/QIFS9ioyNofk7db8zgUJ8U8koHpBCNqsqtOHpzNjJN54PNT6lc3j8AF55/tZ0MP +1RRUQuWdS+4r6BfDMag8uGsQ5YKkAb98mJcFijCiyAV7iGtjo6IRpx8ajU7qxpvF +4HCITb3wWf4K2iGcql5XP2OCZR4ahtvER+3S5qhFP5ud0Qzi5kmqk9T+UsYWTk4O +O8qCDXEwwDtr9EFTOfpRJ0hM6BouNMxHmGiWMpZX7ngRTyz6zljxr2uZRoeqiFf6 +koiLINgAyuTdUk1pgvoB7lVc81jVszytxDnLdsSuSr+5qcvQHUFTyYlCO1mIkMvH +im7UmWu2l55HMa1YrCfWwdwkBZ/u8LbyOR66LIsVEiVW8b827i0622VLoSwePSBE +Yn1QrGIic45JDMVgmmlTB+JtU1OUPoK31ToqirpRFUiyIojMNfklQczcBI4Z3sol +pHjfgPPhW7bCGxHE4Nin3nC/LfgCx9pKc1gYqSAGNHUjKrkTNJinGe/Hvp4I9AVK +nyob47iZWuomrm2kjnx5VlDTd8B2JGErr5B5MvXQKT+p644CT9e4anb2zct7NVq6 +A3UrXEttRnJ2766QfycEQULFkM1+n7dvs5W1vo0qapB4naKz6a+BwRMSn0xU7A1/ +amWpnLwwSllbY4jgAlgsaRfQRf+2VqShxPCxD6tgeJjG7KXh53Zvy8Q0tU+ItIRC +/onLdvcX4+2HXewp0a2MxdBUriM4MpKNnpMkAEGN5+KQUVGMAh9FyCbOwJip1uN4 +ICEniOBnxdLNloBvBOLCTiUYOD6WnRt8d7tICfqiMiFB7xpBreNQ8IugEDqiIrH3 +7I4CNc1DCvwlP3Xe/99aD7supoA1WoruBNf/guHExfCxmYocBJ9OnQ+Pzj0i3ySA +KHwOqxnPxLXjppJHHMrz2wxvgiDtpvkZzEdrPBHmVNMx4fSNGYmKvG/vSfo9e7Gm +VbettrE97PeMasmq7hpidYhyAcyusyL2nqFV/GjPBAdkvmsN/1s7+S3KghZhtFMV +9Q/S9BeF76L251jOkE3ulWFktzce2U9s0WRTX25p7zSo8fiq4h/TscSWbA9f8vy2 +JE3TD5CxbCzOgf3S66ho1uA99JIFeNYQE8/GrqLt3YiGdzdKCGVNULklvgy6tLd+ +cKMA2/lzvwNsTMtyl9cGj6ygtkl/dniB11Vq4CK3VpNR37JYZ2LwddvY/29gk60B +eN4wfkCfS4WaA7HBc9Eiywk01acRhPt/y7aFK7nSaXwUv7V3h9PxCnsT8jtM53BG +65dlSROJWQlK5jy+GaCgWCotaSt1FxIutDHgY+mpzENz4QWpxTx74gcQS0Qt6U38 +BOEN1VEg0WZStawAcBkDyHbRBphHYfWlNHv7REM5AQ2daOuiUzLTg51NvZT/MAoN +wojDidcaH018FfJKGGtX+QC8sHusvOPTcwqR2uzkHQX8tn293Mzcsb6HRsiXPQWY +D5l61DiCYmwUCe0UgtJ+Zrzda6h0gi++tPMsb1Ed4uboFbEPjKAQJIK2HiR/CeWh +i+e2pewoiwCzz6WyrTUnuahG4pfb+VPZ0B/TP6EscQtjVHA17oPA0m+KrV0RUFpK +WK8UC4Vy9WNQMiTGZHibz37bLvuBgI+Gy5DxHji+rIXRgfz66RyhLYA0i1srLFus +GRuS8jMv70qQawDfbNz9G0hZbHyAfd9QYWh8Cdg7dxVG1D0cORTnXOs/lJutOpcQ +ItKwQxkCHCZn8Xr3zADG/dbjRXhiDRS0sQLsu0bzX8VSPc2qVSIdwMwJggNaBA2K +ZFT1hNV6RB+j837yo0J5VaeLpTQJ8hZ3DSDS8EhVSAolIVVf/rOQcA2AF3d/bAKz ++ekhIYa5IOoFcAiqv7G3deJdI4Mq0Cewms5cnxXtaPKVBG2UwJE0Mq27I5pVgBzp +qareQDffzgBt0ROXYll60Cs/OlqcPfW2yZ13HMaDj/gSEPqo+YcYwx4TjjTNkCmi +LOWdpGmBLAJmPyEjiHc+2fQX5FGe+qVuHeOiLd/BvhhLweRtmq7hnlpTNhA933xq +K8yJrjLphX8Vgc/Ou7ssL1IejBDWL0488cTmmEh9rqQ+Mq+oAalCe47qexLy2USV +f+inxDeFDX/wXFiKJTMCRe0jc6JC1DFbFlVGRBnl/JIIBstC2uoK+WuXpis6i1XD +2a0emIBuvcdWLIJWJN0FBSc5ge/y5ONBf4sEz3DXejDvn4NA2psWJQlBtVekfwAC +DIXR2T+TBlb4WJJHxXDPRt9dnhNUmRJl4IdNb7Aj/e+a+0KFZBEhUcuC/V3W94UB +Y0EHn7ipLCh00FetGZyR0PCdP5GRsiIkSL7yXZlXrhJiSYluaN4TqF0OmbimglQq +hSC1ZahjEa1N3VP+btltXB4tsGKXlHzyK96YvLkDkmKJ+SDD6aAimYBP0EtGzqWW +30Rs0mv++nftFo8dN4ZinSh/A1NvlEp3AWVGzG/eDQqtlBpRHxNevp0H2yH2TFHx +YajFcTwBDRPql86F9DzrsD5f4U1btcnVNQWqqj36x+1Fu+eyIpJsoc+kUdEIqjH+ +sJqk766avJmysoZpokVW+Y6Mx6cqr5NdOWxkqQ+RVARl4N8+SFmo4/qCBFCb8NGv +uem5SkmhU53coDT7NBZu47m4AtXcI5zjqlbyQuLioWU6y3eInFpdUPzNAYSGqWKt +1A08HxHhuyCToZn9kXi/AO3xtTMIAfrNtjcq6ylwqHxUnpjOrMjDaYbFPbrToOrE +c7eDj0SwpFgdxB73YczQrBcf7yeX1+WkGbIjaR2q1G4lsyZgORUNE3VH0A+vZ53p +N32/jSlAWPDJq9qMK1/ouYtkUMPmpsCDFFfa7IawEb8KOTDlh4FaAnm0PGwPUaf/ +od0uW8PjANbNo5rSEzsMioiQqslCm2bl30gRjYcXpAaTpBkar+B38gbVDEhzOg9M +8FGGo4CCpnqA/f9FODLYahg0Y3bLXmnKpKyoO+UdrjsgI6S/Xa+91Vb/+GRgiFHy +0DxM+0YxVPqr64auYCb75JoPOk/6fPPzsULKwMKjYn5r19p1Cyjt5a2yvgzkDMBF +TpylTltz4/8cnfRQIdEP2iyPG8a9cG3E29VA295rFxcEp3KYkK8g2GZWQDy/13PG +dk1ndRG/UrZV39DI/fYOs1OkiCL/bNFhTAUxomFViqGoiHsu/1cqNLGzH16EPWTG +D1y63j7rbaaLHDAikn2kKkuqanzhZPm9eqfukyXSpWF3NrcJvrVyJnAe4oyYdSUd +hyimqV+8JhHoaDwHSgOUcS4rTyVPq+5gguGO7e++6EQIhbheI41q4pdp0Y0bHXP+ +sMbDCDG/0XV9qEYr2PYvs78W9QRPiDbdnEgD/HWRavjtzYE1DHYiOZ9N0jxF3Pqc +wUJhle8BNx5XjNlFLcCr5dbwQAGdFVYyiMGRdYaETaqu5Mp0TJPSLHA840ScKW3u +I8ic0KGX4kI8qB/5qGpkr2XPOevP1rqHaFWgmnJYPe0IXhBic3XCV0yFA4b3Alp1 +UY6K7VnS4M/LzO1T4VStRRV8VaKjKP+2cp7/wtU7A3mFAcHtG+Comrc+PnQpItDE +u9/XSdZ66rsFFusiG5EWI44ZeSBDHmgpqtkJKh7Jv5vnTmQHeeHu6pQNkmArcMT5 +d72VsU5+4V52+IVk8+hk8UFzmnTFDyMxclrHxaGkyxaFh8eVWnllqMyjr+RtZY2b +YC4L3IiMosPVcf9F5lT4CICSZ6qT9z8qMdjp73nvkwjuD3Bhm79xqlIsHeGcSwGH +ODk+exSkJlLGGKFmqC/yr7aXBZb2x98RcEK5ZNalGgmkA6IPetO7EEng72CHt85d +BhClyCy4b33yNk3VpeAd3AKe8BpQrXOSITj52x0jirCjLGBFV9b0mdEZ8wVzQ91R +ZNOmWQTwVZTjP/J7szHazxfXDeMEL97qnDHjs9zup1f3gv4hFCVeKnb1kzwF0UgF +LLSLPVnyvV68E/bfEfrnFu5pYQoOjT6BzFR7MlWqv1liheXFL57MLcjivBmtP51s +zcZafOewuZLDKvlv0WRIjiYb39kDGrk6GqoVyjtYZ3eRcdHesMJyw8Z5JQ3X3PgP +X4jpgW9eKFeFry8vd/sppwdXVS5xc7DWoykJgyH+arVoycY31mI8pTQIGSG9eU8N +XDmHlVFAMHKyFpoMwFUuBPa74J7JPuHzC0thvZd656HwzO9yZSEdgFb0o/kwiqWh +E68zMK3f+ld8OfI+/PrhOxJOGHM2Ggiq6h7c5ThPyrFiRF4AiZIu7kgQdez+B+QI +UwD3u1EXMt3zO7QXtwa6grNh3d4FRnNivu6Y4yvBae07G+EFZF+KpcfucSxBk/Dj +D7lpTUUb7btJyTNbjHRlE63/Z41aelJBJXMbB7Pn2rPT7/tBM4lLoV133c6dwAl0 +VV4zkQBTefa7ZoBJg5ysK263KeSjbUgMlEooEaFtxl7URpmlgnDkk3m74zyOXs1G +WSZib6BNC5ifZo/7wCQLspVhF690BBqb0b6LlWbsQOofwScx+HUQiIf19MrZn/DQ ++ALZeJA8K6IN9x0k3Hx18HDPTkjpoiOKcKMXethPMOp5cadK4inKdHv2Mxd4v5B2 +IXTgsW5teM5Zbm6HmEFjC9CRK5hPptdtqGXSX3h/4gGFDwEGuNrC5R+YUqoXh4u9 +euuixQKKkzdLc+wMVtAwjGScxj8RVTk0TSDxOsapbRS25spvN1N8QITSDkOP/wcH +eJl+QPNvFCwXJq0bBrP0cYyjHBcRwsfFjjJg6FBQiVLItgTu5PmRW9WaO13mrMnm +Cn0pPEnS+AkgzlPFMoz0Cn87PS+V661yZsy0mVHYytxUTaA9ww/cz9/sgFTuxGE6 +gFYhd16ez/bPiujjGVReIWsaMmJWkdoXJyIL1QnfvcUY/VRCEeb858ZfPN2jZ1+A +65l56kyPM+nG5BjAtIokYeOk67iXY9kVzpa/EUWjToh+kM/G8sMyx3nEVKJuFXxJ +FIsWMR2oskd9TXwHHAmhJumoeH9a+q8TMDVRsKcbbHnoGwrZaBvGMuMISsQlvG3o +HjtlcS5pOVux4rHqF7BMIRgRULWemaGmvGDwfOF5rFn3twjmKKsdMqMJo0l8sVnF +TtgdPsWEzP6B6ACjKi6LIWNUifD7DTnBA7Dh8WHcvtwKJFwQeu4qB+jILJTkgo9g +hcS3eudJMSB/icnvqfhsdvNgxIluSkbQPCsvd5Dt5lRcMrJaquMHDrJ/9XA5t24U +1Z/6zkZARMT2vFtnrHowU3zbCcO1MQZYdVw5XUyWkEknBcYCdHz+Z3Mr51O6DFJz +rV/Skl5HDOoMtYCRfN2TZXH0Ls4luvr+muXQQ0myMkuB31+8ZOG+c3BhZ9IOba7V +StF5KP37ntWWTiK73C6M1sS7LE3d4dciEahizcjI0o7wDa0OvYPgOofhSFOLGnFB +dhMK1xczQy4S0rWGJFds34eUSDFv49iet+YhcYfsut51Z6BTVw2S9NDPXs/GeeWL +u3OmRSOhfJg1TjRjvHkzZEcXYCm3j+LTG/oDAqqG9Jc0X8ZM2pw0DzidZPnZBfEK +kFH4KCxPuBelwvQCiaXitt1+thlJKUN6Jk0NkxKC+yOocClGI+Me9lku/86koCS6 +ynX514WeIr6JARJ7lyg5Ji1m9qWYNPKZVV9233Rs4Ci5zSo96JhLHrRgaCdDLKfb +D3EXX9NQ9IUtBozD3qEL6mldxm8pueVJ83lFnTrnUxxV4PCRTjpgWglnP/Fuw/LO +m5DjCD8Hsi2piyVjYiLpbszOVo4Vgnwk1mEYYDgnOwFQHmx+GIapW2hXaBiE/4gw +IKLCH7sxe8rX2psvNAbcPEbcBu/fCETUAB6A3sFtaHqkn08YEHIRJvd8xTCGguXi +isohS6+/nHbmRalTi/74gWnKMjXFaxvKRZY5qkLaUVIWIGE1bfifXWuIeQta/Rf3 +DmFvjJUMBSqi5u61ZKTD9iCfdAdGdJ1fCW5EDVV4xCaqwd1iiDCB+DvcRd9I3p74 +uYVnmHAcHO4HdDEk4T61/5uZzUvINSbWdIbSnkvgXENFC1pUzo2VnTZ5IXOw47e+ +sJKRBYKzLKgCmtrlpJ/PxDy1QuPNhFgDItIZ9fo9VwYP/iuLr4YLqQmvxtPbq2Vr +BylDruOX7E9zv8q+3eTA19PXT7VbXMAPXoJa6Tgu/WAU5l9/fB3OMtxEeX7SZHfV +FT+pwdGGNAd5J8+0Ht9plo5xZuAUZ5IMJ7yAUW3Fi9RsVhUhbj5KhDvJnSHvU1Sn +AVpQqRZz8QDA9xTNE/RLotaPpypQww8ngJPNwSAkGN76IIbUrq4VoXVMbftA0naE +gUoqwF7v7IeJbgbxOb0VYbhfhCkwivoi2ZAXBlF7VD8iVCXH4NIt8Tn23NdKLZ8r +lj2AaAKWiCeoOuUFHVZtCl8a0SkGTyPNFPG9ZfSKSMfp2HVSgoE5aEYJRZxxE4bu +J+ZrWONKxu9Xh7uyUilSJ1gdYd6APEUySWEd4UVGFIuuVc1uH4kNo0Qm58Tf0mQ4 +ZTfKWNJNNnOEVFa8TSdWoZtKLFzU4/lMUFDFtRPlsT9xwnItNV1cFj6UmEQqFPwb +26NrDcqVoPaBgBt1KsALYuULC8TKyxbl0agHs6aMpaDZzKC+IbLxwRKl3UoikDtt +82P5rlb8rmwLnjPhTYAZGxdpvQez1amr89cECMpCy721s3E6FMRyYNqUft3lO9YK +Sz1bJw6awiQiXjSEMfEDlv/nss+0bcdaQ9nmEcoYIRbK0WnjxDnvUlgnUMvMIV7Z +rUY2vcxWQ+qk3pLFhIpA4HkKvdDDQ+hdRWeIr9EJgI/qrqZSIQam1+MbKn7vHLOV +KYM4Rg274Zqh26p6MDynewtadIgiYLTEnCGQ499zrk/+HEgamxCqXdecQAUuCU0t +Dk8nFS05sJMKQJdEgrxRvvJpW2MO0Tg2BUQ5+JCjI2pqVq0dQezZqrRSloFXf9I6 +ojZsNAueWOVLrhdW+ktrs3CQg1pBouQHTquKBewwBaqMekhx4czXZGf+xbgYEK/j +p+7MES5nGJNC5pvB0QcLLCu4WJuHR7RCS8742/9IayVl/sQrDLlswqiGv7qFjnaW +LQBv5F1sF5s9NBCAmPDDVQSlNHD3FRJGrFvNyTOO8X/hmk0MgLEDrH8Pd2h+NH+G +B4Aeh8RflVajM1IcHOFCG49T1EA2FgFFnSpvTivkjrQ46DzFjuQXmJNKSsnT+Sne +qNqyxp9Lo7a1oCKAI9YS1NxgB1+VCHpVJ9KXNdkoh+tERkksJjFtbHJBQSl2zzTD +qVUr2q673kqD3Vm3aF6Z0K3IAqi7s9WwjYukzngqQXIDBnwv4C9W4qQqfhiFS21G +fiCQePXR1G2qS9+XY8IfNmQWeCcW+boAIOqLIcEJVH91TiZ1k94Kk25x42VDpcUN +rEswYaZUireR0hYz6+t1eOE2FoHQQ+kslJF3L1H6D88FLNp6vmyMAPdFA8n8/6Mt +iJv/2durv7ulr3wJQedZmbxEQBI4ynJUkGOkeTpIjlmgHGzpelnB+BAyv45O/LOz +8dDzlhOZpl9PFaJKFbuwgwT7oXExwEZmj2+9RonPRQkjWBh/n4vIf5UmCIBZgpuf +VhGWnr/QHz61b2Fzip0+NZUz8ni58nliwPkDFXGZ5AoI1T1qKaGF5hUyyfoxKSy2 +3cSOUVznjvw7ZncF9R8Eahp9owgCxrMqbrco5K5Y0fd6aPe/XWJtuflw9mkE0Z4q +MJlA1B0AvtIzldnoe9ExkKeedpYE9mKagfapCpcc64lYodGklmGHZs8CEyAnTvyT +oHDsh6ygdliLomsLmGU5cmXoKxbOxqiAjszZskVczhmI2O48ygDgz+bj0CZLRYNQ +swk2euS7pUaIXq8V0Ch6BU7wtJthjvLGRppw8jmRtGLKLmgREU+YFAPyVe8IXhrn +kCaeMp5tWhUQmaxNihJlVr89j7JlgfP/QyboNWitnGRqxEWGq0diHGl8EeQWWRz/ +cASUukNkkbl/RtBVsD6pfU8ez3eXKa4reolOIY5ytiUZAwXh3r70Rh5MTHUJIGEn +8gzAOyQqGfIvgk6u+khubIjrX2OrWAJNGWkwCx8S2210ZV7sH9i7T44jD++jADR5 +B194bsr3iHxK+L73tFjBRRlmpHAbQJ0CgFotTMu6+JpVcXLtlnrd/BnHh5iX1vY8 +Wyq7MKrYU8d1ztu+Rq/XuCWnP51eUzmxYobHtxskzdD5/ft7BN0y4FQWhLJxiS7Q +yDEfxr/7dZX39U57oUCA35ZfjJWRR2FH0diq9K11nnUL5HpHBOBjU6wzPWJr9xyt +AmJT8CLEHk62Nh910GD+BnMxu66WwX5zxpbxNQJAT/xdSHX0vQonmPwM4Ay9PMeJ +KVEgcpE5EtqAdWPfssXvEKixIUMVM6wX6Gbj1YA2Ceb1zvh/HqsHqvqF9AvLhNnE +ERoB0VOEQQkNPDtCy0h12t8/TC9iq+c1mP9PQ/AS/HfJtNRnqAkh5jiIYclgT6SV +fAnrY8uigxn8ucgzVeIyJkWmjs/phauglZ18YTYqaZ5J/G9dskQozOverBnApY8p +rcTu3PriP94QKUHZH4EcCA8yrc7FdWVIMDQZeNqnSpqYAPsW6WJE2RdhqoxpZfDn +hFcm/Z3STwxOZmBy9jR/qQqSGTjXFiKTOOeWW65WN3wlGvFVGbUYiaG97fpm2vhK +cj9WnKuat2Ax+Lu/1eP4BwrzgF6/IWXIWjtyxvtXNv7HOeOAQUmv+1fWdF+F4ux8 +lIoRQ9YV12DPZkyhrv+DP7ozPCC9118xr7YlZszP82/ID4lQMO8+dXMHK8qrcvIH +wmBQaTjO3xUhWRuJsXK5oxZePHwu8+9W4Ts4WTiFSxhMy1iJ8ZhwmlQMTFdS5AOr +zJpJTx+UmSyKyi104EmYlDvcOrPepetAxQj+Nm1OfvkUj8VDvJ+i3qLzbn0ugMc2 +0AiP+E4nIAm3FVrpJ3ScOwR1eq2AMFL8061Wp3gCiVf8tp8kiJbFc4mrMZkegcSj +cAql/TkugT6oSOskhp5ZK+cIZuSl2wI/mSaFK1XEOg7mCWsNdhxdF3xlZvc9XGU2 +qjO179DAn1Bgs1Rx6zWSII7G3FGwKAZYxUD6HqZjwobnYcgzhtsBdA3Z9hY+7UT0 +9vUxQ/LVzda677GelbP9X3zEi6LzAqO1dXSg0z1goxLPagOJVlNTjENbfJF32VxL +Wb25/EYkC93dVXSZeLZNeiONp2mDxXS18TsU62zAMSpVSVZSwrjIVvGe8/C2XRqi +4rVRsjgNzMB3etxHXEjrCtTlOyGUZgJgKSuP4O82VhHvJqV6d0HMRr/B7visJGa3 +ko1KvfIPtuI6OMIDBmwMLXe3y1gkG5i9LjVOt1MyrPBN0vrmjJLJlquxILVzUEL0 +6BT/mdi7ybTDPjlpxbLnNjno1eyBWNSWqbHudv/MCXdHlCD73NeZIR10zus2DiZy +2zdjFcyyOGoO3vqlNTfljTsHxp7S6o3BaZgJ0zrHtaVrOGbvFpOgI+HqxOU4PP/X +EqrBYBJcXcGQb21A+FZa3Jgj4/agjxyScgktAdAuWOLHStClZSOKvfaNhHShT/UP +QM9p5u8OrRho2wjzwqJUkD9TIMkIelwV6pSXMrCuPZa11Qa8r3TsDHWNX/o7JtyV +FQAe9RxlHGe3JuPYOk71LcaRKOKas8pybSmxRfqhMrp01nqQ4GVlBAY2iIRCPFe+ +JwxrI5nNVAr4R2jt5lJ792QcjOkBQZIcuDoCiNsm0EjambbSEFY8radGL7TPgx/U +ECZLKOO7Z0d4tinHbq98ia/Q3TPIm++QEWaD2AuMN5hXat3PLxSf/HtbyHryvteC +X0kw4xTEc3ff/xJuD10WNCQMoHU++ZTaX/NzSS6k5q+piRvKxNKI+gGjMrqLduzu +LxhsXDXQkQ6goIgVCzDJcb9OZZfNZR4i44C5ABQuUApajWqEXsjXg8V1ZyO0honF +X5DqHlSf8VFK+nCA4zxMJB7pjgCkrgrtfIJz6HL7/sre7/QGBjetEJMz3gOXZS68 +gjaHvYQzPzo9MJWw6tbe3kqbgzOYl4Bd74jqIN3A2sJHIobO7fmUvatZAW2RyhgP +Ki5Nm8/uAVST1bDLBI+evNiZ7GbMj6m5XSA4ybl8cQOgzsmHYCVTayi+EPam3Fgw +0dK7xj795dVkZdXn4qizi2Gmi3M0uhkvwVK9d6r5/srLsVPzjVG2UxsoNaLSURNH +JJoUbO+JbZs7A/ZfAkv6Evol+EIT4ipMUdZKGQ+JRZwLj3tH5w+GvZLwAvValvcI +abdp85pPHOQU6i3j61GaGDyKcPMUK2CLdsByZC1S0N3fF8GLJIHqWFf/rqChZAi3 +e8e77FI9vJxKzJviKkhkofDRaIQMmlE7rysFcOH2amCVxudkhBCh8cbZvvrWaUpC +z5KQsalICmIXirGDrAj+x2kzuCsA2brpJ6/wx5OpukIc9SP3tfviF7SnJ17t3uh7 +FvZ/OiTV8Ynf4BUao+Cg54O/vrxacGuPPKmGz6r088jMNnPtzCsRhYrLpZ6Bvg66 +jU2dAcPrhfCXwuUlQCRUDghfrvzHaVl/7nYEQEvzwAgXLh+TLez0EzEv+WvFOSQD +O1SZvQnbJ8+cSom/Y0myYHTMU8lppaJqLo1hFnV58Lykletq130YlG5ybsOY8SQ5 +ChL0GKfiR+xHq1ko5NI+BJ9q5FAlg/apA9Jx4sOEaUCHFo/tAtkGXq75YwNNlM5g +YJkpmeVmHy/dWx+hoLpYbxlEgzV2CwBSdEyeBhmABxkyDJstj95rANxl68HHwUAN +2hRGyQwNoyZvhw66cBxoBbS9uJXOFUMZ1UM21DLMn2FpwqWQotg3jf2uFPTsNIGx +fiE4ebqxVKxTaiylpMD51LzSGLg3hMdgPcpK7+goRkpPqprdH/wkD91VvT6GowqX +NmYuuVX71ib6dOnmSEaRrsw+SBzsgzk7+U2UNBFMo3i+5+J+/8mVNPgi6qjr5aU1 +Z0tHmlAfjwhLt/dRmfHJms83S4Ln3B/pRKTCqTJyQTIlwJRfO6uvaaRqkwaRqwon +DPh+UMVOLDRNrgA0ov7ed8thurWC2+nmMDlk6nLe7yIpXPqn/Z68JwbdhOl2N2jM +vz0vj65RMAOXjgBNr5EtYL9dwOJ+0wD9chcsEjeWGywfbuUKdVBeHawSQ3MQ9APQ +QJI8NzYf0NW04w9TpZPmYUDasBc1th8ixq/d3kVDAzuwc96sYxX3ieDBjTnsKrz5 +MoT5EMD/RQtv8i68ICRH1SzgIqG4u5JL5508lLLQrNBa1kTmydmL42qBin1AWq3p +mXMDfHS9aF+LXOOfrfbJRp/Qk/6WmNqVsPtdGHx2EYi3YXKeJVkYwNuXX67L+Y/S +83cOYiibTHkw1XirnYQ1bGILPkKlpH9pIcQG55apiu6hJoMhjek/tpAGbm+SCj+l +LIeFR8yGfRXiPtqbSUIgEnq5LEw0awKD6FP7otseonxnvbWivO1iAjzJ5GUxqV80 ++/PrLbCuO2cqe3NUP5w68JGCzJSJWco+/tZas2jkCFW1BRZVvsqUA/4HwHUuO8rp +a6roktqhIwRYN4BO4wSigK5mpuHposERkXeE78GzIdmP9FT7L6a2hE2acGMo8Q6u +5eiC/GgVo8O0VgpPxzBce7iITqdfbuPqMOQcuhJ+k9qtG+MfnPFSkCbIr2xIyV3+ +B8tyygPmSuGlaxD2PDpsieuK+MycnMoS5jE2W371tZI6TKa+vCiBwzAeNmL6mXGf +Juzf6PlmoDB5Fypl0piSLEWanUCUZWtHlJ/wMDLsnSwKPVl2NmAFem9HZdmYoJem +yIEVTEy3CU2KfurNKksDCk4OblZBIfSL7lHM3H+q9P1j/07Z5YAuB8b9Ww/JPe38 +hiUQ/SD6O/KVKZJG6NQHwOKdD2YiCaP0THInt2pe1ZPi1Yt32CVSMjKaqsM/Db6X +VNzFstg30FVsrsn2wr5UgeaoVeGaJ2p3vqCot9TfrOoAGbdMl2YBpk8coLEyBmZK +WXcnEMlqULdQo/fVnseLFK2DUWHq83jYoNs6m7/d1KMWeCtLVRugNg7PMXB/B5WB +rH4uckib3uFHvrJySFGFhsDqmpzonOhlcB8ZCQPiOaOcjilXwOfzrc7s50oUCsGW +P3/RXbcgFavXg+rRFuRlMCudw8TNaxTMfWgTufdkgDuf0//am0zpSaV55bNw4gwu +YdM4HxfHxkYULHN/ptIRbt/sRSo/G3dL1uJIbONr0SsbVKTup4xAEEw/QSo1ZF+3 +NCpZes6MghjRSTaHX8F6t23+vsjnaaf/GYNbzkSxpxOei+rgcQme6tTWhCbUlatc +z5fS3FalTn2rAz3tXJKLiD9wp18H1c+LiPE6g8s5OcMnkIyfYNLbrjCE7DfuHSZC +PVFVfJ2HeUW/Sh7gEilse0Ud0MrMufBAYznrQRDOn+bsUoYvSeeGxiIHIu3nfxht +/Eg/LKTRYbltmO3FbWA4ASzGCpFJkU7af58GECEgJ78fTLp0n3lnRG1MmJ03fh4H +mMUc6i7+sxe9IdYO5YkK6uGck1+QYZ2TypMS6o4J2OcKbc36boX+6Jd5+RO2pxNa +CNPB4AsQoOVr40/Zts23S06xlO3WukElUYEqTK+P4cDZQx8bZDcDzsA2MootzNOV +peoEdgpgKjP69jCABQmKlQ+X8fF4mTpFeE6UJoXeJzrrxyFukRpC0VkaW0H7LOO0 +iXY9mEt6e0NKx7Kzbk3vHyxCEkhyqMAUUZ7Gd5yqyOokCwDCLR5btckaR5dMo/wG +F86Jz+8lwtOhvXBj5o5ow7M/DBwYCXthrbeUeuw8eM3IpkhERGYdKHW1jIPN6s0m +wto2q1ciYvqLZzt1qAzt9x/ySnXWmjXzKSZ10Wvrl1Z6gUPytc9lcBMLEg9Md0qt +vFZVIGJ/rM+mhz2/Hzfy2QLj4bmH8CpMsvs83P9HJJVpnRYd5W14xu3/UvGh4V3P +t773YoRHx6XJctblLQn5Id4M0uJkcrUJueFUR6EnmErAQySjezxVqGpgw+mb6TpJ +hg+GY7huFxNE4DFxnujs3BvbEEgfgh7/i6TZ7pb1i/KSOuvKBUmqqgp2hNmYNLLG +IvDAFC9mOBKg4bMCIeXzYcWzd9ZyijpNkIDSuHP5Cdv+GI8CSgMdo3rx3q3hZots +31nTNQlo6eRvkDqPVrZL4N0coiAg94JcfgASOXLnY0a5y/Dmt6/2Z1bVngfzm9zB +Jec4Ybi58lCm290WBIyZi8PuOvc4ypKwMTUOaUPuzfvpSMWnfX8DN8de1iN4FjUy +JRUDcNQLC5GE23LECiZqQuWMB1lUmtrtg0KM98+1R7Ve2IKUeAivdcIQcyz4cDYz +DLvRlqcuJ+ljz/BPcEYpLWLKxhmY87guLO6Y525XYw/0RmcoZqf9aIRgMNp5L09g +eMEck4J6c5G9OJBw4mnFyErzSjNmIJBo19OEq08HubATGJFABOF51vAAX1c+Fc0t +MpF4qN3ffGUou5ovOJy8ew4Aw6lIPBNzTQUH38rJ2XXKPsdJ/ZfAQS67L7zQ+Zqa +DDquyqueuXqzd+B7oF1bAGB5HRoOHreFERt1mzSos0AGYyRbrQZTT1Dn0VQzqEVV +sxhs7GhptJKFhZg/kj3SRO8BvRYLobCBTNT/SnJxYITGD2fQS6BEaipR+weBHqu2 +qrhOgIoNrSLwMdB7Mb+VuwgFGMkCIB+z3c3YAio+ZDUQGTs8JfaWTactQ3dpr/AH +8hBMgKiSQxNpV6Z7vSR0SVfbIvnfkx+9ycECy/tbHgy5k9JfbZOlJ7XemF1miejS +mkF53wh3/W8wKXCdGXai2vQs6IDUz+t1rfntdzA9SPMXMzZVvo4khnXzbWHvMven +B13WBGiwHcbmrOYcYLe1k7dtQHAfqOT10ALMBW2opms5OKBsOHL2jIN6EbaBjLUH +r8GW/1YfZflUj9tGpX6PTYdBRP1Gvp9QFJSg/oZYYtEkw8zzslY5LrZDQMp4Iul0 +iO9FDYKe0xXB1X6Us5QRxzOcBDFuLeM26UADJ9MYMlL8lpNKMjnuDFAVbqABgTGt +ehwlg+GcUEo2s1IsVzVSG9imK5zWwkqRHJorwb/seuJO15nhAho45KfX2/o7VVh8 +pm7lDgUbI43MtSb5fPnD6OjVt6tc4hSY9XUVZzo0fWEspz/uaTb+ViEcpH84OZU3 +vfu3R3fyRpXOAKdKeHOMT1vE+4zjLKt85bdiyX/w6FxAM0jXE0PNmY9zXHUTHrMf +d0YWG2bRQIcy0sI2AZHYYAshBrcfECgH6GcO9r97WVkplPrGKLZmV0HlIat05WCA +bfuKejKEVIO2jlKMWHLQ9lWGUfajRbMG4nLSL38i5aVfImlVb9O+tfl4nmJrWgrA +wUazJToaBGrTOkybENDaOvpuISaANg4b22MIxRypO2+KB7BrIUAVnnuDML4/DB4l +IbL4X7NNWNN09z9l2PlRzR4euL+qQjIFkCTJdNZS8MWbKcMwtCSj1Aoi9JzOjWKX +2bLQrzRBDJz9Mu95FmCCPlqHW9P8SgdB6xrOHnrAMZ80/KmvPT5H7n5meHpo/GVr +BGstLRbwVdCvprDMNqRwnUDQFFvjnjgVsBf9ykRyE4D/rBONJeSrs6POsPbTCo6X +2y1SkSX2Fe66FnHRkhYR6gaZn8IEVcIq6AtfNPIyZTyyB2ViExzGYNI/b8mJWjYT +r6eKnGgsn9iDG4Ms+0OayJQ8fkq/UMyxz+7DR8AO6AgNXDtOzaZK5alEyOaokc0F +OrVd9I9fB+xDUijdQnJYe3GpC3FVhyfwhTfeCpzPuqnKMHzk3hnrbxihzw4JJ4iv +g4FAcOg4FZYsoUzyZ92PheB8s+/7O1YbuFkI1XXvQZaOM06XHafyLtMTcQKHSk8t +WxNq+4EKRq5Exp8Noo23p87ds3Y2l9bsZjMvi3wUcTY4ex9QiB/PXRowQ7yrnJUW +2Yk1zipeGtkWXUV+a1pTzZfxEuNAzsq04xqp9mTx6Qo2CEJptWze47mLHIU0lcrW +kX1qIsQ3cgjHP/HPQvZJgbALs9jjiQ0domJ8AG3PpZQCQBk6gtjmBEdV74dV5Teg +pcXv0VSKbLuCBCawbuaFsh3zsAuqUvxOJHYu97AH6pTJMqYb9ifouksH/YsxnJPA +2g0/iMXzAopFLVrQ+bEkGrmDez9B1KZOBXX51BpI6NXocOyjW3BQBZYNgSlkJI2+ +/3Z1KGF9QrJumk2Ms6COIsnhUZmtqqlZbOPlGjJxFHOkwpomD0tVCeJqPW8rcJTO +MxNlOUF2py8X7SUiI4P1wm4O6SeGUG8NRwXBwd6gJwAa2cXcrCm2Ubl9IbMQl8XC +Xw6XIcbRgWKg0VKtF5GYjND6rsDCQ9gIZwcdS+PD6/fk6o4VwqLQ1cwvgO069HNV ++YhHZ3dqTw6Q+xBQyNvjHWKIIt9CYZUsG8p71D7IgEEsYDDKKzRwgjdaIJtxxAJV +mcG1lCBmpW/+ju8py0jQrqCZyFbS1ZfibggD09uvf4W1EHkwSyvHL9iOI7m4IUD4 +bNNuzkL1kicPSGcrmksMWWeFjDt71p2nsgBU3rHcDSEQuiyClJSGkcoUFr7X+qMN +HYP5yWatiC0R8Gk33h3ppCDEXGrZdqL0Q4WFRu3Xgl9zSAMyv84aDgKHVJ/Ewrv9 +lUfXNidHFB3Nmb3ZAHQZhTkBtEuyzN2V2T0e8FRRBpurUCwK/O+P2nYqpAXUcUKp +4K4HMpsSq4FZosum4OYuLi8fGwGewl4Ud9pufITmwN05sq2QXQniyrfZc90QRS1s +FflL5ITLxXnOr2iPnrGTjV+/ik69pEEukKSZ8J1ozTzp3e90DKsR0mfEbeVKjuKx +1WXAk/zGhJObfy1mtb6RacDnqx+ijxKJ2lGxytWPkxRQlN1nYPxlHFL93sPbzntd +3+KTRZ3Ba6g2s/sWROzEUoAD+ehybpzeyL+JMeOk6DHwcPk2dVUq34bWA3EE0D7R +ClQGFmFQyXJ8LRYy994AS+MmbVPUrF/AfXNiILssMGofysVf5Ivgow3LfDRc3q9p +eB6AOKrJjKq9Pg2RTBEIUSihI7yPf6pOY5u8F38wS3aQGeahK1hlAWDUrxrVKdTA +2zf5EWCdO+PWl9WMdWsC1pkfj6P2K98OLmNo/92bfbIJh6eW2E/hZ3v8/u5wLAfR +ZaKoeK2iYBSUH2bkaRpA+6qP59kp567YY1L6Fjs6TdsPE7XqP0tQMizX9BTD9JKv +oeacjoX6UGZJKovrWEP48SUnDlMRwjeglvpIutzVvWmg1L4wIsDrFbIyyBesWWOW +lOqMJxuyHSwoIxp+gfHLYGtA3UKW+X03QOHTDBfm4XPYEYN9wWCcmq7u5ZZrZh0D +sgQHpRADDNSczgYIgAKK7sBK0GFU3jEDvD6O03RlGagOZqEdrPUIySoiGCUcDDoA +32xraNnHPSyf2KjIhCh1DbrWBDb68O6W4VC0xB4cgbLJYOQDcCNf+NRD5npHe3uh +CYNz4LxJQ+Ga76bTwchpwT5IpLMjeARIHbJC0pK0CRfhDctsEROFxGRPT7ekKNxa +0uV8BVh/Ok9Af6dWGGVGheQXh+QDZcoFnEqbkLiJMmQo0dcmcW5x0RBpHSyTYLwu +uMIoFQXB5MNX+Qn431KQ+flNmqvnVCsYsKRU8XFmOrlaH7YNrGkHT6w5jg41GhfI +fTuqTk4Y/dveUOA+PNNEWmk3XDfj+HqCIWBBKmVbMyPoybIQjOHSXtiEF9b07tx6 +A0RjPvSHT/aWpW7Mtv196FfcGCbXmGdy4GfVCARg4YCL/BcO3E1SQ90JL144M5AD +piHrhPBcgmmsE2cTo05Tpu+Vl4SyUYUo5DXlC8kj0OeGeokpj+x9Nskeq9xTzg9p +T49U+CsVdA3ALZBpEsCBvCw+Fv5xgbDtD7d7vwU1pQOZ5aqOtPIRSONkGS6YQwyh +n6YdtadxwZDX1oEzc4tdLcjSjX1WSFbNDNEG1ilbZFBzzmPgiafwsixE+mnPDIam +/pp9nFKilJ3VT+k+G3NtSbZrk/roPk2cju0UIEjQ0ThGdIZsCJmPwcGyB/QbJBhE +M9lrjveT3cVBYR6nRHl39UIs5cU8YggNMbfijyeaCsSaiD8eJi4Tn0vE4CEQNW0p +MRByNUkU4C9+1VWPGr1LeYIp6QSOfzom91Hsy5D1eOHlEPo3JJpzEKe1lZ3O84GT +ylzOba/LENyjg0ZXgukKvO2N5f1t1dbUB4JywMQM1rrSKPK5WS28jiRoDfL9BLlO +w6wCQrUgi5v80OR8tqlm4D+R2uJlnXL3kiTp3b05QmExPqyv3exibWrQakf3N+h1 +usOWrkIIy5OdboWCifCGDNjOQTi139lU/BaN4+8elTVjJ1KsmI2V12HLfYjBjk1j +cKEyGUS6eBr7zEOUGC3DGfrweWoSTe5tGrBroyDdM52neIh5V3f51t7g53p7NVbz +tZH4HQq8jdUqSZG+LoDgQpt5nFy5GVe9EcUzaiZ0jKep1Hrp5JzQljrbWWyOktbC +uJjqrY/W8BrUrrdXlM4vSPbw/VRHA3VPUV9PE1wQeso6GwLyr+G1ssns3qT9rRdE +EFEPb2R26bdssWCHZGa+ngas2trQN4iDOLDKcpKmUKzpxDViSM8gS8HxpJ3XHxIF +WJd1Y9so0U9Ty5JWpDCb2QQ+cPddIocPkkH6kaP3OJ6c1bC5JkjquTqCGTNx2NSi +MNkZ54vq82L7QBCANgwqxKPesNmJiP4ZdQ4kEjWGyHVZOQwmrzY5wvq8xAZMzIG7 +NfyW5X5FOWZU6LEZ75sJBz9t6RPgxf8hvGdodAEI/9HyiDZfQ58Xw9ZvqziwHaGz +dpBslx2kGUlBk94MDe+QefpaBqWrq1DPg6GfF3hEWveahaE4dFEQc3TtuuAfYgeE +dNDKIaODhCLu3yyP+O/abfn2Uwn5FobN/oNhdm1xKq1Ce2X3X69Xq/VNq6IGmAfi +ILoZ7RybzT9zM+clIQg3ciwIi9qY+0Rwqe9HmdAIttAwNo1ATCUgEhmvJfnDjWPm +xVHzi5bGKsgJt27xyk6tH+DZj4jSLp12/iXvf7srDoj2DPGE89HKUcTCZV6z0//2 +eLggyzVpCgPlKjxEuREKrUbzg+q+y+rhiaJTEjHJT77QUPQZhr/GC+1JYOvnPj76 +4lfJjaYjm7raYbvRtwJ0i5anUMbDHqea+y4r498XnLPBanPosyNQNy5r9mpw/0ba +ec0V4wjJpPOmWr5yunUjpRdJ3CJe6MYOsJEiXIRgwxTomBTSH9VB4tBeY7rxcdky +qYGr7hNKH1iTYoxVd620HrhfuwN1M1Wx5RXvHrvM1+axX7/hgUiVBlFeKki/nW0c +zYMyw7jJUjmpk7j0ribItoyfNIVyJqOjMgvMFnO5LzgB/jiPQjCW9EloVd8V5a7U +pOm/tpriDiE2gP9trR8zZITYf4QFrEpj2peAGMv8WIZy8fRfIgJwsN/4httAuTKI +XDAEd7S1YK4UAb3kRzJwqSAabsQkBF5LHDwp/nFCKutOSoAM+CSXsnwY0nK6FTNs +0s5MZVsUz3/zxCTiuV2xK2GJ+vJPpeK29IBvfYIKgEanm40GYovcqixNvpr7SqZ6 +ml6LAtk5egVCU3KjXUQPF+32a6M9VjZ8CoN7GMmDt+8iximLyt0cb01lv9TWkpFm +GbTBQRGVCmZet8f2QyLrwqoqrCWCJizzIFwzAoQ0npbL5rePWeJzSky/mY+WJVHc +DssEqEfOiSe2oU9pYMQABGvYMeZIytaBVLf35KtBQ17x61Z3gpr/OM/dQHB4nO8c +9joZ5AYIgzsTD+qd2uYHWJOn6BMaq9mxyODrnGJ24CxSZKEqHAkZLKBgoOScRG1R +Xc89rIqfm1tKqpuP9hv1riBC+hm0E7gum0L8jotGoGjMr/Zpl0EGsh528k0++714 +GorWpKQtR/dJjgcIIUW96/Sa1POV5YUAmrVB5LGHlIOYQcFZYEtINYAbbxYZCgH/ +tOldEKKSMWTQkMIHQPcV0antdnqF38qaDbkYaKfom9X6uLtR0HKZSh4xcd675YEU +tIbGbAowYlB4aZmfR0aGXuNDf/BeUIgy1VU47rDyWtbiaabunFJqL8E2o9xkaI9A +szvV0LQUQ9sa2+VSz8egj3ydDYPWB9NLC4hYKoOMnGXdnNFNuNX/gypekGkdkWia +BT1Uc7AUg2Lo5adMwcdINAYf3rn45XxT0kQggBerBnKVMm7zJxEczNTB4+zhhqRc +Md5lgY83poXZt4GFhqo3Pp8tRscIaI1RHhjcv9wghi6eM3Po4SiSE2mo6bNq/Rnj +t3Uu/5FLWqvEjYrtsM+31OLOOB594V9tgQz5gkohAlF9kSF4Gh/nVSItoyOgbpoi +Zxiq+fwCxuWib3SRWI0ZyUm21VegoUc1ZPgEc67jmhD3ylwcUs72ZfcXntbdARNI +3O+ToQT0DiBqC02we8nkJOCyEiaEbFsGmogfuMdLbfmEqBSFkQ2YKJurQh3WROvj +oys3UbwExqC4iRjrzDd86t59+W4dYZSK8QE/oh9uuvfghs01m+25c/AyAeQbVyPW +QkGGt681voP9BXUVj8RT+2l6BPEWCnpSZiRUWk1xFliAfCVmKSjA5Xo/O1RDFaiO +bFavsBE5S9vJ2ETiPjgP12gKF1jJfpFvr+32fdtwmKFIKA9h2j7EIAluuuzEEwy8 +SEMvUR6Y8m2dPbIuK8wEbSqtzTpHcKTp2+QhveEm8kM55bxIzbYpbSLj+2YSkEkp +MJGmHEh2NZHpLx4iY865OWZctH0mCfBjNgvFaVMMMxJrqe6u+WCydi/4EewAXRZh +jBXWjl8R7LN/Mat8XIq6Enp2OkLtxqbiXsjWri5YScFFbuTSCSCj85CA3fK6pFNN +jTOudb6q/mQRqwTtYNhbPhP9TfsQF6IC42Y6fHy77333gBmhhywIQK1G/klia3q4 +tFz8crsGmWc5fpY+8VllT95kJLeT7iBTsZCgtYIt2Ga38zaXKeHSKhmeTvPTKQE2 +7ArlHZftF+ZRqhVeJegF/X4Amxmkbs8ObUlaaKSMrFceXv2LZ/YLVmnM405jUEYF +Sbq0YDvdoWYLhonqO52rj+CrA7nv34lAy+5D24klWb4rhj4sOZCJxdRyE5aB7AMo +rPbdJRgPPk8BgcsuTF+Qh+EFCr2YLtwShr6h0u6CMizG91h9Bqe9E7qO5F6KuPEW +YXTgOVZO7qUVvwo/LC8HtgFCaQKC38ucUbp9dKvbjII4oDI58CS98ZgaZtbZjDO7 +q9vas21PdY0zbJtyvF2djhwbHgd0gLJg6UQEfXtVX+hwE9/FfodaCJDC9ZHTOcY3 +fmkeuH96zd8NGAe0Cv2Dw44WdkjsG0Fdl4rzvIGsWF70r3lAfHWySZwNeoFie8JM +ba6xneYYagRv9AgDo4DloivfwmnZn4YtDY5dNZcW9Nj6sn4L6MdKvzZc9cyE+TNf +Qu8yw3zlZzjtAFQWygDoq5RO9vmpMB5q4AszKRlzjFYyt0veqvKP7ZUjoJ819F5n +gevUc2Cam77mmcnvUgftqhSZkegIDxg96B/YC/lVn2PQvGjspBebav6npkaJTepq +gu0NX2WFjAumHrWQDHtgYK2AJqs7pmma0ESFVTr4Yl1sIRA/Pd9sLvwtje6IbT8I +IMjt8YzhQFd/GzCLLWFD9vY831L0qPTquN3Inv95AKotZaEl0AOXcKBFXMSFTVDO +7IkIkj2/1dFitwcLFMz2g7RNBdfokofKdqlAvPGdU5b1qwedFP1q7WNIbJtIdY4d +KqFvyQBVAbaZxkLcVZTBl8QCc+eOOwxgK2QoGW5ks9htzo9yM2HSNBqIl2ysKqDX +NlobvzbwQv+ENk8PaOhB6nZt2ERE9bdHsv1BEkpB52RC83IJGB09Mw2mt73FsEma +BsDrQ34baIVOLqJk8pAR1XyzYSPvdl2Dy12gj5xKHicn8eOCk8nSevVxsJ7i+mXw +wAUhVwQE5v8ySfcxhZ1EW+TRTRmT71z86OHAL8fz1d/itfgsX4oT/CxhTPxI1smg +Bad5L077FOKYUKCOfRwZcNuew0raLsaBY4EWcsH5hXFZQyx40rBUqGO+6Pi1u/iE +oIL5+oPxLA4bKTaZXqqa0ZRuGvTxC2p8OAUZ0DI2qY/YFoAVISJdtCOPSm65BD2w +B/oNWn+suLVPBidlGXNUwT1OIaN4geDmsjVUUruJDbkstxJ7vLkRp8kZEGOk/68J +xuyS8bUu1UhBc/y8DPVDRE1kb+ZNRVtAMnWBGWVdsXjb7XYmpE8b0Py9H3EFX22E +7LlaMgVwMJjx+sJEdCH2MwEjnLFWKLSTgiECq1yxCqgYEee9I2z+gvfIVFH2DnvD +qoWhcZ8vNnVXXuVZ4tTISApu/RkoFLPce3LP25RGCLci8WqLotSZT70cyKRCMmeV +JOfySTBOtkL/eC1aM4Hh9RsLcOBZsshtJ4RLh+KDZO3UujgMT0EJZN6YBSJiHcHy +lTWJ+s80YKKz36MZReVtTI6s/pNkjVcDp7U9SMlWNejI2mlxALhaTlQZLeQfrbdC +OG4xwpBwlR0S+t03MRpNC9Ty1MR03BouX2bJCcJVE8MDzMAAVmBRhJ5hdCYlX79e +Dj9n3Zn/F2vQAvgY4ZtyFapNEA3SJzd5H+DuUD3oSuftKCQSV8NGBPVAQREAcasK +hSTQ3aAFgWTWbfw8w5qi29/LMVYfQd2QAr2oJxkGg3Aenn/7zh9WIRYHQWeF0CU1 +VEZWxVtTDkNdvwD4pO8dx5GiMhgjdTTeY7oAISCmmGp2FvRNkIbzi+GhlGwuDnZI +uYUEFx3TEeIufyO6j73Le7pblLDWQLD9t37diCe7bjpbZsJS+QC2tK0/vrPWrAMM +lyJbiZOrPpSs2+Mw8JW9Ap1QVHP2I2i8GRK6B02awc3O3xwLuNxwwK+NdrqpQn5K +4tC4XxCmSF2Pi/xRcBPSu8MsuDOUYDv4up+OAqz4B9tCKUNIpcQ/v7jmFhyBK5A8 +XCEmM50JrAS7rycjp0DtDQJTRnj78y6Ljk0oGINu5uF5uFQMWDSZKSlMDPYMZsN3 +lYvrXKvPaP4a98eDYjFso/q59GdaN3Y3ZOYZikKtD0b/oUDdyO1rrfZ3BivsOIkx +W/g/Dgtz6EBRr+JzYpO8jcmLanxAbmVH47D7BVHTz9bDGcAMNarqcXV9LWYug9Yx +Xx3CSDcZq08J+g4gcQz0e8XYD//YqK3aCqplGuvp4syZ9Kxol4QOOHRa+UEAJzQt +cDScKz4xa/rMU9XCmduVzoXW5MXxbUO55q3Ye5gVs6xdxfikGzWqPDiycQrm8Yvo +oYK/tOSOnCQAwBFvvjCzPec6zKJQka7Do+4gSfQ8gWlGnywCeugqRpxTQcd157un +IqhwaDUx5rbEgNL8m6rEEMR0awiZ00/DxeCQtSpA4ZqZqHR+myffokAEYvnpwfyT +zA7VWFZ/7A1S7FvQg+O3e4M40AC92O5Z5L3NCYZAIH/asqqMbb4dOnKgc6FhniFo +d+xCECKqrzq3GJCIVt9VwZckxOGdkbMsRw8EGKd06L8ldAcKWbMq8skte1DuFhma +TLbsj/1VZ9Vic8SM/zhoHsf/pYoGQMfHvAKL6cKGGR592lMapVzeCeRuGDiQ23w/ +2jhd838Lny3Ldkgy8xuJIeQLuDHejwKE7e25t78kPQpgjC654OldgwP0QBqJ1NXO +Wal3MZBiAZkuJs6ZBkz2n/dnNiN4SdsgFnTgFJIxmMtIoj/P6DVZbMY6cKyoeg5S +cqjvYzR7Vn4tLaWVgS3ZKMPZjxYcEJQ8K9NrmJLazvbi/W5kKCTyP1CX4us+DFLU +MFZa3hl0lSvcwOYGtScNHhotbQT7fd8TWE7y7wH5Rbc4je3tpdw2uX74OaD/6HF3 +wEQw2zy1HD9gZ46O5gmOYJt1Y/r/W2nsl28FQlPwCMVN+0TJhg3S2WbY8lDlLeJm +mFE5KmsQ3BuskWvT+cJx7zFJ06eiNa+2vU1Qj+B/hOC4ZevH4kYO2+5jOS7ip7Qw +IxET4FmCIkCOp45cLJZdXW/3Skr9HLjkHnoUJXILXHziX4PjXnrUgS+/JsinlbTd +WiOYhl2DVf8cNJ7KL1JD9Rht5L/Tfxh+j0CPnd+/dG3+yih0xXHyaSsR19cFrHdX +c8TIspI5t+b9xEqw89/FzsB1Kr9k0DFdI++w1hjds5s/trcUz8diy5u4THVapSet +lV8pU3WyTWx2JVk9nft4bR2DUjVReL63BALl0/2FSU3sxbxAWnuk4SRqpJv68tJH +wqcNKEXSMrRxkCODRYQZtad11jpaXIBIajnfnuh06mvjRnsgsa7UNaWQ5W+Vp+hq +vmAaQq/7cO6lHAiKX+hhOaqyxzEsi2luEXCYdsVph7XeGNXHv5zhTJRotXmCn1b2 +xT0xWLJmocx1JoZNn6IhHtV/fpxKL/yN5jNXvcL7JIUFQ5UAbIkEnnrFCjgcjW5z +bqmFgDMAwulZ2Y4lwLNS1SnL7FdwgFb39FjRVTIoeXN8kK9ZUvszLrsU/dBwY1Za +wWH58Yfoswmabw7+Tcj0LIUXfBsRz5AONbpVFIHaujSi8YxsMledBu/xx9uDzYXH +pTSuRgOfOcOM9C5xyvPQlAZxQ37GryWCDuNNXx0TetszR4wB59K+eJ601jN9PQsZ +2j9vl76zl+88zXfD5/krFiY0TvQohF9FwtQHCnDSdl6wqN4S0UMAvN6+nwGkGAFD +5j2ylyZJkdxMQgoBbXV5lM0zuqWveZEveUm+zs8zE3p1aNqN2Wp8ZGnSycWbOu/N +XH7nz5ZlZZ4l8xH7Xa5qUbWi34gmr3RKzUDy8TJKW+uR98aT6DWh3kXNrWoOCPB1 +gEEVGDg72Sr6eqxXeafKnXSjCQuFvxoF4IXihZ8YIGxCOjsPvjPh554GKHSCEzbS +RDmhbmAuPB4J+He0E3yWA5oRGQZS3f41IwL58oJDFmTQ0j/hn6RtT3CzJfZVBQCD +BoEbWn+xbTq0AjWyWqZrwHrIMkLhIHq12KadsIhZs7cUdTy3TTrzj9yN3fCioqGS +YCuDquNQgDgHoa3CvHSx4cDjU/wDTE21ZLULwuFe0wjX9qjz7HN2qW/ryJozrMqO +erNvH76DqJ27OvqErvTv4Y6y2jpXBjfEAU6v7Kz6WiEm/qSIbW/mmLPrKdP/+HkP +9G3aXednTkGLmqcbRru3AnLY8+ck4pa9EC0RPsF43DFsXuLxTqmTyWOtMu8YdcHy +unS7hKaEheFPPwwJCpTxrXpIS7de42Hvfeyt+mPgJM+VOQC60BXAgiRlek4tbb6R +5I8APGFdLy+1He83HWGuCE92IAxe8rCmKIcQ+fFUVuuV9tJ2gWheYXDxa9VJ6a7t +W+UGSHY9T82syX7j3NtkiPemFhgV1tetwPI1p7CwW3MsuiO/q/ov9aY0EKY62yfZ +BZcVw+GHlaq22OhyH3ck/Hs5qRCOWa7gkY9WvdqETkaccCruox7pconEIfWUc5Yf +exMKxPpZ45GKKtG2G6m0Ci1ZG2zX0F0oqQYmhSvD6Qkquu9inli55Wn9TJ7/F+xE +kOo75r+tioFwfehiGr0DVFfabTR1FGLVuQLyd/Y7Qw1lwwij3IwHFVrPctseJz+C +ozcx1hY3TptmtdQI5aW9cQKLAM4UBRil7ieEdnOJYlG9CGvmE88HiVPvldC2K24X +3kzXJUFJWjr7FlvY3/M5PU3TGVNLVuL0Aeivwk/aB0j7t8+BrY5YHYH1YRoq0qh0 +kPDtBEXrBnDr1QTkBq6BCPOO/QnVC3gwQsJ8J5BpC9XDTtYRlP8psWWp3ZlbKc34 +dJcGMBhlS42pr00q64q8I4QVMz4rqoqX5auO63mvEIs7TpnYwVtriF0S/PX1qVD6 +mOsALBfkBcMP7g2CztsZUE5/zYjqcngTF1Vow4lrsPIt4jVMHNvMOGxTTpx52OO/ +jnsfaBk8vMTVTkT+VD/UUdjeSXjUrRv3kMccSTjZ7XWJ1HpWLryHiNOxODsVCyVo +EY4Huvif4xXwPR6Atkmlodp4vodXzMmqRfuyMJpiJsAJRTHltc2LKB/tcyRzkotA +G/niPF4RUZqwYrlTxz3DpEszOxptVrvJI1ZXWtLZOwVoWQK9fQUpZz2hulUjuway +AaxOkPEr9BHuFHvJFSBHllX8oEx1kq4LlNm0M0SL7CbGAD8RcO02ioYM8RcWcqJ0 +zRu52VMgIiCMX39GOuSBO+DA3vbiESu+b3Du1kYwFa0gaFW0y4wcX2rF9LAAYLQt +2xzY8Ijxq1c6iBnK2MZAd5Dy9tci9XH1WOoiUpqSj9V6jN2PuTHO8INnqMVcGwxe +r5m9FPL7G8H4xOITjbofhGdRIVxDXSKKTzfzigDUlzfrrUxCnsNQJLXb97wOvDbh +rrvVym6qngf39+XD7y0rHy4OMaBauYx03XiotuscjeqFq/D0Bt5mvwWig0oEXmS4 +xNpD1oGtZaCi+7AsqEiBQb17mIifegiIMyGOaoODveyR9StCU4GehMvN1vCOUjth +zUpGWVrET23M9gylfSw8um/NXK6gYiLD+3IIe8/X+Q1G8/+wyajUt+edpNaVWOfK +EgNt8iFG7vkUCWeNQLaomBqXNzGQgACpiMttdyAq6hh64MfKH7HiTM6wBTdmoaEC +duNAg8Ne2cAcusIR48LELmXt5Dphc86BkP7rfbSZeA+9rw7px/SyVG2A29UR5MRE +jHY77C0aWwWxgc0dgsRXU339LUZDu7el+x0jGoEyBGH5hw+GXWAi2KEkpDk3DZiN +sU69KTFgN66GVhrE6TFBBGoK1mWA6Ozn32ykbajI7HHERy8UnldBPz+91/ekGWfd +9XoXoucBy0QiaEtN5beXf6WTP9sh89uqDCW2VHjxXXKfs2ghKI8v5IzOxqFfQv95 +kFkB0zNLiGEZ/loGInBUHKSTu9KNn9w+F/KdCwMKWB7LYPm1EZCVNloM84MN5WxA +52B3RZZm2lTE/+C8JoHPL1CirR1zRXqQDsKRH1oFFlOAv6eUWGmHRDSVSmg1gvA8 +uaPIuR4RF8kQIejwzqIps3q6JuFjCfLFg3M0sGP+LswPull19lnvGPiXkO9MZZsK +UtYfdCoDZxv3xs/I+1dJG2EX6Mb8C9ylffd7gspyc0LodCKgj4Fkkn+ZfLDV4tZe +SxYmYtU85UjzkSa/JcHkyJfcEY0CCoz2MyvDkvyP0onQvclEePlPHnn+FjCF66zM +jPQX8CixNWwZi4g8hv/p6bG/eiKFnemT0CfO/GGPVGltEfb8FxB908zpo8FY4wQm +UhQ0CVOifIp4kbkEOMfUXB8JXUMvoJzPWjw9HVs5lNcMPj/2m+rner5zMensq7wH +alqu6BuQNLHZwnycvWtM+Xf8m1ZEpG2hmgvQaWN0nYae1wxwXBbWscWfPfVEv0HG +qfO7jhKCZCj/8vJukbvLz1sak5hYG8KxTdpd8KiW6ZmmIwlTJa9qfS0+1dD/uPwc +kQKsTSRA+A8KIs/ivQvxCBmOHU/8qfmeaPiUJkNICMjSyRn58T6V7ryYKcfW4GmM +Xnn5Mbd4Q8aqttA12Pm9uZ9J0eo2+4VtvxybTBWvb2HapvEV8gxovyqlI0wubrvT +IePkyCUrlvwGLZ+guzLdaOXwlYakni8i23c6XAZs7+LCZ6M+pcyC5Fn01KyU54vj +OLSf8Le5WVgzDcsQPlK80ZjW6218LWHTEjctm/7O40tkx8DAkkZyqKea1pkPXJFw +rYx5yqlBwyKxRv0TSmrSFZmTn4/yo3GzmEvxj3IPLm0X8brHGNfFH0FUzNX+3Ft7 +GJ1SuYNYLXtE4jx7yUKKg6XHxmCMrFK+DAELhZ3Fw38/VcR8pQ23ozAwRrmqzcEw +zii+bwZHa1PmhzTCftbhHYHdEKC3GRUT1Pp40gOiS5kpgMeLeFe+QejvpKa/s8Fd +iiPsxYtUZl3DOCbFQoYrop4k9dnzHNVZeahUrj/pmB9skUZWxNLglvdEqXOdbNws +9RaamWidoeRnNUbk4Ztyedxkj9J7fFvgCScuIuz/bIup9Tn+TL4Mg0XS0xn3QuOw +j1U5UclJVxWNNYg8eOTY5Cn7KBRImskPGoW7WHpKivqAxW0ZTn0efvAFmx79X/ru +Si0IoNEgMXyotqes1b3IxyHtx+fS6jY0YfZRFHGmqMoUQCJDQaxr+Hr9acaTwpLl +GJf5T1/iUsIhps14ffg8l2c8PkyEe9oE1VhbkO88llj6kh09dR0y+80jTyAB1JKO +xIArHmkf+KyZ20759xNER1hX0p44lsH21e4BoVrHYGADx6UUoxvpWhXIPcNo2ZCK +YaqLo4citOGcMtS/o2EJftEQ5RKYLAxVuQa+/4Im6Ias18SpxadOYIS7uvoJDTBE +78NX/PRIZwTyYubgwjm9AtjcK/vbYRQtvetF7m65wB6DAHuVyrUXEtSGL/Xfdz/K +gGHch6GchE2pTiTszjXWMAyhmqqRX3cFGBEWEgz3E2+dsZ4pd/PEJem3rTRFVV1b +BAzjvgoppV1ekkk58VgIxnEJuNEWxbPGJpvBbbq0euSREMySqAkvqr7tAlDVQ6kv +jnS1mQQy6KT0+tVCAhj/OncblW8tEoBKVqhW56iuJyj6s2uiPH9A1Ayb3CJoto/n +jLsYU/haPNr6Nm+UJ0tZEisdrJBgMdyu3edSpywUoZL8mptq7Lr4agWDcYPLwk8M +1igcGuzp69R60SP71qOUptqhlpKdqdbd2y9+cWOO5gwrVzml1v9qv+V4Wvn/u06x +ZwCSaqQegcxOKZRI61gDhS1WlziF75p8IPNCaMBwlPNW+UemBspUs5mH2OyDYlSd +ok7aNSAoLt3z0MvJ7SiKqAU5DG679Ivf5cA+S2ROpZoBmK5aHfkp5n0UfYLHUzvF +KhXvtrBVLd7a1sh9S+Xc/5XtHuonxi3vkh818J4a59sg6n/K9J+zk/+UXEqMm0pl +dF0GZCq+47QG04Ps5Vxtk4wWavgV61WOvbG13MP8HNAm2qR714G6nVP9WtQRshyw +AFgXQZG1lqgcCJL2yNZQqrwSiHDGC5xfoJuB8x0i4rcIFxhJoIqrLplJ1z1uiJcp +oRIe4LqmdOef+9/8Ix1mJ8JV5CI3ZvmqbxL5NHDGu7zEVKn8kG3vCRIfJkxEGqVe +KwRbIehu8mLGqmuCEAl1Nebzg74B79JwThBSXhFF/LEnXSPmbUP4FkAA/nhfSZfU +wHkRVdVw9SDSKyRSBOiBnnDC0Gs1AYaPZjcWRAxpL41q9ScpcjntadWMORrmlx9+ +xF6UAs2KKQinoOmhQue3Gx5sFSXAjMyAqK1/Fgav5BXyGzoirfuKO7/GRtGDUWfH +KTD5ns5evMj0cJgVsU7TZ4B12OOJI1cdZdkX2N5lCktMjN1WvYPWVRbVhdXDEdkx +9leO3l4OZZwLuv7QG+ZuARIrx9jF6fsuzBaFuOUOOU06QZnwuv/wkaIfyM63zgwY +PYGIyADQb7e04J3ZoevJ06jr5yv5zTloTjTDrVGHZaUsHDAjzetXRgw02WTN4gA7 +v7j8AWJhdzrTD5kC3Qxbkn7/hxo5e+kRiEgGGPo1A0IGbXutFyjwcsEScURS9net +NCfFCqIkx93U2qLjebOuB0y0Qx1/qGDgOPVoCkamzsU7a50K9o7m4XsAiVrpsfMe +6spaY2wzGp47i8qEb0tEjzJvgarDUR3pGpc/ti1/QQHFgNHi2a1WrjmH7Qz2xpYn +5kxj06dBxudtU7hv7IxkBsROFV7D5v1weF3oBT57sXMzxX23G+ELJg06GU3TKu7C +mS2S6Rx0BocFnDgVnDuurbv9Li+uYBuPCdx9vu4FnMtSlq2xb+D9d4jHSR646MXU +qF30GPkIJWPjCUdblGdjvwI8YxVj2FC2G/krdXIsCf2ZZ0pcs7ebxfm7yKwURSDS +aHRAExHKSZc1SArnV+GP3hXsPu02PRv9ZPTLp+vagxdP8xFAwHfB/QQybwMpo8Lt +x2ZU4vLuiGjRyP1fwV/YJ2M7+Mh7htjxfkUkW1LWmF9Ma9lyNeQB2fr9A9yPuHEl +uLsFlfTHqCK85voE1KURueHPdsCih5nOFOXnq7K00rTMMo7PO6xx0koWOSDbvn9B +GB7EHbTtnIHPg7W8Ys417taI57P3BeZEenkegiUO5KGGxuBu+NCRz+GmLH4lVVUs +6/fVKbaqxKkpihJdFhpnIscuaQs/6dTkPtRo/vt4NoOKX6i1IsFzE0Y+cbkkYVYY +htzlt5nINk3aT24MqElU4s88dbo5wmB9o4el7Pkj6LL4Rpl64qTVAo4GzoODNPjs +R84KUFhW2EH4e6Z+50gXbTzCBryX+QWnh09h5/l1VHeNiKPicziZSqY7hOKhwflD +lZeaMeWOlyMgSZVqiOrTzVlOxAvCx8vAtXfbIFKKnc+kEG5bTVmEkmPW71xU8Oq0 ++4zxaIbPtEiv/Cn1ARmD8S+IHUzyesp0ghB8oiQFklD2PvEdw8Q/8SMMiv+w3o4Q +3qLFu3Uzzeg6UzA0RJwWwZnynSR5biX+UdTToT6ZvyuWBjP87lC72fw9nkLPRYgG +ufak4enI+URi0WpM+lBdYQfUJ01L6e/AHXdNX75PQefJPokXmtfmpkfnn7oaNFT9 +9xInJ0uLf5COcqlY3RYT4OWB39bypTiYYhErweJx9l/l7WxpSf34jT2jRMwoUZzG +lCPk6WjrvWfXhT80LhD9RZBwvAllBVV7s85F3R9llXIoJkFBw2F8+AsHFa3DlKWG +eD5ARCGlAaZeRqWJ7SN8dv5Ee9s0O39Aok9kvc86esjLJWMd5YXn+7Oi7nLUH5Sk +18iLT4Nc+kTE84PME8XKMLarmN96pofBnd4M3TRFKAXbaOXrR/ertRC/+HqCULPN +GaKOtYzOha3mjzG8fGDZOtFW9Ix/7v/2wlwsVtxQgxQ4bT019VP8bdJOFZLn+BHg +zNYMDGPOnOmeYmEC6RZhI9hn/EIkEIonJlXNoiENlQntLLFtljFmX2K6yIPUJRxj +yHGAGewrRXaDJJAqjq4avVoHqN3Oi1KPuljjXR3D5PLX5uhzfYE6atWipx1ctWR1 +/UBTGu8+wtoQm25VdbiVnlK76vJmKeSLqpaT3olMAfkGIbC4NxBpGLrstDBoJpeX +SxAcCCvUOXNwoWvDfKXtWn/dpSvjNQ1b3fEZYAdgpMmTfxR3aKpMyfmQPAI3E2Ja +aElgrX4blkVFnq5t2WyCjsnZX8EXwZEthMQN+T4wyEGxU9cK5Q0jtxXGu1dIlO9a +C3aNP+/MRJLc0xdZ+Ug9hLXjOEyUooVBKcKvv5MM8j7S5di/y9B0pIDWQOBVeEHC +K7mER/wAcynLt/pU0ur+GmMjjmte6TYFhZvZEyYLUJOr/2ARoDSjnRxKvLnoCuEh +S1/slEBWTA+xMbiplYlq0OvjTreEnRgqClUnsbUxmPglcI02Noq2y9lceEC/ivG7 +Zf33Yej6wCrhZJLTh50wkXZJkK5RBbD6shad4RAdTg+FMarRQwDwWIP2HSuyklCH +1VTX4fRvfsXPxLb60gnBkU5DsBQYSL3xh2FpkHkC6VyOp0tLbg+66VZ4aMYoCI6Y +IkRNOY2wJYW8i0DNIAub59y3gLV/WH+sqtaY5Mbjj4MYAeTLqBZyxKeFgpLraiKH +JfrxpCfsDQTDvNKC47FsXZPKmCpQtj3WBhlOxAQEpv0+Q7Mbiw+exSCh8MIyWo7L +yuxhdBnEdc4DqBkdNGKvNH6RHGtT2IidpN3kugfkzWKg1cU5lCIdAuiK/thZjKos +zZGQRHEJ5zA/h26lnDkiYlB6nTD18sa24VtxFS5qfyqgrrcMfxy7IVMxzapZ3Qx7 +0VyZfmYwHmYWlyhFp1ginngHcgWlm+3m6lVlgLTdiDPMlVD/C7ccVg5WzZyT2aqw +hCuIeCZv6LGyaPJWvLdMMjCRqAqD5/WuhEjIkCy5OA1+wMORgIBCnbCv9kjRXmsU +1KHPZKhOWmDKKZ1CfFAP22Ujxlv/o894/kWTsWYVDxP+SVsOkb004efFhm1FTpkk +uRaumqOfALdHqZwAqUqh7AjXHtPU5Yx6nhop2dlRP4T5tdNYgpaqE+JWXzVpV7AD +Es9xzEyKpqZ7uM505on7z303ZDxCgzNUuU8EdW3HCyat0WLS/F97d1tCy9Q27p1T +Xd/5PUFtRUh2FTxKWF7kLtfuExfBmm2FIw0Tsbm5dnfkywkSi5vxqt+B24qHwrxh +R17V74SHFsSr/jOanzX51ecmTEbTewdsyWRd975y+ZB17tyS6XQDzShvN30J8NKW +P+NggbH6Af+dkwUBpv8O5lTKlmxHGJrlx+qvy3Vk2Ddr1StwNZYIwdmF1JrHpW77 +EKEpYToCubpwAYctjTZGi3XoB1OcLpGMAnUyPrm6hhHhqX4OgYSZ4p4nSS96N2KI +FWvTUXFxP68cj2touwwZAdgFZZlsYysoH+0xItwom/Wgf0IzrcmgNvvWfn/xdtTS +is1LJMPynDT1gUOVPVnsHbYTnxOrA2dnvMkOfx3BRujHpNDiN2HfVq7S3GgUKDc6 +k3ftkEeI0ofeyF/7LSNjSltITeW+o0em8gPHl6uEBdsw+X1eWTaKX913xhQ5Lj0c +bqJSrpcWKI/f/uuflqzlTR8t3ekX0qOaT55/seNPydlTyry8olVE5ZgAigif2F8S +msQfE2tec2MKvZY06F99sXbP075nxP71ui+0KwniG/mH4vWUJvYo8anVKKqYUhS+ +KXyU02wmtCLbEkSMh478oBpHsktqbnHsmGKkBTnLLuiwwsBs0cb7rR7hRLPPhJAf +Lfl7AXG4hOpHc4rDE8kR2dIprFG9TQ2dXnoSO1E+Oz18nOXFCbxEes0PY638p8za +G1mMTPpU7IciPKSzrLyuZvbu7NrxucaQlOU2MavapJuJNqWlSjctP/BzutWpZmKs +zgNtLj+TzlVwFa/2Pwhmqrdw101PeA9o5jDZWiVN0CqYprbzHEKyWobglwxgl7b3 +7xR1+nUauwFByh+MI5/A5FXoID5wFUf6nt5TlFk6XX5q0qtY8Fwu8ZwUJ90o8DK9 +T9y2dR2gFp8wTwDq/W85LPnkgPblpw+U/iWLCGnkoMK21gktCc5GhS7oZZ9EXHkL +AlYtwFy6yyL8aC0WkgAl14uP3Ro12tFYxLXWZMkhPCp8ukbueTTwBI/z6xf0/IQ/ +mokp/bMtCTmh557djkHU83hRysT8XYIez9syqaQSC1411kwyVGhI+uXH7mA+YetF +0s0mMHgtRxV6vzdS27WPwqncZ4cv7/HakoqPCQASeeYDj1S4BTDXOd58ZM7gPnUc +KZbTpA30D1eN0i+OIndD9etXkS/hEQDDh5ozyekDrEyyo9n1QYiifVLj7gPQ0p5v +DavF+ijH4tnIznZ/yITGHkViYM2nQvosVPfGfbtQ/XLSrMTXXNNzwccUu0IR7cMK +hqceiI3owflKbv3PxMzSPEp/oxnxIcXs7OvhF9KluWSHStiOwGt6vSxG8dwLrWiN +ZQTzsgJdC6DyUN88tdZ3+x8BkQcZpI5Zd5DybHCDeByb+C7nVfckd6rDoBZuN/0t +ynNfsusKkqgUkCY09oSWcLS+R5S/3qQkU27OX6422AqBBHSstsAbJ1MmRcu8sCe6 +ADrOIMLVK7qQ9GpsZUdBZpQQiAqmiHMTLR0McKbDI//O7UL8n/VRj9hY5GNFXGM8 +eDnirvQiV07vV8IglS3Z26N2tExnKib3jx9HaGigULFFAcQ1IEB7CloCBJsnscLz +pqkPqFeP50tWewzfwZMQzYjTalcc2NUa5a5IYap6ejzBBh/FZ7v9nrinQlWiMb9G +dg58rsbKQjUSk+yw+F6OQIfgDu2zMGP4G0D5A9djKV/ZVNMHRJnzU9PZN1X7PWka +NOjc2yB9gdhVfp+W2ImaECrErtMifBAY+bJq4IKYlBfvs2VNlHWzqfgonXodBs2l +DsCCjQVcnEfRCcq+ffrjinG5GA4TMKzqPb68rV7KFRKJ46H6/HI8AON5mfy4czEu +2ASshMx/aV8HZb0MoRtoDotL+AjQmbLPkkjm8X5qhPKrC6jSBsBZM6yQTWnb+WKM +c0016bSC7XVQ/8fgDyoUjmqZqdK/ldOP/P8vKAcsr2VSEXv31T87Rg+h3Hg0EMh5 ++CsJwK2JmSFW3rvrfGzSo4nKmqE2WDjRS/HpQV267m6n2rB44zzXBKpGL/1Q0bJF +czYNZJWno8zs5SccHUP3vYO7mMlW3hbeNrmj8JaU6HDBQSJwaK9UooFuGiZrtKnb +LIkDpF4h8KUW0nclBwcGDDl4bdrKJ2261E6jIbf7uk4qrv21QO06n5pVFk0Z9eqM +VZu6v6JMKPfU8HRKyGVxi7IHdOyBjVgEcYGNxdrmobsIBJp/w3syPzSOD1Y5obXc +mxauKyO3QSI60ohaEFZzqhag3yJXTvTrTIIlG0gG3IYsg7I0U/97TzkvgpALFXQK +RB3KvAfaddi+Bb2SosoKhuscU5q19TUYmZwFqSbN5HeuXece5vWZOryisgZ37rN4 +S1IslLA703wBltqF0v59YoqddSYz+hlJOzqMXqrYdReNGFYMbFeScriSXYuJVEAi +DFCi9RGqfYPykVTqBpB8T8Ap1ZSjUZKIrTzXTONpyWrPGu0I2vrTZIfJictBetac +qo7aze8Mogka3ekxlUNLLWFu9/11m1qBulUEmBJeOkjkjkytJnCzFVbarnFLApsP +dWtgoHdhAaC65GR7zKR/hyklfN9yNc03bJ8t6btelzcm2JfJdKaKAOxKrSiLaAxE +Szs29RqWKyX0CGYdSjJhcJD+mtsosaTy1ZKPoPt59cD1wXMRzeTIbJfoynnvcpZE +QSQsUk+3lbDirDjyMlQ2gok705MOnLVlmLusnEeo35lLhFnvac4IL/8dGYLpz9mN +8s9l8LnVgqfEJPMGhC4EK9drBHSkwYxkznh+mF7v6pLD1VElLjiRD1jO/Qm4bM7C +EG4fyfTUzvAPDFlJveN2Wio/lFXj+sKxySqMzimXIdEV+Hcrzjmjn3J3CAYgdmiU +jrQlW1XlyyyMS6a+Sgo3tgsnD1Xfeg6eodn737UotVNLJrutjQ5ZG6gtihBr2x1/ +GJ1wLL6r1keznIU7T0i2ZLRJgKwcMcRcoHwtZCJgCwZBRG12ln6AUpZvX3YWvPFI +endF1DoPhOChv9uhly0Qz3XhzIAbYRTTAEtYWJGu/S2WrM8BGk+XF42hVq8Tg4YQ +wrIpAhL36VX2ub1diA0iISAIShNDa+wFPi6oQo4gZVdM3T+AeEY94dZ3JCmPXiMa +DPHeeFa9akfM3gxD7Lv3YJ/likoJ6apaekDjPhCKTxqo+mlcbiL/UALf07pYTr17 +sbxpPQy+DolRUFh+sB5bvKzdQwxCq9CP/xtGc88OWHHOxoubea+qYtgYDX0zvP7x +GozMgw5KZRjUKncykgpnP6xTd8pASNtEV43Hhdm8edBgutwhoTdwFdZwK1y3FC/g +CjWqjtQH8J1RJ8uuSGo9oMYD7WHFmcoUZ0c0o0ht3yKp6YSA9uZx0Hd4UzUTJ0XE +nJ4vm32PdPaHWjq3cpG5xf8t0tquF+hFe9btcrtSwUJuqfmW44AmdLOM7z6bG0hW +sXAMqMxLpmPa8lhMYmupyUtKCuAgtC/6qQ7HDJdtBtlsXF6RBmJErnYa8xH6jYfT +QKdr/YVBcap8l959ImnbZmA3BQMowsOH6HSSZ6wp/kTMgOrOOT7Y6NfHqFe9rHZP +lSKXr4qK3E1RWDgoVFUZORHXWH+61pydnhEnJZHe8dbTD1mFCOmZ4UToirkYaWjJ +TxMO1R+zdczEX5MjKToSDlaOHRbN2odfzxucjMRiuBuA5sS4q6IAdn35si+hGTc7 +JqpezhPxLnAQhPP5plKVn7PoMLMu6DIl9phgwus4doWZdKfiSjcKpfZvVPsap0I3 +DLy2jsWHbN6S5v8Jc7Y/2biONaCTbT+varFFdAJhf4jOlf2pmmrK+fsaT/g1jdNR +qKYY/dER17YYIvnBWxJLHgbcCGA8ff56BkXKz9TFEz1/+67kStHCBlugN6kQ3l9T +bZDq0ebo03mhTVeKVPCwkdLJFEK/8joensgy1sgpZF9fjC2YZ6gk3Si1rM/NirnV +4HZlB6a7W3xiaVaJemWDhgX5+8wLSF3TVl8bObPBPElGPdli9CGk3msDxFFy7fAI +7o8uhnVa5oNHuunQnvCjfUSEjWtvKUXlogXK6iruMGjKS9av7o8t3Um/E70Xhtrk +JNFnxA0nB+DonrRZzKKTGAIE2SMvNQ5FrYEbZrGOivQhL/kmSVil4SGlwU6Ul5wp +/k8TqT+KIuUKd9aopI6jjB1GVD3t9+C2m3JYJhmAqzPGkNoQ0mkAcXsGrkfU7LDy +NXyctG8y0L6gLDVIJnSyjW7CKCMWhRIqdOsVx3jWmhhP7xuCSaA434lhmKzwiwHn +mLp25aY7grMvmTya5EmBmgVML4pBA3YDYHjyUKDhSFEu0y6x0nD5+honolryn1H9 +sY8rv7wml8/uALKMSbKVojvrUcMooyXl+a7VMbGHrYFyCn0SLvnaLxAdG1sRgP9H +ZmQsAx67HQd+ZDBjpcO7fsEn3NxO/uXk2nqU4XgYfsTdOAnCy0Ep2VYqMKfYvwt5 +MTc3K+pt9LORQLaupp6Wzz4T/RyhTbS2U5NXHbop+6MbWmu5WdkNfvSOXpaWvl1S +KScW3ZIn9+vEsIv6TdOn8WK2iUPnEddTMYJ4ZVY8YBwQAMj5THjXqx3tqW47ZXQt +bWDBbyfcDsoVwSYbD54rU2+6WI/mMlG+heo/BmlKJvmenUZx2FlC7UzC2bsfnkcD +/7fEvcnK9Q1I+7B3ksPxGa6jEvSMZvdrN0A3xcq8KrCjeFGvNTxzL33Nc1utv27K +3qonoAV4pcAHjaFdT0Y0mF/xtaMcyHR8UsRanEqtsUNCnVE8Y06HM3fIrHtf3z/5 +YqmNDTQ8U0+5ZV5QcQ/fQ8t8dsiJn2mxFZkyHovnULaBwepoFXLhi4ypsyy8XvGU +WxjV5Z9x3Tp2cgpnFUvRUc4EM3tWdqNLfthzWTbg5TVW8exxouAf7mhE8kzCeQG6 +8VMeeTsLlLnv2g+baLu32o68fL3UyQNIz92lddF1G16V/35sdkHEnUnUwx8Di05c +oG2uuEJnms+jShMyI5Ue1uRochwXoM7u6feuIrOUYp60mgXENXX0+UxfGOOSu5QU +TgtEarkwi9Rc5RYsYMwIoWYV0RnuE2rryhEM3u95IRrdPQD/bCSTMUJfAANNo9wv +S/70no62iYW/O7tDfr+jpBh7gzxyKuIfli8Lr09GQWYbpojq3uDu7JzreALqo6A3 +Z+eTEqNOVvdDeSVapy/7p9BTxmYS3fo1oxrwLNlOTbLOET5iYUuOsOnRGVj9uqwu +IehCaALwAqhWrqDk4e5M/qiTPBsubg0u9unMif9EQpXHM4e7bEx9WgCzYknKksuR +YuM4m1P6pwPANrx1RgOJpjZOetx3GqGvjK3DuXYA4qSue+gmDyjzBa7VQij4IWU7 +z2RI/TbQaSjStXUbxCsQdxsoJF68G2U46SUF+HKrqbzb02a35vLnvGFkJvcNZbxm +k2C2/zaC9IWPZg8M6ylHN3e0dXqxkjleLfg5xAK5DuxJ56844jpDT8bSoaL7OIoZ +LKhUUP0UOA6jtGOQupyl0WGhQ/vYpoHhb6GasSlF+Yp8mUGeqbnR1DzGSu0RohJ9 +8fz87JvzYOgxYSqAcWbPoYfYzySYkhk4nvY0Mu/UkwVCrWOKfBui7emTiWavhbkp +sa5LSWT6CnPt78aDpCNbyBXyYXgJxC0DQ9HEscaadAT/a0+ircAZht3d6ylNiu/Y +PYJlBOhpE3Q4XuCKXu2aYmVQBsSM82xdLaxYagPTlC+8MiTOR5xaJhSNLb88ce+p +5/JbGHx1EyhqR6qn3/OqpT4d2y/v4XJMWaMnN0FHHZCMUQL2wrRDo+X12BOqQyko +1AzNsfo98i7Z+2d644eOReDvNtTBCpSTIoXd9xHRuVRKAXHn0ZSrtXtvnzHqtIyB +7Tmd76KNCHaGj9T8bVLL88s2l5ww1bfzXSKANAnXpvHUcoRvjHirrCa2TLCei40d +/whCY1sl/raDqXycj57pzCG2wB+SE4JA+o6Y0oLYMrVccOgQCdKC0/PPstlmQGke +qj26W8cI0v1NyQQ+BSrZ4qJ8cFxV1c+keRQ/g4wzDnUUZeaGRfQHAorYAmdzCpqf +73VjufbkGl0ediG5+mnJ0BlUmTLVEHyYgkHWDRk7CX5JTzcZKOM/Kcail5Hh8d5T +oMuKT8LFrXMUzzDvOaMtFhZjgFgc5Y49RlnxmK2XFexchUzC6mvi/ej7RghE5j0z +8Q/na6K5reseden0fQuwgwPWVLXmugJ1gj5Vg15PrtTPLzotS74VG8phLU8wXNfL +N8wnZ69QSZ/TtTPthOQ5mYm2LAbyb25QuunS2QOIGMz1iNizqDTeMCCt7EtY8o+u +syoxKCcPTEsWBxKHAI3lIP7IjE6/xnqpYNay1xun49SLUMYTN5geDgVRtgsceRNf +18SQFNOsctFc6NfDmFK6KywmT1YC78MKqxPk0kdJasKJdsnlnz9DPpRWmz/sgKnb +0HMujSa1Lx/oKaovENyNyktwnrP9gnZLdzMu330WVYYQKLAlWauBtDYImkNOXXgt +Q9d7tQ7wdW6zeH7ZzJs7nQnOGP1pHF8+56H/i+fslnRrMPaeSPNulRXSayYed0AW +gjvuJ9ei9VmfRV8w7VteGz32o09odLvEdCvd60EXVTqOYV0nm7Myv20mPftQ3oNz ++H9+fJ71+B5IhZopgCtHwH0of5GuOPpa8csMvUb30aCBf+QGMHxovpDXca3JKZFq +1eUrs+Ac9weFC1ykKTZluCmp0cFfbjMkTwDLhFYydrL2imr5VNORMrfAfAF0vE7s ++X7B3p1p2/mXeNHoDEeI9y4LYYNFmmshRSHr96d8HzuHDkaXwdndmLbwJHo5AaVR +AxXyTaKE9xxK9wot8FNg/RYAdDqVv0VN6TV6Ubqg8YTJFO61wx7AQLUT1u42azNd +Oep3ankLgfNRPvWE5WtQ0vzqNd7Og9uuMC4rPEWYncoia3UhqBDiwMSDLFADElDD +pLHMwgjZIRNutrMMGwvw4V6q9A80uFwxZzIKjxruv6TrkntKLiOT72NQWPJh2CUd +j4nxAifTzSsPKl66VDzxXcZBWYMseWiT79ghybAalZpxHRbnR0jJfoMidGUA/tmT +ybxQxZfuphQT14nezHO48Y9HWygdee1a0XMGIn8j2zBcNYxSabVxzK2JLhZc4hZV +55CFd9yDABPogJEJXUQlT4VJsYTQ9bbt5OxxmTuHstqnnpRob+hPkaL/UEzwijDr +3gMip2rh8b40CkUyV4cJQw8pFIcr+W6VkV3QkkxVLrX18uE24vCqIaiuVDoxvxL+ +5Z65axEzgjgUo6Kcvss1d37n7Y22mn2VivzWt4cJm6ck28ekI9pl0THfEtgKwV4e +vPAOmFrQYByyvg4rT9MkbRo17dxFnDMVEmqnUvR1IJiPoY6xbFg6eaeJac8Gv4dW +NWSy9srS3ilSJ30GSB2rn8kIsDvvdBYsRd2vFLHMpyxXLxYaVSiTPJHx/tO4wgwi +DaHoZiu5A1UyAj2ZLelZsfAn+zoBg/7HfuFcg0X1lwb5ctM5gij+SS2kotc5peVZ +fVWclwCgwHi4sh+Q3pI7F+TyHyGxfCq9/R8zSLMEdDwkF0AP20lWb62/8LKfaoIg +fpxD3mdv5tiT9Gvb1Kl7O+CiyKhbbvEh/d3Qh4gPmJhkQT2+rrYPpL+tmQAFjvHe +GrRrv+bqoD5UXT31LlMnBnIHYK2siURukjr2k7BzrlN6JKP72dHRjRpxKvK4heKs ++RP8TeLcJlSBTO0MkvFP4LnWFCgEhXQQfCzdDdlL0LNbapBURTuFoBZ3gFLK28pI +qhDkwPmEzPv+Ro+85c77cQNGIDe6RyD/WnDFctEKW5hECWmjfMPYK05Wjj5YX9lK +ZGq7WdhVAoTgFcVsEgVcRfkhmMktfZvlN5z0FA5p5h5ZY4AXpd4LyCTr8IW2NwKi +f9YgoMQSmhfqp7Y/c/IGpF5CySIVAwleswtONRhdDLGxtCRLZZxIf0MPGrngLFVx +zh74rO8Jlb/NQ5HLA9IFtbaydpkgHtvsKWmyMr0Dg8wmkINgudncbCG/sJv1gqkW +ZAg18RsEN9trv3BmpSxL+AkgeRxUd/Gx2CHScuElpvYiXYcP55HeRevZhF1vgaG3 +mpUSBeEzb+5m4N3AUpRzvMpZa0QkCF9tzg5bgOkBJofz0N6jwd3O+nAc75eC7f+p +1UFeUQvjKxamvSB8dSd2PeMKBp3+YdRfkAeE04aaDLNkCBFrzLdQvfEM0/yRpMEK +DHiY2tY/468VH9GB3Hj9CpRTgbR8tRm2qYXo+jJmpsAXEXXDMHG+9O9GFzYkvRVo +hPP25eH8Gp1svDwkT1Oy+TpmQy5IoXtMhVc5JVIgD6tjFsgoIhkt3tZkZCceZ1UZ +vAEKkSoewt5gM0OdtqDXpaEojQgb7B6LA5R/OrJTfiW8WOFj/S3V3q1n/y3hSZdt +OAV09zu5MQhegyJIZmCwCOty1EF02A+H2bH2y2Emdl3YLKzzmIRQu61llrsVXdMI +BTg8JDo6ULY9iyPdR4rep1B+ee8DQ8vcTSjI7gsXwvo4KRaORc4/C8nz2IJ3Wc0s +pGostKyRVuoJS6On9k9OjOIzShO46xB6x975qhlEOYckgdyETKUPGcTker4cdMNZ +WxewEVmgcGdKeZhrUtVMLPn32+PqX5HUElNJ8qit5BV70M0AOJnm76NJju0xK6Ym +KfjUcELdChnBMklhpxkMMSI/dotl9fZ+BGshxvZLiJTUvtIAiINkJIpbG4gRf9mJ +54Lo2QN+1kZygFsGcZUTKeCD48Mg99f+NUw0o+5FOBiHYDyvfUH3CTSXI6nCXHzq +5uNdgLYPUSzdZy/w7O9hSKBbQZIdp7mS/0pMHjsqZ/D97cEqwOXGioge8P1L1sw9 +dqiPEdKMenttHv+74OWbjpbt+o2599P1yk/mcdBaHW6+cXln1eUslAZjvHJvrETQ +8VAFUWRrBX+xbBEq3EfxeHrvglC7mckUh1Giuq3l1grddJ4ndnotKWxKB4xbuKW/ +OfBclDMWckaFTWol99msYuv4dtO22kWgYzf/uAjvWea9ktLZ+AuJIp3qja1QYTfs +c18/2bBXoyTweAddcjvRfodQdoVbVlQ3FP2woal5jmhVUkYk7nc7p6af/JHhCR+X +QCVKVutAGaYF9Zb6hF+ZIYTUp8rC6cjTnqMv1A7n+9LW27DBBAImTFkvE610+AGv +y0I/Fo8HG9yPbrJpLUwgCCvKSYm8P787TVQkkmiXLOV4w+iXSsfF+EOtKDXfGdbx +eQi6Atsq8bbUGqz34xZhTzVXiUgqBfPgj+C6MQfJP9f5jKm5P8nKpDAaUdmgzTpR +qRLdzWi7FGXDYcqo+80C1QKmHCHVT37/evN0v1MlFIQ/+gAEffWVpemUyY0NAcgO +dy/yEBIMP7q1vG5mI1rURDoL38VHEcaVjYz9W6XSLUbdHDALXf7dppLCLKHOFhiY +Eo9pf168aWg2V6Ib9UH2GH9qyTvmhEvn10OpOOp/tu9XPiVHTF23WecDsqonPkN8 +UnKabcOfsvk+BHhva1T4dzjJzHDBxspHXYF9DNauNPN56NE9DtFQvAEF/h72r6jM +i8O91pfL5HGzSXOW9SsNfC5pwPdhZpSxNTNuuU2t1xGvqGFs2Fj8+Zapi2u0mX3B +JkviBgH3uMsrLMGuG0XzUtZUwVPPzSsULfg60UpfOHDzRcwnpLCQorghsd/nGo+b +NwLaDhqZgbz6Gpoa81ci1GI1p2Ix3Z206Zf6UNnObyo3cIzUNfzaY4/XP1aIfHsn +KEJsWNinR735lxmGhcBwuQ9qKQNMlVVjaJukEFpW96ThftKLj1IzzLWTdxLSNBE+ +yY81RYz9UVu8yKLqhnh3D840gbvLxkPK8tjN+IqdbT8CRh3dmLcIl9iLHBjd1Z1W +vOOdO/ZS22oSDupxkJxfWsZI7T/6pn+JLxNHl42bjqZV3b9i1UMrqPiFtDJLjfEE +H42HfYmVak+bmYzj+yP0c/FUlM9/ztWgTlT6mdAg5aZxaYwIjl8fiMcRwwRzXdCQ +kcAnuKJZycjwAUYh9ksfnQdiaS78tDT9nfl0zq4ReJ6h/kBbh+Jn5scTAgYS7Rv5 +lmyJzGtgBxU+8lr7/t4LVR4ZK7CWQCslXtL1Kss+G2EP2u7UyKZbcICXh1UN19sP +KGy3O9rZAYfUXpBjS6RXLbRjqCKSyNetDNpFLrqLLVNvOsE1HEhWKRtQPuwNRNsu +Z/eDAGV9twEPz+1h+Iiv5paCfmdZCjeKEAqVcx35SYczZimLgx/6SXVXqA0cj1Dl +0yPfQkwS998+to+UqWdS4GHkC+Q9oklOa5FQLU5zhgiC+mtbDFnIx6YNs+dvfGw1 +0IJe2nusHpiU6YsLZHPdeYv0x8TCoXhPW0VBGmtKZhSGH2Y5gRZ3SAq/AHiEU+oB +16F6sjolkZlt5OeXIkBvmew2vb/BJYCWSbw9TJ+WxrD61PSRXdWn4ap0C5wNZHd/ +AP8sPAjcxcQPUYGrzx2SW4tPXyxBQWqqMCTilcnn2vtd0S8hNMOhK0IGcB6wSOG9 +KM5lUsp06uhLQBWN0dKuWU4EGcLPWN1gzE8dIPM7dvFffFFXJtQSPWdZyzKnCYGM +WutZ+KARoS7XwyQGX9AYfcJ8c/HEWv0vfqwxRygiciAdH9XdZTVom//2jvHzFrTG +On5ZJKOro+DPKrR7Gsu/AEXAkGKe4fFtlDAvyDQ1s9oUp3VERRAfVxgscrGRZB3N +ghUUZ2SliJho+ZKvVFCkYYrx8em+lbJqUTftyFVHyBmTDdV21mOO22POGeD30jOI +j+jPhHp573C71+TyAWaXTQ4Dj1l5j+UoskVS1yYvyVxrynr3ki78Ta2h5AfZ1yqJ +Ytu1l9wAg/vyTCziq9aBxckdnYuqRX5uijCELqETB20yBq1ekH0OTyvhFmNnV1HO +Up4l3x2OHrv3QP2/D9Q36T/pxFRE6K7wNR79t8EF7A4/gGvATpXXQqfB+wMmYyr7 +WCSRaZPI2F12oPxsE+FCGB60JpwccK14WtB0Lmn4oLi7Si97X/JrBszj6rA9MLyV +3jFQ69N7rBwXteX3dtub5MavqcO9LbMb85hExh8W1mIzc9xDYkZpyIBd6XMM4Kvo +eXoIW66BXZjmADG16dd3l0GDhHKnPbsNl6E1xZkKDJyigSCTd1CWHu4JVjEg/11q +jYul6hLxC4/UupoPEe0Nz45vNnLvYsYu/Ttg5nXfcOPBQANhC3UbXWWw2kXZqS5H +ykgv9SWsR2KCcCo702tg7bzg+vwe7eNe8l61kYFPnwaraOZG8BC3Ru62XAr30oDk +N1pw80gZpyUrBg+lm36LwiwkcZG5kL/xcLOrfOoSDICCKg0Vzi06S51JKQazfG1X +HI5xpAK7eVR+d4eEe1E5g6L1z9GCyaFWFmKEkOWPfBiY5EuynSaVqDSAfKI+lRa0 +HYEhR3G0teXbyKnBGINov8v6uAzoW0KGR831mtEYVr5sDkV8DnZK91Whf7MfMkzW +3QXE5EE69xg0dO0OB981ZsQiTPx4GRsmm2w9impnBDfK8DnrHAHSPkRcPeFG+R/N +uwVcITUWIE2rbOaYXT2aSAAoOXhpeKfhVA+BoNAsxJgfdglVzhVNin5G89E6dsqM +tkdAJYeusYtu8aAe7xkhUweZpq0UBmCSLdJ6XmYAHOcqS73/crWNSOsSKgI9SGIo +vAOB19MoXf6dJ+e9Gw0kR2h02GSwnAlJ8KlJYuccilm3Wzmk+M3oGkaFdMNSut1o +eejxsjteXjJUyNZgHm6CUTjijhglRKLX14+pZ6qtbRTLeCMSmFm1i8kuqjWmtOS0 +XF8Kzi3e85CXJXuzV4TlWiqI0TBaOjpLps0sCbDyBWNNxB3Sq6fz51H4gnF7lMF8 +MklMISYXaM4tqPtFQctp1KcnIyvpDcW2l0rTqBINKea5H1Kngmsgkl5B0heyUNYX +JcPCWnZ82DTQSOUHlxgRXC3EAy6+qIvDKddC9E/MPOpoZf64mZ+h1UVHoVSST2Og +wkaW5HlkkkZze+qORg4pUM8G1chRZl236iDJommE+Tft3QzJ//eKUB0TZCb9EIXE +ZIiGqmnlmQuaJm91D/h5ukaMHzJrpgjXArxqJu/ohVeCJEfkzKkSfaJzIRwOQ7fh +WaFgb66fp+qKtTDfeTvSkGgFvB8Hxq29GLt7qzExBNfqZz4KWUiKmw7WI5cI3yBj +TBoB9O0s8JHjaUEKTzL/2EPHTDmMP0a0b7Uzel655VJUF/gZHvxx8S9NVgdse0NF +KwMqPgOTdqGNq3kUjKxx9ZcAbCCVGm9B8YmE9bHRMVUPfXE5eW7w0NZQbiDWb+OG +G1xrPy0ql94BBQUpijdZwckDUDirAdapOuduQZZgLVSV9TVxCcfVPXouHVp9AQ8k +Bq9DI4SFNJA28DrSq8NdOsJgPqKru68deFjKS3EPB4qEf86QCEUgX6vddYFDCAsG +JhbvK1aYb7S2aPd/LwbL6/DcAKOjx8fACnNG5uv6WyNDVxgJAiEH/pYApm1m0lET +HnuGdgsHpTN3dlQelyezOJE8IGy903sFI+LjgbL3Mm79aPo6xyWg2Vg7o96fJZ7Z +S/J2qDlbc0pLTSX0KiQbEvne+aDSPTjsxR0I8BBz7PGMZrEfpJpNgOBdGCDT7Fbb +pGZZNDGJrMJ42hqePM/MhaK4V2L9rhLRVdHXuUGaqg026cQe/uO6VgjBNiFSFiwd +k/Oa7EU8fiIex5IlKDX0SepMJWnDUaiefVMTcJZIo87NtPa36VMsqkAw9qTswNz9 +ekVenkpUp2QvMtk1D/XLaZihEnehFFB5TdyrqkhL+6gEYgzK/ktHuMPk01XSef7p +869nklav/2kgIxynvbT4L+hu3/YLojf/bRbhMeczW0vGYbEOeVtVp2eGjeDqtzf+ +zBld4pwy6bblevyAugPrmJxPUkRWVwOLbBDWA+M8f22dpmB4yBTEGPvainLjXuIX +siCy6Ap9BsYeVQvyyRyGcDxhyVpU+gWsU3VTWXZH4BXICCvap9xDLpsrXZ1cBp+z +zdLKR8zvMwcjYxjWGk3LJ8sSZMe9e2ubRrWVx6JIkF6JlirkKBN5jMCWhYo4Hn16 +p9NOZrLhpgx/WByA39XfEo60RO/jspF+6h4TEzDwXncbEu7c8wPf7fzof/PRgsLw +3kXqVAeNgiYkAYLPNOZVdFkQjkOc44qyFntcHOqpV9YJu27h85SWGxgRHHqI2fu8 +Cgbc3f/d9DRHvT90Y2CooUKfQ0hruasOdRDqxkZUaECYs78m+L7lFXqVi3ltgYPk +HL279M76AeH05ml9/WItMoCJvobdg7vtOMgUGCzyCC4AhR6e1cjODhrAu/oHltsV +6ofdh4SVkt9bRunfPW/IVMzsj1sxgLul6vfFgGDYfSE5CN9GVb/ZwUm03Cfcivvi +xfw3soJQjnByDXtWUOiQbe98fyOKrmUA9pHQBfERgY6YceqDZdkSHRA8QeB48nIp +acIlbC6TRlmBvswV5vzrmXI2fu8hgmG/NdcpZzMCmmX0MbXESXzmuLhi0ovyNcSR +Ih7rIpMknG31jz5yXV4MfBE0QRNNq3gHsy+Du++hi5Q88j+JeAhCcYDLZjQrq7N5 +BOaph7lo11oYogx7mdNROG2j2kMjxa6esW6rzPHdK9nbxyu3dGdXo4ddC+rGRuHo +COF7AbXrqvvlx62oowVq598bFqnps7qHGoGsACgd5EaBWRjWbzV/O2xWX9AINBnC +civb8PLtecdnkzty3M/fEd65YSyje3zAJcirgRA/eBjZi2zkKLc4ISf9Whno0D7c +FDnXvzvEi+BYdpsjK0QZZy5cZ9XII01/w/WSXhyupO0TucXfxkOSl7mcBxBrlAvr +ShahKPK+2kqjSweUlh/K6vsp4hxaBDA9o5T0vcMcUZzc+Vf5sQnDgFFS9sjjmBwp +hk/2O49jmvPMnOcjBReypK5JryJxLW5g69ltzFWnqx3e43XXRfP2C0D5wmVFjEfe +i5JdgLPKy0sOHTwPPw3bmmB0F/sKZynJWTJp+cS0FCAUqqVF5yWB6Zh8DQNG5rXZ +S0I40gHb3jseG6ho1eFM3FSR4tRBdqMTaNBRTQIUwa7N6n5ygFwjdtJ3D9RHhFtC +Ps0/zMyGBC0ogjjNxYrkYj5YkbgDwmNanxjRkwVkiKkk6LZUbg0bp8FjhYidUjDV +N11fMmh65NuvJt4wMbBfjZ99WGwuiWvND25DwQF5F2ygtvWm82lzuiELettmR9Gc +K3HHfMvYLkbDueUrJkHxFqnUOnL4g5A4LtAYkuX3WgVpdo/2tvR2EFH0BNr0xEO7 +3E2TlmdLdKwkcloWF2c7iffBFQYXLpGPNgSMZ1I5oiqYx6ftLUOF+6saCHd3sO+L +hbFi16e28u8d4RgpVAH9+pfrCALaiOAJPN8Pz1bOCasQtqCc9h9CGP6ThdlE8k1H +wuqHYKu2Yr0uEgL84gfdYt3RnkeJ7emhip9zEljrBrKLvLtSuK3HM4ogDrR1BiRD +qZTzZRTJ0bX9M4xME4MkAAWgaTuOiluO6JdjCTfl0iYssPE0vaur63PpDkRXzMcZ +igv6UQ9niEX/LKBXOJ/YMKFwipwdcri3drvpwNJFo7lLqBeY9cV8wKAZd2Sr5tbZ +lhmMnL8X7Ot8mry2uK48hMOztxkNjWk07Vl6DT81Gi/LaiI/QRwDkNIxKHM/TzuQ +AvpevZTJBOGUrBwOAosuFOK+CJGxiq+N7xuThiBgeyRsOjhr2KPf3u3SfsQNfQoB +nzvucTIBFU1I5yKkd7kw7rm3zPnR/f9OSpgevTTuKKp3pUIjSyFp1EizPOnahE+S +umNRNPueCDYYTQiC70KLb42i5/Vc9Uzf6Tjm22F4SIT3sy1zi165xQV+Aa190pxN +BURI9DygkPPv42oZSCId6turRNOkRnqcE3lj47u92PJNvDLTvFdHzFk4caniRrXR +/mO++yr0MvLWAwzIUk3lK/FA1Oce8yuZAle7N19jAhRA5abneRxkXjiWxIb5INiL +k+0JvBwJMGKXKGo78onSxsmVQRyqKthLLPHLwT4eSSYxfAtnbeG92TpowFzwOY7b +LIa3fxxFY4sj7jPUEKt3o/TtnSLmThsgyEuZ256p4QwGe+nAzCzibnN2Z36Ae0q/ +3f5H/NZIOeq60S3DgKv13U9CcwDlfYBJxoY1OMnW+q1R9BMCTJ94BV41RnyrWSTw +34q9T3Fjs7y1hydOKB92eG1g8XUvhWW3d10XJnVDd9LBYOQWamXOf0qhUZ5Dk3Rb +TydBdz1pVR5kc9FojXsCjjVrdT8DTZBtbZDQMgktNJWicZimWhpCdZJHn8uL36Nx +2AspaIfaJPesD36i0yg6ETSSS9A6mVJbPbjzLnUcQxjTtyB7rJahb74POJREkFlE +ERiDr9ij2CT+pOZXEgWBXM9iWeNVxbY4fhU3ndZRPKnpc8jA9WRfDfuKBXucS8DH +BtUUGJ92AYvIf++p/MCOO5NIRXElbFvPbxPCI6DTh8hW5yedgM+RqCcXtF1aBlN7 +bgX5rEsTQT9nX2nIJ90BRTSz2HCNNnVy/E5125UstpnQh9DtlHB/ApadR//uQi3w +5a5ksg/otm/guzgvq34OZgoSFe6Tm3sVecbnq3WWdKqZQoazieKJEdesAarObbef +llN1EjFQttZGu6KGz+cg8mAbbO4975dKcj0rkOkk8UM3tZIxHuSiTu5sx6JEQ8NL +YTLGM/Y/anbBCWmNPhYuKVw2xa8EdPwVotr7UZOP9AcfbLH4cyrOjtfKqPIYh4AT +LoELcpWVhS/7Vwl4QXRTWBCugb44Ti/lxIdi2BxhmTgyq8+ci1uUVWdcQRZru7nt +qPge21f0oy7KCSQDkZ5pNinO7JA5qCjeTpch2pOHlAuhQhTyCjmQY/5NAwDeTF36 +za7wIQFDyW6qA7Irs+fp8Us32Uipug5QBeUw+QuFay0eUN7wJuQIPLXsmoczcl1c +nzPjFngK7SDVrD/6UPecTKrT9CFonNCoWtjRA+ZAjIgtPNqSMBK+S3+qULHccFPa +ppzWYW5knRRecFu+MmPNzJbBLvUKpnDkK1Ece76HIKXrHJxUV7W011r0OARDXUZ2 +y0UKY0BdCIrFCYptjHFquLJtLiIbyBSkvMA2S8ca9N6xyvOGiryPHxLRBrxEsKQ2 +liSKr+Ey0WQLouAR0twUcc4SlcX/5IrrMXmSQpm4TT0KJWlCp++AGO5mUG4JH0Za +srgiOk0zlWkdKvMrJstul6hsyaGLTUxx4R9WTQSE+YV3ZoRlSxUiSbh3/W5fe1se +GfG2TyruNSFzNVN3bG3nEqQ+F4uFss4x241h6VeSAZQfYjJ6WIdv3DDgZsChgOyK +MOyNrEd+Jly2z9QpspHpri6gZXnkRZytW10p+78fy8bUsf2wbHbTbwoRmruOxFlE +//zk/z+JKAf5b3prHNnRVigwfhwflo84zUGMowXt8YDaOaBcgSFZJC2G1hgC7Eme +h7wBsWDuqMFvDIamcAJsOhhtsmLjAycGhosiA6xzyjzD9i1MH76OAfzhYxFmVTWN +3jTwtVTm8nCiy88jQq8zGsK5UPkWK/VpfB0v0RLD1qFk/kBLdm1PS508R8oXAwMl +9BK9ieyg0Pb5fd9FDLxpLPY4tyjmGuDUWsnZCFEBCjkByRi3DLTC+Q0oeivOiPWM +nqAxXBcWfC03g5P4BxHAd6905JbNCUPweA8ZhCAQVsAEZ8ljvJBffXgnJGx9GVVE +TYZ8ssKIrrhTbXksSoGjV0uVY1jKSNBy4S2+mvg/gPrlWsMPdpgtge0iLnG16lCu +guvl2qGTLNCDOJhcR1Fb+iyICxuWDqBL3K5qe/ESKZC/QG30MjPlN53AHpqalytE +YiIHcaBrZ8eQ3aVfsNzZdYQypZ2Bmc00k30yk8DmShZELGOOgN701s8q+pyJAN9D +OXN47R/EFS8pnSbPUy7DYEMSWv8PnScpRtLvuLzmnNxvNet++hn6ojaLClb8vObE +mtaqCyKP6QixMlaX/LTXaB80J5Fa5AlVRpODIzCUeUpS4VyvYa7imKKGRbWFhvld +hm6gXw0H5QjJlWySmdNrSBQe4kx0V8jJosjyJhcWvbGf49KnzpiaVzXISgZ0LNJ0 +zG6IrpAtkiJ7zsJ6SdJ5FX0ERNu0n+kTJIWAUgd29Ai+F69AZll6/b8g3HPaUSmR +477lYU4BBiyzmtcKjbpCT86g3f8nQuVS28g8pjEaWGYm7BRRWCCIGyDq9QCBxYix +Wpu8J2ktjWFLJRD4wJ/YWgblp5mzGxBgFry/Jt0CI48f75oBWFE4IvragkDSoHwQ +LaYSfyBq8wtd07UJC84EsKt4DeYO29k6C23chVWA7mi0y7e16ggc5x1KmW5qczUU +F1AGh0icDHdPYgVB69dUnXhz2A9jQGGVDa9/TPbfkC8hqYOGfqi8yVCbLlccazoR +WOx/mJK6ybMHx8yJ/ZEdknvwRvVvINA10/yfTG7vBSc6NhJqGksjVQphRhMRqkep +2RWlPNP0ccWwvEA37oIJc//bEzI89AuUzyQTurZJT6W1kXvZQeWJSQoRw0hcssRU +6bOaazBNHMTik0MkHWaFJHtrYKb3UWwUCvD8v2vzGXtW1MTdFXXviCW2aQPCvOoP +5RvEB2kNUPjon6mN+xG9+0YyllZSSNl4vNsa1618/fOa7VMa2UI/f0EFrHMAbFF7 +ad1PaY/9BlHdxMY4YumhwUl7HA77uKg8wPRt7D/2wRwfZCCHFcefIXNac3St1lFq +pwWCKjjs+SHCARzDgLjj+4s/uvRTc+q72VDy3gFG77XRvIOexT7ZL/vAyHi7+ZqR +h5AubeYsqG6nh1Q65CnbchEiiQSiCFfCxrpQx1J4N19l0x3vUase33mGn/WV3rRz +bns2VkRbHR5N3F2bFOo5WfeF7/lhW8aWSDXjsTfANUYESPtGkBcYrphYGoYBsoUY +SypVnKJ1/hIRO9YNPgXSnvs9KHxwvY6QLaNGl75dUa/R1oNqFn2BN+kT3YJsoFVC +ywInKcKInUnlupT8hU/YNqC13qIXoqEClB/vJx1LRUM3wdh2oe6Q04LVKfAIF9Iq +80OwYnU7ypmGBIblSQRdbSZKr2fVPxEYksYE+t+UTYmWtfCYbYMoHrj0ot4zk5Zb +DZjb1zWIo3SCKhIDusUpKq17XDTzpYNJhPFLleQ1YoDlU/meDFi/RyZlAjMI+DrP +E1VDHj8NMlUZtSIns4BR/YhWb82WIh5T8Iz4WW/c0icHV1cx2VCnw9Qn3y8JTArQ +1FTYmT3E5hvZ6sSghqXdk4c7262aKQ5kNJL7WY0iajl109BNKWy+e8Rv5eqQg/m3 +x7/UfruKoD8vYGOaqrA1I3gs4U/IDqt4G+gr6Qg//90OqXZMAJZj2xlqkyy0U339 +sLkhO6qlbxc/peWH6pdT7rCkGQysm7dMz+SceF88SHlqLa4/qQqYyKSEa+WpxLM4 +ZgkFQZBb/WBCjEdDb81Jsa1Mj6NYKOWtjfUquvDpp/lIo/3MSefNT+75K8aAxKqv +Knh3U7Lir2ldz7TOYz+G5Pgsi7iIaWEoO4LEoAS/b1862dTnVe/X9F09SjcrMfLV +lv0at7mb+1gq1wTQ49YyvghJ/3+PlCsK2TWWkN8cTCErAdxMJPdBbvw71HxXJiOB +GLaWBhKba+4U8HapxUfsC+gJZ/kiP7z9CViF6YWWh/Jy64uObdrQu4IIVQ0GAR6D +PwViEtPd3pcgkMCY4fGrLS4kvAh5X2IbZUDhxWt34secEmQPk/IruWbPDtsdI7gB +WJvi88+pNyl2yIwMiztojdNNv57/tu0GuuPX4LWyRZo1GAzM60f61vf4xxveEEZS +B9E5I176TLhpO5KoKHz3hVRGeDfP5trdpFPkpSvqpe93PBbq9K/Y8105DaGU4LMJ +JZmIeZVslAVqqvfFMRDMBCz65FqDtFRdpT2lpTtS/2F1tkb1Icb1Nm8YkYIcR+eg +M4RNFIIkmo8iVG3e72dczVxsCJu0HsXjXJf6ZMnzG3dfV6+UawPd1V2F7d+gp5km +qipvgZdFCgm2sJFDDcUTIXV/yXuj9gJDf0uwWZykNyU962VFwJTK4SbiGpIyWlz9 +Gl2CoLXW9UUFKzk+6wwKfLwsDkljGLIsST7ISdRLY3thBW0zjVUC/5UYSsFh2J/A +Dyba+ay3eCO4zYQa0e2Jo4jjCZxpA1F8i8iYoDlYVjAPMC6LEIRj3EuJPnTWfLxk +ZsyXnR808//k03QiDghsCGqIZmC1I2ta2V1YWPiDlHAQsNcW9Z0oOB702nruDGtM +IRku34bZ/IgeOdJ5YlfjV54LnMD/ft3hb7WEWTg6XJLdjll5Vw/dzx6qAP3SSXGG +SYvx4slKitsDtKCV0oHbERcNtQvw7qJogYV5LzUmrIIhLpRIiDqQ22JEluXm4ocQ +ucZjOPSHqCTcnCA5cA6iGTCioeIsngpW/jEsekkE919+fvbG2l4izk5qkuLAdoQg +guwVrQ+2XmjFrwwHS5/q1T4gbe6vmpNv0I7VMfWRjqI1JGfe8dDX4FrbCxzhRGZH +vaBrYvDMMYU+wP2LYDrAqHbZD4RrGUFrYp6T4ECcWEld0FH2AZlrF5Sxvsc3/NuC +Gdnxq4sudzNt7/kH+g0b2S7RWRO+stp8MD5fJdmX3kS1JRi4QCjfMkr/H8+UJVKO +ifexfRG4kRC+j8LaplTvOt+OppiK65/tQEhYaXmabMj6xdGDWMRJWxcndnPEw0Nn +tw6H9LF2QDIo68SMTkUWW2WUdVHrtvVM3MRdM6hLXg+kVsPqqOWAnXAdEa0RJ633 +bbpaCG+gMu9Fequ2l9/3/B5Ip/LTsddgqImTcM2BGMGPa+i5uC2EiUx+SlKWv9Au +EPGGGd60PiyaVCWetyooYvcAcTgPp/lxPH48I662fJpvBTSj3r0Bxxhjm36T2yWa +sIo3dEmkQzyCh9Gd9gwVOUaKDZP5V/ZHRcjb5E9JR74D3tT8NdeL1gXc/pa9Skd8 +SZUfT4V8GZJwDQyEKO0DAmYdeh0HILFeKjHeblhy4/ikapPfPxc/RNTE0ErmEZLM +SXEGsH7VDBW+iaDQfKF5+6oy6/9cot5vgRpnV/768mrxS1/bHAQcLW1es3a+xoWc +5BXro3whbdlc181YjJfyxJQaMF0+hW1bYm0FqoV6kyjCoZq/Lc5CqLSxrj+5c90e +LkD+hrmlFEf3GUQdiLIjNHuS0rw9clcRmuI/L5o5VGp7pSN2ry6l4dagc8TzrtCK +82N8B+V40F/k0raQnfelnohHOPdiSllJsa7P/zLRokSgn6vnAfkmZa+WOPQSpuOd +wRtLiRoOhXQNYZzrTQlcSAEJCK444EeAiYD74V6pyL7l350bI0+HyFciEgJHkZVM +2TtHIA3fwWBq4wJ2UMkYo1vWCHHhUzrJR5/aACoqT/8rdOUBr7jFsnwgOwc3BjHu +p8HXZnSfD/3zTN63A/AJn5BYtpX+QNBYmgCENWM4ln7VGQpWgrL0Be/s6cp5PGze +lxSL5BV4ibK6uyGlU1Xefkxll/9dh/Hh7hYVWw098FaJ7J7foQHF+u2TS2diFtNz +iIIZpA3jGvH5wpWJniCbRpS/s/IP7cFGpXLKzb9qsmXneOEtNc7j1Vi/matdiReW +q4OM4equDV2AskbT+0Zz+Rc16P6LxHORU+5OAVjpYh3fev6SCpcps8nea/7QDqtA ++SxrhJeGaP5qfRGf6fwfGGeoxOLvKGczSH684DJF9RP1Sp2J0XpBh7Tr/FCHC0A3 +DS+aD3JxBfRyoRk5WlFFkBvoXsb5HZLj9QoRQa8O0a1MyzqcILx26YK+RYkGCd+s +lAjXtlFjXEs1LTyIFO4+DqvbRc1jOB8fcf/YXswdoc2wGA1AL3QcLJoa0Py4kUxI +DWNNPrel0Sr5GpjsYZm2o91Dcws1cDRsq1VLFOX+T7RGFo3rtBQCNMJ/2RuhFlRg +d20jlm2ZzS5mCk+8KeKYae/YfVpY26w+dxTKi220bPog2aDe43ATDFC92Z8JbzUS +eF9o/XlG/p4/7XtB4QpRiAIzOR22DditHuj+jTtBQBVN6O5zSuuJwNDroHtzDjUl +XB+QZXUs8w+MjTHrfG0ysm8r6DeHhhTUILz6iq20geNtLpaTiV0W+aO0P3jWXOtE +5F1N6xLWqiAr0Ooe2yVg45tIuuIO02RIi4LD1Z2fhC54kpWBbvHU1bwokTx0TeXP +6Vg8DeoD4pVC6qYD/bjd+q54FgW/neMCVOivkzxC8sVyRDi/dq6NDMo/UVHMtpcT +j6XxIwgr97gFxiBsRQiftPHVWBIaYHtCfsSjDTuWnDwYNLL5+3AGcNYqY+SJAXtk +sCLdhCeraxJ+w8zXWaCaHsOji1zQyOnho97RSjr8gxtcRnHm9BDfp/YydYXnGm7k +n/u72SLRQWoBjMw/p+mOq3KlUau1Q4PBEm4Wr2lS5Lv3ZwIH28j8BVEk1JdbUpgC +73ENIBLEVqf5q8XGce7ZTitT6o9lvSAVFZT/1KXotcody9uDZfoCccER501wW9kk +BDFrVr4W8xRyWGtX7rt7N9AAWA9BPI47u1LMu3z+AllMQFZqlRiShKDvMrTGxOij +EgRsssLs8+P6xmSe0zyEMih2JsdU/OgpLYfbBmBs6IJ8KE+DQkPMwUazLtY4oMjX +wd0MRhcfwWYt8RgJZwlsZgUgjGPtpon5zQMPhG89Vps9BHn7hLBD3htinkTzVHLO +YO1C8gC+eYT8ZBbqhX8StKXAxeZeMB2FYjuARePlyWSI8Z/P9ZjnKfKhQbQgnYyz +TProB03B/ZUR8rgkYCkd6WDFkUfgdBuTkd9/cptVvFpGK7ApOehvLYU7BETTzo6w +pM2mINi2+GIwDNe9i09LBF+vTFLU0xDKMBN7MabsPI+Rsd1M+3aicP1EZwwu+1L+ +cGGTaS1M4E/2FFq38V9HvTmM2DnZQw4A5vIHiwU5Nzoo9Lv/r54uc5CVBn2yBIyL +oa4NXOFb4OditBLzlUvZe3tE18PZnFG55/toEV9e+UwX1Px4WezlYxjzylegCoBc ++GUpimlyhLvixv2Bl2+jt4qVdxUEtSRDbIUQ6ToWBh5PUzPaTdIzoHfppexD7es3 ++mXsSTKZDLQAcg9dEaZq2eOPO4tfXcGK5KlwTa3p79a8HeZwfTJuX29r6w6P1JOI +fp0CvhO9kjILrQtQ43Eg1BlGlkGXK3WBLPgbC42fUlS7FaXEXzG/IrCIYq5iAQx5 +3PGxKRTU1uSSorZQzV6wRHGrNA6/T5vtUMfCznw3sODoLmq1S1jnMoKeQRhgaEfo +atAXuDljIfTG8xqC+2bUDZNdVVgZlOumTJyHwcCthPurvEcb/lr85h7N3Tzm3kBH +AcMhPFte741h2pAA4mB5QmolLjH3Zrtuvxtwo88Q/L0F7Hi6z45UKkVGN1yowct9 +0mrSnJT+6MesLpPJZN7q0chn7obqTBGyuG2V4SX8YzG5n+WxkgDhVf2FgGJyisKn +cXrv/VVIi5Lw7/VextMO6R1nHiFg9gYBWlKym600y8a+zFSl96DTnuAvXz0LqF9J +YBi3u2+M8Ax7EaZKdfK/p9O82UZtppxZMKlD7hd2olOrXDdKMpo8LHKOYPSzKKIb +maGwefUb9ydh48WJxwBB9idLU2NvMWIQAbTaS9866IOhfm9JR7X8HITiWub992X5 +dqVpOYcQjXVp0bsLqDcBfKkGR8siyto1HES6GMNs2FcHGHUHuhOZSUMYB1R+bC92 +vJUGQVRiZ6b3ePIRj0zQHQ/BvZDFwEYLXj8r4Io+2CSQMaB78Tn0h/ym4/v6xgiT +H5164d6k/P50Tig9Ig+BEIl9YyC2yDdBFU0nE3wgr2rLbQRs9CBB8J5m8RZQo2Op +o+Eceihj1el/pe4jSho8WFXQ9WstmaA7LsJHR1+bzZ/WkPOoxEUEaJq6O/XvtJqy +mNANR8EfR+hgzvzjskKq7G8EJGkILufVpOt65gMSMb/LynVij7iWlT2Shihv1kmX +mc0bcgY6mGo3Tdfr0vbML5wnLCazHHa8iQ4ftvgp4v5gdEcHRXkO7ZTty0mtXtTP +LjCQ+4RAYwgXzqh/cCWQGInTP8/MTIKUQlNvvoIOJuA6FJ2RgKlsymnVkKEGV+39 +EZi48WpKLEL0epClDCQn5ee4DPzSsueTNkLn/6HEEIswsy0ub+8zy4C0L/bfuRc9 +fRvvvz+gWG5AFKGMQipTOM6eQ5oTG30fmnlCW2ENUjxYZ5UzBt+vDLfpi/hDjHEg +HvEs5nl3GUL/iENv8+Z/gbuZ5NVgXQ3FAzGVP/0GjGoS7Or14UPcFXBMINN3xNme +G3jlx8Z6qglmdlWmQzG9CD6Jy9JZbBe9csBK33cMhjArQ7bti+zRbuf2A8AreNM3 +YkB3Uj4h/DtalFMG2n6YsXjC2AujwGWhS+a/4UAoVquNJy1KxxBjELa4JrXSJLv7 +sOlWSnfkTArnwXGP7MP3gI6+z/x87iZ5sgqoMZ2fiuxW+5wmo38hC58bhkd1rZoC +cVnF4lOlCaVX1qhMpXn28Ld0PBWwPr61doaHzDAHVhEll3D9ZQGEztlPWEVXWc/W +3z+TLCZOGBw5WjqWI1wIP6VddN3ADPsRcPDRD9Qr0Cf5TYXOCDc9/SDZpuFbGD0D +j+gwDJgP6JU7LatFrzckj2ghDD6NhLRG/BRpb4HZabop+O/kEd28rHU4hoUUCyvj +0WS+msauWtL1Ot7tFaQugzbbRGIXuojuoh/tz0IHf/YOES/khcDAO6IzMz8TVBPP +0kJvjuBqKkKKNOn6JFo7ZE58BwTiyISv2gg6+1jAddDOc97VrQDD2oW3fb19X2sh +B1GINUz0UBuQ6SCqrR+AjY0T85qzJgkyOQncd5MdkpyGYffDllypkV7pFmcUAa3v +gotA9QX/P16sBuLElXP5VEXMoAU7WSTYwM+WbZ3K9O1sQB3Sdasvq6WdBvKRFofT +8Dpv65g0za7Lb2g9lXDS9aNSxG/8m49W3LJsva91hsYFW88ZQyKu/E5lfzwLt6Ba +gFlJv74reoeTUIslkqYEKgDrhXbm3V3l9PFsXXxibuWd8ZZSXtxzs7sbZd4z1z05 +ENFBXQxn5aPg64l7Kj8TzVA5SPlRm+VK6fh99kQpN3fDbBaM17sPRzzyPzb1pt/R +vL41iViHf4t/jwPxt7GqqxmCisWqB6LW0fctZKC9RVvoQxXIZTl/7BujVwSyRp9U +4d+ZemrnbIX7ezzawC+8iptF/yTOaJnNoJ26oxMlf6tgLft0Se2AhFeTgWZu76fp +QnLOLBggVabDKSRXcb8YXnQkwIhJK6lb2FnY8LIjQI8qHbzffCH6p+9SakU0VUJJ +1y5RPdVHmZyqVLSx6pSG176s04CAKTEv5p3PBiUCygsqFUr1W7w55vHVwDLlyVXV +HslfmQB7WWy4HdBeWZs+2azWwNcRxi53fAEbQHEGtA3lZ88K7pLWyhs5WrQAIqyy +80CIXM7UmtIbEk1ivNUcsLlrFAez9V3Nt2Bgqdi4Ztelm+2B8QT4OVUFTbBNC91D +T0ferpXjRTs6E01aIAVCSXQc2J7xF017rDKAzV0IDytzUZHh6MY4UI4UZHnpMUyr +7TkFqDN0X/Yizy+wqM+5anty5hGeSDhh3lWJRXlde8yRbQXvFDFESSWZI7PhvgFI +GFNNaCnFqJA18hBs0O2dKcaTN+K/6aX7jBKK5NajoH/3UJHgf3fuTV34OHVPsGBt +xGjSsOoCuC0fJ2ZK3hvlWoLswJdiknzicRoSi1nQx3oPyxORit4zxlT/J2GX7rP4 +ceE4BPXr1qtmSpOcbVeDDvPu/QWSgA6JH7brodCayX8RSx+LkK9DQIUAsNghr049 +UE51908rxCfZ+5GIV48HKwoUPCBef7qgcnEj/ublIsrSmVndgbnxg+qT/sSpAfEo +3rbFffbWnZx3iYTk7/Oux52NaslerWia8syJeuwGbzP2y6P5JWyzGMW+exiDpULI +2g42nE241g3a6Y0xAU3qS0vcn731+qRL8yK7gQsT/Log96du1v0hXZg/Z75S1caP +w2k7uvZHB3NFczL6VinqAwlyTqi4rU8jsKlrvCMlfL//iZUTBGstXXNz85dh61jY +TsbWNFPr+bIZmJVHSEwm9uP6UuRVDAY8EDo1h5uFhL8WeqUbQ/0Eo/MYmBOB5oDS +i81iRCyih8dfrSAfS4mZM4/30iRhT5RQYRot9hLchCpmAPUWmnb0A1QgS5tLWLtw +0HQEl9vlvGlpXhVfkgB85G1zjE5xg8zVreTTIzNAsXtLBHfdwh4Dhho7UM43fEpn +8fLY2UeHuIxkEU1HZ9oWXSGQTJ/N03m/ONve+sfByHW/hGodAd8ZsdgeXsRSpq23 +aVowO1vcYSwZKPmOJPVy8QQQxPnqFoMYVOajsbMZYIoZAe2+mL34/s9cTKdrHod+ +zmc4ksBI60eKpwdbzimvFr4yfdqpa9xRRCz8zSQ5iIXKuZC5VBJLbgu1XfxOgW2Q +URiktEzUcHzWWWv1a3rSUtHaRWc7hbJT2mt1VS3BfzAuuT59PEa3IPpspDQwTqWF +1XGXo9dPzmBROrRkufzMGEljQpkRLm5gplnR0/0SzvtYpCjBJIIloZ6mMz7CnWD+ +zgRX1JC0mNDq9vNovsz1ECtUWXJK9Xf9vqS/jNGRgDoKDwGv8TcqNvdVLaKCYMr9 +eIxd2O0Peg/ItjJxo/WnTpQef16mNus5LLeAOe/ag4G4WRcKAOKN4OJid4/vKnhJ +FqwdHC+IThWNaquzA4KJdBJ351im9fbxC/Ntt7L+i/qVIFIJD+kzBb+vSfQV7DgG +0Bj4xiWDRCi35XHVmJFS4hnndrzLUE6dY+FN5e3uceP2xxGWCuoTVY9wti7eDweD +L74gqsVJAWw2OsXlq0OujD6QSEXjcMPZs3eSsg2Bobsd6T096frnc2Oxd2sfn4ez +36jz5kGtbodfwTcNSvibpMXyBklT/54LA53nAzSQViKCQG5+5QAzSvGddXAg/VgI +AOwnlSwIC32cNfNaohmIw0Krr0En+haP4R0Wvu/K1MGBCxQeiqmBhSOKMW14m/hi +vLeTZQzA53qikTdkizPxEt0zk9b2iwoYxd2ddJ89iZM65UjZsv1T0OhdtaYv9RIp +G1b9nUj4cZ5fXYyBqw2InWYHUtHzYwwJAqaIRwOZw4sjX4Riidmlcp+LTru4hMtZ +WQ/qNlX44p8cL1A15bFwb+o+1qCq3b3+Qj8Xm2MSJp06JfebQqsDTJ+3q33hmiLM +LrwAZDLRiBXCZ0n+r+RitepFJCyMk6Oj1j27Dg04X4HH2Prn4qe3Xgasl22R5sov +v7FVRCiTPrUqA71T3bIvm6e26/KwG2kRfeSWDVDpCAL5l6i2Uh8Ap3MjmRTTPanS +YY/RRpC0eAMaFLW1XRewGTHPiIUTLHNqtQcjuvHb8boEoKoaH0bLdrmwo10OunTD +208RpzAF3Iwm8QHZriBa3gePmpDwKGRw9I0X7UzLrQT8/MxuLonbUrT4/88WU2K1 +UqFzz2SmsCAVebxBPOFbw3iCCtx9dNILrIP4SBokgU+wZFbTLbdY6GjhjYRX7XJy +kz2QI2jyVlgMFE0pZhgGe+e+Ll1iLA9//MyAHyipVDcoLgYB1MaQo3ylRhVnccAN +zUcPGgzDoVRBpHSStu4K01+voHU+QOWSCPsH3FOMV/ZQVoPHwb+BMA6FRtqLs5MR +LzegYcm31J1Wm40CYHvIzjacKx2am0BqnJRcm8tN13cMQUw2tUe3Yy5XVHfY8ZNZ +XAUeIkRxjSafCpE5x8LuBpbdkKy4a71Jk2E6Dd+pcQu3wG4EabT5XALsBXUul+Al +Uk8cvzEb4WitDnK1eC4ObCf6o8SOrqsLndOlC40UOgcn0Q+puGTKjtHgvPGCwxnN +xYRv+NIk1j5URL54+WaitrEcMKJXha83+REx3V036ZWtTrWWToyM6Y8pjjspk540 +la+LkwcEdHQNXIf3yjOrAVmWxu/r/jkCcnvw/hFua4K8JATDr14yqt1rmfEqz3Rp +TPoO9T8vOyjH93N6tHr32EGl2NBPDVxCcTpO+K4ao9XxqP72Q8hehFbePx6U8UtD +IjqS+Z3lenQ08J7IubZwQV0JiEWuIP3Q/BbPyvUk3V30TqM3af/js8iWdbcFRGx9 +BveQHN21CErC4iqAJNHG4G1GSeUqwzQQoB4wzvrtKfUju0VJn0VmtOkBD2KWoGV8 +5bYiuSSKLFdoOwPEVG7qQGxbgHlMLFZDRNZUCpM7ZuJ6EYp9cC3fp84BI2HayDcd +HLgolLYK16xSwNedzGnR9RE7nhAdq5U9cbVeeZBmnQ5MrJuBfknfXnvRACcDPYlI +4YszoiGvRLOiwKvjqaqtFNugKQTv8P+cm75/ZoKvir7lU+sb8/oUzeWoRC1b0ac/ +OYcS4n5Frxbrkdb7MtFsttspjEpxTzWN//ZMn0nCrdgybr+VkdW8eCnKtcMgeDQG +FM5dOQ4nkks5NFLw8QZJScgtp8VvIyWcli31CGR8+N28TqlPGGGC+vtdDBRFFf/H +yers8MzgRpVMB7vIYXlGLwhnf5QUyA70In9cpEZmbLMzs6nrLTAt/FJ6/B+5o8pZ +ec2fQVHb/CD5Hm8WLJAmS6qH1uMYUtmp9o04SR+OtdblTyKBSIlvnB9EpuIKKkva +OTHhWbESh4lWtj96rPC/6SfsqzwMJJGR42KGmYzqd9tMpoFp0AWHr+JiUncr7MkS +2eiSdYDzdftaTPUgV0P2N5NV6bzpiXpcxftlVo+SJdeHG30qR8h9T6c3ZhD9fZCh +KFmxM91lzwOY/DyAMTi6mLgNcfxuQGjhv7CR9WyH83tdGlOkXJ2YoYIZxHJ2hA/L +UYyHiYcR0/Lh4oEmpW5XBblI2JAjk6ncmwW0+GSWF6f828ocXhJeOzB5M1xkNRRj +wB9BEI4rDpf/i2P1opPC3opsu61KTjkNUuC8hsBI0+dixjox/JdJQ3XM8W/Kx5XI +DvZXF+HVHyGz/xEZ6q3QmZ1DgmZmdb+u0wGqDZ3QFHd8EU8h5i8nr6vTxtD5M62O +iZSy9vFzw8JDq77zQIi6YLRTewLvX54JYff+dxLiMytchYcJo2e8Sm1PfQC5Hxci +MttvTc3MWFdLfLiw6vVMSWDeoq18Yd+UHWYHam2xvFW5A56vd1Sv5qiQPfgyit92 +8MHd2wEpvtxn2E9Gigc6gBrrVDspKNbax+YSdbMTFV4FNNmGrH1U7au1EnnUqBOT +9rvPT5htUU8YehN3tmoM+D1cqF81mGbB72+3Otyd3A5W7IBkirGvXKUpCZ3r5jhk +d/mgANDYntPywDX4malLTb8CnIMcqdZoN1Wu76mAGvJNiGNOQDukJL9GDG7RYL+C +K7qs9D7bO/50nsHciM06wGVso0LZEdsxxQucyj5MrXj/MHhfQuGpxzS/G2evkk6L +sjDt4c/slav+S45w/UN/Xl4jQOA1Ry0RL2QCOoFpn6rXPUvgnLRip09hY609h05H +1MvKzapQUve4KUxZaDiyFMzUGnOXYD9vX1Jf6DSdt8nItYGUn1VJTlLiLMkv50CX +h3eNlQ99Y8Jj+rLcHIaZ76sBPW85o7QjQK5yQSI9w297ilMcLF3FeHmpr7dGJBZi +il/iB6F7YajplbukmNMVUkSn8jSZxNY3xYPxu45QKV6uo+D6/QbJ+6Tlb766+9M3 +BwVYq8nwPqm5OKEtl5fovf9geOR2f+7gXjKiYWwc5+R1F5gNK5FgYKIe4/a3c8X4 +zgmURqG2ng/EyZmpnDArUghR4TsBau+z8UKJyx3ddB5nufZVxvcfXlrktDuBIKEh +75qzySzTmDiEhcSG6IfIcdaD5vbhQbMxypU3lFD36mxDmIlX+ngtIGg9jzJLDJyB +WKwTUm+l20X7ommo2k3GH66Q96InH++CJ+xXxWxqWvYjZIhSZgEGP1IUldDdto3d +id52Cn7m76dffiQ+o4l3lq7PZVmUv4vVMy2ak/qDnd1WMmV85uoytkrSQy8sZEji +rqXt9z3bPO5KQjORgpliynH/5oU9ygPDlaqHM+8Wr3HTQJbfZo2769NbthBIzPEO +8RW1MDfYLuI+ZsXbBfnIhfhIH6RcDCX82mjfi8pGu+qUqMiJk8MAo915JXnVIZOK +yKpXowdketAkpIiQq0tFYEGG7Dv+X1Imf/K5Bl7yheO9/8eq71BsG8Jq7qktWczx +9mU1lw2UcbRCYMfQxyDP51gOawh1I6RP2EA4wd18DsimXeOOR7tx+PLSu1ypLbgj +NcJF0aznEkIfqG435VfM8Ezl+8EMCUmuX/S4zcFo/MfR8eO8h2m72dVA+W9XQiX+ +jP5JhUetCg1bC25qj333f8KXS/KEpocYuZo7swhHNiSpTHsSkteTuuRz6r2G5Mo1 +THgDArcDG85gg4AVxq7j0j3HGo/rO7ch+pcm9f09JHm/ZshMquo96zOzlq4zxCvL +m0mecR5HtGwFTZJA3P03uAw4i5dhMmvqlRxM4FsBwVLQD66QWdJbNI8mLrKHENUe +sKW5WEw40Oedv1YYV+prMbQcP7GavoPUgVFNVcKclMKGKZYHqK/qS5ssicmkUyOa +ypEBXOyIJnb/g1L2zrBlA09EhHV6oVaKuXvh0K0xh8sbQ/l0xZeVfgtruZ4ebHEX +fNHObgjXHzynyCpoTpAoWTZy5Xde/SOV+DEwhFeZFTFZhSeQ78VDWHWvRfXacgpl +OWkR175F+7vO5/cxblGaKkk7gjBaZT6x4PZU7aHaGQiZekbRGjUsZOPhq7jraSaH +32r34szDjYc9vp+K76Jk8xg7Iod5hDGiCLRhDnE4Iutb+1Uk8sxI0R07GTxsjRxu +10Ai3gCjxmCOi4vihWOiDBgMx9fLdnaZ86zDuHSjg1BZhlzXVXKrsJ1d7bkJUyaM +Dk+x+RekEVP+MI7f1oBhuSR1Gcwt2RrFzK22zHwCStjq9stoHESMXDf0YU9VpsaA +VTpoH6NXELKPA6v3UxdoWGC/0nRuadcyCT7cCOyLvHi3tnSh1h1Qe8P97T0iFY4e +HWFhbl0XMXVwQActQ36wCO5F4j/v53j8idln9Q65aaR8/lYsQQ0j6hZXVF22gH4V +bH9fjXetlTH8xCT1ugypg0H+EinNKhTYDpUffq2ZM2ruxMDgeY241q27pYN3hHoJ +6IlZWyHrxntivOkJMd6QeJVvrsYmW1yijOP87k0P8dvbbTjPyiYS1GI2tGmeQDTb +jt7bWDSLo6br/QDoO2QRhogALKI4QDl+qwvF3K6WNCxW5NykrYG9iZZ9K+v+4XHw +DUfHDhioSd3fG6A33Q4TDRLuQ1jWOXcGBFVvXqtVWCvzwTL1vaCF675AyuZFRrKO +x/2I3Fk9Zfl8QKM0vvV16K+utWmC9vTy5B17wByjNa+zpkw/sXqdFI/LpQ6tTEhO +li8/aM5TSvZ8qzYRQ03NNOWtvzx+G/9PJq0VukpamqMtsJgPQl58aojx3KOJ6mEX ++spWyhhlhCs7DBdrGRLcO6cZ9j37xuddemHWX/D539OWI1ac63A+V7/NoMTYxmjL +GtK8Gbf/OMq472xwd/iK60YHDcEqqVryAdi434FGAWKzuTthqawi37qZkL4iiItb +eLzIdBg06XnKq7J4vH1Uft7UWhdcyCmkTgLReiF6AqXtCctv8vBiN4evfgrEjPYp +cQgPMhkJX6AyzWN7ORl0vt67MpPthPuFWKbweKfCDiRxPZ94hcrt+jIqbNU5D8Nu +aTH9QLH8H7k0AqwMctzsheFPILc1DylklIF13WmBpxMh0UfTiwGc18PqHjR69AXe +RP52sb3IV3R5aLIbyU2tDs4MrDhDD3NNjs+PdY81a1Xi4GlRnY2XaKRczWT+t3gW +ZGnt7Bu73BaAx1VptW423LBAyOMo+DJcn7oB7IIK2pu2znEsmxLhgu4lFRQ+tRJf +FhMi247gYOxkpWqNOnyOicCaTxwtOTMynEydBe2w3B2Vp8Ulor0R4S5Yc+rTs0Cx +vfZD+Ez+lT/QRyTT6OIUzuVpCsK9+mmhM8dRIG+h51jLg/A0uUIpmRSvRgz80zBs +H5ov+G0uR0Ep0FWW+h5RqJzsTNCsQIKTaXMx5meuIc6rmv9goGKNY2Q9U2rKqM6w +gbpkbkhEHj58jh6qccLDb89zgDjVR9yo3knEZx1fwTq1OkXGy1erZglQnJGFTTMy +QBFYp1b1it2GUMRXpWlO+8GVNeNFjiuLLrKYZJ2uOHxI5NH85ZNgFOoY29RIkQFQ +85Lcp8uh8TTXNHwJwtpyCA6tCR5CxBmZzigDTSYF5ms3u4A2rE19o9SlwvRNyaOG +AcLY84gpTVKdxI8IP33/iUkHOQ0flg2/kFS496wvTb5KBipI2Mjzox+m+ogNVCqg +pSz3klGalBmw5aN3yF1PqgpO5XrNoYtQ4WgX4STuTmySEmfFNnMuAjQFn7WgXnFe +r84Glosa3/oHM4whOuX2IKAuZEf9Narqd6Q7Z1Bq4gtc8nriQvIFci3qOMmHl4EF +uRtp/6PcKKtimVIeLH5QAngVOi3ONsSSYztXK+trnIm4lBkolirme15hIYxmDfF9 +UAh8lUYlh6LerrYI5DzNJez9S3OAVwT2lFAbyAA8y5RXQNg40714W/dfU/WQHrBk +7Qcl4+DtqLe3+KqvQv5vCj8PXG2H/buVRdayusR7v1Diley6pGcELSDx7yyAWUdg +6UhJkkWVkLpm+kp/Dr0j8klTncOErWDPVjQODvRa0YE9zikIu9RQs3D0LlaqdVBO +KlReF0LQY7D+n8ooDwvVnZ3N4HHSYhhq7/0KiLImjh2yayCT6fQZJr9FYGg7c5MJ +7yThGOJJ/o2bzwxR+JRl5EzE+fLwOLMmEiDPmUYzisl3Rn11n+U86FNa1MmcBOwJ +cDG0XlZkgt7Ypv7Nx+4iXSxyckeoKc9vWyxalVtkkbwrhXxSQToBOKSKUe8p4tK7 +LG9TQozAOiJoDykH/yLhQgaXWo2GU3ByGYWOwKSpLGGOTn/0nK7b+z1IfdvfVX4B +F6xGQIDRwiKu5EsM9CnvmDUcihem8soMvOATVNs0D4tb9NlyiBoc0w4DkPlKPwbr +qZ8OjoVBNTBIeUW5WHj4CRVdDCQBf7ygJspSw1JUjcm1ntesFbkvaEnIWiqVBNci +6cRLjojm2R74gfTYk2tscvBZjaFtklIr0Jp9iyDvvCP+hTLjPpv4Rr7myDz7XI5/ +YXqLbMvPLZE2nlN9Clz2OJgQPkG4sNXZFWoKcCGJyxao7LUDMdLVMUje7ate3t1m +1GRWmkfuI/jDCK5y9+p+DTaTfZhLjlytVTBYu4f3oBcVHkpbUpVM38QXM2TMI04s +R2Wqejw4tg5FPicCvapESSKUZmZynSBPvwZhzt6hzREbsnfACP5dHfNVhWxj9ldY +s6GZyeCSOmSb5xADqxUaYUOVj5rR49BeujydznoJU1OlTSIcqR7ti5xMu+5oYhw+ +aH3v8Gtj13I8xexeOhMTVRRQeG0lZJJDmEKLiwkKz+uKkKKAgTSeLmPt37rcG3u8 +K0o2+QNHEunphszVm+DspbMSwP6C/eH79nUXZuk2ExcLjTdSS9qL22HJgYiyL5+t +fvr9mMRbztTOZLbpEu7vIm/ZLYVwhq+5nB5/jfNpWaknf//gPhAPdRCAimu24G6P +HBCYHAsT1zOk7Fah/8VGcK5IQA8lcUhvTilH+lrKG2nIPqQ3KejL9wqwQSIIRxiF +Mpgk/8B83AA/pGLQJoMPWDmK29AwUqwhYAKacztfXARqCZu/dMYVB1J/DjrS+Fnw +exANp8Bf5I8wRbQ63F+1WaFa8M8SgMdcjzZtc5GGUPZNlTMRpGUS/qWoBHzdH9GK +o0nBaZXL9xnnv9mB1ZpdHyh1J8hYiNUbWM/9819creaA8cydfaiQIOXD/4Y7tmAH +0UZeDaC/y9cbcWGMVkicdutAC7miJvr/M54iKYOuS9eiEAGQt7iCScNGmcawwhto +1wIgRUgQEiTdH1xrHrTITTa3d6c+VpgSY8qZkBhpoRk4WawzVPDORw83yWyjSzH9 +0+zod7MpFVm6j1O6/LWjXXNJLlV939ug8UNwE7C82pj5Y3bmJh58TMNM9Jyi6NOm +wk9BhbjkjlT+48gSfJnBa/HyWxAqsdQTpUhHtndNhOzknEFKkgIB1nlwSD75iaBW +vhjyu/Qa5uHpJq57XBuJHkQ76uHE9d9UT6BtCOLaFU0ejfGU+66v2AABlMmsyT/r +PCQxetbDc1N349Uh6x9LsNA6ii/OhveuOHDcKDuJEK0Mh1Sy6WBl3+EyR5PewZzH +dPEFS3pJiborZgnZ8ajNwFGjbcsjkD8nWdh9AE1kztpWo1KdkziRVBdvDrBg0PYq +wKcbES3qNOSDOX0KbizYEQdSIrgbk/rsBNuT7okf/4elBwO0I5U5ptc2hixVcK+0 +N+BbU5ytsR5ermXzRdBjVbYDx2CpwquxE2NTDhoDSCgTDsVdWiwRx2vioCo7M68o +WLXYXJ+02/kTsY5qtjA0ywroESAvaVtWxpZdIZ37jGwGCsGVBFuiLMtVvxpivKtk +uNXpZJIEDUluXd+4rW/odxMF/5fqlGkUWt0gG29UF8XL68CY2AyD2l7WPTE5nmvT +SgJtxGtCtRRxl/18UFfKYky4QpX1SYgBKdDbNkdM+ankaQlOJCbWDIysI+jBjry3 +rKhn2gsCLW8Tv70aIegXxhdiu4isX95N4sWf0K15aSIzT15Za8RFG5RzQiP6Kl+z +akrk5SUbxllewq3FPrRC9OcpV/RAo25kqSuUkb9ZVTQD1hD6dijkakYYFtJrr3mi +sJQ9+G28BJi290oyAegfTGCCgH+F2VHy2T5Kx/uXwlzsiOCLM7DF2hVUklJvMBIA +BFEcQEx/Fep0AMCZAN2eF3UmBEiQrojYOieDQa0KUutQeSsYHjvYgPfHamUkH61g +6Lr1JZvkQ8pBBw9bNQEQKlibJWKUkeR6GqDR82sD0RvzAXpc7KMWW7GkSdOD9xKP +7U6ML1Ud8Wj9FSfYZep1HoKz7PcOXWlO76WWuwbJEmZQGs/jmffIj5MM8/tgGoAI +mwvTFX+w+u/K+X6RS1jqf2M3Wzcv/R4DviT3tlcnFucxcCY2lgVFGKJ0WnZqAzDP ++klIq7andveyF0GNJ1N8wddkhRAQJTSyA8p9YRkLN/aF+tm3YWFyKOuyYjuIj7Nv +aEZAT8HSz88Kgx+RWXTzq8Bl3IfxHZL+FahN1fLi1oIc3Uaflf9mEffqhovQa8Tx +AHhpiWhxyhn5slVLdkMZ5HWPRi/okt68/GL1eBWV4fXx6xCLWEDXzaHEUh8dsHmf +Txt7UBo8KbYsbjHFxhZC7uzPA6jzVy1uXLmg3ucujIC2mAv+Q05V+JaS2rTBiwEY +lsd9L3JVNrAOVeZm+t6SdLTpxDeEqtglnEVyl+IU9Sc/l3xR6nudR2stQRnAtuPB +VTYyC+iZZE+QQjVupOkGxwpqDKXovj+d/bx/q/SE1xLfBRewDo2EvCvV2LbQ2z43 +wXypYkmhvO5A2gfCOPpgSd5VmmkbrSVael7R7JMv6ZABrS/F0jwwyYtELnE/rdIk +Bux+isoqyBhZcHugIrQBR7eoRqXEDd+jdckQAna0G1bIJQMGB/0bL94n/YIIYJ8K +FnlzEoqAYQDwmNOjeqk3cXbinG9HJXgp2FLM/UU9DEwda2D9SMFyVzO3bWjm52gS +sIPMaU4QvkPiRHh0q7e6KNMbjts4z0T8x8gTJI0ejDXop7tAb9A6CTpAyOtSXUSq +Mxicu8wockxEIItcAGRrJNE8gh9awvXK4vdDdHPkmPY3SACCEXeuRAegr+0WgQ8w +co0X26T8MuoYIvvSIXyyBTGnk0gFP4SDEEGlkgGRYeDzHMIG1sahog/+QVd4wSZX +UOMlNfHI6j8WTvYV6JrkcT8DFm9lrKi2eVYx2YgpXL6TvT4WTEk7qdzA11abmp6q +oN/TUYDsh/xNxC6CftCfexLtarAr/KNdgpPI3UQCkf5LezV/atYtmfGeRV+3zcW0 +lii/5GXSQyFcZdbj5PmJHRnckincBmqv7vpiEDZGo8/Z72Lnv/YNd/3wf0L5e+bJ +ZcIhfOi9oLZBhD8VQIVFyM3AUkzd/YHghVOMEgQUpcfdVy3kbORLJPamUeRvcrAh +cDQcv/qr8xagH2TQtumYjXM6Qo78VgsCdgN6knI0olZv4QpvNuHo025n0CE4u0fF +UOAIzRjin0bwsVdNKZ3YolNSu5J1RpBjqoNAE450v7CFwyMch/zfGdA4lab17yf5 +rmvXGvqv+ZOpBBZwNCSNv53SI0iS+2MHhmcrXuU01pxg2NdcQqObQ26B0UN8A9k8 +UJUL4hRd2CAPHyBm9UT3qeMCn+bMk0opXBdqgy68YHAFHlZdl2mJOaXO25usUki6 +7TB1wUKYBOcC15ayNM8vAVz/tGNOQ7Zy0Y+JQw0YSSKvcvsrBM7fCd97SCjnjmxB +qmtYqLxg8DB/pLvuN9Z3Y4FR5lyKqzrMWV8wpnLaGHhc+Z+ZfSi7I+HF2fyqYgmN +L4K53I5M9EKu5kJQTXXJ/Ly6dHee5iurKbyVP+xq6KkUqdmI1SRzIipyTJIy2fSg +edUcQQ2uBJMBHG6eVXhS5rkKEY7TuHh2cpK240WeAN1EOBhImJ2os5MZM/cV8dAF +BqW0v0JsLRk91QWXUalSCUbK9btuaWuZRWgf/QiHhks4JXyAGUMchVrHBBBsHz0Q +ZNCth5qJznlTlks/nX9YGbHNWvAdO9X+InlAp6rWihqbnyJOsmECPMAa0abQ3E2j +ODsiXEQuFnXsu3X/RMhgXKbVrPDSE4Apu/PClIdorNHB6vvZpGPaU9zreWI7QNzz +RluSbEc0js6XECpjouiyYJ7KBboJd1lwT6LnXPm8vYs9RSEbbuHSo6DxDYY8r20I +l4iiv0kXq4qdDALz/TxUBdxzYtOSr7Kf2e1s9c/wP/RjTwkCSnGHECkOqBm0GZeh +XnlOALcg7LGoSKyidS+rfh3qbNrziyNMVE4nCC2EK1w9c7wpCyUwB4Fh01F5WRFm +AV9DqzIXAEabDoROZGEQ+2toITP6fwmwZ709ErS46Mbif+WH8p72MqH6ZquA7Gmm +duNuyNo7j81G51TtNrVPg66Bd2y0D1RAhdxCBPL5h9XzSL2pRRJAPEvBhjBPcejH +4IzZ+uBoQEzNY0X8+1mV/lZDMsCj6xl6RYFWEm1LqqQmoBPYM+lpuUKI2s/7uU83 +81G0wPq7Wwsdvmr0HcW5R0GCCVTbyrm3IbjHZ5Un1uHOaLVIUWV4RAjkzW7Djw5h +vVVRpwjGyoU5dYe+MuyMhgn124m7HO4EWru1w30grlOv/lrVVHiyiSiETnwt1bW2 +PNRPB57CA7BaXsc07fkt/YzWbRjv7vIB6iBVqIIeX5hgAtKUUBGyUlpXc3R0ZVZc +Cv0weMdaoFOQOHGL7yR2bhmq8//yIn2m8PUBCgzsgE+wNP+YQQ8RoNG2HjsjVzP0 +LEiSAGJ6vQgiJhHT/5ABK9FKc62jr+s/ZQf5WI7DFM3c5RPmpp6VDyrQxlG/ZP0y +MTRyJPBcA5GivyeROboi0xGQVLMBz5gJQ7lp3HknS584KPYqN+/VePnKe09NKEqe +kIuNCXkxpajgnIqGAUQUzmgCpzrEPRKkZysNE8gJpWU9HmMo8wGVgoGw7pbdPmZ6 ++9yV/Sabw8WBMFOVLnBB+G0byNZUSoY8+Z00TXjqpsZOk5nIxGfVcvsr+qaQSR0i +lAQHJe5fFevm7wTwC/FKB3OqAvoeecmSP/e96jhlMagfNveMFdeMSUD5RgBsM6Dl +33qhAF5AMl+iMXy7OYD8cxRT9PqyZl/0n1pxOTNlGy30b1VHdsAKt8icavaYDgSX +kVZmG2eh5osTvEAHT3nPHSFDBFDJPyFsuELtsXAt1tipEbrmoAgew6GsMcz8b7Pw +oC2cGeUEE8jXKsFvLuvqrSC8iBnvGkp6TboHPiexGwVC+VNwxX5AHjoAhUTffejf +A8vOPZ2GmGKsky+Zq3VNgJLaFR6Me1vi6z9AIANsxeY2sAyIe/5X/lNqJXfqBnEH +U8JeruoIkZHl8o9Rlq5Wf6kSXuSmygDnBLFkuLbsZhm+8Uh5fauRc4K/Hhd8ioPS +n4Q8RBTp3dlq1tpg+Fa7tHc8XKr+VCvZ9YDr8oAd7/DZTXjF6wHXDHGWjjIEuAL/ +9RwcJ9GAMYsh0COk3MeKJRviDWZ0rrFePF3E6yfDNCwjoxjELoJ0ITGGMzOc0sB8 +wKbLQ33VC6K+ouQ/cjzrG4Q2OtKnYmZpBQlr48w4umeUdq0xSgEk/IFvLvRE06x+ +vxXeWYoqllwdoFaXwjm3FcOdY6NGfTvt0wGgs7ypNgerFMqO5bnra33irDAwxTqY +kgkKlZlvaJENPr05MVnCpxHDKo6+n1WjH5qAmx1sD3BgXw2dm49DtxWlyqIYcC71 +vfSP8VFGnH4fyDNm07LCvSwi8S6cTO2zo6sckS+dLg7885oHUiHlyYsod/NgfmCe +kNp7pb70WBfXNZtcVmzEhB7bi+9eNQduhI95PyKHDYAe5XvYOCYQbSU/E9RMaGCy +q4ybcu+l2TuMY3YVS+jSPMVwnnkyXZSr5IZscEAllV4JfwyGBAuKwRR1nC9cEwoy +he1KxT7iJ2JYD+KJNWzp822bVZqU49P2Cxcg6KrfFIi/9VdFZddiVz1I6EU8yHCr +K2YrR8jg4MPO+2M1e2RDSlAkbY1z5MAERr3lm4kpHrfosemU5eAdyYsKn4ZSPyWx +ppfJ9srGaDwQlNOpypxlCkK3XC1sAapwZuLXoodFanNsqTlGtIpGKGQhEt0XBZ/L +PIOR6C20oN9NDbpK/oxjX82JdRMugyquCeBXAGSzmR87CGJ2dbI9Uad0fHiZBWGs +oLc+qu5b3150ZWK8W7kIyaLfvYbwVnGxrNgoDIR7b4kLYQw8LIdhmypz5qnxu6RI +ck7k0iqwdK9nwuA7IJO/ne7Qw7nvFa1zeOq7wkVBPco6lJPVOufTfUaDK8Pn6+6i +MPhe55eWXdwJEcxZFEhOls6eZiUAbq/UEBVrYZBBDRRCFc1/YGqDzXTQZu85+rCM +3+HkG+I50e6jXweCgOjwKyPziaYP2YwsNfn25W/dLXBsNNz7BorsqAgHyI7snQsT +9gtE5A2x6DwYmahIvS/Cs9T1ZjTALfjgfQ2S4C9vmyYzcTk3WUu4xtjFZZ6apNDB +6Yx4pJAWLEtH/QVCxH7Gbrgz+86AD/QXWR+gd7Nr1NGE+ACs2rb03vGueidk8p7+ +YTKpM0TQRT9NPYFLgo9wJx67AeVyv2t1PlS2WXozEhhM6HKAkq40WyqFyuNwa4xt +/0NbFWxhi2GXNiLx1Z5zU68vp+Db0Slab9O2i+3n3qs3XQc4XBNqbTnUeCio4Bfo +89uxpf9m3t8urU6W6tRJRem2MYN16SyQ8PPUKmUfCzblf+Kn4hOxi67GZXqCkVfM +TuybpsiJpexZ6hiDbV3Qd4a+gEF8msIQgKrIryhzSFayd6R4zM66EkGFGkZ2LHqE +HLFMNeWeJ5HRV61mQJU+knQWr2avIwSyzzU8akS6oZmw/NK5do5CAFSz3MLZZmZa +ph2Cqy+nPrjvxm5f7hNdjpFcCkMKQy+xzmzHuvC7DfuloqDSNuTJvXnhkvPU49k1 +heL36CihNWRbW2cqwiBfo/dYMMWvE9TACMiOLcpp2ysI1JGhaOYT9rVPaEZfXRlq +yxXbEyzNuNCRa/B2FK7CC/fkGHRHwaLlJKSFoQY5oZpiwZPW6ktaGtwrJIA3Ftdj +AA4+wXIl8XZ+vlxHSskjvAVqU6F3Vf4Gc0/hK2Yvwq52pzM0RAkXspn2IW4ttqU7 +oa1t+q7qezWrd6Wu77hvuSxQBmL9NbYlXG1Vrlr+QwZunEv/ftiK9ir5U7QLdy1u +eadOFZDeJ8aAyPkwX8UDu6M86NNQH5s3QDva2SJHmDRH2xX25FFbNtaP6KCziW0R +i3VZFra/rgfSDmdNC6ttvhmM25JqrgeVb7afKKFnKVMMSOMc9qFawd+IFjbh/7JB +YYgfl+JYmUqqMk50cpgYT5vpTFJrIr/Tb8Wo54YP04nyZkn4oFmPwnwxwimwQfRp +5CT/2hH4UG0w28Hm9jauIC8NiIxT7IiGFyB2cEPvbIIFaoU7fD9yAe8jKUBU8cs9 +6CQ2JeqgDQZhNS+2fq2xIWkGYBSTFE7KWKUQY9q+G/xjf8lawIJtiQj2a09msvC+ +eAwprTcbhxxURcgVLNyCZGMHHq9tCEw513abwvHF3Dl+/zbRaoTZE21gI8G3/vNL +l7aj4L4Eu0JqOJZQuoVaqM2Vdv/nq/MlnZcFJFOa7fesWhUL5OGrFlDUcupJArSv +2iEBQmwuWg2wl3tqkehaoJkkAuZH+BHLgm1NrOv/lWTorVPV8kjnujKQ61PP68q4 +kZfkBlFM/JHCRVwq4gYiwS2oCFf0Ti6A7whvxWjiKzGo6FI6uX+zvkL9v+mHUEBV +bASQyE2TOSHyfm6M65jVRdnOCGBJvOJyKYrZB9usxxZrPg8NNMcNIGAP0oVa8Hl6 +M+bWLLAsCS+flDfoew0r3ub6meDaJxCmT6Hewhr3FcqZWYwZEwmiHcrX2qJk5dry +SCnEvEev2aExvZkrs4IqWClcdpw14bSEQHsalU9AxXLrT2PaAOKLFhfHtKe9g51A +LelBfKblAl6n8lcuRxWYoOsJN+TmpHJfrJsxkLgkfLdPmA7YgBIWG2Y09TlRjihg +PuJY/iBHGHPaF01AuRs+t4Fs88DfrV+8WbnZxsbsFAqd9devORj+Fok1f8EEmmst +CK5AzFdQc6G6jh4sS2vUdkDEu2AJuG3FwHK/LE9GlBQaMuetGxOhk+qdo2sO38ZF +SRXqjmeComwexSGwEHUq5yYo7CC+F9XPcLJf6Y78IJN9KEdZ6Ix1nm2J+tT7n0kV +m1Dx+8F10N1Thku0K303zh2pBrB1E3DXo1v/yEP5zMibjZwn66yohNaW3lL/kvcH +V0AtS5OOkqdzyHexwWOqhlTRdlbZQcbnDlrx1njH5Fdl7knZyN1Yd7LaFYyU7z3U +b1JyRxphzfiKZ8WFKhyo1gocnWp5I18cPM8MnMJViTp4KStKBC//DZ/nDvpvTWBC +A0hHNF/CLWCCIWybCc0Z4YH3rC0RkisDs6ohebJL2Jfb6c2KYsHGz4Yj/lo/ARwD +QYpyAqyNZgyPYPiLB8TNUEPvt8mxtotYMUK7RBO+iTOF3frM9H0JqyaC4Mv4wKV+ +SLmmNm4mvjABlQJW8psF+hXOO0EBRHxgpM4TK5RRch0f5rzab2GLGBlsApmHiX5C +gIi8EMfPeJDnC4SGilsUr6PPkEPE7cstaWaZXyAEAa8jBTt0UhSLvIYFHjsSbb1y +56QoAwfEwn0KX6gqmxUO0yv0SGnqqkBByG7x9Expz7I1FYlCyEql1AUKYaa5DSD3 +Z9s8qH4fnXek3Vpm9oaArtmbEgeoc7jygdIsaJvGI6kAhz+Qvrx60ulWjiPCKkUC +RiLk0GTnp7nI/jNmvBswX96HHhcTpTVIsXgn9kBI+1IRMAuo6cIz+C6H6bysRzgK +fJ24X4UKQpslbFSkUfhJYygajpPCPSAEwcrGlz57kzfoPiXlj0ZbCzWRD5qHwlyk +VgqCOl46YvuqAZbLfzXRpDEeNKrLtLMfMnbLzFxCrbKtkCYxGNcq2iU5Bx4En/V1 +ckgFCIwZi0RfCRnOK8KwNoj1IFeWmJ4MmpK8OI3A4yTIXYnVBxyZn6f5t0YkD8RZ +B0bKcDxaYT026GOGFBNaIQ0txzhu3AvlkXqsWZh7cSA/e/CSwpCjT2N0cjkU570P +SJ3fM1owUfe0Qz63mfGMGONwbtZ3KkSreATWCOnO9Cx+hYjOdk0ZNcpPOfY9OP2V +vHi2/r3leu6CujuA7+Sk//YUPBk6fkMaS2UF4sD8uLgI8OzSycfmkUvqTF+8EYLY +7qPw5orees8RvNxRO+AeCBo66/p5hdTeGtv/mJyVg2SlPXbZANDsdo/Qjv3Tlr4j +sDm0JQuDrkggUCbSr3q5SQnKRV8cQUFATwwjLeO+yaZHVtWydfpngbwrjA5zCNzu +ER0tLrRIXbCXrm+kJ5ubJ3fojsq0+HaZNzC5L9IMg1LnnaFg+bJrgC1cij2kLvLb +IULEKw2DdJXbUAt0iH9XejExRl6+QwYyTQ1Xuv10GpPOpG9xWFEHzTR7TJMcU4Hw +ku8PykZXftCwqfQCziCMJNr0fDUTk3zrFf1DT/irtcj0gzd0Vr8fcuRx21GOu6Ks +u9AHTHm3U7HR2iTs3SNM31ph+XI0WsgDXGYTS1VJlWSRddijaWT0ZzZSOR/stBoo +pNqOLU5ul6MxmewYGFsI4J8Xah3pNP5TGoqNyrSJ3lcQO6EjM+8iYHqSTXrRSKIE +shTHfDgzwdbPmd6FLJmcUYyIOcmRXGnDZejH/aA+ZSTqghKmx+vqwmENugNtEfW6 +wfObUG1BDSj6BR8k6ZV8NxqpB4oMEjqUcxQnnktkCi8XoxjPyIIBQlIt6BEk3DLP +AuakztJhse0o17B7gqsZO8PN/hsWcE6YJh2ktMPFhuuivKypZ5evJc+uG9dttZc/ +Xw0wWIlcCMUFPrRU/Em4LTLMphg8lrKHqlJj7nuRZtD4x82KrrPAH7tTDnqdF/P4 +DB+d88zC75X9zeNdfvIENdUNzLXbBlYBEti4s4cj2cCZKzaiBWXAiHWtkQEkBBO3 +/uZ1ZKMNujQcwpsvRy2jInOfEHGtWykFwuODBb+vkk1CZkxnVbb0EieZ3PI0UK8u +JcKvnzJOSVklh4/yru2ipeVb/BDEqA+O//3QvStqwIGg4wxtpQ14mSBOm4HkerR7 +oAY8rGH8kxOjovmFqZO0VccZdrRKBkVHgL6auR4OlbNLDsHkkIqEz3+xlLYgb+Ij +jiyBR/Gjn/iV1igTiwkjF8StYmm7EBJ50ctgqx4nIrrLGULsaigALCegz46ba/WI +TPxQgN+AR+4jkCkrrSWPnfgtCF2an9+vwQ3CQp9sr8lfO5Lg0xLmPNMVh1JUOVwl +zuGIYSknNE5BfUVI/k4MyX2rCIrHTnvrlsLRX4/wuWGPOtNoVfHbuDlwXJPqy3ls +0Kx/DedOj6mdwZch2skoN7cWVJIiJUP4KwCk9h+GXkbVvTC9u6xdETXC4eZAcGOc +9XCoFSmCGq76GSgY8Oz7aRL+8ZNnsamqgP268/7lOo02kI1bTlb20UoWJtNNUPCS +tkKCWAQICOaUZCucTfkmiujM8MVMRFk9jOg4NFaY+W2x+AfpC+GuXbKcIRLVire4 +PD/GFeiXX7WIbXHxJA3ynLNHT6Z9Rd3WERo14WDSR/I1O9qRH+Xi86/Ua7enCkow +CB0cNADys9FUjzj2jGOs537qssgrqYM8P/bMaM5gv2kmiOE/sCRViOU83XdbbQwC +zQKVVFqEOH/qiSq6rLiHUDNqA/AzH9GE56Ii2egkuO/4rW7MgOBXoX+UxhUGl3G2 +bnFU7hB+aleU0+iZSy9Yq0H41coX4rmVg8Ipzhd2fHln6IBh5DzZ84V8oYcwLLdf +JiBUsP0IO6pbQ+VKwNFxaMWKANVayzeb0PO+7cC8Qyh69IW5GFwoiEHEMMv9uhrc +IHKqfkRWq26tb9uI+c1gfr2W/FpTf93VOd8ImZhA8DbLdI/iha0CER/gE0rZibMW +htofWCj92aulmB56sfwq53a+8dVRUtMhB2Kanwntl1e1M6ajKufxaZj7eJOIM1Di +qfP9oqnClxrHbm5/8NMGKvXGbCqEUaWieEcDvfEDjZ1VAnvzxwC0//aWJY0RK6xQ +g3C2yc4MFssCImflyQEL0InFcRZ4IJ0/dmx0jhOEE0T9Z7KVScttKVLn1liXp5PH +EXne6QY6+rKoxH3B+fCeUvFr9oY5uyvC5YDIOZmeqrIaPg9w4Ace+ijitBJWssBL +YYN3UVjbTeu181gRTeQhbyLQj1GmtPwabivucZlBwg36DTFDFIVtfB6DxPqNSm+p +H24OEcf32zBvdZoNhcv+hoYfEh1OJiOBjGktm+CMASDE9o13qECOqCaQ/FhN4NG2 +BFk/6X5QR6I3rr88TWNkxNsa1WSNsV7Xkni6Pcxra20Zjtz0ddmDJpfe+ROrptjC +ZY+GpeYXZVq4m/PyakxNWCvVf55JlFxyGauNUzDNCfuOXsbUeaIwLT3z6vtxsRtY +HbSeUh5iK99FRKKjM+FoS6m62z8lz/Q8MBnROtlgEtYrb/Dy+dfE9a0XxTTEfroC +XOvS7zdskjJwpQiv3SQ4fhVU3WpgxhMRGIpR34C0SJXx+yuc6Smj5gC7c5BIsupv +deg6Cv5sTJDciDDKtqAAeyxuAZu16WPiJtWA7bvUQMY1HOKFUSdI0bPjhjZqqu97 +3DPAlJb7rohIZDSAxiQ+XXHx3bmI/2BYlK2idv3JAK47aOiGHRFqpUu4C/XcNF9h +F5AgUZR3A/7L+qWJgQ7TYsYLahnFY6hU9Dq8MWDcXGqss4H1MMSYacA2EqRuBUN0 +6a4nZ7d8zCYDbpTsvUoppqh/NdWbnHoOcg/ypmOekMr1cPN2AMLo/cH/lwVwiNwD +TSYaJ/GkwdyXb3oBPYD5C70NhE+LP2ZJXNCbxBLkdfH0drfOUfPfc6xCTXqK1UYo +ceHG9OGPx/A3dh/SV5m3VHIdk+M1xGUOp7TWPMXqiaD7nsrG2YxQV8pKtnLsnxDk +ORrHedA8vASKlCdJxIOhvrWghsDDqORbxCPbdV7ZmEtU0levV+9VJvEeiZrWGhZN +fgq730UZtti+vhPBG65t1IHN12t3sHLJyebdNh5lwdRsi/qK9LCo+k+uLO8ImJWq +Xmprtl2x6ccUdeZEDcVoHONHualbcpugR0wfVMjGssWd+hQBEapIkaePw78GYkbY +pn894C+DIzxONV41nkB5tF0BbNks+xkOGNzl8m8ClspigISyHUpwjFlsiG5xjabQ +YXFoCIEowgbsbNIcDlQt0CRPZAG+sOpamktb2rJ/47L+VJhIKqob1TITx1puU6Dv +zQkrOdevA/nKIDktoEO5COldszl+3pGIr81D3ePwbjslk7i0ZUzFE0qjKzknQ/KZ +iB1BFKW7u7y7W9FgxArytaVvyZ0KhQRnsWkzDnsWh1TGBdiOb/hmlzvZ7khthYFN +xXX1piJ0nAHmspd2EzR/Kp7BdGVGEwy5JRC8Rt0JNIlr7wZJldmdPRuPeBW5aCnR +F0QAgj0MlqapV5N9BRLaWf4CYSd9frrwR7sS8BDqSRafmjV1CAISrci92f77+QEP +eOfnPL/54hVLaOGuYFxQT+tfuRx3Guc/OCFjzfATjdKF0fZU6NZiqvSQ8zdoJbg+ +nHk+MkrTt2b9hC6RJumtL0jI7AAJKkwCIXwu22uQRTq28jmBKMcV3YhCW0HVisDb +i/yOC5unmF1HBJg+uKN2fpyUTu5jT+011pqFBO6KOeEWv11MmRY2HpiRUFbTH8zk +KfJRvcMIQejwVZC+vKVoxAfLcHKdsViqVW03f/Ef/VGjxS1t7G16Otu4h8QcJBWb +erQ6vPNz0O3xcyscqlRTzbpWH1UPTbb++mHE/Jws6IdJapSEwvcdtxsR4dUluovW +Qo6sM3DOyUSCSJtyRjMxSYW1lS0yBQWDJ+bj+IRNoD3WuU6A63qY/fK46rgZ/qOJ +XyeL0buiQQ05uV+C3FE8R/UctVzJ+aIFtoz4e9B9BktlWt+CwwSDs7fPrTQooADc +82L5bKBtKgcENNQ3JKfr2RpYnUsU0X+AIeDsYIKKe1zKXI7c7eMyrsy+GFYznv+r +aVgaudkPL4joao6GseePCMLoWveh/RqQx/fvV1GpkN0f62sKLuhYrEGQnW5p6/P4 +rtAXmltW11vs5gR+36Vdq34VUT6AARu5N8MmetPHZKXsc6EapcLm4B0NSo34XLoo +ShUfwiOV1SS6+3y7eOhQWhZTMv0mBsLPiYwRKFkpqMpvZY9J0dP2Knc7DbXO49UN +O4AlV9MopD4wrCB0zPwAm22JE/Xn/hzkBQQ/KyNjxF6ZPuIH4ZvRbCG6R0gL4LXb +fk7/3KmB//PJdTI9dIQsKMy/upXv/rW1O9G4H+1YMNGmXtYKH89OEOaeqHJYYaME +pNb9qmvdTa0CiyOsr2REJLAxp7kJGt1vaI1W14wB0N4d74G6AdJsbVwjYmsSne9K +2lZ5qmK5QdkgL2sEc6KcIDwtGOMQ/jGebY+2g1Hwyl9M4GHDPykJF3MQZCETkslQ +syESUjrwvphilet9AXoMqQnz2PH/wCW+DqiPq5JiE6ElsLUtHIXIFRsp1NjQTXRg +W2BdTsM6yOenyBVg7RpOcW7L1aly8ZPi3xwvrTswSqmra81xGXFbErijZgoPOx3N +0NpAV55ddydKoCuOmRT7E8FKRVsARE3qEqIAHvUOBaeOKS6fS59DwwW3ipwmTrte +8S+dPKW6Ds+6FnPSccF3uSKlfZk3Uh9ugYrGaFzGTXBWcnw/5yVqKkYmMo8Zy5jF +pD0Lhtc7JTZBvlkdLZf506k0gihPlC37JjI5jzSF4/96J8rLArblOgligi96+1El +CQjFUcqw/LO/73Np6Og8pgM6AadY60UOuLOF7vCttOXS4GGbjjscRS9a2JIK+J/i +ZrCDryOnbWlH1qo3x6hzm+S8xouxRETPkohXCEBkh5gqYOo6b/7q1vEhUxQ1wiHa +L38o/QuDheDvCCOfqdQPKz2UCqg3UykIQnCCAYvR0l9XtX8YxhCRvIxZz7CzDjXx +BHNTyWK9S+7VrloNfCFlrYf2xmRrJpwnPa1W9CZtgp9E9S1swsAMaOyBlVlg7FXj +w1iDuHzZpkkQFrani1PN1A3mjAlgLiEhqTsYZIUru+YvfzzuIZiSkhA+XYA7nwrd +qAN0ktXpuW4012+oAPZ5NOI6x+WCpk0YOUgvruAvnu/qg4DsAEo8WLcqZTu4V+7H +mharj1ks9nNLjFnw+vEynPk1TEFypR4GOXuKehwPWBcKOv4aRFRdWs0tZInNtusH +R1r2PECBeKviSe0x/3iA7Hpg6OE5BfD50/1zSBF8TcetTNrBeb4Ap1ShLT4KBTdd +5XURhuz7+I4JnHV/cpg/HXZ+pyCAnXEfGfJC1yTvy2YXJwFDAULp9BLR14VlcTVk +xhMAeekAMdhWRoLFWk5UIfZEGLat70Q3kXXPf3kZslod9xqKD8JmAzr42uNLV0rD +TRPEbgPQqsfcvQWqgIVL94EwEQ90CWv6Dhhi+ka1iL6xoHk7Pzo1wPTaKH3JRaGs +4JcaOjCBd9A28CdMDnGk2Kq+uzHnBb2JaP53+Q5JeOTatbnvzxV9yC0uKdrW4vLG +dOT8wHgLQOl8yxPRrqzDUXc56jmivutc4WJikKGkYP+EqWj1rCoR9l2EpWLT6kxw +79evo9+ROKZuevh6i3/HMskxKDZrTfYVi+FsreGwj81Qjbh8Ny0lintky1yq0wiS +Hzid6I3B92qMpKoUsruwFYcB7rvHYwhOkMhfDXQrhGi+iIy1z9E20mvMh6WgELWU +Z3hvugEtV64Ofbm6L3Wmzxq6MtaXogRiPnc72c1+dBh5EPHc6pYzZR2ifzLEte8b +F5Y0ZwJUvZTspbxMbVKNSc123DOF/6tjn8r4bXd4/FDFkWRkf45o5XPH/YbvEIaQ +VHHaBMlhBnF7RqQ9fx9uspL0yxbMVmGUPNOjziqaOIrruiWC8jbbffiH3YW7wCUA +pIwdwiMHuUqXG66RUMo+1krmvbDEVAvQSuJv+jWH/Wx5XwIzDG45AXsujwLNEpnz +jU9qHz//krFI0QGBOwDBq4dBX3NuU62aKeV42hNgBRIQhaU3tEIbf3ocV2ZZK49y +dz5QMrbabvd7oJV+WS97JfJuYQ+mwNNnx6i6In47c7d9lYyp9HoJE+0UYnJxbZZY +P4NP8JV3ZDpMQIdoe99a6KbwkaDytir2v7EWSzS8RFIm8z0wsMwbApg6sy197h7F +W+bwwJDFEtGcMXjY+zB3/VpJtqFQ2zWEL6NHz25lFUscXwPG1oP/cm9D4fy9+Jxn +PeU+XJjZwU+PCxdxNXeU995BIUWVBzyCGMWB4JpPUut701BFN/2KNRuMX2hsOKcJ +2/WlLEC/Aa+grL2MdqyTDobo0VyMTSACcnpP4JzCIEVdnWpR9ZoWfWA8Xa77ZlwF +3Ndlb4YF6R9+OXCsapg92F4HdjLb4SRgGoe4kbGpyDkTj0jlR5PsrEh1s615AM7I +GO2d0xJMtYBFUMzFg+gw9cqoy2KcAgKGXbOBIXjTA1K5OwHARpvd8wx+Rbyi64eW +zoddepseD1gXLXg6IjK+SHzi9C+vAeYMRSpmb3aKWMLcVAdX61nOyOlT+kPzw2zM ++crKtaTYXbg+eyJ2WZ1jrB9O7e0fC/TYc3zhw5cDVkAP/Ocn6/JHQX5jfvqWn2iJ +FAewMfzqIA7QOJp5jSN7M46e5YKe8Gj86milcVdZJApvzr0ippaTNpAJiW5VXQkd +Tm1GjvIUMNPs6qGsX8b1FP4MTmeAVDZb/r4XCy0BBmE+nOTrr7DnIQJWo8sl4CRl +sUc5aLpvyyOMjey9GM4s2jRl2I6HQZiEFReQk9xdIjCDsz7I2w9Y1uzGD4q6Kp5+ +qUTpbe77A6iGD9kDBMLI2USy+HaPQX3xkqVrWDG1EiocicGNmaPTPpk8YngmDSJy +mhOhCS22j7bD2/YhcPZ89zxwlGfjMNrGpq72apwUaP0CUjKe0so9YcQzYQ9vXCsx +kQ6Ok7RIo4BKiLovqEKRhQCTBTNVavhTJdIAnz2BehP/UNZ3eHyCONV5Kru6ho4p +hpqoBb57EDYKIIU1GYmX3zMKduQt86q0sji0KCmhy/Bn9dB1TF8lzg1pXYC48z9u +98GOVRJqb2uzRdMpBpDWtMy4ZJun8ZDBgrBgBmcNI3Md/HGx5HQha1kQZOzgvdrg +v2dbx6nmqikw2O+HaBbmM59M02Mh75kHBHkJGMEcRTAfgEMwb1Aubi12reiiHlA8 +sklKDZlLBAvIkq4nTl757eW8kPAcWpFnotMlLD8rUzRToWEhVja44GdIr2rdp6X3 +cBhpu68a0eL7JlHxB4DJO90g2iFJ4vMFdB1xc5RjVqM7mZjQHpTNTutUjqZXk0Pq +iP9lxMg1uUHS/mIqm4We+EK0Hc773IjE944ebvDxIuQg231K3cJMNnzt8wu7ecKH +TUpL0qotANrvv4K4LC/F8KL/FCgtCME4/uOrbZrtWasarNVagYaSUbEa4T+NUWHZ +e32QTjMKhGLyzJGsqO7oNWzrgey3QncvNHE8RLU17WH/TugpGfHDoeCF+ICze6it +GsdT2fMq/RBLnzIGed3b5jrr5zmB/qrxqsa5nsxHVQU5yrNat1lc5BxD0Ws1X9tr +ln3TQA6w6Bm1SmJaS9YBwvYiFpppuOP+BA4J1utjeuk2xNBsh+mYyvblNMIUp0Ne +CvNmBjUK3t4l4P/xHMPXagQJfE2xuRnsN1apgSx0gUk/E76ffEybz8Z0CbUeApDT +hSuTirhYLu6P0IIrAoyP2lTflkYIWCqGwGAnJyZfxUDSmGx4DURqFrwrDYwuYU+w +ASEOzsHJHUKMP/1h+vjGY2IL7UYz3NkRp/JtmFW4Nv58Vmg/WZsSaOoLHaX7S9/z +fRUU/GylSfDlwteLiAAk6tgJQslVWtJ1c6K39k62e0tT2dFoTXYvP/MXpZ0e3hQ9 +LiRO+tal9veT5XM1qyUdEmSTFksYJUy5WFrjrdz/uj+3MpI/hYPH4RopIwaow7bU +c8CamnNqD/kfrerRs8B4hCJTS/sBZc3PQWbjOL7Fpfa+34LwFT2cSh/x8MUTRnh7 +pj+HuTocwdaA7qOOS42wKgOcLYsuZd0h1TwNxv9T9OiHj5X730qLrdmmCRU6l5M3 +LsUw6W2czJFYOvNqwLBiI4Daby8zp9I4k44RM+D0cSpdArm8pl9KytQjINbQvVhe +UCfBGHXLbUougRK2QSBAcbp1Rp/A2JIhOeRW9VQ8U1GiRprXm5prl6EJpAPasQFQ +fUGTdwroCwRZUmtzLd/OOAktEouXe0GGYXjtx5i97PA4ld3vQTXBKfbXSCqGf5SY +VAwENLzLrQjrHagJUWoDNHMy8mwt7mnlPGVOE3O55a/jhh4UA3fhoV+zjU8Ctf8g +NovqrXNGJrjl/+j2MBKSbTJXoDgSYRgqHU8GOLzTEtt1pEGwSp8ctYPx5ttcd6tN +d6JRdY0dCFcxrDNBriXUyOb033Nn873QKbv7ofFVJBFS8/t04rlJZgSaok/Mo5sb +OT8aj0Yqm/RNjLLXVtq3FTqnYGLMZDhtoHaExWOk4xLA8B+wJB/2+86OPvm6/74d +zLgwfSLzJ0dGx3DhD+tHBtFxIfNek/P7VcDZ2yVqxfQnzWPbz6kNzhzF0e1tokj5 +bmcQnNipCvcrC3UzMgse2t8RgRX02Z99/IsO/hF3znVHZpR4RHEmVJYbDQfiHxQG +Y5WuaqS8ULd7zlDrrzcNV9m17QAO8NjQHkW2ONelwTPzHR5+h+AavfoAq8xzbgWV +gYBm0xsmRh293whygcGxB9Q7tTpE1DruRAxSmfFopeHvxrBiuvsVRO+OjuBpjTDe +JK9x9JP6xz0u9npIAvzsTTD+AFLTspSM/Kdf7ow3gQ80Asx6Os00YhTkZ3o8GhyX +sjcYkxvXZnW8dh9a6N07NwB+0uy7IyZ7hm8fEPChKiweATFEgfLbooBPxoXn4HiN +2NlD1JMzmhZHm6D2TjqYhmUhYPN5r9Qt5uCnZJYvuwGdUSNZEIQvMMN9gNsHx5gv +KMXZlUpsOylnkSePTIapIATefb+bu+XVM5QDT9AWljMWbEUKApXOTzJGkDghRDLS +mNV3sI9vT8RNQ1D7JZQukqnFBxJUzsbhIvs1APLNMd32NongOunqjKBk/zVlivpA +1S3v2qXcdOAQTBEexNflog1vITLzqA8cNzSVzZlEG9j7T+tfUgDIhi/cL/KYzTE8 +IeBlQ7LLReOy80xgs6m8zZRoaxM7q7NZR36Sd+a3Xyv62rH42DsreNbtsjvEgwUN +7fKFYWCb4FCQEdkWyuy5kCmi3qcrOHsYSUbz4cmZav+/K+NOkffsYXFLeDxPE2kE +lK99XUJDo75xpNCo2JLPRygZCzl+GNG8/FIZISt/NwvnqR5TOxTSoAmOVWo2wzYx +ysw9TAlHBF/m30Nc6Nc9HhyFv4gCWCQ58UGcDUJ3+3A1vPyD48ZMGU3OCC2TIc3o +XKxRi2fwH/feEbz9oXwXlbL+Mc7isN3wDCFqDMnDtVw2Pwj/Ajpiq2mPTbyj8PRx +8wtwZ3aqLqX0579yz4gHEH5hY5y9sO4QIuJN/ZmyozkDZqTgQ/UDyn63fAxq4/qe +HXXe0HBajlZZd7eOUJfZknhuurcWUkINCVe1tTe5iCkfqP0ilvZefwT1k0D+hXV1 +LeEt+n9UDHPHs8tJAYA6GES82WRDYjgD8tL+edF2c2MW1/p6yOQYJuWUfpTlvvcn +rNu9D7Gu5vD2UvUTr0QAKuAD5akSsve8ayScVXnWfQ8K3qE1V053U8aKS1RxYyQ0 +eW06smsFIxfhDj8WSeTA4ydmp7/gxK6tqhd3jEOcAAVi/FD+wjkZrgX9VMpXCasn +Nh2ynZWJA1BOcK84KurGUgp2DNg/htG/yF62tYpFbS7IyqKvsEtJR/zdh+pqV236 +8j/TvetTrLNAaECPaAW/RLNyVTE8qmth/noTrMPWw4No+fu0m9qgLnfUSydOAelj +7OS36eWvJW0TfYlKPX22ySMRogrxojS19o+0Jqqc8GnhwofrkfntnEsESYB5q9Ij +VRl0CdXn32ltNBpzz9e0awtlLXlYRlzcQNEb+BbPCgsGw800U4CmTKHINm96pplL +KIOcpvtXAYy4F2r0S6nYbf+QJo1TCdJGLCs0zXD10mPilR8U7Frp1ZKcWpfGVLqn ++jZG7K3TxHgDT2BnujNl8dJ/y2vVl+6LpVrWeIDjMpAMwZQWo7aCIcw6wwTIAzTa +tIsreMGT4IBNdYYn0mBuu3y3hQlrEuI8bF/cGg3cs+5N4LeZ/DVKs7gR6NeiTK8t +KZmVLKePg/BaHPCTTT26Kmt8akaabSXQAe/OnRIGFaU8+i5OkR1cr3LrbFbkGmpn +/oHcnSllprwrCKeOfr8k6mIrUyjJaxHzYbIYQoqUAWwDcEQFtifjyWyTfTvVXmmJ +2R1juJ5orF7v0ud7JT+U5zOeOGbm4Grdwkk6rn+DLRrEkpi40Sx6Azkf3JQFrmJr +o7vkPsrYE74Yvm/JQBal2FgZG0EDbhImpdcONP+THM3UkqXfbKkyyn4NrTMJR/Xs +FIQzdR83H2VgQ+0mnVI2mhAOo4RIH0yrWDtTg7CJM5GOmgry84NK6Lo3e6Z9RXcL +ACyMTgOEonDv1i4Vbz2cV4IMCWzsii1/xKofkivAYPmEoCRRI07orIsnT7NBD9ze +dVK+ECSdzhpFpWxBzcNcqazewEgR8uo9dI0brgHAUsNpbYDaWCD4l0D1wNfO53Iu +QFIE9Nb/4FgJMBZu1Ey6VPNyh5t6Bu52zaJ2baUUk7bjaqfdpqSs7cbbzFaZi2nJ +CLaGRKsKyPTSjEYvdzmi+MXrrb3rRa+XhMsib/IrDiOWDEnDXRCNIqeCPTxlggY+ +t5F6rIWz42+oKDcul15MxDzRL2vze6P0HUTEzlL21NJxl5NWbaU5AXA0KSQ0A1SK +SycTwqG8MwGdD9L0ZtvL87t7JDEp0QwU37axi6rrODGFjVdlm9kl18XJRLdtxTeH +mU4HGWDXbbKIHDGpzrE93RlmyAVBlaot2CJeVM6wGCitfNzNAdSKInYOdy2cCqkk +TF40yf8XOPhSLbohhfFiFaCe9l6obWm3d3uJts7xROjqkXaeiWBj8PERBS5iRTQc +c8Gts4m/rQ4nE5jP9LDTI2JDC23Ncvs65yZQWlGj+tSpiTrgQw0iU8fgEg8EXekJ +HDT3qDeD749OZsgnD3holCvpLSAg+pPvHpZzAXFEDxDzKtK6E/J0cQ5P9a8wwhCL +QFQY1S0Mv0Iz4uBJ/byKecv9XxuZJ1VJXA8gzFlaOxKw/las//pf1qYFqmCDUbZg +rr0GrPdyBA8RpE44aCMMXJKtGiMK/a4LJJUZLo1CSOHBFeqbGQUhc4PIw3jEv8Fu +aWvHQPfgGKoFbH7SvC7Q+j6JpHFLNf1upTUh058Au0YT2VK85YMYTkWpisg6X7Bg +ioQzt2t5y7+iNe9f/SZ7HSQrUeZNLq3445YQw2L+JVMFlcRRBjvDaFu35e9Y7UPP +R1fjYzqW1p3XCnPXs9alEw2p+tvT1DSxAxwRSYC3laoLFT14U95e2FXsG1CEHiB+ +3YEKELjQyngBPzLb3Vtzt9ZKeFzhI2vdzX3d9A6eQafjrf1Va/iAwCtb45h22x3M +NYPzneLzCEJ28lf7k38dDKtKlSC/eExVWwpKRN0EMFKqpSutZH8hfcovdWVU0bha +FvMz92WQtf7H4zkf/JqD1JHTcDMUPHYYzpcWoMASmBb0OhTyO83jVkMIUx3Qx5M9 +u1k24MVOsXN3SU45py4NpMSE9KUl7ixaXA6fSyHfRoHHkpLV54lk6hFH+9///1D5 +EehH4Hga6QjWzTxf5HZ9HVr1I7g9MW8dy0sxM65ea6oFDoOmB4VYNAiGBLaF9LbZ +GvX525Mj6JAT+W76dDfArtn5E+Q56fqvJbECRGYVcxear/TFaIGFka3hg0yu4Txe +2PLnKha17YcjB0WzRZt+A8CFcsBZTBhlbur4E3Av/rJdrQT30Cm/RgpC/l8xf0sL +upINcsGSWOXK5p18yjdYFvuLEXPHfKS5DSHEmJTQNPIQztcSTG8J4j2qUgIbpQ/H +2v6nONnIC6fpIUmNfgSywq4S1B57K9adbE8ZBTL/SqEwvefM7I6rOGl3oPMaZY4Z +d+hOnUY1iTa7PJFIBI3hnMLaVHBrOwMd/Y1crEZM4W7n9tmNzlPEhONDXwvEAuZ6 +Z94aRxHUCXJ/2ZHU5REJjMxTpV11+ofkyCF4+to0SJ2M9aQ3EZCzPyZwSz0ytqGf +x+MtsXPNxRAWPOiCrxdMlJlu9qRP2XZryxZdDiyQFC0hfbwNjv26kWxaOFNJqAgA +UHO/smrqrEfA4ZzUX9pNQ2+4J4pB3pjd4jfGtAP83rNybQfCXPyRcnIa4wCagkpn +d/SwLfsrjNc6CDUY+zTG6lT0Feln27GpgwolHEQQwNteHzacDo58sVurGv/CAxmN +2h8EWiFKwVkONGf6GD8Zct9F2i7R0cQSepcM5UTARxKT8c17r66x4wPJ2PLi86tq +2JjReatFpxeNNLPqUM6aF8ItpBJzhJdm5iMaeWzG+qnOGUlXvk9fLyev/nfA7kVr +vAOOQ4+Ulnb7uKOOTIRZWN6RDdC1cjHo7ZKiKFwlM4RGU4m5ZzvvJ4cvXdrpwkbz +Ukes+GkC+GR1fhXsFxQPdogivOVl+1mERMaAGRoyJQZyq6H/6yHJPoQJxtyzNq5E +ODNZX88F3tqez1gbtCs49XQ52Y03PXyBu/ZCwbrZ3QQTpfH6JKyhxY+xCg0EW3U8 +iMmDLLLUAkzio5zm3c5OIYrEcpb17As3wBWlCad1vd8cefIJMq3DycKnSTf0VfHa +6OKNss8A16UN6GXCUzjFZLPkmg7gB8IWKc3+qNtIApMQoMtM53a82Asxu8IXv/Sw +tuQj/HBLNixgTfPheFNMAUc3YzAOM3Aa1S2G8EwH16U7jlYXM3iRwDvrWPMn2YL8 ++SokzvfHQBN3qkXaRRJlr6fX4eCn0y+snxIvIxaGRD7j8l7qdAx0q9qXCX4aKyHZ +ko5W4YsbL2GWbTv4QgQBtPyha39oPY3rBHHyi9KQqJDddeb4W+bCBZesw+gTXTFp +jCz8YQ6iWBlzGZCxJC1vBNuYYBNMHpV2ZA++9Jx5kuF3n0Tqdb4yzACOl+FDr/BU +LQBlNT+iUbEJoSqaYVcLbWiJwSs7JtFmkDHiq4iZQWtTgE+nXyg/fEkLTKMgcrlf +GSOR/10coYxYDbN3a+PkKOk+a/chhISvLUYn74jRbBr2RRnhktLXUmMVr15vg8SI +021AZiyKus4ubHiQurNzIkqAaQoKfi5qJVTkkxQMfIsS928R0i4yVaQ1GA3uT4XU +AOOq2+6hUtTY94cyFQUBTQ+MOej0loNd1h8Ad2IHxjvHUwNI6gEyb9R9oEWV93zN +C7UNRNe5AmhAfLHliRFGi30T34Nd9x72CPaw078tGQJaZipY7d4JhcMfHJfnfmlb +wP0ksk1inkqUg9UdGfsseozbs9Fo4aSOZGjlu6WVTThrKjQ+e3eQIZHt1ogKDHKG +Y5QcLV+YDgQV1Wfe5gsX06h/uT3vw4TzcVBOkil2wIEzxEkEZRGzGyTHxMa/gM4q +eQZ1GGaSpqrdIE4nzxyNVAr61FGxt8Um7CQ86TWYz1CFU5qw3C7rtu3SDxjTy2VQ +6N035rsODPIglkaKZSm1gMgwbfXlvAuHI0cWEOv2u1zA6jNxqnqDp6nui4NmKMfo +wYraQOUuVtURDpFElIIAXGpv1ECdfcwBek6GUeUVNspv0owoiq0BOJ+Shs4cYkr8 +1/eKCC2rvvBLmJCFLer99Eq4lgbjpuvhuNp0NEPr+fPnUiXa0H5KUEgXY2r725lR +jCXRNMGTTF89OWEx/GXnIumQGD9mv9PJClhRl0PErv4uo9jir13iChjXuLqvYIIB +PVFAo63Oh8JlRPnvILfN6xKol0qFq/JYcZL/VVvNjk3dIn/eS8Yu52JVyfkuSngF +L+bZhpm0bQdiwtX7p4idyPP1n4QElLGHHkcvr8dAtULxmZAmvXSBT7H/jWZmQMeb +Nm8VNIxUS1Ucm4BBChJ5FabdcoY3zLF2qdbg1iBtOvazT7SGPqy+nTk6MvHr8DMy +TECYzblDp6k84ijMH25OSNIslKojHDQMV7HwhS5sLhdMJZjJboFZjkZOc4FBap0K +9y0cwNoelguCyHNPHf87204QifP9JhWetspbMkVRzXYdnVfLMrhJs7wpHLcp8OGj +DfkEhpjtZSldmYi2zPwhELQ2evhnv0icXFF+8fdXa31r9O+TTfVLiFqFh6BMZe8e +wljiPLJbdCct9jiEUEB5Rdsfd3v1gzlMIvdoJW+mzvprask+7Ag4nB4qdbizS6cV +0xPy2hrIpJENyYyw/A0fFRnY8mxW8XNHCS332vRB2Kb1qYZEwDmDg4T8hWNCoukQ +H/PuLbK4BPl6iJrS0OzSmXpvO1GvH/vo4OB9mtMAqCLUDihFIbF2BDNwKzVJiimE +DdwQQuNvD1gFaRs0wBMqIlCQMn1z2kPltSeb/ovtucr/oFSKrhvHq6dodZxvnBPH +YkSftpGGhfVirLXiaf1T5OCnJw5/9XThiQfj3AHfxeJ4Mk2Anrk6gOYXDZ0ACT3J +vyvztLXyg2+XJa+vz9/b47TvYNEPxjoQ2WjCXTzjHTXJ54rH7gbBJkCm3rqpbHQ7 +dHG05720wKBghsZ+3qPpMbL5gibtUp1wwAXhInbyKgbDUUPH07e4EMUNxPFasBJB +2uxUQOJVB0fWS2OLy7zFk9BYloQBkg+Nai1UXR4bk22bQDalUPU97jEEYydRrzd+ +CUiJ+GpYUEE0K91GL6s7ieODAW6+yrMPQH8xW/V1CM0uETPQDZ9yEAXYY54mjTsl +cSPMM1el/NrMFRQ1BQomTtpXQz0Z3hDp8TEwyEbqTt5Ndup0kDSKcSV8NF8zBPhN +mnXkfBiWyjnbFu0DFVO4uMdFHPRxs/NFVetlq++ufHiCTIqXpKYjkYDGAVOJQ3sy +8wYo6DgRpNqc2adRJSAqXz3t+bLX0EN1mSb2MDDF8sRTCEnZMvQYuvMoouXU8awP +3Jn0G6BGhti0zG2bRi6kRBDYrVYY7KBqGTuBT6TUzJlq6jYCWMuEK4hu4udQDlRq +QHMtC0lruxu77py1o5IncZJ0Bv4rc0n09KclG0KxSOMw22NixsRRdAC7y4LqFaL4 +mytRNNk285DUFt6uGcG0OEbpdqBwrHy6OaAfI/aAJwI5zYx7GP42xoYw4dkUsYw7 +SuLbEciTJSSZKvvCd96cmGA/WTrXtOAk3CKYeJ8jQ90yIoDGzklQSMFAGBM2JDlZ +qI1UaMr4qR63IUgACKimt1NbPSP6gczDA6Ogl3vnmHMEaL6It1kNvxAAUVdG9vL6 +n+Qq9q0TTniDyD7BgyXXYXQXHEub2CSxohGJUgOY1xJHghUGkSH31JNvGqDsTwY6 +EggKD1wrjicGmeVcT6a5KRCmNLtZbNYGozq+XLpGGmEpKxpRTs86FUfm8hFZdvr+ +AbGVUJ3JIrOQw5m85oBLfn93bS5W8HtIrkE8mY5k6fbSkc3EGjqzc10PivqzGSNk +hK8+iPJLLXL/7pitr8/lFPeA92J3fl31MRVnwQ9RwxuIzVVaABdXz5RQvJpsSNKu +eUUhSt7C4ksxAn505VAqaXh3gRhAl8Py2CDUNqX8C1OLjgSepL4hSo2QnlCiLR4K +fUS+tdsh9Ok1ZJ99Fq0HhPb3RJkEI44nepe0wgp12XERjyM02L9GTMPQm/iMNUuX +zGfj0L9s3M5GT7eV0oCLatr4GJi/As44YMniUyY7JfK0n7nkIltrU/qKgYbHlQND +9R0iqqRHVY1c0njRFJzHFs3SIt8rNYGGJS3myrgqs18uUAqeR8VoY4kG+oE2VvHw +5Tda17W2TzvmtAGRwEz4fDuRH8Z7QZ8RlEXKGXi7OX3vwHTlzat3fgPUtbr9PCEY +IdpLUSogc4+tk4wupJoFb4JjQaXMcKKo0fPGJAgM6v41e6bGOJxpANs3kihKP07l +M0rABdutksnHupFuBtnOirhsL7bywqIi+oU8Sz6fbj6ieZ34HzjCBPw9HOZrpYRN +q23ED000kU6Xsw77K6TMGd4o3ZL3bex0+qrWrgSn3BsqoM9C+KkFeov1uw3sTZVj +Lbwj/WMNtNgehhGlPlGzfq8iOPT/2DpwXZkzIPube97QlZqH12wP+xte2jWFnJ1J +8pMKLM9WPEe3LTVsnsDU3cYEkH/8XZUQDXT308z/moKbxaYcScOZABAay9KaXFrU +rck4jQLT7Ps3Ghv+KY95mo16WdsH3eQIYa1dVRsTAov2hPNAOBTq7W5KqWGCgcZm +2Teaetk/uyPx3C9r35vY5kQwldXb3UQ+223GVemkzQRkVqCO+vMCrMVDo6l6um65 +A1o3VPpqLEnIZny2hGOz4t0/3OT2GZdO8OFq47Jwxo5YAwHUbIv9TByVR0UKOEfX +b9W4HDHHgupv1Yazfz1ot6g5IzdRZEKpnu01KqyMIjUUeVHjj+Mrwl+/+rTSZu0y +RMZEc/2MF5BuTBzd1Ngm3wpkIiq290FCrqo4qVtslPERBhcB0vHnEsXh4cboZkm1 +RBxUlNqdWL3cDXUdvMymwnryJELZ1s27+b+VFA/LFOCv5HHA0e1D0dgbVuHn3IAw +eNjOat5Tb+RFhbOqhToJXrfsKr7ds6c5o+TLgbLflAwW7H6EE/gbr3mD/McVXOjU +Av9BPIBlVUawXXbG5rlKi7jnenPudUaWzs67cFKYwhlErYPDlskA9/9a2SBxq5J3 +CouLOrXsSEhmhzekbP23cz7kzVX54iReE00nZdeTpfdYCrYjFDKyyVV2nS2LAOcB +Wivi1EYwpLjkp4IH8vigImsYhVrNlKvnwgAm5tOnpltb4kaMk3Rnl6OqGolvcxWA +70ZAMhIVQsjHe2znc076lpXObz1F0k604fFDFdVdSGKv10CaCA9m2TXmbgi0hu64 +KG+f3cAE/3KHTkO6tCqnoA7u+3YywIP2GPRuSAfxJt0PyPNOOuKqAfw+Yj6/GDSQ +fBrpx2SFrgER1KhbYt7jY4cb3ByqJOLuVGH80saniwDe1OICaVcbkUCgQ2bqrTj8 +45ers2fLR1cIzSVVVnzNIUBpOkI3+uK9aAYQKCuwgYnTDTYrKMxlO2H575/w/8gT +Rv5yET37eKG0toj7L/2EAYii1qzjjjSfHP168q1PnNb86H5s8PTAVlVuApXmLOUB +QBQ9NLNsJvJ9BbuLj604dv5vJIg86vtmCEfx5LI6hSEAwpxisOWlKtJ45qzEzIKQ +kv8vmIIif7JN6CouMF2y4gim37qZ7RqDYt1lIUjWL3bhiLDYGiVE2IenfLzYKgUl +8l3o3txkGaGt8YU5uRBxPy7J30AZizw0vAt6JBkFd7op6aUP5Ofxi1c9ZWD0Fo7D +2DbSEjTwBr/cRoDQfkk5KQfbizkcKNj7KtAOkAk6e5abeWMkiXxCNfHRAsuL+OGb +Rkq5HAPDhfxUpbUjVNNk9TvvFilsJyyTMzqxkHz8pc3bD5F4cOw2hilRPlczqgch +d0geOazerXG1NSWQJ/4evUgl6IrhNfauhZ0TN6Ic7p8ZQpj6Mh43J9pwXW5QpkBy ++4kPODe8GHJW+lWtfA2rKBwM8+QIuE7reWaPI//a4vbx9dyVvcWSc+x2ki5OWfeB +jAHvIXJ5dmVYpOK5s82HtuJLEIGaX/hMi5vu3daL2Axz88AEZ5ueXcC2BW93F8FD +Zh8oOaWJOd45u6Y6ILNUtoTjYg+FbJKRJrPyDshLPK+ka/o2qSVKBNaONM3ZKlPR +01MSeqhDX7IUOXmNQyUl2J68TxVBXV36lrBqhbI1ed5zPF2UmZ1iood+jX66GQfR +lziPp1ylSijrOiVU2Io9wKdcCHKdoHuAXLt0nPnDQSq18+/aHL8VuIrC03g4PK6N +BBeltsR0mDWI2xyVkFr30pFOpuLoTc19Fm+0VlNyHGEx5Wj7bKVx0WJkIGWUxNlR +NqvkyJwyep0RoGNSWxH5IJ2jf8kwLg6e+YSU2re/wdpKApHU7MIOQB2uGqd0S0tS +b+k6ptT6wlwzvhsgN2rFfNbNuCujZnIjJMkt0sSCA11wQTqBzgBjF5CbpqZRt3BF +YplkH/Ld+IPzho1VNi5Ga3iXaQTPWjHfi0rGj1MUovCvkbjU9amvcDvbCWXTXM5E +KN5icTvvrEgVi0fUd3KT5PQGCS8A4BaWVwoXeV42Wq1pBK/DUfmYNmZEgbd4VM3d +T1kpu9Ik+adv/3PnSeZwXoYRtj1mX6v8Ooy7dc6bxBfZcj9LxsacrVpX22UXBEgE +U5lodRIzCUJgvP3jLnZr12HNyDe04GKR5/dtfuxQZi3EmMFJc1L47GoVtm56ji6v +GXxPTXdCFwszE5JLPmW7JqBoZ2LR3ci00XiFutr+W4vxTJId0eOK0f8ymC5U/Iu9 +bngPfLZLR3AVH1ZTNiDeJsgtmTuIPREtqxMJnvG29q+tWjpXlXQrZIdLFI7SL4BQ +WLu/WxKQkxrjoLBkqNSHz+h2PiB3xX7QX/wp8Lw+kkk2FQtwul0EPrBvX2/fFLla +dqqnPL07uxtBnDiKlbJ9Is2jsbusjmLg1UNC0/eAreBPzL3RFXwj6JmYbPA5pvYt +D0iFIH+pHiZAocPHqwa9TNPRfDbDgk/HeaJepMDzigbM2m9MloAlSeHoHlfaH304 +b2BVOfAh+ZT0VqGesNQM8q0F4i7hTMYkfAGdHD8+ACtPbjIiACgOg+VAk5iA0mjc +78Umfi5WXjsvfvDpw4mQ1AmbWXyCTZMjsqp4OYKOrNEOtpClmxvadHLQ6eOboAG7 +d2Koaln8M6lAFfoQB05LWpXJlWUOyv0Ukup/id0zwpqUAX8oDU179Yvcb5F3E23F +h/1BjauumApAidtIFEER0mEw5ZAhvlFurfvznb9JFbaHh7PPWgTQAh68Xzwp+kke +XIOhqsH6pCESTcVLdqei+AiUuqOdoNGKA7ViWrhep54KHoQnq/kezK4Intf7+8NX +sTZSAApmHuKbyt3aAOF/v/u906kjt3ZBI7Pyy0YdvIO0Ahn2QY9scvKlCi3hzJPt +W3wAJM44Lqz6I9UTnq9XxAiKDAlhLjmW8ZIg7JPRjYndyu6sfczERe0wyiquuV6E +q4PQS9UecmpWWvV0kJ8aL+vekXuCsl1mGQQtY2paIGpj2CG1BpkKHDyb1Mde9PS0 +t3o+VnNwYdBxidQmQHDFpoH7KH1l9xRKW+pQ+FEllNSh671V3Ptjr0EoFZOPub8q +cOS1NsgOSk57y2LeRxTDJPzLsQOEld8jlMXHe3WYCkPBX9tUv8j/Y9vYvqv13ciH +AZQ40M6eoAhEQSc/oxo4dyZz35aTOIzXBhDc82tCMkxdU22SSlhFbMdTvoN6lWRe +CJi9YYMIfYD8EVW0AtwOjtxOFM94V9jxAsdweaIhuqy/aKSXA6hh9C0wYnPbt53o +6unzJWML2wIrE6yaCFegMNI3/Q8TTz0iggnRSxJLUNi1z7DTT1aFFtB6T4EWsbIW +zs+4YoHJ4FlLByJ45XAmK52zTMGJxJ1uaVs9G3xSsltQEsOj7WT5dJU+s6FcSZ9Z +cWWzyFCv1IYRJ/NZsm8w29wjfB59M1NPQo8TooSv4zvtdpQhtkdhi1SiQ0EvsLzL +L9ZQiW8p3b90DD96id+8FfuWcVHuaxnhWSwiCm2zl4UQwcXS7LPfrAvYOlQ6RsFT +6l/rq6afVX3ELmCL3LEA3/z+L5ftQ/tPy/EcUSIU7P2JWdNVZgdqUSzEWq9DQ+Df +YyZ0BEo3v0AVK/SGDBcmTVl4UU85TlBjNVJeLpzleOekZ+swEJ8eybYSrDykKw71 +yNVqQanp6/Ihlu4tcJ40RWgniQJUfgFvcdNmxF1iG9anxwGzklEGIrPmlp+Q+2eq +LYjEzh25hTjea9mOfOJiEHsqc202kYHDqjb2KiIH4GR24CegoRLROhGvzzQr4ofR +rPDbpmgvA324TtKLbXH6q7plskLqzoPFVeIxa7XTlFthwJ2dk7PfpHZQv8NxfzTa +vEENKOL2nbU/8HU3/na1tfxJT82fHByG8PbDRPXAYkUyNhEDmoN1Ac7C3RpyfqG3 +rAUclST+pEXwN+eRAAON11xrWvZlvXfGu8Xt1K6HQxGhNHhk/60TPaRRx8xeSMlZ +K9nCpKerf7VLAkSyGEPJCiH0FKwkj4kRgWjw+/m4k3LCcsybl1SuRDQcCLJgW3zu +Qe/o7bc92IDvXZX8DR3tmkeE9jPm7BbFkW0lirF2s6uLEub3bbEizvcbPlrF15o+ +fDcv1Q6moKIrRDWH1+MX3qFUfSSGsbA0yErdz6ohFhvD5mwruyUjGk6e6+of1dkh +CCWwhYMnCvu8PgIQ9hYZDgnOnFTb3clYjmygTdz4LR3xZq9xvOEObvjeNNFUUWPw +fsfkS2TTE5WrPJ4jas0amsuUdb1ewFOpewtBgN7Rvu49mAJjLTsDkooOkBA+TCvC +gm8RMBSSg2Cz8eMMwZGHYBTbXViu1hhRwD3fiAkz5S6L9JVreyWz8Jr9LU1npv5P +E2WqKLp681IiBU4xQD++uz6PBitL4VhsKn76wb/ETENcmWYhJylMxJv0tFhSKxmx +Y8eEVmp7TRMfvgbHhxeqcSVV0c7A4UceQDnVLDEFF7hmY0FXX6ko/VmRu/oaKOTl +7fglaUWT5PSAYfTboGoM4Io4itJOn5AwoS20SerEtrN3k48t6oE5tVY/V9UX+ovQ +zG5fr+S77oST+ztuEvmIbAT/BPFOOFGs0TUg5Rh13wctckeostHmP5XN7ywNxWtQ +98NgmTMqodg0X7C9F+F7NzPDrUVxsuZIs2iJ4jkzaB9WeeYAsC79IJHfoezkRZVZ +kuEdK81OqlrzqS5kXGAzwmhv6A1OIDsc6gsdj5suXMo+7/kfV7hV4e+Z9h7oYXsw +ASnei5VNqqPZOhgH6iAzSwSbE5x5TM6sJZaUztuUhp86+ID/01GEqEDv+h7MFl7W +mHcfgac4G+IAf/9EvR01egClU6mO8d/d7dxD5zO+wNe0ZOPx9005pXD0zF0mIPRc +eIIk1tzuP0gNMpJau5JRQJv/9YvmHI8vlvL/aNRaeFvFTJ8x3wQCiIN6j+XnNGFr +F6Lxu6g9mcImVpxytDpqxZypv+mJeD2Cucgh6AD9mBjk+xLXmCzKJiystv4NqwwE +CFplPCUhYIc3RTtPFvGt3YyOGd9FJmNEXx9fBUk2Jtt7SGs6QPw7xVogRNnyxyIM +N62wzD/t0ZZ+yJcAT+B3c9HoXXxqjw5HM9CBqAVhIeb3pbWdWMrMxadN6jDdBTga +0jur5PD8Z8at/peKNYuDN14ca+sJKlmI+AfvEtj23haIvxd/cOuO6/ZtjxC1QZRK +crbDURpESqD/L4DTGMdgsD6Kz6eSVIUiqRi/+WSNY34GOkojXEvUR5NoGcE60lir +7zxHn1JEpI/iHVf3L/5DfK4R6FLJgXxW/mTzqpEXxwes0r2O2ReFtzy4x5YmReAX +oh5fReidalaBLlp5ABdFGilYv4Kg68Hy00RPeNA2HdCoQ7H5aDpMuYR7Te0EbW1S +oH93A1gXNQZgz6kDVNciAxOfs8MX7CoXt2Qysc/M0ThVZdXPjgo8MkWcGkWQBlMK +XxNUS0n419qCaCJ/T4LW7TFYv8UH5fo40WwxK6Tsfs6CdNiuOo3zBOJdecNSYD0p +hn2q9ODZuJU77NKsdiS+15zDucHYwWQLaBf9ZHLEah79mQcKI1hEsbaBDlxueGpj +OenVGk6cM+83MBMaSwfvI1AOu/kZ6qkG9AiaiDDb0wzKPi+Xiq+2BQzrZVX93Xb8 +t6xT4NyHSuyoxS5lK37F12/JRpo4kKganMZsztqjc3vvfEqKcVfCGioZDUQe8VRM +GfKgALZKKOd4OPzMUkfpUPlmk6GcurMlQT64RtU1NLTc/IvayNTT5aK4EU+HEUNB +OokYzO/jOwQVxmClqZz5s+SRZlsFY+kDtHGV+IpyETg8Xq0/Yp+T1UrUow0FRWaw +191fSQXUPCux3/slx5AQrHaPoSuUa8WaqmWhXxTq9zx94cwufiYZHIPXM32qmTVO +whl2rVwvTvsFRD9zhuxBLVzLD41l8OggMRky4Wd6fu31XAjeDUgV+XwUUzOrJr2n +BxnxH+1bXRgJ2G7r+07MALvxDR8r7FJ1EyYobD/elcIbF5o4b9gwUumAi0eyY4XH +5TH4e1yFUWoCYmFN4RJZ6c+X6mDzLEaefxPd4ZtGy0K2Nbdgl/eRa4WRvpiABroE +RnVexEuWWVRz+LNw5nSh4Ntg1ZfZpCXxSJwSdHByFts6tVBAVnaAxGnk4QyVbjVh +nUeZMeBfEXvLN4PIT2n0t2bTiHG3sc6EKEgKsiuxmywlj37hRPxGgyn3afTVNAqO +ifgEClaS9LPD6FJ4d9hJWjF3+1y9IFv/LaaM5ppjZQ4Cm0k8+afhVXnjMo98HyhK +jo9WPgDjj/KsUi3DDX5fDpUpYBDAfrdKNk8lJ5IlwXMyb6XbVW3qkr3+JkPbYAAP +CZI9cmnoSf7SQrZfZ1pQrOAzFsWkX7zI84Uwt9TsjrWQFPgqoHLeOnThOgZaqqaD +W2YHAnZoxZ9KiSSx5l8cxmjZWnPJJgtLqdpUkQoL0zOdS/xRPatkNYHMtp4fxy4r +VYdyqpJSqB30eD2vNH6ryBSBNXiF5h/SJTVoWGXvNCU/Piw9nz156DFKSKiyk5gX +/OUhlUVvBT4XskHGHAxMSQrzzkYnddoPHmiphBIHe3MwsUHhKWDKigy48vOcJcDR +QCS81Hcpow2lfL7WC7V1Q8VUb00rBzlLvbkpZkjqcfl98SomhWNsFsCd6SdEC5zS +9e6tqOKHsw1hXJNBYa3t0aDg0R6c6SW3cRz7vAX5uv8vZd9gsf/3y+00lasa/v+/ +eZai1xGvGpEn1G6xwp7QxZ37bO2IFux0I0pBzDQKbs+qMseRoDr18NBMj8Cr1fVm +BYYrXAiKmpw+ha9kQpyP60vtUErSAlcQRFZaiNlDJBSCA9ViRGzd6ggO4bn98N7O +MuLLpySB3KLvmHQ+I3ds3NkxnyPNuSSNq1qWR/sho0jDx2Lwcn054nBqvrxBtb8k +PbBT1mvmPBEvBHS5NwnrbPNW1Du6WSVkZ1KZfcY/McSlA5CyQatofjz2YMcCPJk1 +t3XVDX2j5eAE2OBNSj3mf0tTTrEgVeUXYrp2uDChwcpYkEulPnesBzufFxe+zFak +z0uBhwak2i1DYozSWDKpSQlfjRc4mjECjjeNLicDBWM919DDGVXz8/Xx66ok1UMV +3ixUpwQoc/2hMMfVAN6wHpRVghwCqq/AEkbmvUxAewqU3BmGTJOTC0WpXbj0ZKhe +Wg6ITbHRUgxfcZ4MLPYl2dcifvFQBeG5M9mHfWbjE2rphul1Od1i1uTxbBA0rDyL +zcxM+hX8OX7mHaXKBNjRaj+HV8sEaUk7DdrzeTOm7vymPKX8Ubf08M9R2QJ9o6Ma +pMlYU9G9uuCQR7BtVPmtZWYlKItAdVrGAyFijCcnvJlr4uiInA1itOMmDZMx0HTY +vtqXR1EdLzA57Erl9upPv8BQuCr7TnpDo0YlluwwoKxgL4GIgsQcq0P6T+ocqXnC +3U9AXE3LCpJlr+202OguZ/58TYVWfdMQaUt0XlP7Q0JkU2DqLEG8PBx+LJBQe0zP +c2ZDhlLRopTOnmlE1rci9cTcHcOvWJh9BIEmvujxBWI/4rD+NGfAqH2h57HteIMp +ewRKkHs5Fl8WY2tzRMQkoRepp0DdiFu8fUIqZlrHa7ov/LjwGgQDWjdhHPRxudU7 +tgvUZ05aP2wa7OZZ6B7K9IuJ+sIQS4VtSQEvJ7+/Bbao6CRuI+gYvGYClLlDjPmy +gD7XI8Hcf5uLMtfw1r4TWedT25WRTxhPdhTdM3nZzT9H3neAtClhcU9YfXt2hfjT +R/xAKA7ceNB67AKBUBVahCQ/FTlLSqZ/8YfE02ZTiz55Nzm3B8xlWUDx2ePi51he +mya4OTT52W1fROpVFiQrUSkRDLNuYZw4k5xYlcRC04I2RDtihCuzaBT/1PZSFoJh +iwikizoJlMVr2JpdIAjtblVUkb3bIa/I9bzDyxVBoD1y4CJLuqi/uluKyWFk17Bm +gJo4yr+a+GGVeczAJMVEtXW1saEneWDzcTKisTazPi1e5QCTgUIfjnXWVG/EP2tW +CVphOK3t6RJzBwv96fUTzK7J+niVonBkVP7jipvxp4fGUje5TaoqmA6DqE9ZARSh +swmPTn4fypFWMgFpx6OAcqydNGPNZwOv+iZ/4P7wN//joJOU2TI533M842pG/G2G +G1wJ0KHTiZ8FohH9XUlc2D3FgN93xqVZJ3EGfB+GMc7Hza1TezV64Xslsr3CIXvz +A3JsrqgB+Ws8sAy7aW92ykK39gzk0YiHzsxOxdbsQVzNJf/E9OJ1mwOM0yyMrW/l +/pqink5YMcw6oIST23q2oQ5rybgBXii/Hjoiv5cOYUgd6PuRTshgiS6bfKjkfer7 +EG7ZdWQTws0hNKUjK++6TbpCBiey+VDpTVBo6AUU/lIYSpi2iELVoNfu/NAzDsc1 +9wCayZBt8ITu1nJw8Uu1CrEzRkzjQhkNi4TSABq06Enn3HsLIv3+wACLaFD6pdMr +Mbq6FX96O8MXVoR/6cm0gtVSLg/Kcft/J7D+sYmrrfFLPz1eM0+rhMCo/h/mONNK +TJElu9rLUs7m3l8iOnPx7bwlUGig50JigZY9HRJk6OACVzZ8ieRYEWpSSKmA79I1 +0BNzGEbNsvPMoLyOxiQJ/CwZ9WnPV8mqEFf/+Z6176oVIgAwkpW6tVy3pt1iI5+o +Ne5k3pC3nUVQHkmT/NcxNpu3l/qWMwJ8i8/lbi47ZDZNHUDP+t1jSrlCaKsnX7d+ +JZfpGy96o5y0Am6b7QGFaaw7jdhBlybrFEp01dQe66yheU1+jT0HgJkk1liLMXxd +MZUBP7S4W/ts8q3E6w2SXOcIAwE3YELmtWS+u9CHehCzJumj9A3mXnylAlNA06Qh +Xx8y3i4m8Qyyn4hZepEoEMsjYyyDC1h3BbDJuvbeaxPuzmVOwVL/cqg4KQComIJG +xSsOyttOodX2bpcSsBrTwKO6u0knhswV+DdgTc00K4QSvwl1r3nuklno81m2ukYW +lvFWg6/SxkF3G/22VKDikftASQnQCtOMNira2COih2oNCIIrOc9pCoAbQRbmWX3+ +wbjSBZ1ExCK+5zN6CzEvlxmUqZp/mHG0HSZbhk2GBU9Qu9gxGQVi7jlxVm55kdGZ +KIsKROKWHdkMFfRfQoH+KtETVrj59zjrmMEoE+9C0Nv9zWY3HZAoVCuxoryZ2N25 +1t4z21kHX3z7vsL3xddpVDw+Lhh9Jpw+Sv8CvK3hRcpYzyLyX+d8AYRoEv1rjhNu +8Uvoyyh4OToeQZun8HzvOkaNIQyuPF9wIS09f9Q7Zb4EVqHQNphdOozKlNS5dCDz +EVVBQ4LW2RKWx+Xrp2K4eOuRp764YDK9EVGBXNgiXk9flQz80u7PGzUwSd2DLU0a +kJame0cWnlZr6KAXsThtIbNBhmFieyxj7Ac4S/qAGXNnV4dbFD05eR4QI5sCIg4U +OlkTyK/4o0D2nMOPRoZ4m0sXIAV6F/W5ZWEXzIFSTiEeU0Y2xbKGv0Bp1EQFwQKc +wr9AqGHJ0Nt1IzPIsJGTUXX5CEMpEttyiw60HmU9Yj0Ex0gTQJdsiriQpPnFh1IQ +LX10pWFfhjf2GzCAdXKhjj50dUnZhtR5vD3hL/5ZhOl/+ReMmdvcenVQX77M3nBq +2BEA4pcJEmjvgjP1FN/e0DoIUqL+qX14ykjlzu/c08nbij6fdry4rMNEkUuEKQsy +3Ea6QvHizAt1084NvVH2riSehdYNXKKoP4QURy0JOoGXBRcEnRx2lMcQl5YF8j/L +AuDu1LzGL3+YRWF5dJ7VdmwXwCTzTJs5crOdw/ujFDq3VDUTiN6UvkHNoGI43vh5 +DP3jJdGjbg4fVCnTYlSUKgMmvPxzYvHIr3m0Bu/i2joVdNFcveBxgefOpMktp/Sd +Uu+uV7jH/3BR3Keyy8pKMw/r3PGRxBNfb0pcC3b4Km7gEd/03bj0b2oCqvAEArGj +7MHUqPr9YIGIm55JkoCAnRlbqRQVi95DViW7IJIvfsekeROBHwKIofY1HEms5RAJ +aTY4eMgU4Vv2vnSzlg74Q62mbrn6hv98qcJmWmts3dUMAnG5A8SBI6sgBcbY7b4i +xHa9TE8cPgMs9y3C2VoB4hB5YaYpRNTLY1rUV4SFcwjwCdlGLuSptG+i8nK6UMah +wj/HWnvLrEkokzh4LvpEOYeYd0vXzqMwDCpao3PF7ttQ+YMSqVrmb5LPfMSnm459 +Qt0RJqm17fdGyOwr2fNYikwAw2qJFmZgOMkS+1yTkX5NLOCgfF5wcRsLuw/cbz8T +UqOpHQoWS8KP7c1382ZwPu23Jyf2SgfTOxsQVVYn3LRBgiJczxzdbatEjp2G5xPk +OusdCNctvCsudVvjyFUDzooavejHiuD+2teSBQclg8yGNNjRTzfwiqYh4bUZUEdc +YvCkzEFbnSfbbm2e4judbh2LiJx7xYtaerKkly+tSbT4uB2/SMi66+BQQM23O1Ot +57B3OzIvYIgW3D9kpoOiD3Qc1GwzZ3m+4lNyeIzQUy48GDvZHeS9SRhuiJyqdsg3 +9v4V9iBhHS4eL8cNZDCQPVCL9FZBy8Wcky90WFdnPAv9o+OCK1aWFU8+HEFZqSdv +PYFx+pZPcD2q8AaKxLJFDRScxkVMCd7TX+ieh6u4k4PWu6iTY9zceK4DGjsU0oXO +B5VgT1HaLzKEwhrqv8thUyaXJNLPz8Y1RQWxVLthaUihKyQydjqenQsR7ZOKph6o +y39tpXXNa4PPItfOKvQnVX3Rl/DABGbQHJCI2GtIxAMhO2sJQFHpD7gZFTqBzl24 +CM/OhbaunCTxB5aFl6zjCdqBveD90SENxhZLW4GAnYDjkQ5LlugRRjGbmtEJt5lI +cOKgxPe9ounF8TAThSyIxYhelP/efKAysgrB/sCRkCGmjbiFRER/w62TJwj8Y1uU +PsJ/u22UkRAZnp9am6pTvDn/EdW172Bda0DBEOUeR7JRM/gjNjKA90Ue4tJAg+FP +GjLs/S75fdAzuWtDyo0XBRws/4QxzVBVIdifJfOGC1MgVc5TzXntw8/+bFi+jkFF +BGF5BigeoAD5fx1tYpagY1kISZcD3+YG1IKURq69yfEwze2fNuomsveSpZ5jXotv +ZFALZPwaNqIjLGx4ouKaBFJkZ8ldkpUj4IfaotDdZEQh5h9cQA8xUTzcU+Vy7PPL +4qpmrg30T5ZYUNgUEamGL24ZncKFhktD9Y9/IObtEG7/8qPuqdKdCiKIozULpGod +icR0AYCajY89F8fDlezv+hDgu7NMXoO6hcEUa4jI02tdLOXYorWcEtl0JzgwBbo1 +Q5IrJCWc/fBFDw8s2A5gHJ/q8oiAAf0UjH19HdGoxEWVX/U5CGDAShHFryuMD8be +CHwqi1wwFSQT2NJMtHAWDkQi3CdOGBELwGmlOx717TYNcEPZogsR4ea6RLskYDVx +kDxq/ig2bNG5oas1yn4fZcveQRYoPC/WzFnUXxHoZ0OtwXaGusffrDbel9dYnooG +ehg9XTdOPIuinlR+tFfq3dGzCIpBkKNX+S3rh/KsPr9lvqt1XCze3LEVipxna6Pm +mziuBcCfpHf0Dgo+Tr3CYg5qxl2NqIW/dHP6S/oOq/P/3KAXlU7zVTYLb+rgRPjC +PZIQYlCYqi46gKoUFZ2B868UCMbP0eXtgVYr+6XKI1Du/BJ/x7dd+XhwX1c38Cj1 +T9a4AfCRbym8vmByeekdKjvQCOiiOhxE/mx3+FbSjRYMAxoY7OSAATqBlbpzKK0D +hic465cJOzssY5w6vaDzDS1MDaAT+o7yl9Q+ghSu5fgpzb1GYFxl/Tf2z7VS0vsI +mXqZ2Q+CkR092tAFMIyXAg13nX7l7kV1jKXhHDXakrmPWbzk796Pr45UO+2PE6og +Xnf2qEZ8MY/ky5dWshbVuhfVRHE2XfLnzZq1wdJ+272S7VdU4x7Z0vN54HmUl8xK +Rof2Vq1vhRn/u9W7d5xFyNbKmYXzA25m5MUfUSre21Czvk+tXjJa2mFe07i+ueAG +6bFuva+YHbTV5WGsphuTfhB9cfCXbNe86TS/iy1VU3hYt2AmGi1jcmcIjRY66SdR +mVrkkwxoYT7AtxDpp+KtBXpKxgsLUi1nTEeYBpo9vK46KefkdLZLk0z5lqaZlnA5 +dxtn6sG3ddS4X8JSMjd1y5OsMUPAlHfgcoshNF1c0jXzF/eLp5PA2ry5pl8sFtGT +svfCiXq+xEUGgBSp2+SyPsXKyumkN8/D712keB3Ul/S5uMT1ef4njX90kr0KxqZ9 +07C0Y1fQZ7AhE4hz9dmoAemt5OLyTFQOTwzk5wRHQWfCcD+GsUFzCQpizNafCDKv +zzV2y1UpY4wTUQgfJD3brl8HGyGHVY9FmWFrCks1z4BwBNC6ZifZF7P7xNJPGBhf +7+brdEbF1bi6mhRBFjGNxiEVyG9+mFPVxLdVc4xHPsxO+sA7jpX2aVoAzDyM0roo +t3AzvI+IvPnjp/8KtknNcAnxTerxHaoPPYjKT5clzZHoudJr0TmnApHbQQSlHZzA +FBISi5GyvqcK7t5HyybGDRvC0sjXvdot3cSCif+DMbjEJk0bZcQ1QvBL5ROmySum +MbTEuS7G0KOt2dpYdf4IPrvyxLWfyDz4mXTCzaw+VJXMHQtu5zpfGJGWjgtm2LZ5 +sJgGmH/WjSBWpuRvmiGJ9zUPYDPv8IDZ+J7Qnbe5EM8wRt1pBFrCO6Ricw8YKbrL +/dA2yaZ3cM6i023MCEToqe+0tJzNfUSUeu+Dg/eKDAPoUFB0OlJX5DOJigMpmoMz +qFJ7tjtMPLmxks1RrMeJQWuTsg7Wa0Y8ofFo3pIKfj9A4Pp1RIcsHMRRHkYpQp01 +t7chU6uI7IcPx2zEUcI8Awer/K6oNN7/+tZBSabyLeo7kRN2OSkQGfcAlMY8Hwpy +nhyS4Ea+o8wDxd9sWf8D+lZeGgvZVjuECPl9T1gUEsIvuXMCuxU3x112jFD8ll9A +mD7SE7z4/nmBIRCa0qg4a8Zuu4dViWoSGxKz8i/M8wTsviD5cA+bC56cNtTr15QP +BwYUSbQfxdhOb0V4jcjnFFe2HMqtzXi7oCq1CXqZ6potc1ce386d8r+fhZ9gVbO0 +Wkqwy3Vpd9VFzdd1+0q5AVy4p7Jgs6krb7jOo2FpS7nGv7DKHzwzadY9v5lhZZSq +MRIPv64jDWcW4e+2L+/cNSnXj/Ml3rFgSUWajHrlNNWclKSUoGMnjMyJ5vmNZb02 +t5YbmJplty4PqiLkA1YnDtTsjYTVcX96VHyLcxyUNjTd1rrI1K4p8XTpkQZq6SMY +BNRXggnFIM13AhBYjtY6gyVpk/wtzNCJ1ZwiX60n1T+e2X+zSwPY/t5/Ddc/Nc6X +8RkJZPPWZNP94Dk5BmDaWUZD+uA7mcdMRSt6afPxCc/TbLbyK8U+vuc9cw25LaAK +mbqE7dleYO7y3K7pYkkaaODHsMjBiP+/8CaVGiKOaHo8w7K8hCr/OzzrULLVHnby +vmN7HY/aGHOEHmkhJtUM1lCz49KCZ26S4et3OIDjGijVCbvy9JSR/a0cu/aSeieg +Jx6xlN5hLN+8D+j076CwRjjVBpWn+G0mM8K78plK6h1LgIB1OfHZcVi0UsBthlrS +qBhcFL/HrEAiST4F3TaM7XDjrSt+sNwuSlUzp+Cv78P7cqhAO0OeHhDiVVsgyGgS +wqyQ+HxrYKmYQN7MB3HeF+aCvQoD2M5o6n4KmayesR9w+42ii13pxAjHevSaOINk +ukAeNfcxWWRCHAXv2iQT9VUXq/0lqETyWuJGhT+IS14+9XfJ3P1kCwddcIFQWrS6 +RKw0Wbs0GdNQZutAmu3Ya/1JroQIvxqZkf8wiuNRm1sNKMMeIzpkgln3hbv4jWoc +3fv+/C16bVjHw2XMG8debVY2MnTK/XwMfkvdf9C9UVyt6VShC3SuhDBc9GOy5PVl +Q0Psmknm1OU+GWS1hB/YNAKBgempWppBEpehGMNJ7GgGncJyIx9OD8hf2b6bvKpQ +z/blV78Qe0RN+xxC36vEEDxQ9EXgGBhbGRO//y5TiitqTuSLIugevMf1uwPgys6b +KiHfmJHyBlaeCa8cA/h7u+HGUss9BiBfZkzL5pIGHslajbivLAl+HdFQ1aAE68Un +SC6D7bIDbWouPtIqp9nEUIUMFnmidy2srii6EsnFToC5An7i5c2fe/NQ2DtqfsfU +WILaSI1qvfz9tsXX70t8NYr1+2oDvCtf4Z5Z7DmbaYGOU/9LHdx7P4R6moZoX9iC +xVoJiKM2TjZY2CnNAE3Tbapj02aWkK8Pasu2u0/pGgYlqkdQF1lhhlrb668n5jEC +u6KzLFs07a6tFMPq0c0Z1G5iwNOtPpC+Xoc56WnTQsZxKlFLKG6H+lRKYo7MLNTo +pd65l/5lK+xjVxbUrWj29t8SrpA8QBx+k3u+3DclqetRpZ731EpGHzzF6gs5JBrp +zsRROI0pRpoEI35YA44Evx6DJ2gWX1qLoPV2NxpeuFxMGOFjlkujjv6WyMcMEAKV +60xR7ipqyAe7fXcFRtMoNYs01qGH4m0ucJOokQ1NBtHAAkJHBYveDxRSBZANa3ZI +h6bcrfSx1nZmvsEVNrThIf8/YyjLpMh1ecLKykckgnBpXdntyKm/ceiErAqxQTIW +GN0nrTrR3YZKGfbFmbgi7DttcTVhxcuLI3l2vFMN6djJzmsca9LVBRslJLUaGnmY +0eSWl42p9TiPrBuXvZI7srLbSe/MXSWYK5znckBQdSwnEciPmDvhh4HXeInXtQQv +GzVUYSH3FVb6Me5o/yiiQD6EyBDGQmhgLljXjRcvf00E24sPMEORVqOm5c/TbxEs +9+aPOTewpcvuIfCQVerSAguc7y1jDKM4CV/IXYIkfHbuq7L42SpYlVDuH3EHWY5T +onMii0u3IB2dPw3I9QIJzK84sPy8py77MEQmyzD+Et3qMdt5uATySwwpBnRvau7J +rD4SdLfTW1TrRwe4czlFbnwbsdZ3q2CTUr8+WD37Z9HpvaeKXbICqmMltECrTXc6 +Hgsr7Hrg+pt/uD9qhq5sTflSWlFGWL4Kzh5Iaz5kqdRS/ZTptYwERy5c5gd/ZSSO +kpcUvMy7UnZEJaB7HccBZBQOTqI3fkzddlh9GVlYzz0KHfmO5hgb6Sxg7N4s9Mpu +mhVilo8v+hv9D0W8EReFLZy31xzmF8R5WHz5AQsNp0qK77oVExe1c54L1VjPp5JK +7KD2ybwwQSVVxX9t1GioQCU3lj4aXY16o6UOR6Voq2Xamormjl5Obi03zhrj17Bz +M2sYzcQZuYjb8wwN/bEFxsb2NbQEVfEDRnakBW1EOCN0ymi57Q9/OHKRZOR2FcOD +I8lOR43sDzMhsND3xXc0sxXXzSRhXaBt1eD17lk52bH4yuwSNlvfW+Rp6d6ETvIE +HdtslQA+DAU+IbODCJvbi2DNlSVoGin5QMuLu93btLdZiQaA4wHWcPM57XETsGxM +19W2JyIEjrJQnFxbw+Yq0Gh5iaYxRM7hSM3olPBFSxMzylvFvHaGPa5jykZwYI8E +ngjvWNiVsCzq+v3l1ekAUJmpWBTiDqOjalo/apPPE3pg2qzKaeh5D172tVSxn6HB +zVbGIA2vke5uR8k83LLBA4hgrDQz3BHTe1HYcL3ClqO1VDoNZGb1xAA/pN4f7hT8 +jr9gnvWumambRF3yAbA2wNVxqG3jWVjy5pR+7LF4SGtd4nX0eij9drzIF4q2Myof +ZRvESQ5e0zEIHfSd7+rzF658+nWKKwJB9CLT0Z/7amhdXncCig1Z43sVOto2Vb+n +A34JQqhz19IRr2iiaTYahoUCeGNBdCQ86Ea2oA0mBveZVeM3D9DvHQrR61qr1f0V +ocW6HuZqlcvKELoB/gn4rmZZfQlzcBaoYLYnEuc+osLyHKTgjZxAKKqNir9ihwyZ +oSGYPcD7Q/tcrYYzLmxAeV9fyP7l+I4ihDCg2WiLYkQtjkozIO4Q0oz1Pu6ESCvk +1vK/ZS9fXMjQsAZ4B9lIoFWPcBdqewO/NO+ZNJFLrMjJyohpQAKKBhOh8bNXW5vL +627dFFoQet5XrjyGDGHmDuPlleXH0VeVupHns0kShDhz2R8MYYSRq2obKWIUNnzO +xYM3SXqtpnSbs3nQsprPaM7HGo62PevcrPx+a1uSdumCWM37S5fUboY3faJQmjOO +FiSh+x9SmaKlKc/At8OD7sTtjUsta5Pv43EPVJODODwK6fcc6csUp4XoSiBDe+Gq +FzxqKZ2JVYECWUfs9eWwqX4xe1YnctJ7/hnoLMV3J8Zq5Kd78B9TXblovsx+tun4 +3phMXBvL4dyAolPth10hufEChredMKtikrhyrHB+cdXdH//74vaq/rw4Pr0G/Cyo +CxENBTmZqPgK24IKijohn2ktPE8m7gz96yX0eI1vQ8EXVZcIICV9ozPST+FMUuVs +TkYXwheAoD+wtTmOFzqc8ZwcPoNqxwbikqLBPZyz6qZXdHn08Z8WvZJRnuLgnUhw +wSdW2mwyEEYpSiaQDUfjHzHEd8pyapWbohGks5ZOLRfSps0VxQeq2zfNXu6urB54 +OK6OvK7xCEsVVVp02P2V6lYRMvPOLBWRMJlE0Wr83u9xwanCpXAzlVnnAZKCYfO8 +b5wM0v3p65UAdBRKBnjgojWVUL8wAbtsewJGY+RCNpn8G9Z+Ts3X4MaKiw4UxL7t +0Q7A2W9KYN6FeEKRzw/WwdEdnW4B/zZNh/ylVlxYpYXiEjTyfal9/y45zU1T0erI +XLLu2LygYws+oUY1C9clkc6vNAWRoKgemcBNbCoT7IOKSsmDcgGueEtPnvMMUIu1 +f4mO5Rj5v+3X61rlpvAEkpbXZK4wAkBF4rPMbI0Tj274+BOuwYugqLXvH4Q8XEO0 +CtNaqOYtFW1FOs/fm83hYlvEiFTuLA7SS6d5uVy1gEPJNQCaNfftnIL39GPCwTEk +e1AJ5fJfdcBYUZeq5Va9GC/k2rBebue5ona4DRtB1kxPGiFJgrhSSXFKIfPipkuS +ZZryHwsuQW4H92Boa+SaF+LH/56w69SdfaVcK+hApSbdlN/JYtf6w0VzXHAbfyE9 +qUSUL76g6UjuM4d3OPI1lRzp/3oAQAj4N12LA7PMdbropQeh5fZucFL/xURpgjK2 +WyWjqxXsf50BT5glP5FkalJ7rcHUZ8wercjsgHqslmUHaksmoFUZZC6H50GlupQE +KKaofBsQZfAJvWXh+I4unKwQuaVqrm0xfmm5lqS2aZBENaGfroa06kY44LyYhFP/ +UeSWgLc24TpbsI7ghOa75nO9Ji18nA8h9MJ9UXtdVJaKkLKRPGWNnuUvFqmpdraP +AabV04oTtC6YMo4DLcMXssahO95Scvgegiom+tJEx3ueYwPXnYLX4dVm9bnh1hb1 +PlpJKUvIBMxq/drEjErL/jKoxN9pjRgo9ToyXAVnRLxffnamibbPsIxbp0RQsuS2 +GhtzWAj/2Zvtn/A83JTXjzCsSR6YfBpuzG6b99mxwaaJSiJW7PGBj+L/4PTjEu6C +EQHHqfzRKQdp+R52BGuwxdRmD++XS/xAqYcSV1S4P02zffT1kEpprHh4TmQxdzSM +LVq/fzVOmrerdi8D2FnTvjkgaonr4rOqpMVACNeSzdqQN9cKF3weViOT4u+EFEbG +I5CSU4N9b4dIHHUe4OxuRDKLVZGnf1NMB0YjzNLmDJ83HGumEDP0sz4mOLLD+Lbh +SdFZTM45WbaqkmGkNj78ohzj5GPy7v/VMU8PGD4liHtrLY7XeO/BTbC28C1XEuB5 +1ALjQ1jqKAFECEHbuLRxZoHSL8eeDqFNSZgGuOQJ41VG7KMjVi4VW4MNtgnTwqw+ +UiW+zuyPy/sohw7VgKVNDZ0o8QyxbbUr8Y3WV9wr2GmaUM3TILXxRdIAou9o5Z1F +XPo/MQL/BKanLvulZVDhUDzKH2wfDD4VQrEqgj9biE0gO1Dr/os8GWeQBMXjWeD2 +6DfV6gbbqYn/RCB1F2k9+zxc9SWSERGDxRZsuft5wYXFn5/7z3ca3ClMbf6qFM0N +6vLUFYxlj7M5cyNd4X2uS+KXV6NJjKYZD8RMm6ftu+9oO+BqkNdFJRqIEIwyvUmA +1KiPqWX6wWFCmDKSCgZWfGaT0NHN1LqbvqDmaUyIJYc1uxn4aznUQP6JiXbNY8NI +JsD7QcTrHy+8TQH8FgNxXcMaX85qMAqS1jbP0PSIVVFdE++0lEiB628Sx9pmkwry +g+9hnEFsXAlI+bP/aNgDCgEAaJHPEpBPL7zPrWV0vxYZjPH6/ZDi6tBct8cGPTyM +VhNcMQNkEs5tX5KJrF0NygHDvaorcskt2dYA7gKalB3bFz9wKaNHJEbd8spkHZwB +/eBmAAFSc5BHNaAguxUKSNcm6cX3bdgRWxR2Xs+CY2WTxly8oE0O18ZZ8tPpyh4/ +PaU4QshJK5BivXEIR8sZUVkkv7lu6zxs4oQlMLET70TltwzDDXlbK4S8gGZzAdaU +qyxa+mBEu7iScIxPKuCSV/3V/vF7j9HrEH1CaFgbb8OJDYK0BRKc5Ync/mEfe8Is +4yLcUZnMwIn4kfG5n6mPolFc+SAV269F21jgBM3QQ2+jNzINgnosQM9YK5GNQVfw +CnEe9Et5uV7fxIRfKEUlwMaAa8s3BSYPC3rpFY7yKMp5tj/del7XzGEy0BbBsq+j +lrrIsbThNO5C2d1bn6qUKmR2q+RGNEpBFHhtaGQhv5vCPgA8khwt46sGQ0YzELH8 +SNY4Wl0msuWnNDXpCkM5Bp++5mmPY04nl9wulmApUZkbjmyo0LEXgN5NWJTaHsv/ +ytf2mopKGr5O4FPjiddQKS5As5381uR40NIu6o4UabJf1T3MStrRvwuWpxogW6Bu +3fflaz6z04B/HYdVcyZJHw9dIqWqLmFurgHQQrAqK3HYUqjUSqCxS8ewnVFvmweF +HRe4CZGy6vh55OMLk6Wg+JE+fkihQxPene8Ijo5Cr7QZth99ah8qDiNcBy8P7rCk +GBX0SWql1jiotvP6YY2pO7jaY1EKGDe6BLRddcyfcU9Ev+6l54yzG7ugMuOw9kWR +s9QLCagbANbwSVqMbP1zLMPKTwNVOohFZ4c9MPbQfAi20bjwrMqdGWCzJ46LYtVy +k6Z1uNq6BmmGZHRx0HjO540FKisyf5l0dRaA57IKGnq7dTN/1DK9YGpiIedPFk/U +aXLF821Zo9Ngvsj29tGUXdU2xF9/iKQGClf382nkD850zvRuAmCq2aB0fDM/13d6 +MLT70PLPfkhMJqpIy37nmYLeSOJn93g9LQLSf0pIShavvvHdns6QZjrIM9ZKXaNp ++rFF5Ls/fmjxd+ipowZWQavbH662Lq9qOw0GjyqzdYz4OMVcaP+K3qOQB4tl3782 +UHQLQtd5/ik6O37dKOfaLncWngTTLMYzb2F2MG8mI8BryopJlbLSQMJzdPEU9OUY +A3QBbJZWXZUj9tTlZq5ufvMtdo+xJViwAlzJZLVZcVByBmG1pghTkwOGuLkzhSR6 +dcjRySzUyxqh7BwoOQ+Q3mU1uopKV64Dhb41H5WQkPaI5FF9H1n011hF52eIWdaP +6zgM+ZPIl/6gNQM3t6k1m92BRvIlDEYK5QALFKwY6CRyPjdtZwqzRZPJ/BU9qd8/ +1hBxseFnxd3fRkCy5GrCnbSZkL5WkPpB5rDCIpiopjue0J7ZixVrYgPdGCCbfc3f +cGNUzUW3wawPPnDcgMNyDJORNmudXxJxI9sewJIUkzqyIBXnHRbfcCTftxlePbDT +k4gaHlrECvNxDUTfuTx+z1gQzl3Ju9z1K7nxh3576GNl86PxCS3TuEdCT+WPwgaV +M70zxcyEdM1wW0VSeKg6SKU9WnpZiiwQq/woJvSBJgNEV3gUfYytG4uBJyhH2nWB +4eg5rKgX8vX9dCsLWjV8ZYdPL111d4tGt3rb9luWg6xzukopsvErWMvj7/qhF+bx +3yCgnhNzGTuKIWO+q21peljmaQii+KwEqeaT5oOPYeBi8wP0b5GUI3geumRSveer +XWP7X7fRg0w+boqy1B99rnuY2vytOOhAYF6SJObyrq6Lyp+yCEnv5mx0jowqoiGA +LcglHHZ3oNA9H9L5YItP4z6n3adJ7C+Xf0aV6I2KqdXHTwvYIRr/gvTma5kD7DuQ +3e+AZBzBqSnPcuNvjG83wCwnxyUrb3pdHa1oG9SoQrCGKk7IpImhAE6hh8dJSJ6i +fczh+rmGqucpjfug0xIaWYbskonjCAXNelNQKzCkQBxge228B3Mca6vBwfadaW/A +lFjdNfgH9iewtUCI41dVV3JsOXUH+IZhy4KsRNOTxgzQHp9phVOILhYO2aiZjhQI +++przi3NhSgoqiKE2BEsCIBOh/WCAv6vqXmWT+pkTq3orv2j6iIHobQhKXkJ9U+c +JZSlbNc2ktilt3ht4ZZo2BJBaLo/v2mA08O15H1zvkHkJpdPuUkXp/vneOSgv3Eb +R4acqCLmB4E0R703JjNy7uISosgMHwTizpJzWJJezXGB0YOILIeXZzCS9fRk39Ix +FXvcgr9vjkm2vJ/VrSWO3Op49Pb8WmDMr4fYRkH8godORl/CMU2iYFNHwFzsqSCl +0g0jZ7fMHL6vw120GEYhXtT39G++HuHI61Wk0uAnN8MWgCfkVLQ9EfCZA9y6Jo/0 +iSzqgXOtI1fkKfjRtxS5THkPm5wRawo347jqbtDrME+LqhV9TCiUXylHtKx1iE7S +52a+gkCYA7kXBEloJCdB9VrwyiRI8qtJoHayRT+nEAO3Q6nkGAPVzHrYhBbTsxiY +T7tS4XFcSUFg1JxSX/kNPytR7ksLKC4dltwfIQ6uxFJuy1y6/BwBv9GTb2YDXg1L +MWZu5fZSVmXSZzzEQpOIuHWa1L1jSZJvugY2Sk11n0m4Y26k7TbtLwHL2fpyF2LC +AF1MQF6zd2tJGTECMxTP04z5NGRqfPrlS0Ww+EwoD74qb3991Fv+ayB48JEo8tNZ +4/0OAxMpvistHaDMrMEfBgE5Eeq6Nh9GsdWubMzFEdUmxfyFbdc8X2FoooZkkMei +JeQbtsk1NNl2cEw/LN/3fvZKXvZTL7T9ziQs7OYETeDPCaUrL2Uxv6dS6SoX2cOB +rp+1twF4xJXMQvJkqaQ9x94l1aENLjV7HRfsjup8aji8h0BEkbk8Sep6I+H1gidb +aMapFZBTWkv3DmUINCHe24rRo2YwXip3JVE2dJRQJsoEQ7uaYrDk2o+TB6Cs0KK/ +6VKVOh/C2JCDw8N8cIV4JokBZiP0fNAsf28jCKjocEQdlo6LNykbpyXd+9qfQbyD +Mh4T9MUU7f2xKl5O150CIiq1JhiihMtl2OmLr+aEaYhVQEwFsFek/a3ITfVaxhWu +qe7tLq3KP44nZbxcwfJwHbVA6+I1YxSwI3p2CzU/EM3pZ8BOHuPqDRDDkBrBmWE3 +xIoWLRI/+ScuH6cUE5IYTkMIicgHv4mOZ7wysUNHBK5ugVPffq4ZKUCTa3apOhGR +vgbmAQmlErsMaYfOBR3rCRWY1eFt6wmlg0242/w+/wILGpcborbXJelVFlaJ6V1e +YMzsBx5aqsmZkGt9q5Jvu67vElyuQg3HMf1J0c+OEmpRrRNv9Z2JNAYvRzZ74Uv1 +pmYqGR2VdPK7JnwyPXOku0KNOT/AI5Evq4QCj3fInKjFK7UCWI4YYFyMQmfpeDRh +Q27OiO2vCojzcpaKvnZGqpLxyr8hhmbdXLYlPgl6s45Xk98JSQlHmuKoisVYMEnL +U7Ti38X+pS8jb0wdLWIWMLj8+M9cQW55f0DuN+zdmh/sNPRmh3CJaiAHQXSGXLMN +Jj7p//4in1InaEqmCSsJ50Vg9S/XVp3V1GuG3jRWRJhSDM7i3YHkBewebdExbQjr +ug1vHC3tyO2TjEK9t9EUNrSQ7AjrJOZzDBUaTJugP2LAjd2ZrTDzUALuMkfG3lgS +QL3iaedYEAjkTY/dkULV71E0a9919w+6NZWiluXqY6ersfo2C4wqjdJ5Dl2q5S3I +aWXvJsUQ4niQbBFGIKZTy8sLyYI327C1TKjQq0mmgi0zMtkotxc2zGNjqsWR0ojz +rlm2r3geB+fLJagbfgzeyl7Bn/q8F54HM+ZcJt3SvmKVIYcI8HLylI4i99LU3HuS +MotzSAnc+aVCmKzJKXa2NzX17IXYnLWhHpyzMgewc8L/uNb3Qik70ls9TMMYb11r +GncsAcgOeETxaJ13+s5BPP3Fa4vPO45cI7SDr6Ah4BjN8h5cvnEW5vy9mQxzMtax +udtdy96rjb6b54zzDrbuUl9po7uhE29Osvx9GRVI56IWAtkQseFpqLoeMNcf7z4x +Yp2oLijv4ztez4OJpmh5fJx/uVTlXpnX05gSn2ecPAmcAG7DXQsZPAc+KKacyK+B +VRgVw+fSF3LQhshAbUyd/DvKg9dYX7KAs1erL58NwnmJQ1njFTBAMCF5vFJUa1WX +LIrS4uhNUFIS5YnjWyGr3YWQguh5DQSl7xqVsZ3a4jCW33cAeHh30vvCPOkQ8FJi +xuALvMSFc0Rcn/dB8GphLe1qVxO3nZzMuVVKf1eI8afDmk8Q2hOzirN3/1jA0M5I +bCPd9SkwJtuJAnxyWoph0mold0HdNfIR5VHUvVqBWoT1HBbwTfEmV6vahmdBtlef +m+OI3F6VQBESHYoB7rkzGgwvueVblpu9tshmM3jzyl6GjK12OopafoHSyEdt5x6I +Qn6qIJGHyQdz/3httjaso172IhagquuFaChVnR5sQsWWxNpvYQRSY6oyP6OjGusM +b7dKOid17txHaaEHXUmEg5XyihDuMT5ZYznff+Pb9FvyvNX/3nHO8MoRGF/2rIyA +w0XoZucqibILcqbpuHnVRlaXDFEWKYf7CuPiMxj2YQwvQyAYrXT0oV20T0yEqQq9 +8o3OXdKhZB5IfaypFVSLu5FGQxaj5y04ss79GA34JwwfpMGHZ+6vGFEVlD7jrAIP +hSk6fC6Lt5UwVhytufpDPgf7a8y+zdUjwg/QvkXBlp2BVjWSGs2iorxTduQY3qlW +5cgfd3vlURPRuCPLUuimzJ/uMFC1wi6RQHuOtCNub2hCZMY8FBBopEtoqxDPugbM +bbeWC9pXu+qAYMd+u/PnjO0RVMEM9EGE7Z2apQ659/xSQkVCCc+JJaJIhnGzgSjS +a0ECpNYxOsm0YxrX9AxtZqTGgOjpQ831iJID29Jod/Dkbr9LYDiankenpFI77s0Q +F3gtPkW5UwP69CNBbXWuduRojiNZpDq9uN3uwI9pjuqjAoiBObszIvXmO6euhIP1 +Y2lF8MEhgIF10d1S490udmMezTgGpMgC4GW6vNWrOxhuNUF8mWYlI1K6ATttmEFE +LaPugif0UTU3oyEch5Wf0Y8Ex4ih/kKzpAmzuy5PtyMOE16JTz3QwhL+P1QGCOfX +tmqPjb+rht/AGukfyUC1a6NU+mugU4wwoCkmH2MKvoGe5e2vcmStIgEi7uiCSk+1 +CGKHUHI6zoGKlsL3Z7/KRAJPYpPnbQuWZizHTUaXeBzQ1mL2MqN7Bg0jYMbE9Bns +mWTiNeqKXEXlbKDA+dxOJkjhz/BPpQgxLQN+7Tbf4/ZZY8ATci23YCySLlc5YFTG ++JPyiuMzS5Z7HE1P9Qlv1GCT9RGynKvsPDshQbfpZYNOj5u1/XpsS+jWUM6qVjC1 ++K5WzhEYDJNZDxZrAJ+kTNvgJ6ih8x4pdBthxiYPp5D5c/MEd0k89FMB7Pg2kEY4 +4EEM1wkJkX/qCo6uGZNAdY8l7L3g2U3hNmaxl4wKRzP+lrrtQAD6Q7tyfShqNfPF +xuffSm8rGC/7hxGnwfXz86P59K9v92FoLocq5y8kPkApyarrgju041aQKeBqAS1J +G++pdAnSZ4g7ArVcMZQ1Owady2oN8CrdntbOR3ohflr0rby7jQx7AqEMmC6Xslxk +5uPP2zrS81Phcn5pf8J7vXj4bKMYpFf8GHRqWV9OgnRAKBOahKNH5QAksWTClVSO +pgM43xpIINCC2xvIeN7ihDYZBlgR9nAlapzLzD2jdSkCmHC+BAnllk+ZnJkyhGC5 +eXZv3PbcnJsYF4jiylRryl2Gt+lhN+TThgtU+5U7WL8jbncl7FaXDsWHxG6IO6yA +frNvW0qoT6zfORVZgsQFCw77M18hL2pT/ja3NhUq9d2pfZqQ9UJleUwAx02sjEgG +uwnelaJm5ciGGezCR66sB9KBqO+BSM8R7ig6yQtuPOeKRBrmITAZ0XwWPgC7qlEG +tLHDG5+FACOGnwvYnPQADZqz5iiL86fV0+PNK++Mm9H7qA2h+x5K3GLqzP7WSzE6 +TJzPxOjo4ugC7iuOjKNByxTAd7wtw4KMXwidmBBzqzGCsyYDtbgJIBzaqXAejL0Z +vaGJYZIzi39tBXaC7aT2+nz57XPQU7RZQRf27tcTPEMue97QMjNL12EZsYkqyC38 +HNjSR4ORN+KJhOK0SWiapq/+cjmFq6znVX26ozhX8GYKjpc35jwTgsl9Dzoe/NOG +JCbG5YMww3vqtjaRqhvR8Z4wQS+l03RWyuw5DBd1q44IFlvao9kYfgq3GOXAB2rO ++v74GuCCvIKLK/e138/gOHxd/EWBfW7Do7PC6sGPxC9a+MMfnQs5KuXYHePyI2Ux +u3aOxcRMHecDVZEFY1Fe3nhWAChj/uBd4OM3f+1aPW4qxvLuztyTU1a2zdh30jv4 +Lg95DFi0dl1JVxUWDalZzhvfCO4iENkTjMELSxN1V9ceJgNmDxDIuDBiMnpPYl7E +bL6RWAJeSyry3qB+H1TNYGHlkQeMUh6byyegWYOzpJ8/kkLTDcnnAPOvcHoCudDe +3oAyWSgwGFWItLQtfOI2+1b3zOC2G+OViZwJ2dqoyoUoti1LL8IWDvBs7v/GCc5X +qlczYTdNvAUjUC7dX4GmxNavMB2NkkslvfUy3/1xr5ZlS7lmeLFhpg3TBX2jKaR1 +EknPGNeDBdwsqQOlzKMbIulMP6yxE53iQUrrZXqVa8Y/BaB6VlejItG4NIVo50fw +i4J9n8sxHchsAVtFR7kBglvlZbKOQcTnaJt7A+rX/2J4ETicqcwvQvT1zJ6/9Apg +JMFrx7gTLNOqSxi2AT4oUpXgBjezR5LqXlFmZ7lvkWaiYhp+rwM4Bx/S1JLBsNt4 +3DRP4pgFT9ftlUxcj34KfXhXuI3Xtcw+M2QHMZo+8DHd5UGDcF5pc1oexCLuDD0z +BgvV4XZbYcKoD54CAPl4Z4PoxS5JGDqYqouvR1aNkTLEa/cBXGX3b8RaGP5YMFNS +QwqWp/E37LvXv2ZMPBfGuf6h6peDR8XGUJQ/mo5sULfd2DUNVPQfyN9ylbutmP0E +15OLTpf/uBK6XnEMmNg2tevdIvmWGO5wwr9Ex0ijFW8izTG0pMn4UDyBuq7LptSR +LfDgNMTZZQBbv164y3fj1sMz7ZfHiMur9cY386hEwj4X1WmV9fqDc5iTPSA9sETG +t/JFItqD1zndQOqffmwgGfa/rnyKSRmaDwL0MnK2dPCQ0j1ah0DG+ht2313RcWZX +im/OvJyGx2LHyXVYzONf7RkvLBVKzjzDi64xnEUni26+74+R1/nl8O8TGj1x5r64 +EIdW9EGCPnyhEJHuTI5PYqmwPLAsbuWl6fZ08GsCgH5rrulu1vsyXSPwXOq7H6Dx +4Ib+ey+dJxAHJhYSZcz/sZEPBGaafX3PDdKdJdjokYbIkhDp1iF2UvS8SFGQxQFP +rmqXkp2Zmob/rDXdJebNAlggD6v4AoVSDtwnrxc3t1owaoERwUm9cYR7RGlOIR3B +IauAcmAx/eKzN/vcpl9BhqjDTHJGiVd8msgoZWUjqAlR0vCaUU1TgLcIt0LhO3dE +C00GoKx66j7sIjd03iavcGSeXZVyr4Yml9YyskVQFEkZSww7ugR02fa+SZW7JNjv +3Chd93npT/oWp7GK2EZ9OVffGbBSCD9TGGOaTG4hQv05ldmS85/DiMEsy736diag +avHS1PI6SVrKgAh94Hx8mlDkIbQ+Q7/8hUV28o67ns9v+sflp1NTef/j18AK1Dan +50+mxWsxlyY+8tpjyPmFYqok+Ax7tCFR8QBDMPKrCSGpI0KMtVMx1r0ELz41Bufa +qFmcA3Mz7Ko4vz+hZHFri+AKxaQW1wg5eAeV3PsP1tnKw7sKP2B9URceDjdaNTq8 +lIlSPFkZAiDut44RFnu6ITZk985jtcG4SsQVe8kQkavS2TxMFd8upuHtksvhO5jJ +kZHsL4SDpmdWsNKPkBKzpVsU2hkMyC5nds3c52JhCrJOUDUNFZjiLbW1RhDEWmmG +/j7iXE4RgZHmjuUF4nvfUzaBGbFXkWPXN4XWjOVmT7C5LueGF76BGOUS4rxylTV7 +dlnwZo/jQqhz2T8mnjbdj3frLWDskpat/u9oPruA+r9n1/Jl0MJgTDCUIEQ24VPA +BR+9UhSKe5rPT0Rp0DcEApV+F0v6DMYwXNm5gb2R8HTqAhpvtW/71dmLcpcie0Z+ +Pf/xXreirwlPTOalwzpI/RhlrDXP84rmdNoyGL2+ncre0IMs1dKNiupg9ZQVq0OM +KRivNeN4ig1QbNoTcugEwqu89+QTFaN7qnH6Dwu6E4wz7vUNjduraKYPA9F1hOBP +hbu3gnU9ntZBtQysPyLXaUDbsKqFZyp1jriErSEBBiXutYXScUqdAqWj0GySxNw5 +HIAzURLrrDSlMkI7Q3NkGr7gVP95K81ogQ0jsnj8rAflbC8Kf+sQa3zXm9+6YaOu +5bu2ZcMCDZt1Yczh/cwmFsHfiiEmaOBC4JC2hD7EK3XD4Va0T+x0YFYCZXTbkMt4 +vU0HdZhPgjt5Tb5otYVLQr4GNF9/23Qprb7m2GnffrwojP70B5+VYXhlGZHAnVkK +WtDmnF3h8m79zQmV610J09mPPypTMm/gDf7JEuHTMQgy3qBoE6lqfur3J6aeGLFj +PWHqV4PcT5HQ9G4y3/NHH53TsUSqxgoNdy33xDoi1D0lN6GKieCyb5nI7YO+ZViC +M3Vty7WhkggUjDReGi29kz77z9QvRRQqznIa2nMVSliptr9KRiKDop8ydkoYyAR+ +kXQ/68VWbaPNYn+Xz+iXAkCuKKEC8eDLWUSf90CaO8UQKO2O7j0AbdB7LRNLm0dm +skwgUA+NeMIESXBrkgJZczcQfZnpAUjs4nyldQXwQdekfUJjNI8ksni4qsBFMcEg +qc9+Sl5NPNUs/S7JAO1vemhi3CPZpsqm6EjovObIUSmFBuhjFRqa+3zrCDIKKG8z +T7m9IGTZq2HNmzcIR1uiaLL2Rpv869BDpywBfTkFgKVielG8we56ijxQjJ/H02OA +3A+QY0Z/QW5JeIsJCeGd8k6+N8k1riYDYhkS3yX+FlB83jbXoqg8480zPvuV3oJh +jZqCH5w1Khdlk+0wiJUxplYcp+wlRb+HMqtjSXn+soT3kn8RgaoQ0wSApcMpZaRh +OCN2riqDu1iY96zv3uQpRkeLLN6cR9A7pVbpORu8DxEJ4kHm14J9b6xJr+jLcVxU +vH852ZPvet2hYd+RRamf9DSWftn44cU5iKwr8ckColvhrRvZ98gURd/SlgGaoKEo +P0FYit3GXiagNvkdjL2NdwsMVGYDPsJkpqzzu0iaM6B4vpvIZbXu0uoxZqRY1i+E +7KNq7P+XUOhaZHluSzOcJ/aYQkNg7j1ZBElNbjfNnZ/VlXSMQgBmE0jAJYkT0FvK +0VNH3D+6CMAH690O7Y0p8z2ZgmUn4s0SQ4M7shBZEMX0aMQO8HKPPSRJXiHqYdm/ +vUfrIN4Gd52k0PmC92A+SOutoBqY8otce5pNoNOc7I5eq+dV0IZ+eWF8yo+uC2ku +Wn0OwMZqoPd9+21b08wASrq+BS3o2QmO2YHkZGK3jJflmW58Jle1JvENdTRNlRkQ +LHEDRbf34A9oFhqh5eVYRlYYw5z0BWlVTCyaMlLJPfvY5nWANTQR78+9gZc2a6YZ +x+1a8vR03ab6ueY5S7HFSOu4rXyxX56vmGqw5Ejhbe6R1FIp4o/D0nwzUzooGs+S +U+JUUxTnxmYvg3U4WLQz0EPYQG0dG1yQsuq8/QFK7e7yaaPMAVC2MA+IObeywgVT +TAGFXfzk5fss8v4yaBealpzZZ5NTFvFLOf7hyfjzp1ox4nGXZ/MDdtHho9qXIpDi +xtWAacK8p3ejd1YfBWuw3CFwKyhOfWDyuvYZ8jGvx8nEqfNtxYuHdghRkXPFOQfT +qZ2fPGvkrgFH0nu4WaNiRLkvmh1e7bBSZ59LlWVbSm65Aq09Mz6dkwwXZptGhyHB +vwBCy2IPYegKohdA15IDIlgie26oX+u3CbOfgErltC/3n4+a1rFGP7LF1ocNNyj3 +g7fx/tKeL8b5wVfR0oSkqVKg0qbNvFNOcgFL11caFshxXcd79AMzSVfaVkSZ6fNM +bH2RRL5uEtgNneufbbYPPCtNY4FeAMASKdSnLbTIhP4ZNb98vBhpyl0tMCt2sC0w +T6nktlEcdeZFgnL9nk3HXMQ9/2/jREjD6V/POOub4G7vJMZniKs+Sg/gLl5qV5MG +kVQ6R3lDX0ZW2wPdOm0qW8C5ZieZ7xhuVPxRUUiOfvN6/gHQN0BNH78DcqNVX+Cs +2SAeiKk8MdZCLH5tUL9V026n0+mmKkh0oIoDWPJ2VuN7gbHM48e9qvG7fHrjkg7w +4C/Hs8+XIro6oHJodl2eQlgikWM/P4JMeBymYL5Sr/rKDNWrZdGofOo4LozhMOrm +Xaeh2qBKtH5b3mnsLk7h9e8Mtj5DIYLAQzh8yk7kLhle69FUtJjNiNnZsWoAalMA +SnE2t5l4LjY0itq7P/k5yq0zAvx0JAVQ6hI0wbvTFASyCaz0EwMx8aCq8fQUgFxr +WXO7IBJ4u28/a2ttbV7FH/V/qQEYdrJjhOOP2/9kANlPJEfVS4PdZocM82NkMV6X +q2n6tjIDDOWemT9y8rwaa7HOlpKUiMbpNwzx0gY00TtQKbWzarlC1HIM4lSA0CXq +uIVqTmnsdeEMpNOAdIFMxsL+U5LtEZ8YIGflD1hc6KxmtiPibUuqk1Lhj2f3kCsq +7VBBd3nQtepS2F5sarOQjKBcVc8mfmazUExuql8k04O66mnB+R6JYKBluDBKz8Qv +tmFAmddJcdHKyEyKz4IYOm2kLtgncnoaMJF4PWFAG72NBxAxP6+FM5txcynH8WaL +xPcQagYo4fSWsV+YGbAUEzyoJbnHhBaUdHGfKlMVbJH72qqxw9XSxmVLiTOcl8++ +idZptSYhNwO4ikeN9+FBfujvG3gTdQvuHfiB2O1nCfgK0X/T0Relkic3L3JwoDAF +uSOnfXQybWrDFalOexgSgC0tMewPWPv6ztBBUX5l0bmUeT/jUZaN2s7FKFOMoh3T +Yqh6GEtHaJhoJCYqJ1kSdALteCugVS8jmQnc36g5lHD3dPhA4sWWIi8xjqQ5kMwQ +DwImP59tz0gyxYjIV979lWIMmRCsNiHl8xM53Au/3nlHCSLuvWusfx2CxuFTE++h +bYvuVs51840UJhyyLRtnf4rNmqqr7VNz70NCmLLH7+eu6WRRKAAgWZozqlR7VTyf +dKBh1OHfpKURvfuF994zoMkMhB7qAi7YV9rOEvkQjTW8VkHVZkmBPWWJfiwip0o1 +OWYsArzl3/qwHRZsYcKzusKd7NUCRjoNVDg8KF50HzQyX6prK6R6UgTJ3PIBNmXQ +chv2FaR3eYPq6lWWio7VWcR6rwL0Iej1BMTuflSLptzCAo7vuVVPeboBIrc6b+yg +M95i0DSCcfTHuBF+aatJmyfkzBNz27d8ESX7Z3wrjrdNGO5s+k1w27kLevH4heea +ZjHJATwW4bAKlJolu7o35fM1fSyRi6NnMO9wg/IMCRAUgiIkbVv4Nqco4D9m31P6 +mj0n5d3Z52fgCknDF0mg95F89ROLkFgRK+SlTYpxFn0DpP3JHvgdRl2nY05dok/A +SkmiFoLxBabsVjbLvDgVgJExLOUSqDlv0dXjHwjLwxzEJ45vqraGN61aKthiFk8V +td8GwIawnwi8BYjgb06WjvhoNQhdZAAn2DzvlNddc9aQaeKPlnLkxCch7IB5aiF1 +RTb5E/tfI6MDj0Sg918WdmE8bXESyJVsOlnJTXlx1+yH4h/5j/znoukxahf1Z8YV +xfLEuh/Dp01qIFmUVm2HYJa250JR/ZcdzrFP9rFavq6Xd7aKVv+I4jzkjW9mdlez +aw50TId+n4Cesop6HJ0XYbWE7O322I3JtByR+FP4m3tilErOt4KQz4Pl8iNa7MzP +QsNu8BVBGyXb7xLuZU+PVLIPCTposwb2d93JdjxanieojITIotgd+6Cn1sZC39zw +U3kEx+tnoXUqCDQcA1yD+wExeO0/dVgYi2yyyoOQnelFZ41rjNVRKlxN6lUJNKE0 +UMMcF318CgcpPevfTitCWue2d2io3S7KjgpelS4GKU4kaeX9nk7dtC1mvwix+HCr +bg9In6+7ti4165Ye+zVX1AB0Jz0KWtC01dMk3mWMb6UUezXZCzXe57ZyMzfdD5mC +YYKh+z/sZbVR45SMNKlWbT7YfIeG/4qDu8wexGAI8nxYL7dnuL4cGEaGNv9/mFOX +ilAWvCnBhRpU0lr0L61EseYuEBiEaGm4/IhSFdlypVhTImRzArHOyt1NR7WuKUdX +NgYjrUBQILmqjIwmWWw79ZTKXvguAk+/S6HwPgvETbZRlhfDLwPVz4+dYNa2eOL3 +6o7sTWjGZU/0pZsn39fRTbsf19JsHDdopzbN4o++6d+yeZRL+PLNHlGR9FIzEuIG +nbqFWLvSAuFM6sb3HuZyBoSzYWm69+61q/p2zw1dUJVWf6s3cYKzIJ0fb8RfLKrt +O+Q/gb5t3bKqr8HbP2CqA5CerD0xIc7soyq57ylZvHZMzGo9gOiS75vVohBSbMfL +YF6XaiTUIPDcR5SdIxCIw5ILW7JBrf193PvohchfauF3G7ufDpVRnrf+wEri3WpC +UGGIkfEywpZyBPMD9YOMdX85LYcJ8HazAtyXLi+vAtReqn8cDzTZkLJmFi+LlcNc +tqLn6hHLvvS91rumBRMId4SKkhW/Gsy378EwG63BLGnmWS4s1SnSoJtckpQUGguA +ZhGJfXUWWiLTQu+MfsULw2ND03lqQ4316KutVzo6p+ZdYwg0SEH5tJiQRdNyXibK +vQ8UAkZz1mqqp1Ph4qceMN94bitG4SoFCttLlH9PO2Tdl5w6p9SvOHepahgSwYP1 +U52V7GUGd+9pCQikqGTU95zWdXw9fnWKXOWAQK8yZIHz6qRxf3RJNern0skV+zlq +i98y6r+IAhaZWolu5ZwQNfIzb1+jTN61wZOeZbza6d4/6sEUTMLGwy8Zt/8uYIXf +lhpG3xnOpYImh7flb5UwrapaF6DOlCADat29mp6LGJJS/f0EIYl1FvpnEZ20+J75 +n/V//0Z4HpBYzkpsb96sxsN/9YGgWR6S0CzCmgYRWCy7+jJx8Es1FIEhC3pGV6QD +fUexSc+0hDPa7apIr3kwKMf4wcUD0M5398mVq2inXhkap5dEEWN8VGbwD5gq0r2C +xVVbMCzrUCrPK9eE9kEN3OeuXbcjl0LG13kjM9EJMaLK6tKPhFWpscbjz2fVESws +tUSRgC9YBTMBrAteGlN6+2FGyVI2fx0MkcFw//7ElfOqS974/BwrHwEtnh5ELpsN +dseEYXBdvoBIaNsPEsgJN6TumYoUf6XgHztg5n6kC1EgDI/Uget/a4EgLzTHrQEj +YsfvUKwEzBl8lpJrS3SiJvDMJh51pGu/b/q0TO/6KunNwOTel4jrMg5DgEOI4OFk +/Oebdoo8E8CZHvKKUDWQ2ZYHQtE0i5uvPekPYmdxgk4UuQW0tRzhtYcGb0mFzkza +krk0wpD56fc8F00HfcAo4uzLdQ6DesgF+2Dn17l9WGS+1XKcVxq2GlGTc2EPHa8F +2SMjQNeCU9rGYOfdliZDD1DuDKDL5DjwRiM8j2ONJ93YItuz4MODi1u2OaKRapbk +g7yU7MqvopLilf1gSYX7DJpAOMGVIj+vilqaiN7fHvtnKwPriXYAA1Z+hEumSlnG +oirkvhd07Qr05nR68WG9QiYPEFzxrtik3gbcKxqm3L41DfwI2Pe3/OjYcL6iVMJ3 +3AcJXYWA4IQRvgJ2kzlK9Hw4K3HInhBNYfyWsJUegrGzCBuQnNlEy7kr61Wl0TGm +ksAEplJgjuoURsIGPfNeckgKYcq2zX5x2zmZb2HcQ67ddb45I/mEgTlZg8f66HgT +CFoIOipI8NueziKBPB3pwAYKK+wBYDb2eTD+/426yk05Awp8iQUZZPMSPP0hZDRZ +suWl8Kc/3CnmGrmEUdf9sLhEpMpX9J5tbTZgiMyZGa67A5dQTs/cNKI9myaaCHwH +Ap+vUbp5lbFIKid2MvX+sF0SCiK3YfQ/Mq3JItx0a4gJdoKd+sznCCB1m1HwvAdL +NlYyCLJb11kZU7p3QydW7w8BfUcdHPTnsXw1R63r+BHkoTepSvMp1OQTiVlJhNr1 +VZisJX7OJ1IwXnwlY2tjPCAguzdC3SBGhINhw+kEJWKvPlhVqHnFN1Xx2RbDTv6n +w3SaDwNpSW+5HMFqESJFdgouUjgpzKaBomlZPEOSbfS9j+ZVHhrDSnPQm+vUtsYZ +GoghpXByo0FlPtP26ZuOGwROmpFEcpSi2nZ9IeOP8n4WwO+vYi4nW207ghnd9+C1 +KnDozbTqTInVzETIC7kM3MWKSS33liuQuCLO9dwngO6JMyR3gYb1IVmZ4sYN8/do +FgTIjHvSTbUhzwhUiC3bOh8bX4RM8IXd/CNikXMmKlOu807RQtCnCi112ZtiAk1Y +x2iGXU6vaI6UmynLTRN6ohwJWfTOiyfHB3hPTC6Q+7TNxG9ZBntE/5ISWOL+awXQ +Rw/Udh816TwWuSKZ+9+JPjBVC9552g14s/2Fw8ZDBtW2MSyEeOd9tJlG+FnuPkUw +pXquzWvAis3BPSsyo73ACC6lQ5WgOPX/YjqB/TR6X2fQOhomixwz570rkUyWkmIh +ij+9/zu53Iyitmj5YNJUQyUyoaMJ24nfSSr5laDG4+ROhIOyF1fgjUDkJSR1xnFt +4T1TcmDFiJKare4+KugJG1eJusZIihD9aBd34ff9ZP4TFFhsqZQPiOLBKBOcMQ8q +q/MLyXMZFgaHzhf4pIuv3Ad0lY/zvOTl+pGrxZ+rTIF94iixxULMlGqVmkroG4Ln +hPm4eaK+RWJNArCvYkX9DmxfpiS6Xsyfwk4kOIWzqqNxC+WY3b4HnGNpGuf+BAAB +MwSOmASU8P/QVq8hKMzA3/FDjHRB15FVLY9NBdUEZ3kvmxq3LpNYFY4KKbt8MpPe +jpxpBbtydA4b4wOUQXW6ijlqgp5ltYkrd9W3pYwI5ZOYJyWSg8Syc1Xb1FNerg2S +d6p4JxxRSntuLamdMv6pb15i3YZTtPQLHai3UeumHcK3YBsqzunZyStHmgW67QY8 +Cwe5oIqCec1yfIdZi1eYu5M8GL/5aEcK6Obr23O5FSOe8+g+aZirwJtwtMtAWdvv +OT91rr+LRmQXQJhwOrkxgzq9f9Z8fKr6UcCC1kEzhn9TCia4PIu4uh13Y55fjy2s +mBShzoppsG8xR/krQAOZ9jb/5kpPxXzMA+xc3LcLEBB276UZ4HviIAFeE7OL07H4 +hUdNCMg/Yy52eqLZ1muPaiKGAnXm8+/K4gJoW9p+gWRzG4/k2ux/OOd30AzYUivy +jHUhH0gZm4tGMIoyNgTtOxnVgptpwx7TMDOvksviMfVBi2yAo6wRafz8aon7PrHD +MFc1SnKgudfpFaGgeWX11R+/mngBHew4pYsV6JTQ8Ldh9P08IL0d5V5yAOJQj7f+ +DvqlQnIE3JRroeSVCc+cMjlZlA5lTw5IoS/Ges0X4GFjZOjHLXH1+FVYWlMDxK9B +9utI7KVsRWAkkfkl0rFENMtEPwoPFyLt60jW6pn+hF1cvy6F/GzcAL9h2eAv2ufn +IjqLM64G/rY1m8UghZBGq0YuIFcgPAaDTPvUUUFH2UdzjbPMyxQl1Z2UUdhoBWvf +SmMksPdP3/LMhZgJbKcjIDNIkMVFXPTQZQVF7Z7i/gLV+oZcCWH3x4Bc/VVVajzx +ZawjgfOBTLzNTq9JQAtDWDfc8uxzKVUBb/iojl7jpxYYjkid7/WpzGTbonorA7O4 +9jIrgc0NodVw0x+Rhdbe2Y4KnZAe60JL+6Oses8FSTIJ5wZHdDSMiPokI+60uuq+ +IQ1r2sY+b3k0lR0NXkHI9T6zK8ibge9MmNk0zOw3jjvILPNMOBOsHw9XHJDOY0UI +2jywfh0PChuYx9cWqcDm+yX/RZMje3SV+lB0CcMwgv5gb34hKyP00KxKS3OXaQ0M +d2psOWRgqZ1ZEODvMXFYJJB/0Vm70LT22XYHTDR92+QJG8nRRoeoAJQj1vgwmaPa +10B3pvC1bp1ZhT2e1BdCdbVYbxh2y1zfLjS+y2K0V2nV+dBTdlddLtEx6eVasiiY +pbdzYrR1OYXzab7wcJu3Rq1UB+XXVnuZty9sKXV13l4Uni0G+HM5Qq7seqVQ0mAw +kGtlkf5QNcOIZCzXV0RUhFY3/9QwF1cTrLLIT7AvrXSYeGXhqiAhpCIvSToCroxz +nN3E9gaQ4JlUaTtM9ThskOhzKdgiBmdLLaR0WOFny16OrefgdztpJpe83AA6u7JK +yt6D7bWk34RcUB9rcAYZJDVix4l1xZL/DvHS7h0N24/FeYUPLjk4kkhhAtC80fSc +NBaXNnnERPmrUxsiQ1iSuvy5k1HowNzELb2OajxgPWK6b8HaJKXA+oT7f9ZafPZ7 +OfldGUrtWdgRriK/1vfTW0MVks/tHTKh05LwCSJ1CfUq6OCqMssv6W5uOp6WWrXP +7Dei/JsB6cOUoTW5pEhdPBKrJbxK58IrnegeQytsNN8vWGsdI0BDzvUQuT73/HPj +R4yudrs6Mpzw9jxFQVp5TWbyhUUFoU4IhHY7/fTz3ZqdHCjnmXnvQNCVbQYGFYnQ +oXS8H2NVfnzFSuWDBahLWmLH2uJWzdSzGlZ97lAckV37g2fclx2N9B1C4XtMF6Mg +Hw+z27Vji5BlFHW+wRdg3CpoghrkdmNxbxhVWs8s2KYN2v8YP6VkzB5vUgNqhk1d +UCIKFoX0liE7E0uwofx7NDrSSL2U3KLm31tKWpRHQWvSERkMdOZEz88RAk/E2uV6 +9gtkCw64Wk3cJKIicuY5MbopujjgqB/kJVKhmRjfS1AobuukIFP1s+63rEbIK3tG +moOCE+TZ1ZLpR5KbWLy4yg5CKeHi9FaCuIiwfmNME/9+eVXzOPOQVUrclvfytyp3 +CO6tBUE0Rev3UB3zD1WX6RKbvf04EwjVE+0HQejP5keoYBu2s5nWYZ344o18X4VA +mS6iF4nUo7LOK9l4fg5LiWBwZBx3YrROIjSXpTgGDnQnaoYjJi1eTWH6aa79ok+I +sNqcZwh+J8WS9ESxoTWZvYglgHRR0oXaggV8erWuXkblxH7/NMj5yente0sksyGZ +Br0kRMpMBYaOmCfafPszk4jtRe5b+lQsKoOOOWJxKZu1//Z4bSpNyw7iXrW8maAR +/0oDzbzxWhYWSPtcHqj5kU2pPHOp529K2e8hOSb87DiB3L9Cc2wr0+xAQhc356rM +iVcvXenxz3q2GfSA4JdlNVY/vRcqqo+gJXyLVTqUVJbNf0q++7eO0wIY48FXAISy +QIOO6J3hOLKtLS9K0XIKxz0uzprJZYHmbgS7g0eCB9o5kjy0v+0VveJ2MO0oWBsU +0F/GjoLEufx9VEs+NFqG8IrEOtJDf/thlWp5o39jMgNXWStxlSmkmzusES8YO8y8 ++bnfAXhPs6tOFHKFnasjJ3hXmU1AbFbSQv/35D6lnKKvNat+NQlrs7QIJiIvymxl +B0R5EaOG/xHo/YhARCmm3I9MgO4fxJl4BnOXfNk3FcWWRSPl8aWBK0RVztTgXsQa +jFu0WdTnbXCWpPrvtANFlPVErf/TJkfkI4SnllFuNQX45/7TAMCCZwrJE/syumbV +vxeoMSO2decI3H9KAEO4gs6Cww9nKCtqQrCd6IHzofz/uLiqXO2sOl/6HT2ncAHL +IJhwGS2C6UL+BtYa0YcH8zpQ4hM9cQ1Yws1cSpc0JwB5w0/pCTIz2tayVIVs7d3g +n/zHKh+3jSlvpwT3fYHjMtDdBtN7VrQJN5QMR7vqy/ok8nkoR1gBEcoXXRIYZDZu +HRGEtGX0MgkGsqs1+NiPbJC4Mb417REtwMzCbugHf1Yzd8duqxSPyYX23lMe+Lh/ +6Waxv2XMm5mqr3kzqHscaoZqNn7U4BL1OOmyJler4BxWivNJyLHyNKYfhs2qkS9m +Szni5inueyuAzc8jHAZxEfTyE3sr51agI0sD7cAVKRtEvjh0cRXRYeVtFbXFtPY7 +73e9fRMEqRQpyiRu8qHNBjBfpKOsp1PgSPCfFbo1dTCjSi2qjEzpdPmukT3ZXqKD +6FO3S9bE6s9ho4PndPlRryQyUziK82CU5Yz+8fPYBMQY61xrey9RPGh+Q7sKmiNj +Y/HyPK9L3rHANbXNaiyYRvu25Xi4uEuBYsSic/704G914V5O2DsLaiMmKlh+isHm +scom60oWT1G5gPX481hls5zFQ+LUGnO1YxKmsKD+UQ8P5E4beYKJOmnt/QIVYZqI +x/xaSvCs1SWxrj5PvuqGVvKmHlOIw2j3THuYUDgrG2qeIPBQyCqVMVSfVaNqgBvR +XE4Xhft2ccJyPBK8d63a0RRB1ork4wez8VY7yJ4S4yUgnPa5lflUoGTTbbsQUV83 +78zdPi/S5K3TR4g8HA2AuVk6+3r3Q3ima/QNJGIklaO1bUCULWD9j3YeBU86VFYb +rzTIU3jlP2kTz6FUuNoU7fhPvONW7CMZFSiDYFKHKZMCZHOSVUO+MzVkFGWpu0FU +xxfs0GtA2jMNB6OXUgA/gzkp5RB4Mo6C0nIcSKMwM8elM/yIBY25izLpeTpm1suH +0iFceYUDsiWXudTWpormCHXn2IdlvvzeoFAFDD2cmx5bmAfQLRPBnzqlPO6MSRDb +V0BrOjSW0LG//eYda+30lIpFMlfGTvxZpDKiY0LHWFDZUAwPxiEfk9f/K1tWV6KZ +rCEfZJnafAPjIzmsNeJa2Nb/foq3vX3OVpueZS6mqXuBwCOyhNADpU/ii8PM2if9 +Sus141bUURTqlneMHwU7x/b+UXz5RrQJwagbxc0oXMpRJotFXxPbiabpf98SAW1F ++a6Y3EtpGXOl074pSaP+VZJwNBBPnScoT8GpaB9wcEk4KnbMH+t0GZiE6RDPa90O +KQgd8lilrWFD49JVKqN9N8vfAM1PrwCVqXXc/b4szLwd9HxumdClllXQ/ltiiAcO +HWtF2gRN0cC3Ule8ayvsl4h+TAWsA0neRG+yS9yKvvmGnbcFoMlDREQl0ObodP1x +b3ooDrgE9tqBWl7cxU+RZkDqhx11YFBdBsnHJhMuuf7fFJVNpZcnRPhoVofcTamy +zlHgXv98pNpf76BBTYLL67/EZepZWlm59TkBCKHISItq5cngGvLGFkwLwh5zJsEl +uDeM7W6346ab235v+B6R2mqLuTvPxr6ppwZ07w3FOakSSXRvSQIgRPWG3ra7teg8 +GtjNU25ifJnFIymVJGDV5rHr6VtEToYlgARRvwDMbvh9kf0xO3x0sx4qeLT+WF54 +57ere0HqmQ8iIt535A1fg/6KMhH5jEJ9Krzb+s0ns0z/gatoDRPx/YAoEgoyK9nK +vUey5P4bAMNhikrNqGRCdQ75NugrwNTbJxOYG9bh4osV+6lpoumJEs4Iz9Ereqfr +VLcpSNii7OsDzS9hO5iiGeFrAmY6C4tqshhTLaR8TPsUb3meaUeGp34X1outvnvy +rdKwNWtgb26yyt8V70emU/QEkqBRbZmVzGvw2gfx7IID1N485KilfqBp7pIHzuMU +V4WqiRKYa+mGCRYmVmsWfFQlT3tZ0hUYJ3tlXQeflhLKE4av/SeRlqlA2pqi7tcO +kyznY6IqSxh7cd11PnNvA8pkFom5f9q9MooJEHvHkDlqQ/FHajPTv/F0S6oF+9lI +TJl7cvyQaJWr68p16FB0ds3IbcYhzsgzpoPjYP136sOiaMzO68E5uMfLB4QPy3RP +PitkSaFRMQd/68JQyOCIW3Uk6dq6D/aRBX+FoSrv0/lo6DiPgAhxrfHt5rTPcHqM +PmgFLllesb2xm6jkDlgCBPmw+bVQQ06XEUCBiLGSRbotufFiXezo6oZzG+H3DXhs +fmhm3zysdYQlDNm0XIapGwr4Dyg+HKogM/SOsuwG4rD1gwlljCcwWuNYYMNUFift +F0G23ca8oHpV+8JHeBnbo/9AQBQE2kA9m/2acdcYJ65n3Clrtz5awADW/xILaoc9 +NfLMp48sSEBSI7JkoGIUAciNz6S5zEdeRiMMuRHw+PQo42FfVawAQSG6nbc5S++R +jFSM4Yf2EP7V3zn8HGishRfUzKSODxhS7upl7vxVBLWwh+zsQv3ZwcTm3fv5M9ca +V5TWZXYeNG3fpBYmqYrMCUTFLKH595PGSwMrfJ51HNucpLptM23+ZN+w7cbvd8UI +Q8IQmnWF3lm0wjErSPbyloekRedfIBNo7PBobdHFPw7STEx0vZXeRS/p6eaqO9GD +SdbfBFQGNLILAvvX8SmLSm5VPaR23l1fIbdIvApYzxtZ4OUFyJXlg6Pal6AfYOkW +NZ1myFpbxwGbrjY/oeJnmE2NqTgeJ+zrtG1yIp8USTspo8tavFjm2o3ejb+jiNqb +ZI8Q6L31tXoMbyOL/mJ1oyQ2juF1C46PeCcfWi5+UGD8TaWH0vjjyKYToSVFu8vQ +CIkSCX6Cyw5+QyJXorrImQK1IOqCKRdEIvv2JkDmkkmz0QBdo+fJciE/Z89atO2v +vN98/lib4h8c+zej9jtpbT5Y6E5pAVKr21uEM2Lg6nTgZqtB0+B+cW6xZ1pwlpJL +04d6xpseX63/4xQqt13NJ9gx8BcVMfqlxFHZ8WrI0LeUnUnjUrr0LdDqzrSoPG5D +klCaQE+Mp95JV6iSSO1DhvYpw3wAhle1aqpUtsYRppEHgcub9YtmVj3aGnvf+WmO +leRhlmqOc91ucY1HwCgi5/prC1ryyhkusBdBwKz48mp2/lugFDKmOBOAkm65+pUL +kEFIscM+tn9oTREd9MRDkQB8ZEe3+rNN6VNJuJR66p7eRHYtI7c4AB8oSgPmMhpp +BGpzNx1WSZPfcRRqWPtrYB489f9W+yG7IZ2MQd04IPJ8dtrxrEYgzK7+ytPv+Jws +iJ5zLqDudNGy17AIiipidGphImPYYF+6Uzs/DwYbT2prc7mffO/8BB/ZffW2eMp2 +GD1fGiJoaCs72L0UQdTOHLwVWQxlzbY31EtliFxkpyfECk1Gqp1zFVBxc4PCT0hC +1hE0GyE2iXPHYdI8qJKedzFv4Wdn0wLk+mR5zJbaY1FasNXCdRFrBAqkGxiGsHvF +qSkrKRDkRNg6zmMyIS1kRnjlEvX5GkHgHQHwlteb/N3jDMwivJ5ISsU3/Wotx23l +wGduxAkCWairYBNnX55AKhZpHD96VpKpn+8Jg8Z6OzcII63kbU5q/P/JxjOuBSbk +83OWdYCU9j1xnQaF1PNG6/r1twZy4Vk8dbRMtQTUISa1E+Aegwiq+fPNVJvhBKrw +hzDivEOaPHo6d0LVm+BS0Bx4+C6Ps2UtvwmyYUcMcadRU1oJoHAu4VzMiipELlFq +fwjE1WMUTsYJ3ltG0jOZGsxHORQaoT04KI6vlb7Wy4E13G6tpshbcHWhZbfOaQjc +jD+nzrPmfGMBdwqSG89i1dfvMw2JaCOScKUifW4S5TXNthBt/W2QzFRfPxGGXH2f +cfWvlLSEWAImTp9fHphgdVNRup9snmjcJOQkbwtQ3srzc4jCfQI81IzFnGsSAVjO +7FIjnSeu97U2Tn/9ikYtGvpRrrDfv2AO+QuP18sYH1ZsEIaiAtfsn6gfVvk0nTqx +CFO76LqthazHYE4vIBqolcgid2wWqXp9r90Sl9FWjwn3Gx+/8J7z2NjjdVaNIh3J +Hd766hNDJMPueLGrfdpKVP59lIeFDRR+0ZtoQ6/JP1rrhpFJxGhdVntSpHyvb8k4 +PhSSWEFz3OxRqhETLsYvooe9H8WqenMgzr9mBjwNwUEUeitLQN4Pz33hRsoPZ0MA +UAiXZ2HZHPuFvCybIJ5rVHoEBa8wshAzsui1t2xK1ZYRCkDSPe1EOUGijvfanVdD +9fm3dM4Siw4+byXmfh8NeGeU6ra2DFwAHPVaasG5Jydx+H1Eq9SYJBlFC6H302oA +nQ03VhFc9VOy8VFXR+kaq9LuMRiRdfUfWbRxmdTZgrZ+7Rbb/gCXdcR9bws6BfpT +LdZVX2/IcHewFDKq843ke1qU/3+ZunoSzfwdzHm4EMJow6ub/wk/+7Ia8NTY94e/ +3LOSR5E3z7Om8erVD+6lQ1FyjnLED60dnTpKXGI23QiA+hQNLCaYnlFQWVisjldb +15tRfrCSn8KEdH48XT2TyrmP51ehVHPlZaE9C908+IeTdwS6Ecz4zZr0XtMyMw4c +8IZkE3nNgSrjqhMQoYb9Bom2nDOc7vkYc9bnRTM9IqDq8TwdgzQz0rPBzqVNgKE3 +N9Pft79mybcskh38g3Wahj36CSI5CT92zzoFtEY+6hLvh5cyZ1FMCyG7MvyzrM+8 +Q4t7PWUVZuxcQDXuzGqxqV/KlNOCBUh1O8uipcwKsFDmEHU9vGG8KmkVyqEPlAvt +XxY01pikmzMX4HXieTvvWbu9qMVKiM6i5HnJjx8mWy64cKbMnjA3ZWzVHQeXhB4l +Wm7TIK1yZ2F4JOmcyUYc7y4vLPXxckvKiVa48l2U5SMfkd3Z0gfc+S3sFTMBR7XM +/0Q7PCb9ozF+5hy/IeHlEzYMHWAEo1pdeHz7tTo/RauKg9J1dg4kWWoNyY+2pNXz +h9ZZy7L+yelHYX2CYolulOYuL3rBGX5i1u68FQKwX3n6GbsRAtHcGH66rr3KZLxy +4vDI9A7ZVokVfiq2sjR0uZZDQd7NI+w4c8vynGz/WkYlzudttESpSpbcqZCshO/J +yyZ9j4jBYODapBOiy9M7JRP3jDAfMifDlmEqfqnNFRXlR19q52jDcw6aax862LGg +wj/i/lJTIImB2uduYZC0ugLA/ly38vCVLcNx3q0mx26gGNZ0GL+Ev6XXX638vm1s +0dafX2VB3cmvexOM5o7yauR05UEDeX0BpcPSGMsHLRiqC3wWAEXmzSEq/D68+eUA +hCOL0hmcbA1Z4D93xw+YvGzMElBuf8R980puo/5nV6BWk1pcomrKwMH+jU+khTPs +5J4rgYqpyZbgkPgKslTuXlyGb494wXz5KUB5nNHF+Wqrc7MgQ0SsLxKsi+op+Wpz +S6h+9Sk0G7cwfzPuVSLDyLRkt3PVWRvx8n9wtZSua+lcGNRUOGm3iW5HVXARia9m +lV6K2mgwqyksAG+fRMQnZKsvz+P6QQyPG/OOEstnIIbycOEEqh13yRRGuylLAKqc +z1ZpYEzYpujt4bDqQdbCadof8JGcQPZxTg/o1nHU1yk5Lm7/dl/TRB4IRoKqnrv+ +kMR09CKFdKTsnT/mwBMj6HwHscl7+SB9WBoDVPs/qoOOA8g1EoF+OzJe5psyKFJ2 +9VF/bJlMCJSrMzkMFcjWFA30pNKYmdg0dgSBpJ3+Ar8EcpoAAP4CLh4RP9FU5H6E +bSOl8/4cn/jbK7jEkow2gGIQAEwu1J103rJn/z2fo71fXuETztNGyMmqjDcbDwYm +rZJcxBARzltFjtBW0u4SSIRF/8XvQ9j3+lqKImdujha0K27AQt9mSVU1Re347YET +Vr9Wip3XJLfw2MnxO03GB9n5GLh2KBWJgkawxSkBQspBTg2PhWCNVtubCxb64GT5 +58t5YKsQxp8w0yuA4LG0nQgXo2bisSt8HzthiWLi6zO7oZgefpaH3qzWfj6XAAL4 +jq+3M8m13WHJoufACj5GR672R1xfzosz8Y6aj/5829tzdrqeTPJAX20VAzNw2Mda +NNnb3OpCPP2pJasDdO1s9klF0FWzzOk1K/sYPGeIYQzepLkT6jQ3tD21ReN5ew/3 +GkcdOD3/8w7WFFeDQ739NPNExJ8MrZV6w7d91b7lcN6/SLEQqxAZah9KSSQbI7MB +9+NDum0o39PlsJAwapFzUy+8gGYe83F+8lGvJ6Q6qKNUj/ajBAe988ltEpYto/b2 +4vSt4NRTmrAY2BOhsS1WkJnUiwE1hyP8n/S34XC1aRiUeSS2yNAwBl0ETwZs6LG3 +jBda/XAuvYcnbzvZXA2YhUBvPyHHhl1MlhMxb+gh9iWs/h6YZ0yOnnOHjo4B1kE0 +D4MunOF9iZfpZUDQsHL81qQgZyjnbqH0BKyPuqXHByiuiYVDj/fYNH7rHngBb/c8 +O9e/vGNf2yZ5qaInfV6Bihdd7cuPyXB2EDNiAtNuhML+ZycbLEJ+JjjIAAoMlBC0 +bOxeh/1ytJ8TMYbjF4V5c4P1xlaC1MtWq08naLHPjOhGT/a28BVUhBcGPUYQLs2Q +Z5CpfkOjmhYfivYhEsHF0P+hHP/xIjaZK2MGx+hkF7VXvnXNJ5AHoR/UMjmzB20P +3jc2EwJd1qnV14fmtNKZ/qyeliMY6amZTEjfWlIFLirXFWQzLOftUrKiW4E5QYUS +3BQySBYPb3RFQvvHfL32qkXz3SqXFihOunJQjk3CAf3F6PS75hnl6T0uzXyClWwt +TS8mStyb0BJyLvCItW46JzBtLhAOHerfuoyPhgKceapepmav+wRhw+RXrq3LxGG1 +GX5jiULPaU1NWX4CJ+wg1uOeRhFg0dnY31g/rUSDnq180nlNbipaZp0vuiSgbCyf +hugfe8KNahb7UsDuP+aVVkiufA6E4lH6/PuiKBiqcTO4aVUxKnPOFMHRCYT0KD6+ +RG2KHM7/AFNC7bnXqxdP33w7UnFU8hI4NF3t8f+oSimRFp4vRtnUVrrZkYlT0C84 +OMy8POmLqAIkmJPwug+UBsDU8HKN70jipa6mqMHrP8Msn/tY+CbL2GdcHtwPdRx3 +xTBOg9eLik8eWACk28pSkp7+3sBUbuHvlnRlaen8hp1A5NzJXez9ruua+4CE79Jg +uRHyTADF53z4Qj0+UuTzLR/iTfYPJCmghn3jowTJOQmeHG/Mtg+13A1Zl4pGHd+M +YuMTVh1F3PLHEq82APSm7TxKaANDgthhfCR8Z5qC4iMh17OEMmjXp/AGb4kBLJgp +VcVhoDxpcDYlWPeUqI8bMcAAq3zjTNHBP3CYR8uMwJA4W0oXOSYkmeu086khoJC9 +soneArXsvL6eMXrc2d4mizvGdBL48NeGfndqDX6eT0q0Kdl0zX9UINCWprga3akI +h/01kl4cNUCmcKcS3pM3tFy44i6mgJGgB+cRQawpnEjdxuGUZn6YMpS8nTLYhK33 +NvpD7Sjz6YDbhC9p9/Fc+8z6xPq1Ryk4Fn2to/tf2R9p7OAYWRBe39KS5NhHHzcs +Vf9T7uQxtYw+lbCc3NVyb6Dgc/7lUp8ZsrpArnAPpuh4BKxmwEeKFYBZcQfWBRXx +nUHoAn+TWSZmqGgQeu/NXWw95Yhb+kqYMGlyD7iu3OtxTod+vpxDXyNgExjzljN2 +Z4iN+1zUWpUzXian7k24YDQd0xQwsQS28d9VeAe3maIGAUu/9pv3/9tjUttdUP8r +peTRnTu3jr96ol5izwpC990HhyDRvYF+TTUdeEY3FdPyqNZvp1Gz224er1VLYg81 ++vIpuyL5jdy0l672/Qa38FoNGpru3dZD0inBFDea9NzvbA5U9IA+78L/n66gtYgK +Xy0mZO0wJcBvzo5xfSRWCgSEyFx/w83otha+s5YqIvX2/Eo7jlrhVj09/DaCqCcI +8yzs1KTuJYtsa5Vhh26udKFSRjp5E/5cNH5v0f/LWQvT0+864uS0bXD/Ye/qM8kg +o67+BOo8ksnuQiAcYNLWVm35xXAJg2QIKIdY3uvobNLk/oDmYBRXnHITxod3ndT9 +yFRgWqaxzPJEOck6CBOqzgyn0w3y6di4NAqvMBOFH9m3vGba+PtYZstVN2DvQzEN +VVGS2xs5jTSRAgN48FmaJr5CtB3LF6v30z4az7sSvk/hRjSG4IT7Y2E9S0evLRDe +jXpG/8TjSNzx8JC6VOP914vzKFYnVC03+xqUVPdj/Ke8IPs33tix5TBwQ3PZVjaZ +SpzaaxyqZjEJV07dKYuVNrVaOwT62R99sXVRrPD3tzv7g38DsRlNeyMxxWoWorPH +cLxiy4DiHpyRGWe8bsmylAO4pxQ11xfaaoPUdvMSNvmrREA+E3DmDzu1zmWcoCef +a4F8UXnoBwiNSfLCqCbjYZmueCfKrWxeDbblUh5WA29yVanq7g/eMczntpxgRiY+ +e3ifYbTldxxy7LmRTD5EenXka13w88eHUtgo9zCNuw56Z9bz79My/gLl3o/1c7X9 +mQ9zEkTTanaExC/yS4N3yPLGaE3IFAQjbAWcWGfGfzsO/JYzHZh+4Q3y7tnI23O0 +w2cdwsYetrbe1cG6tOnu8z0yGtPj+93aPUiBrLaNKxLWQv1Jdt8kbFunu7vkiuG/ +eJNDIlBsx0+h2KIaetn0XqpWNWTxbgWTJXKbePB2s8POy0fDozL8y/+F/IXwW0s0 ++YFVWHs416PqvuyfaOKakIglkgdTi1GugNmW4sj5yj13vnoP9tgCLbD+fz03aHkm +vrKDaWLlT3e/MZA1eWQZGTZzN6RvBHXhEoAVhUOokoGfqC9w35+djndNEW+ETAXZ +rznofeF22CJCnOYkpHybPE/ABLv/98QxzGRLxq2usyab7+i4DLP4D1Nd439PwgTg +FPdxa9HvaEO0AU5E+7MCYZKEbwGh8RdCVyUmIycTsP87j2c6RU9p85i6cxJ2UbRu +bD7vLjhGgcNqYB+9et68xGjMc7oiq+xXztXzD4/PcwFBnwD7CMuvxLvldfKmc72o +JPx9SVVQVfw8WCQSnNWdKux5ROPwLUqgexU23cMxDg/DwjSkosrft1GPed72413C +ZbjQBRM2PExgO7PxW1r8Covg6ylev4Hg3vv5wDDzypw7e87P9jsv8NX2zQOCydix +zZSvf9UJ19Z0Y6/q0LdZaWw62JpEviZLsmvj6LS5QlhsxKv7UZ3d5Wp+NNM1oOix +nRnZ7AcfizB5dVx8E7A+oJDyjMA2rGU9khqVe0rj33gi/5ULp8J53l798xDkeVdf +ed9p/jaoaLJN2kHucTe8CTzm/fuWxnhpd3MrcfZRFEP55W1wJ3nH538izWnGWzwg +lj0NuEt6sQCqpiPVnXOxJoWYdTKvRBIWTmExAlqwrTt6ZFQYPtz9gcAZSYlgTvYV +uKHiLdrzCIUcneFJ9BaDyuy3BxSkST/ApM2sYBgKyInNSXZK2cPo56x+EmXRYp4q +SFskBuh9Kai6YTGMwbzIJ/A81JR/F0yv+8j4+zuhdK+U4oTniw35Z7fo7ZL/bCzu +0uPoq/HSrKZPvuj5EQVuWMFplvv8qtLX4ROuuvIvVcnVroN1Eh/Pa2uvxHQLY7N6 +KX7bJWn6iQUrWMMaEzdjpfkgGBAZcpzIb+mys/vgencIRbVDl6PgtOjTM6vXTTl9 +TOpjGCXHe8PYB7mpNTPqEZumLmWoA1FqYXrF0alh15sUyPeyU55n/rhozcaZVuxI +GFCELd2F31+7Ext6YCfqm4PDG2xR9h2mzZ8xIzHAjDIjDcbGavffk8azIPVRhN/8 +DtqHiG9IfYL66AB3Uo4HBQn32xRbFNx88KYRNjW4eLR8czNzab2rL0pYPa3+3lMZ +cJDIdIciv7Lig7zOzOZ0ejK2q78dK7Q7ne236DTKzc2Mmqu+zwVsVoIbtbWeF8cE +TeaKPCPQJV7YRvzpEwuf+4bHn8t1yzL5anJ4wiOw0YtTXXdJnsaRTQ47ln+NR6Lg +ii7d4WH+vefryNEzODxLAnjLvvOIeM8uydQq8xjvEMv9TvHBmbpDsKMUt20ffR+N +P1dUZT/iKRQ/7F4QlQG9hFY8MeU8fidYcJ1v1ToCaFbCEREH26WSeKpcaj3QuG7c +oPPd8GLdCn02i5FNwB1/wmigeysVhtysbdA7YcsFKw3FZwwI6SC+WPXdHQ5Hymr/ +gDDq4RrphG923zrg5kiCusYuAbPPunlqAPQ4lRd8llhjeg5uZer2JkJj0cBEGktI +AjwXihmxd3cBp+70MQzePLsFimC8NuZyc5KbuXKMB6ld08d+hy89iTOAuOG2tl79 +Bze5LsnbsmGiQPSiuc1w5ruM4dQum24/3DzrEarndDp7XRYqX1ZNDUEaif9HBE9i +QEQFJuJ8PZiL3OoqkgKvRmhb4aPX7zaWgJsuKkwqMCQ2VP2uogK+A0XxMa3+RjDr +rPrcl0AEQcu7OEipWJ6u1iwK1I4B5YS6gsAZFIldKnkpzAiISKHLRn4JeUKfRJGS +VqMN6KHqjtKawElueoaYe1qecaq1AeWPLM3fSqmbifMw0ckE6NbFVpikfEqyVt+H +kHdSOTNBnQYRP+grkh/+N7I1KvJnXGyhHaT5w0ndAJ2+dMZ+cHUWf3bz2Ig30Abp +2ACwP6gjg4vRkI728yWqoTOKprc9xuCa0gejvEBZ5OuwRYbN1kcmpDaURaXNJCa9 +1zqpjuTmia8MlCVKCNvv8cbWRr8qojxx2ZQ4q3Q7wbXdh6zJRrsQlaDEwkK+19ra +ZFISBkhfWGo9biHgh42Cpc8Z9ahrhNAhHfr3iO9jlE0K+2m4zLGGhwMHLQxQ1KP0 +s62nL27uz4nQX5ha2kA61aoGtFzn1xu28J1Aga5ieAVP/3bPz0Z+oQ1Tnn9xEIVn +5yOjRHfeZgNf2kTZ5BCr1fnH/mIiyac6+DwyBpf1JRjiWIG1s57Cb2DKp27Y2rY6 +ie8Ybn3q1amttotzO0nNdXQG0nWvwZv9HpavbOTUKKwdjW5S4OMkS5qEHz3KZtmO +f7ZyqJpQRrUmdZmPYIW2A/G/eFiIHhBvwyHzP8RRWRImQHM7y8go6tgHnEthCbd+ +LGAlPNnZTpkobQKt27cwjfKXFEpHu9jTT0FLMW+2GKmdWZ5PV0E5M2Vlh+Znp5yf +CPaNHZSW2FF6xanF6atNmHUhlsJYkWlVWG2FuTbZO1vMv0rkpsSHjezmkk0Pw4kz +S0xyv54zR04nUSoRj1nueGWx/mhkITweW0ng/VMbePIgX2dwnvMsoz928sySlqUo +di+LjMcgDFL7gOsia9LTLLVOVq82PaXaXrm7fYV7G9G5T2EhD86UWEW0ld9JBEzk ++jAaK6V07BmBe0c+/g0Zo6T8hZCxguhdsAvWpIw5y/KfxOHZaobMFHIx/3qq07o7 +OXx+T9LiZ+X18+zMYCpkRwUC2lVIvbeY0/+uDaQMGyX2VB7WqWeoCPdQjEwO/vkD +8aiEOVAmZxbCTaeJVqbXztK7EKjHNadMn/13O8WaDvSrIBqzn8caM3xx7njJz1Sz +xaxXT7Ah9quX4075QIWNfDRAIzlLE/VHPtgQEAOdSonE8DiNe9edQMdSg5WVj2Rw +Pevb0WHrfkuPoB9+K8jqzGmINitOgHzlcowhXPqYCrjLPN+9v4d6cNNIaN98Vcjw +CzSEL6C0tmyTiz7dBgTtKSz6+266CV4TGqllXvBonMWFhkK0EJPsXNHo8RBR2ZY5 +gmNMf2+f5yWaP5jBEifndcWrZI8dylUO2XyzLBXLIL0YgIVVLR9iUX9yVzIkMlHp +Rp16S8eMiT/RZ1eJKDyT7bRAhEUZnlIxsOjiX5cdRe2NAXfy1OVlQiVJOAjiCzXw +0LE/iNPRrloegBlOQc1fAq3Dznys9dYvxAB8NLopUQIzmT/BM3gocf2ixN/nMbRb +fjUkqUpWc/TzioFFWtq3xPjiXwERG3h5cGHLJSoqMfxdWFQ0aGDUu9B/sDQN9JO9 ++AowkGhwgwugczJb6tmwRqGaJyyy86OFzmm/j1zvo213nquFxURwd9gWwK3/bZ4U +NuQ/+s2yfRui2B+XZt83QxSHZ4sOqmUOiTcy8wH04ojfHQeFIzAgb8ipyzpLNLz8 +F1ShaSIGjrCF+39Fh69hB4q/6I9KoBd+Y83i2EzB7fS9bOT0PIkTWmsi9TdCgNj5 +3Q0KgSSLD2tbF3/kTNajPv/Ky79UOjFzHIjsu0AigjY0vTb3ujCt2sIE1QJgA7xI +aX/80RvsY/F/xMx9SOaGarCsCLM/dvbnr2lm0HplfUfjipxRRvnKp4Ag/9K91UkF +fFNtCD1Y7MW2FBUlfXifceR3xWYlvoO14DsjXigeDJCR6MudPnVoax0/PJ//nKxG +phxrcMoSNXXE/fpBjyJCmpKEQcnXujtaFqChu6PAG1Ylsirftpje3uhUTSjeFmEb +zl4cf8JAgcIfKfxA+eInd0X7n7MnBcXY+n/Mmfb6jARHPzcpQHnGkNLtDHdwD2mq +UL/fdr1Nfl3CIcpyPPZzSOxVPQX+YiAN7ToEoKTBNMIoRdzpXG5Xtc23CLUbjU3H +jXqmjRHnc1wKk659RTuuKt0ADiibtw88ZAfIolsP8/F8nv+Fc2gtyVUkhI84+lKJ +lCACjPVY3C7xPD43tajsIlLKukXfLFqzoQxqVzhOG+42ZKUiANk8lh+fnIJHefZA +LHMQoUS//gL5TEF2hz5BuJtRQ2MlTHUJ3M9P+mOba/hYjA2CrZCUm+EyUyOftkF/ +gw1D5jco3AkV3L/Ywu7I3QdqT4UNl4sVd+0viYWqq0amab2dkT9Kv3WaJbbjt/Hs +OMdfguAWLOijNu4r0EkFvbK71CnFt0d0gMPD4qskAnSesvYqWY8riCi70g7vgDSk +N+bGrzjTF4U/uEM+MqE/AozFg5hcTmqEt969CATCO1ZiWyUQn9AkTP90Mj6AdcvF +EfRtx3IioFvUbMfpylUL+Rl1R/ASJys51RF3GCWoFisbMvoT2TUZvQ/pMFCX4Xvo +KcPH74ylxX/abSqN9VNl6z8BRlof5Kd+4L9wnYKTUWBqQ1KrJ31wkYR4OuwRzyMG +yksAWzw1XSBdwi+0zDgfXZUNqx32BWqDLdIH3JXlFvy1Q8TwCO3U+pgKJgiI3Dqi +8OLHf/yJwYKApUPbYeevQcGfqlcpXEfDPO/H4pOaNbASRB6prAzNN2RxWSWuX+BY +dlZVPcMMSjNRQJSk7WCTTPIDn2La2FPGbDWn4ckpxTBJEVE4X2Bb0DZ0VUbYKqH6 +JIrjkyfLNzTRTj+xJZ3y6HTJv979ZwpTiUXz08eA9u+MSechZ7LwWJDqNLmNwJfp +QDeogQtYkeBCBmBXh93N6L4WH1ERBa/dmUUR3vRaNSVcTbJk0TecrEpCABnDlgPp +A5x3Y02ic3Tni5TkXbs2cJXx0VC/w0Mi8s1gGLODTtuz1ct1WzOO7/OIdOGEj2Ty +gB3dgcxdzgLv/FgVcFWYQsYxGqpUNcHyoihSmGNEDjreNbuTE5AjXk3xYPWFPqDW +EqXRa+RC75wpCM3qZZDMQWAynJYWcQF7VOEdIf42V1WVLtGz5ilV28PzxBdoBNjP +/jhVXfIl3pNws8d0hLh/ViSaWyensy1KtBEHmN8+YSBfFIqK7VSyciv4qqMOw1C0 +O0o2JFMT3Y/8p/E9T+PQnptYtsmFNfCo8f6FAw4CpFtSU/WXAs0vJ27YhIFKmyR/ +sVvWb2GCs91v+FywbaPzug0VudhsXCVjEAgZ21cGLxrfd5mh+EYBm7AKtdKjw7zL +bWSa/DfFHA0aSOkCSjxOYFL7F16+Eke1vN/PlTQ338ktomcsFz/tIt0vkJz+vuoU +sckvlXwGUy4qWphuhg9v3HCrWhbccStN37zoswWb8Qj8viEJ/g1TBGbbQzGS9C+O +b8AroYM0PB7e6J6H7omcB/OUiXdV701wjgmwZKI85BrkWH0dQeTv/yC9k3wt+MwG +kqZ0Tv2xOQL/wU9vWqjcXqQm3griPhoMM7bzl9RYunIlX6HRlbu+tRKOLkAZWUP7 +GQy8E9PbVzmEh/eF1PE0Cjq1AT7bvTOAGAP/+lWGvpBf5WFBh25TkfSKse1LxTqN +Upqtld2dktJ8iANjoi4jVa8OAvNioRcqKVlPbTAopiO7qhBdHejXpWjtfqS6V24/ +1QTjEfv1yjV1xJK4xA/lRhVVt122aLvK7nja8jmkpjVvp16MPWGgEjCh615kZdFZ +SwVqiBxmPy/4S7mjrhBBpgB85cEKJkKEwD0edzF0k3eM0iKrT3XUwfoEghSPi355 +cHJ8++oN54MDeqndxBr/7kAS3HMfJJLcvxZ5kDNG1j7S+zFDn1Tb4KoSmX7VBXpc +Ha7bDVKI4IMHQ+rPdIpqXVyafkXcs/D9N02t9u6p3BjQ6ReUTsoo6byDPQy+y0eN +8YocQxYjUORY9tSqRBhi2+HaffOj8VWO5Z6Hxtwbd/cLSImxQDqyaIZ7rXwfddYp +LoUUsJTKFo7+Wy/xefsS3bTo9FqXuxL1tRuyhzjFhjL4sfhtAUXWrGjZ0baPjPZc +u5qyCqz8OYglyzvIb2sE24dXSIEYpNJZw6BbK+xOZ9uqEkJr9GqMjFbzrp2pw+xN +nWpRI7nCVjWfyqXCbdGr9C6BfLTT44ctaj/vedoMVO7ITgudDL8FQQOi4V0BLgFp +USjgAG4H7oRBxv7YFoK+UJwHvisXYVNZFmE/bI1UdvfsAb0cx9dzpY58DSWMM7f5 +iJLqCVokvI4wYcLx8MacrTCTVqTPldBduLvMRPh9ZwKcViAAirx0HqpioZj++0Qb +SKjKCDimRy/Vu5VbApfxIP/RwDDizke3iu/EzaFTHU1OwtMW2eWmDAAC0ievped5 +SgvijSwrOq+Zr6IpisiZKJUrvxNWhchXPCEzjxMmxzttGBx9E8FhYde+fT18M/kG +Cbp43q0Mdrv0OaS1Um5iBQGnkUsGPFbKItlwhWCrvlVR7PLgcnZIGLrP+vTAr8e+ +WVVCiqxhFGtZh0Rv7WxXGJZ6CcVd+1YLFaYCEmceiHjZwsRfHjmCAieIUAOMBOpB +wR27StcdL73RjDDsqwPWPMfs2D4B35UIvlZimB+m496+JThM7OKZ73iWcsrXGQ9G +LFrs/lclNu7c0BcacBfIjhed8bSU4ohIb2ZljE82Dnw/ubuXHIozvFzZK3kYvdl/ +Sds5A9lcg7RevdMpBVVjBUq+cIbSeYW8gSnt2/RaD8cxtcW1SjoYK0e0d/2Vw1jP +QW1giFdwaDPrqYP2MGEtvdbXC7oLpjNZiuQ9bOCe76ExtWju3CjJe26oyu96kGnR +yFkUhgWvHoPER5eTFeOP6Ma5x/FcFz25q55lxxJrD6gbTJdK9RihP4o3u2wskwTp +J5ttcJTXC37J6vkjdis5atb1Fv7BaT71vj3lTp/PPu5/Ym9szJ+kXgYwQ5XobQbW +uKSBCDvocnfF/CF3ACpviJVZSo0bQhhxjwevICr3vl8z4+t4yKgqKVGakHDkRs68 +wCETxuZZEfCxwW9fyqOXpjadZcWhszfug/6jcGgmsPX1zU9wa5T6TF6/YSkCPmfI +u5JYzBLCwe9zLBKQ0HeFrMQmGIShDElNEyLey4Edljxg3F/YsYrMmQWmEgPZWCy4 +ZZLMQNJx0cfkc8v5+rCo1nI7vw35fjO00YNh7TAO57xYrsQGQiilOmmnr3nmq6Eg ++DclyyJgfMbaWdHmtx11g0RYypnl+7yminFes96NaM6AHBAsjWPpzH7GnOagsBHZ +LElKCId4J+w0jHFh3s7vKjI35nRbGIO0AsKOv/NbQosE4LqrI2Mcp7C1eHt2CeUI +G+o96KmnSfxCQC8G5Kly5mdpH+q/7svEucQEj/kZrgfBYlb1lmYFh/9/hC8F3IsS +IyUAX+29KfEw/uW9lasjsJm90OYk3/Z/4T11CoQoXHt3XeLU8QXu0fY59H6hBuoK +Ctzs0+bHNdOc3+0fYJtXfa54QYvkAxo/Zpkdg74RuBf0T/VEaIx5m7I+ZFZyNLzG +JGjjoWkvdBdysm5GXohGcxG4hYSzOzVTD4TR/ymgtDWF0AzlKcOoGW00WQP9RyZD +fIy+hyBkYwwLlWcuxVR3OK8qv4CPSnFpDz4Jg+d6EcVNbsMcJcAmXTWApnHW+P2M +n///ErqHb8SuzAoX0DIXWRKN881XV6aVasV+bxQmZ3QmomEEKwvkzA+066DlJeta +Sfzr0B4oy9StMe3edMg/swUMLYryUfU9js3gIwtGVhHzQ/eaRCIN4H/n9V1o2ZWl +JeKc3+vjPRaU3IH6ApZHutTqUElxoOrTipoLW+ZpYlqM8eMNOuYcbPrp9owCwYnr +mI1/1Muwlq2b1OIMPDyJuqsD3E7SwXodDYKxjRhoUYAit/fgvKKj5mmKyNHI933a +92KiwpVUavc6H3pJ2m3FRos8VhLZxBm8X0I8XLp6eaiVh5LqogRtDn+UhtEKoLhO +NuVPUfI2C2eo/UXPDPdwCmCouX2bFhv7BX/7e7MGzT1PEQw/Uqwpu9e88+Jg5Dhp +ej5ua3zvFCu4Wy2hZu8y15GDpx4bJ6bt3XFTzmhy+AQLIpxEk4PKqpxbHKU46gXn +ye28skJs30TpSdkUoE29kiZdyF0+ZQIMa9DcyOdrapYO5QjxCBpCezUEugJjiq4v +oEX7u7+VpslhZHCR6jXmEYnkwj7aS+vriV0IJ3Ltfittz0XeOkqm/TEVwvJITKq9 +jzLoAMSzovtDsxs61oWHXuMpQ3FJGh8pZABd0QYfkrF9uEB3bDtixa0D1ZIyHQix +2WzAtBiQNigHGqUPHHHXBuIAGr6BGDK9FuHRDWe8MLY4fhN3Bcd6A8BkkbEwPvtS +BlTskHd/+0NYHCPNvFfsI225zcUWlFS8Jr8bqBn7myK2H3HwhCMf3c4oVOnl5U+m +P9t8OTHXi/A1qHcwhJ+uLmr0KhQ+ZWpI/PloyvH2N9SA/zExokLqPUXHWxEvLd4j +Shpsfik1zG1xVeQjlkd9C0QbTyp5RwZcOa99wR6KRpe+O5hSdOU8CXSQSaKhtGz1 +jC2kCiX5VYfiQjt24IORF8kI57CldYsK+yCUsPeHyjlqg9ECVT6qQv1JBYaZIKOM +iHGaAfC6N6V8SEpiSPR+Oywn4BIDhcy62uQEV1emNwTsoCew55rNvfHINlY9Q9CZ +ZiTiiB5LeyOM5UwOA7g0q1hmRkeLpsCnDLP9j6HVJGydIqj7kPph307MkZ62iosK +WL65buZCppKlehJ5/iwUrlIezlGuAFpNYCGucX4/hHMD9LF5JFTlEghpN7I2EueE +yii5VqodRwpMg9NWWJ7NrKrSCuTVG03dP026X2y8sMqgWbaDED6Hj6oPkJxRw4EK +zlqjtsPMD/cZ1hA2SD46A/iffIsySctzgLaXysK1o8V2IEan6BJmVJE0ZpB8tcfl +kWSgXPSNN3/Dzu/HzcdT3/bFg2BTTorVfGBzXiQxkjNpV4lO/P3BXgIli42LPpza +6x54bZbo7QtLiYhQk4YOCfok/2ys3ATuFClzI9RqEhGefmSD7LLccqjyAC8gBY80 +5LPI23joGnmD8vmQRXOn+BckkP1Pll2oNDP21SLcxlVi9JPZUc5LWIL4dpNi+ADQ +yiGLBDXB+gUAFJytwYlmYwm1zo12wKC7haQ9Uv8QBludSi9buGOZs4yViA8XVcGO +0TilPtCNSK1pakKv5QuzyfZnvCWxf5OKxUUfXhO7UOBx2LIeV/0xMXSf3EUxCmsQ +1zdb9J6SVwZuCdNfA4JtPtCJYB7qkn+qAHiz55Yda7VarRzPgpkI4KJKaLZNwq09 +g20dOLiu4/BEVabq6GJvXihNfUjGvPdlEIshluPWafGJXk8SxBsBSOKhwn5rB7Wp +10UnOfdtSbt40SdXwPn5fSGnPSw/54Zs/9pxQZv4IIyyhX4khxVtD0BHY0QutZCL +ul6IIeOp5GWGCbQyyVx3PXQWWnPKjNw2wsOpEQg5Weu0pzdzdWF3mqIUgCCL/2Te +hmIGmm+qY9MvMRNtwj+CoI/SKeycXKuej/syN48c1MqgoR5EQ/Q39FNr+IiLJuc5 +SIt4OKMP6DywJGZBwGp60FAAvx7KZUQ/CRdb8H0XDsktdakYHqwvrDPRv0ZS/zOe +eJhye37TlhlepFv+pVC9KaUM5YprlUyi4SGz/M1hPB5OysRK4dHCxt+CfQikcBXz +CvW+GQnIpMF5NbOkn2ZEwwyf3YhPAEDtnqbW0y8ZbUSH+qXwB8VDt6ULD/99S1X4 +skQX7bZO2cHgcmdQ9Pb/AKh0hgSaxflOLuF8e9ApBbTIhs6pxBXockDZ+wKVdkQO +RLJk6tQPvxXVoT9FMqlID0oLKb1Qco6T+khMo6jvn/5GEAoe+iZbEot4A/cmg+lC +WFXEPkLHxtAFVDz3iGqtlW2PA0UzjA2sf4miJlMzDdmgBWE4FbMlgk0d0axdu7CM +Dq3Lgl0NtzAtO+IXH/taLYKI3gzKCooqS9oZIib9qX0de37F/xKZ6RijfM3w4C9B +Ge+QcJKOD6u8VLhi2EXF0EuhTJkBXWOTLqTppjyiIVQjyWy3OawrYioGu3XFDlli +eRarrxsbMRH8GjASGFFFGx0dqkYwg4BMkTYjUwoWNV0RcDP3EVuUDrwd5iJ+te6w +lRhrNb0wIhmnidpqBPPgRbMFu1jtqaRtmYQ8zuZRnj8aV9LB854V51HXcdKZFXQC +LpvhVM2jVHBw8AkohX3MSfjwRzG19SA4MOcdrXeJwQq3hE18itVwpJrqJcf8p1ca +uq3aIGq/JQbyeAFlxN+zCFSoOcfAJJkR1qyiZdW6HqvkDJgoimXMgEeCOY/W5K4n +XX287AiB+RPi6ZFkjk8mOFcnirehjJMcCNBeSfHe2Kk7F8s5xbRaV3PPDNATLgAR +tpKJd4sVrVDtSWBhQ6olHsZ57l+vfYFH1iNIaOj/Fng4l/TNodE8xRiAry0X3tiM +G29sg+40JtbFKVTEchZ6UVxw4WO+Nzl/O5Ch2Fu4cssPA3+MlUAk1YG5jsv6LWhi +5QDtmhjCUi6jVe3W5iVTEWC/h8pltjC9WPrS95qkXTlIPk5t7/1X7u27KAQV78FF +JR5Oesc4eunmdtCShFLApT7ejw/W4QddIIFP6L/cNSWs6vY5q33w3phEHE1FKQkH +UJVMzg5zpCRPsme8fToR2c3KS/Z9au5nXs0bihYSqOH9ZSLEl+SqK1tdkmrF3o8p +F5mOeLEIIL6hnHiCYCHdJ0E9tOS0osJ+/DvMqelVUQLYpW9L7gR0QQU1npzXH90G +wJhVXmUKNDZwjTwirb0sr0DjPCzmnq9LV6QsuhV1HGXMM2yS+00yhtulMc3ADAaa +i/2Yo9EDzW6sx4j156zbxRoXACWY1ViMp5TNSL2fWXGcPGabEHPLhFR7WTV3bbTT +Mtd8X8I6kdxAMeerw604mtQVeBKg4cyZ5yWeDkBVuAy8ckppLVE1WSZ9SKB8flvM +K9Q1JJuLoY1rnpUhGOQ7ecgIDZOrlzpnkhXaBe/JuloPskq7n44F8gcwMht4uplr +d++3VUEBq8Q7E8/H3pa7ueDUlOBUmvyXiUlSV1jKAjsKzxRoNZeQJtjgUsFnZfN8 +1lQeqG8zz1kIMoAEF3yk9R7731DJZ7Do0ofHUErWAQxcYVWt3flE2rdngOxWEdgD +Lal3RQjXbdKzzFBJ8qTMoOXZ3Yrm/tgj61mC7+OGDVP8bTCNwmZb5rPgi7e9OS65 +SVgvsylq3lghrjns2Uf5IkJ9U2NMe7u+Jx2U9Gw96j5e5Tuf7hqS7FzaRlDcBKdY +OpsxN/FVvJBZPF6S8YUox/26Z68Ie3/tTjhh3EIIFdOCOzJktbeC5zVOkZm9Zrf+ +gGwKYd+dt47/j4+NLMpKcs5Edi/ny6kVlM7ZxY0G4/wiHF1YB2qccSjsaxR7Vb57 +rn7BmtY6acf68L6BOiuyteFLkZCTBOPnQ9X9P81bN0gQtkJbWzrqEJDjosR1ADAS +VwYhjddAbKBOofCGjNUYAMb0x4Bw5W2+QFeoxwI1cRzs2aCJEYJsqdxSb+dEkcOC +yBCQdtvWeDFG8RJ/mnNIZ8p76wbC0QZmAx0Je4XCrp3a3qjUQi6KSEkOBxP9xOkN +MJzyQyaiIBLdjMMZYRLDpjcnFXhU2cxG+Ge8o6AfZ6L6qm9GErgvYtTko+XBjC2g +ImOtG2VmzIGICFMvvN+3/crT61tA2o8ZzFeLE6tbz+bc3Y9w8Uh+/T4Bf1Osr7lc +P6AF95ww32jSpUYDLmbly8joMlNdxZDfW6Vmxv1Q3Fm70DfIIjOmDN1NyDHwglTH +4/6V9o+qYK/NMrizk/VRFoFHO/p/T6eevlhWsTWX525BcG/WOuhCuehAPTDbSIS1 +SKJalryCBZbWNlVQHD33noP1zaM4oEwadHhQQhCQCGVijlz2UozRvP8d8EVo1ZTy +jAgCt0o3TiXC89C3HkXeDMzehdGbrvd00B0hxs+eOtPJlvXIlJDLDyibzQ41lZUB +6ER7BKoIloDICExbp9kpdst1PSaAYNwefITkAfWd7RO7mjLz09m6WCpxay36Em9K +uVte3hg072CQNvsCwvTZ97JUrwAsprQ0e7LeflPhVc8pqYca8fhvP220RB/oPwml +60YHgRRARG0vDbX+45XgYe8t4vEcGlZ3qwhxk2s59c1HI6Kj/+ba4LeUFnp0Wo+Z +8KGRCxM1P9cvotfAsn1ucUgWFk/3TWxuDeTkR491YVTRHQ+ZXDz52o23PqJzVnh4 +1yOit3/2WSR6wul42bwpk+UIkSN2airg5FD++zKbDPtm69NqMzfdp2dvhAMGhVmD +6HGr2+s9GO+kug1kV7cXLK1P2dwvi2ru5BIZff7UiM69bq9tJ8NOz9IZwdbEfwfU +4ukx6vzjIc0m4jpqFtQ2fMP6dxJUH6ytDvMCEqmnD4ehcWKmHDIdkk0LkD+Gnhz9 +84xV7GgMC0dwkJ393FYRogVe21SKScCx7DVicfiZMqXAQEumzT3zKcTvXFwoOhfw +z8vaRtp9kF3y30kB90v2ey9V+wfTFQNWP2wqW0URLTi6vhi1fy/0P1yVDxUTweut +9Obf2Hr7g/+1EdUySvucHi5JhDiw+TAjsJT6LLuhp5z4ga33pTo68/yW2u7t+mQW +7eYsoU9MjZgUrAzAn3Yxn8AHh/40kAS6L2swuoyraTGtFqFiwK4P5ts1kxFW4/cr +tRIPZ+XIG+a5ZVgy0HNsHe4s/YbRfvi7Q9YpvVoVLmtISoKAKFDdm4rISEzKujyf +FiChkvX/j73AvT+OrPR3kpYULdU6cNjE+vPjrdLQtLfrWJ7qeel2xZTXdhlvCi5A +bCZnkhxMpER7qrnQLC9mGqKty1OWJT7pzbnY/LX3JCrYD/pN5j0I1fKv1sZcbCzN +8d4Fyctc1WtJbnj+8iHzyc7vnWwkq7NFzIOgQPcZnKYfDK4gV8i7ELXmHaBPSKlr +b/bpWktCh43JG3PGMNAy+34SdfxmO3oGPJaOXvukDFKfuEYAG5O50diamk4AqQUS +RDxKVnEhKp9pO3BNW2kMxONlB5DXPq69g5x5g8wYcCoeKoVmSl4gB/LRlFAQ5Su2 +l2vs/1mZhBax0p2lWEypOS/iSNEQVxKn1khkofI4ZCjQ6khDgFtqfO6cE4AH2eEC +4p4627xoZ8JR/V2vaqpN4rpJuQDv5P9IHmbw+Lh48ll8N/RMex2qqXfAb3ZETQ8N +uGaMYLOSc4NO4tahM9cSBxGBdEUFKp7hMcQsb0TFpZ8esprPZvNMXit8WJPLc5r0 +1pG7sKi9vYcQ9qPUWjs08FZcZ9MILjrrMA4mTOvu8s/kWpyq/PMpYGi5sfZxC613 +zKN18x+gR/E5yRn+c8td+ctGOA5LotdHE6NqLsEdBhvqpRHvSLHCBwCUgSQKd5bK +Ms+oCMdVavT0pTv0QyM5IygjPODADd+6kD+E2kCY1s+ALcWLSnV79qRiMfH64/wg +TU0xuzJrNC7iNd0tod1wdGOpc8kZOf30ZOfzBWh6GqJxtB3/Q/tesKAxRzpUZ4z8 +j/ZG/dZ0Zese5kkfXkvABOb+kW4icHJWFdsna1i3rHgv52o+/SqcYzTD72YFxW19 +a2jjBO9+r//8FaP5rfaCaQPf1C7LErfwpmJDhl5QrFCKzr8N0dj9WjNgtW7FLEO9 +VSX8hvSE5+qK2Kn05JrgXGJ3eU2Z7mdb7zCyY9WoAmyqhIcmVXiug/ya9s5POlbX +IT3hNnR6cva9JEbMU3QdHZUk5oIppPUpN/P1iTZLjhp9MeFzv+4+Hdj1q5GAgMKM +y/BwshzNNQF2AD+2xbXDOajclU0oXpSY+GINEgXtA0aE9036SkNIn+wSTdnXK0FM +yuaj4VI6XCRVKW4AQok3/LPed0GDqaCdtzsUwABwOj90iy22UeLaBEOUzfjXoDzS +MgyLatqi8095UGIZ3F4PZwNzIPHmDlVoPINM0wVKpCLy3MCz9/l1EVkrMPASF4d8 +/wi2VY2Eueo98abRZ9gxaM4SYMnBZySGquqv9pO2OSa1MhJoeYR+h/PIgx/8fwKQ +0DzP+EZbmZCByKgrSQK1kvIcAbaaiU+AqMmJSCtqUc4pnjFbho7iVklx/DqEnWPC +zfQA2YattM68Tml7SrzgvXjWsDxbcWpX5ZwaOOnHBcYG0hs//deTGzS4R71vldX5 +PPwjTRkhNF0wjaZSBN0ZYpds2HehEhiscWi7k+I/2qVFtq5Ibzdpzi/Nm3uHRga1 +zV2j9JJ6SriNx7wSVZFICxAAKr5DCXrBq7yTvg+q7NBwrjQp7wzUfdxNfKIu/+ri +3pu3R0KH+ro3gybHZgdlDAZNGJq70pPwB2WS0QLcmAvjcwmAKjEPpNxl6Z+eNv/i +B+GfVAdND8q83MBj/SGEYMZaIySgMwb0DAJFRMK+hs2CAPOKScN31JkrrvbhLl0+ +vJhIcFVT1I1/kM3KfSGMsL0kmtnAMpT94JWkFYvB3BoHXpoMGYfg7Pk6zytO8q3C +2v3pELLT2/N9OWh4UPIazU+OVqGanc6epLp3E4c6jl4qGPtYrUmQ6pKGWmyd3vwD +pfybyU+fCXjcBkquWjefYP2BMHSxtkVbdWpzTCWpL8sLZJ0jZEPt69tncAWPMofB +dALx7oWFfHTonVG9gYPE2iLSnAECVcOxRl6lC323+HLG0XvzP+hIEOa8sVIitKd3 +vQqnT2UqDb96zIpiQU2r3k2hlhE+Tn8FSF33oiUPnYrR0AwjZIpacUOC4B06NOaz +f5g2gBNQ67/sLkPlPCmerx1CajSSMbUh2MD8zVnGWWNZmjZGfe4H6tt5cd2jyCfW +EKuzwmrsx7QZLZ38rG7G+IqbYF12eDA/Obu4jUHRh9yrUMbnlYJ9qAEInVU8iR9f +iyWg516SFKk6uTUfl3TVvyj15oP7+RbZSEobcmhOC1F+hSW9ODl38VnKIQk03U0h +EZ47DKPNGnvvizE5valZdLCOiz5ViiXF4IAf9N9Kl/iXA3rjJIlF0sG2lNfW55qQ +BRKigZn1zkEsRiVQgb2VfRet/vUxAATjnNfdomrQtEqLYUQPUbWU9ym5r22DMiRm +6f5r/YVpil6rUOiTAJ1vRD1Uk2DxaNDgRxbx3wBhDnVLtvEji08WYDUozimEhh9e +z/yN+830rogKQ6bZG57VOoUwQ6RMFtvUU1mUWsatdPSnkYsb3gPktspGWESpjE/O +Na/RI9RbqH2g0kKEAz4coyL98cmLmnwBUYeqliM65grsIAyBZ/4CYI7+qsZ5wXit +xPDFDlq8tm9ZVis3BziBeMrsRvDt03TrXCqNa8u/v958nSBTGA5OLh0MJ42YAqu4 +3BulXjPMnV8b3KLFO5LCx0+rfy6w9rDZ+zPxd9R8oy/P4XKUQf/cqWOr4ZZvTQnP +IXHdwDZHe7t1XjtI5+LAUlHhR0Rld48vbN2kJCYYsjdz8mlr8pcMlRIE0K7vRm4B +txEoKo4o+JNgZYIxF86stq5yIb7ickNp39tFNRvu47glRWCJ30DuXXPhHHPQbhZf +Xl3u+oHBbVntB3KK9D1mh2eRy+p7RpW7BFU+gOMAyKAt2zw/SAmAcuXbJRbOvqej +rsZkULMdxNF8zWlbg7rAO0e0fPoIrQU29H0KdIRNEhLM8wDYqUog8TpbsZjqignz +0GM3pCVZheoNZkYIDUFTwlJtty+Sy6jEoDdsfmzfINuxwmZq3RgqWvG+jxBSkJFR +5HRE/j2DGm67IPh9hGa8kIHjx99stJ/1jMewBXrHvwnjnRL2izAE8cKFtF1jS3xv +4TV8PUMEyFsvIgmjuo56gTiGtwKL/57MIDjKk2d2tIH1It2oSP0e1fbhFjjLs9uE +ot5FpzKKiTLtBhJ5P2tei02OM5uND+8ScMLfuJOEbryF8i+CZ3q+TzZJRr5U+0VJ +d4DTLEcdOuAxi3tmp3cUkNWtQcGQAUXFa4a3tievMZFVlZabua7T9965dCoE5+lh +cY+W+gkFAaH6hwocVwrnai00FgR8AUe6+oyUxoWpiD1p2zFZRu/9K5quEuz+JZxn +N4xHQ1ev8fYlrgvN3DQvUiZm1YVPpxN5bnFc8bgNInnCS6Ro0ETV6vlEz9hpyygj +W+uwUAH6ZM9SRer3sdgomRX701gyfqExsE24DBfVfbticdXANu8iIaaVr6HUSXEC +qB05dscZuH6U8gU+9cvIsMXPCioU/CfHfIl5PJd10vGgSb+As9NjQ6yeqMUkrg15 +5KbvxY3VYE3DMQU9oPXB8a3vT0Tb9YlGy7VWcB7X/PyIWwg0J+v9VgeAXH/jy5el +o8g6VzH1feSPHRxivpyBDVGgKZ0ns9MTQnjPtmtm5bvbKPJZrpLONZ1zVYT45G+z +8Bqh94aaOQFb5AF+KN2XoiiDNFPnv3aSRClc/Scj4ysUgoN4NH8t1B4XyoYUGeMs +AmiP0pRo2ODJ4BNfrjV0ceIFott7Xh96KXvgnRCEHKOvc7X/O3B32u0mGP3JldkM +jxeU/AHKy42ueMFuatPNHT71fUjdbNJHq1zk3FoL+545Kgc7NfWZPMxlND+oj7r3 +S62xY2b2nkw+/ZZNocCzT4KVfm3elnV9xHSndVWR+G6j1TR8UOV2frTJAN73KWVw +hrsWmkxZnpRWwPFrIGHdId1h0yqiuYTV+fovTUHOFk+A5DKLE1JoAB0neNbJq7ew +aeAYn8btGWbWHWaJ1cfvRO0wFwlWvcEclxabXRsx4/qmx5OhxgoURkBDRTXtyb9Y +w+4V+rU7lxrnc0m53hmpdxPJDpe8e/9e+m+IadRWKbQc5mk550oaqVClIjywJ+D3 +bzyOP4+8glwi3HOPNG4ScaB0mJ3whTDQ8Jz9uRu1RgWsYOebwipHNsZLy4UDTGgh +duP1KBmuoR40fYuZjyzP7PuSiYuzZJAsqW8aJFvLuNKTvziW1BjmA2BA0uaLQq9P +CCDrHqs8FSt6eiczkYr0ULHjRsSXWZ38wgmirZc/d/8fwp5zJ9RCbsUMAZLS9LNI +uryq/xPtgnBHGBOFUZ5+nvVM5IDupXvRGEnsEolz1IIuY5zNDHJVpZ1y0PDLTP1b +PDocMcy8W4/+7AXl3jlcLySqDh7TyQirOfzeBFzpiDeJyvVzqhLn06LP2bHafO0H +JteFouMybvpjIZp+wU+4vNVxV9HzJsTOCxewxrRvEDeV/yj9WCXadva5GNR4/0ME +rmEHtR8Lh3c8O5VAF1YPF2jZW6mLbgMHrUPuWYiFTv6xeUr+EodXgvwrjotkZSAD +GNa4u74h1BGYgHZzpiNOtJAz3t0GY3SHKE+csvWvsi8btTONHYDGnPahkvgih1Pq +JK/mV0F4aXKqoZicw2Cd6xCvkVQstHYIoPMU6/h9JwMqZWvIlE29rtR41jsn8MJM +nZgZAXmJgno7FOW6ujItAEQWljzZOyBorL82t4/JvJ3WLetK5Kp+YTZ3dL18Qt66 +1LmK9jhnX1pS3Dg/yBZh95g9MQ//a63teD1MQpAcud9+N79kITmfsNsawfkOQtZi +kbKn3pSSJh9DcZ0NVyLHpvA98XkrDJl7z8YnGSMwLsxh5l750cCL55Gs6pIeFR7x +ieJspAnCbPRjAceyrfye3pS5htIMZ8ebAP3vdPETUDxXFCyPRuFwIlsjr9OfVcK+ +Cd9w5P9WKoha7WF2n3lnztc+sUM0AdyjmynEkhkoYJkKcOyTU7QpArd3yn8T2Lyd +HxQuCDltuXG9qRP1L9nNTEZbDWHEGKcZnl9sTaH4cBNDzG+iNqBSO4Sa43XIl76w +LLo0eAVmTY3JNIjg4FbBz7Wo+eIV7LnbK1cqIgCPZ/wJP4o5Ti2qkY2M1F/Vlsdj +Ai+czhXQofqbTTjXMdzTYmsJ0pWz4oF7iLoChbcC5hn0TuwxBSK7E0tc0pErgPnv +XaOd/0Wna18opwLfYD6tdw4hgRpRJFR3YQ4i8rOPPQmCx873xyYFrTMpo364tZvt +jEdxk5b+ju91K2X8h+wuBY3jx7P9hTWWVrR1E9eIQzwAAqDoJlAbULyN9kiZFah/ +6UFWMd8Q3s/AFzivbo3Z/V0LitBIJ4sBOERmgd/WST2V0RqMYbhb5z1pPVj+fEj7 +r0HCTrhJ/Qqspn0bjEobRMSB4Stqi6rEKQlR+PyITD6EfKzoznTx6huXU/vWEtRb +Hhkggvux7GImNqp8Nh4so11T+aOcirR4hXXOkE6lOGxSpG7PwaW/gSVF4CXtZtY1 +5n87LhP484Fnh7MCXrbBKow8QslO1E2Q6Ubq5HWWlbyISrnnST1shjR8AUugPlpS +U/scvWG925oZozZ36C9gEjhlQK41hV9dwGovpGH87GlHMbUoxigSZtZs37rzjnRe +OmkNmrMeDr1GrW6mQgIIdjzYblaEYQhVKSz+brgAuORl+8DnP9fbTLjyewqwpgOw +k8+Jt+3So7ttUDWMapFgvJHVVkCZoPoYbrnujKu0erwCPRLWKZY5v7w4S9w8kz0B ++E2rlNCArb1Bh0qwJJajz5jpyKRKCl0uvRRG3aaK+5wdgbANehJFNNm35kr125nz +IV8gluO72AR5vsZWAg3SXuqlkyEzJuUBlz0biNVwXkzYXsh1c7qlfWnVjRoMqfp6 +HPzNggMcWePENztqQtkKqPz4AO6dG0Zm+8jUheuPw6KM5+CON0R3hUvHFtvkE8Ky +xg0EElRCq1bH3quVRkHrqqZSxrjvykt65izGzujOUM3ZF+emPr/Hybylxd0oc4bK +MvuBdNznq13YXmvj12r7C5NcES00wBee5/mBKuSJ2DOWaDGj6ZM5kOfqAbv6JAJp +9wRBpbwaYQoPVXzQy09ZUt/aX+CSnfSemE/m3e9SYTjVn7bl4vURPhGgE+er3dLq +Ye741g87YOxQmPv6dLkPfiLBJMedF2IW39VCN5w6TaJfvo6NLDfjv0D6lbYbT7G+ +vmtwLL/Q1Y05kxVwiJ7Xzm7aY1TnYpT4Zalzti+toNwKY0heD966nC6DbPTRJNa0 +ycza0Mrwec/Y0FqK/jOsYZcQ52ACr0WX9nPeswfM+f34pGlNx5YPLfuJOTYqiPv/ +k+GNzWvVtENezxMXld7QZvTVhWV9U0N097vZD7fN6XWXtoCHVm1Pdzf3wLIl5/mR +fM6SPx9O7k0kV3VGFzkG7JTwOP/Cmgfdd6jEaU4Sc07mqfVQpQJVOf5KvqrWdcAv +DCq2iKA51XqbAlKBq8EukamzEti7fLCfldJULrlNrUUC9qPGcldmSZ/vbh3d19J8 +VrvkzjDmNQh7lp/FPRXsm2JnnzmNs6fF1HsZK9/tmp2r6em0HyO+4R9h9rCUAqkQ +YJDJuUKV/xJbvkLRqZoZC7c56LI2PT5Bgk6c1PKC8XbziK0nW5mv9eBxkReKSt4L +80qHzdeBl+upkwbMm91mu8h3v0ZTHmfy0oiq82ygkcbNEPwfKwFcOq98DkGRn7wS +q9rbVhjjPH4Y+dRjef55AccjIbtmZZreKunXAnSIVhi/Ku6Xh6+py1d7bRmogkCo +c1nA527cikUTpj6zFNboa+Xe4GmOUJ/U6U02If4h1pTiDc6u0MVNNNFXIrYFdGvd +FcLr50GQpG2E+4d4wMFzSZ0uG0pAE6iYABw3Yh2Fw1UDkvxJdqJQ9j6CjAgutmww +CN9b3AjZmxqqqJSw3C9sRQcRtAkaxtE6aV3g9qmMs19DWh5WSnxys0fdUgQi+xHx +BpgOtoXc2EFLUJKjBzy3s2KdmApof2ssj3K3vwmllEKCQJ4IO7/KzsAzctn2OXy2 +SVMz3imMax4o92MTUiCKe/9o7B1V2YqwGoJ+89HQI0oHPA2MsSujDF1B1TOBJHMh +EhPJ6u2sQWnqfswfmLjzQKjBMV0UcGJ7nOxDSndlBYG4wxbrQkCgDLSJw8RnO06X +KI7FKRtKd7/yy2RpPzMI0jDsBxQR9Ya3QH/FvkI2bNiZZylXnmD7eW3QUHYnjVkq +9orhrwN9zVKqz23cb15uyT2oNVY2gopZs71x5EJLCVvMeL0tSR6y9233DWh9tEOz +3tnOGeu0xggJve5IMIpJ0a4npRY3diN9x3kvHTnkypdZzWlr3MA4xBxReIZ1VUQP +ZcwOMkWNdMPZbQHai9StIgTxdyctMiwhPrYR6gKlbSQ5BDxJjaRlN6KpN6Sg7qGm +Hcng88N5gDSkc5u3MwdVxMWusoihGq8qlBzD52Nhfz9TIRDYOtIw+Q+63YKRyVEe +PELogJDKg5ltp4eVEF3bS1wGdCvixtPdhoTxxJDgmbx2/ME2lbEplxPwkvgsrJGl +Ac94qys2kUldwWywqlHuB5jZlQRMCKlgfQGpMV5iPYekDRjQ8NU9jrZrHJjiR23T +uXsv7dWncfLYbhCh3r4ZdEw/1payiuQul6SI9IZ6EWqDH8KpC/Hqz0C5/szKLyJA +JSctEY6paLLWKpR3y+V9k0nQZDiFiEv09FI14/u3jf0k1KfCuT3GJ1L0avHPTE83 +JmBRvOlJ89SejuuRkmclzG33T37m8Igpbm+Ap5lNlzdI/I9AmBWYmqdLQLWsSODE +u6q25GKZ1qsWPbFg++XBjJRs5raxyUy1A/L4yAjSzSdigpKofjUfgXcGq/2o1ZMj +oIapFXgTT9956J9oT3VtM2WQlNqVPOC9CJ8t9jpocag2tRcsCxyeWnr7cNxCSg2d +DWVTIP0s6OrpWYeut9rwJh+t4Wkz55tmr0S9N1Gly96IIh/fMYLjhzR4WJPmsRs1 +CsU9DLHj1ZJ6w5twFHHLS8A6aLynZLWU0FmshOjd3iTNXRGOhl+0ROJqCBSqZYsv +3LnBVAwCmbPw7koAIwXiZVbQvv6qnPIppjE7UyTGjM3bvOkSNY3rXQ7TdmYhg4kc +0cQ3xNf/UAN6d4UoAhr1bLPI9eCjl2E6EkOWRH4nxkPGWlHUBjDG/n6VnCNSQqjD +jpeMRmXSHyeDXpB9tF4jL1YMC5XEBhdvTqFrF4jvzhS74PpQYQkFS0YEBMlEOCxp +I6haC7Zuq+OfQJiMdgwAUOvqjcAvo4GHPfWTr+QTkWksgTIWdmiEBlPHyLZeA3gr +poJh2NZb6Bn8eCamr+JKECPcakLZ/mrgwAZeaUbgXt7uOaxrwh/NI+ZqfM0dR5Oh +vnCAR0TKt07RKimRnm0StcZjT6DLT/8hyuKr4nh7cFMSunlp1GnDFMctR8w7IAVf +6+MQR8PyGqAK9GPBeJIsOfC426Mtf9/bpgUQ5PbzvF81n9xS2b6TTZ4Pl6iLOIyq +obLgbFghfO1SAuEQ9L0eSosUshBxLe6526V20Ml3+MpSgv53QCc7Y2I9gcithilD +oj3KYCpugQ5EoEO9NcBpCWKzcLwZk0R9Rw/mnZl29yVjs9fnsL1CaQUN4CCEMtja +jKRvPVyq1SnvuTd8iidCuc66jtGc1PnLfffkOZ5boFZShcoV9BdHohV9LypTRMy1 +StBIy3rppcEpDvJrY3CdkrJ9C/p2COR2ln/PZEYfyvEzDdlYwG4qMjl7fXJrzCWI +AQQNQeN00HSslUg9VVTPgI0BEpmaxGESYPYZgIq2PD2oTPp1HN3ONYjhK+N/OBUs +0u5bE+jdgzv5HcA3AZdNIKcYb7jjh0iqg1gO4+y2Sr3G0OYIk8Ao4j1jg14sSJwx +qi6DlEkFYTMBV7LvRjqy3joAjy3yf7czmPBKrxWdpZwjRI+hXvzduq3EwP4cZAMq +ydmgqc5ZMWMdD+ARIf7lGRM0BSNI0Xxx2yxqgKJmAXrN7qARSyhWuGcM7uYp2XAr +jBTSbXxM5C4eTOg7necMJmNqum3O3OQt2NmEsg97Hh2UdLtPgQekPBYX0z4Pund5 +xGVSeEDbr2VJyeLXjMZcCOyI36xeK53P3cxZX6HbTjHUPb9Ez5dLD1DurWqE3LBN +amfjwgdkVS4N8t7Ye9xQP5J7Dpry1iH7vgCz0ffHWZMnpKcK1QwoKejVQTwDEqz4 +0tO0PQKHRcQ32Efd91yjB9WBhvecmAllx6H5JshNdd9hEXYKsIUxQIldtsv+aRVW +IWvrmUH6KKZfNT9Lxz/EUbIJHwsLlQatrb0NPqSHQMex/9hBjWQf2xOf2lf67Lh2 +Z9rywhsalfWLKSTQ959fG0kdCx3hTdiektbL1KGQvj76i0OBHs0zfEDL2X6I6x6Y +fySWaPblTnEybYkvOdgK8GX1HIbLq3Jgr0Nsrj88ZElpKI0Xwwhyjgfq9pIwfehP +XmR2OpY16AM1mNdxmTmlfH0aFR7CrL+4oIPHAtMbKe33xnWitJfHya7eT/CwW/0d +OG+SzD/II7ptHNwBjLBdxLsUgKJjFE4CH6KwXsI0y8OhJcybsZJnkcGoLO66Rq9o +lAIcUNdk2ggcgiA2nJqPOLjIRXGuyOWN8bkuyXItXwe+Rn34A8WnYCLyfIAAMJv3 +KJ43yKwGIvICLuDAhDumO2a6HsSpCfzwn0iExeVIw8ArH/8CYXl3ER9W80w8DNBY +UbPRUDGiwwuCgwT5qlIz/sWkxCvelSSnXJBqqfd8IHv7hHyPHtW5ethOI38tFNDs +rWc9Ddqn+ynakiWV+RflMWm8i3NEZWwRe+YcDd5L7o1HOXJiOG0xzQIHgHt4TTWP +T6hYOmvOMTUFv7+UKjkT9Y0WlcKkTDiY3jbsp8MOJNK1818t4BlxknTTYVwLWdrF +nYI3bXQdfRya9incaGIWZnLtADgVq0uKit5E+TPyJiyGkv0LPPrufH8OqNwrm8mB +ckyx2FESk+HT1SL2FjYMicccqgeJKnDRn0GQ17kGTGvrDtCUlEeFtWtfa44cc0wp +MhH8R/4pnP6YezT5k/q2pedXOO408ta4VaIiE3C4XmxdP3543ZtNSkTFsxSDbFNX +ZEs2pc4z+CarKqxaATYo3ZJpPFROqMCDBJ0QlvEowD4F3D5Bua3ne1ffGo4zghkj +E7EqRPHJtXv1cmA0zNQasRSuC5q55s5/6IAE/iUWXYcliCViiIlvIcg0kLbgAsj9 +cXmhxG+52/j+nFCkXHreftAzCzywHocSfhBtuzFuOQPoSMo1KuFRnCeZTzuqFZ59 +fm2EGDnG1UFMLZMw7QtinPtoqyyu6eV18/9+zuUjvdkwi8Ct8aJ8ht1D/NKDyy1b +oUlFpBs1V0OMl/C5kW9Et2Uuq6davfKJkKLPhGsEorvyW7LxiP8R84vz1KXpqfFp +NV8L56NfNU2umajoxkEqz0l6txneLHUTdxfbR1yLeSZocxXkm9PefKhMlOQPreUN +shkjHAkBmbPWUcFlcG+wgR68OvWgzhvB7EI7bP0vOk0UMXCEA4XYIcua+xIKbCae +BRKDNw/SNqAaqix9xAO1oaD2miUQYcItcwJTIXTiKaIKgrrUzJp0ziscwaP8OS7V +oQp8KwBR6sRliR6A5OqzsOfSlqiz3zaJmeIJBTaPv1wzmkm+NYpIc7eRfyqjLtrI +ACcsb0if5ncuLugIJcW4G+6vJaouvhzouLlzxn1FDxCDLFc8LR4kJ04js9wHys1x +Fcvk3ot+WYR20OnJGpV+z2Rd9w2K/nLuMBmboALZ3G+tIK81WC86w4oY/Bl7Hz6o +0Tdn1LAPFkv/DVMeYw7FnOpBXUuiBwCiMha6gSb43hkZwSVuxr5hKty+kljSTNXo +W0EHpCLM+M3xt0ubateW6ML27qluMRmcD/wLXcB+AH4EekmFKGkAhoVZsOvmMX1v +mgrAlC8mYCMB2nLPKcmB6F4/6ocd/i0f5vcu6/CEgBG8fWEJxSa7Wc5tk2VnGjjP +wNWSZrE4o7ic7oBzHbsifx0HcAIg/wSPfjFLEjnSH+yut34khbMEZ1G4sxok0MrP +Zu2r0XUFSn3cnVkARKThLAjySsf944X5YBgX4BdFI/xN5Ix8WPK+WeFlaJrniSJy +sccIDQ13KnjKf9nxe83HqXctud3NXsDbftlUeGa9P6DE3RcPBoAJz+Avtj6Uyysv +RyhJWmHbdG4f7iOao1zst8y1R2BY6dJ2uYk0Zn0Yx1r9U5CXs6Ecpt9Yri2pGK+Q +0l5obxL2VyD/dKDlyvXCENkSvj8RXuGD4IWx9SJfE/G9XWiKM3zAI0ssXwTfd4tl +VHBU6Mm55+bpTIRpP8OUrUjCEmLtIan1uvJh6gSe/qgogiRj25D4NshUYvyUejv0 +aj5HB7/C/LXxNA6UZfLeNpeix8RaDr9R1Obr8uFM/imAVOrkaI9ctZD21bGuDy+G ++wUScOT95/5sVOUF6UbWhvVYqJ8DXwuZS7nH63yJBwYDkM3d9X7gV9uag+X0vdp0 +17bK6KUUd4zAvqzuzsvuUKwaNuhc2Eas3qsQgiDhzfyw/IsAcFYRGqwTENcAhQ6s +8K0180z3QeLv/mIJKdFkjS5KvYdKmTIr5sqh7BGRE+7siK493X/YCNOzdDLyRNnY +AinTp0zWG0sYtkdsa/Eu5p/HcwvOkElrI50CDs3PeHeUsJqHbK4z5AZ20Qc852tJ +dPremgnQQe/ImW34p6CLSPdATBRD8xMICpHQg+7+D+5ePMZr5nIEZnsaouR0ZqxF +5Qy/OFjtizdkqWtqkuq9wDQpvsRGNO7lbYGgTK/YMPRh/semLyO1Mv2GnrSB4Vt6 +qOBNHUAhH4Pe2m6KUL8WAIqjMmSiXnpHU2kL37ThdLbZf85MgCSMABnXoc60DXMS +jVyflz6jgo3OCj+o9yxfBPmoJF0+19BjLWdAMbiHB/MMxNaR2pqQwEaOzSalIGUq +uV2qlyK31u27YiD3d+R4AzN6vlvvwk50NqqrHubS82YAijIWeI6VEOYlEasgGYhV +A3kzd90gEja++Hx34J/LvXBsG9Kiw7YAgVaz4d6bfIXJM+znV3sxG0KRFArXFIww +/2n708Nq5SL7HjHFi7l0EBEG2mHAMWgO8urwIfvySors3ftXhK9iOiiY0Mn2yBLP +LyDY3zsazs0uG7iRmkdH34m976XckzmAWX8bB0DJ79y0qqctT83Zsrtrh/FDC7jM +yAKD4UPQvzkZYO15o612uQnYitNpI/+6z1i8o48AlzmBndiov3bqPouwIgz8fptC +WcrQML6bWqAazRP7HQg8rPxiR0BhxZVxLBkAX8ef3Ftd6iEsm1LhZvu+NE857o85 +ISG8/i9W9D1VfFJQap+AChfQWapEoExlWPbwrELFIafTBK3YfYOjacMrQk0Esx2Q +w1sOamdegrrCxNieRDAjPzw0mR/Uqwwo84JHCVAHlAZtuppeje+dX/tFWiMnjTo7 +1N3/qPaC7gfISgTC9q7gh0KGefbjjW8yB8yg628Mc7hH7MlRJsxGasq9fcETnd6J +//G9VBsJ/pFrAKPb3mquH+S8TU0Ew+LpJDwENEL8Ik6jzS7q33+by5gRoNP0NzhM +7IVh6Ovj5mVaLWVSn/tzEwi9IZjDkGWIJKIBJxfHDpQwUoxtmfzNZ9snIqbRN/Hz +syUThN7P9xevelk7Toq1R/6esPNb+TuySLHeJOFfb7c4zSDvtqkxQn6oMtxeDH2C +f8FJu1GA/p2G820LQHpDJWQlM0q6R53l2KDvaJ1LKcPXHSuFcoKyXp6wrb94mM/s +cegSAmA2ZZaLM50bk01E1R6UIoeNXd6IHTPmqtaJPubE+N4ltVcs1wJmm2SQFF2Z +Duu44kZ7ZpMd0wMJdAgKmEMAapSd1Rk8fkQvabLrG+JEfJrY/b/YhuPWNkoa+e/u +KOV3kuf7MTr5I6cCwBHzi4cMP7gttsUCHVAQhiegCRH0DNmYIgXy9306vbKff3Zn +wM+9jtb5LW1gNht2yMH+rx6jTufXVkEBLp0j6J69/xrgw5br6Kt4h7GyP8InVErV +xFNbPMM8znR/JB6gQM/f+vnRqz+jeb8M1MRgNPdftnQgeqWzkMpTHLWgde0uvNxG +rybTXrFTX34+ineIZzAfi9WaJ1G2tdle2ZBVaC1zhSYnUCiBXprGA9Rj9+1l7ik0 +NwB4yuSS8OaAyYRsG9GuEvq93ACQC2/SEiOkGFqSSOobNo6an4k8zKzXcAnU7U75 +FNvqXS63sELHErF5RZHkIQ8mtzs5qjdaBB1DvVBmrxmUt5ZxH7f2bhOcvtqQNCi3 +iqQ6OeV8wc//PiRXWPv3TsR3YnM241l5F1O0DoKyLbrxvsl/rSxo8QgA5KAxOlwn +eJrBAFZmWY7UQ5W8I6XjI9hmry8GxZkxtvIWe6qxtLU5gl9SvOAFjy+VO335qn2e +kbTNf8eDHYKmdg2FFiVIUn+sorZsv780UOvvPIRxWZRvoOObx5aIcXqbf8YHMJ57 +C25GOml2JEWrVnoSTWQXtB9y725LnhUJ5YcPSCPx1tS3vSqaBUaQe8xbg4K4LNME +VkLLFZOyBdIoNYTVm4JyQBdfAxR1tNcRMJNzkmKzHx2qk1wPm8L555BKX9vUMAEW ++B1f3HIJyo9mySXvyYI48q/SYP9JQiD2RI9UG8B9hF6SsXXWlDw6ck1qwbmwJUpk +enlGZvzGJa+ZGvfNqpIi6l3q2Zj7RJ3I9Tohzhu3LzY6arGI9410tGWl0x+dkoE/ +fUbh0dMT2MAk4st9HOL2+NmDm2h7lG1rsGz6Gs5gB8XwdAa1E3pRNt0Ulg8H0QcK +eDSNdJvZuIhD2MxiHKdkGsniPcxIbYGt+a99O923+cCzJmJf/mWoBn/CY1oBvJHg ++UjADm6tWoGcpTUUqmObuhNO6IglFjzkB6kSXuDqq9cK7jVSZ9esaQrFKtQcuJ0Q +q9+ts2lLhbMSuhapGuH1Uqe57QNxOZjcvmLMKqUOzmzLms1CcjmULnLRtizfiM2K +nHqz7Gpell83+quazy5E1EZjhmEQ9iMnhoDBjT8W004luZkaQDhRq7yrqEclhgsO +ipZvo8+PoBnti9Dj+uQ/ySVjMlKoZ5Dw5oaQQzu6DEJ14Z9mszgF98UkJUoaOLi0 +7mjlB00F8LeRs1DcliCqLpUTAXsQjhdnHDBp4ntcI4XqM81Dr3D+lNTJUSA9TtCG +IVbOQLDwvK9Bet8rlJ0FDbmj4wHuWA4ngzjlKbZBI33BrY9zY5VWigzE9iiKvIX/ +JP+/BRurDa6psQb7AlfOCKD2Ld0tsImovgqP1yFj6OD4hhVtXMyk94/hCx6YA30s +78TDVnAOgoOlihHKRvJAz/WVVyHLTLGp3eI97+XyeUDkKUAYnTgLD6kpX3LCOlUv +J8q3p7eWlMRymz6/xHNUXPMPUGBAUn4FkDkLZrXRTTfo7nDIWjFgaW+aulGctalm +FWyI7B6oa6CYIytrWvuyog1he6/FqTesFfymi8xX9N4BwEnJvLXrI8aOpv7fOQ8q +Qdidg40evuq5SseGWWNGaLds6ZIenJVUIwu0cT3G/g8bE8tsdmTy/GIw6CBDtlqg +0DXnkRH5Q+0L8AA61Rqc11batc86wn5/L1lI8aGV+Y7UxOnFJNzSYYCcCJYTyG9u +iqq9AvVumEX3VLEOrQ4wO+XsjJxNhcVi5ZcW3fKd1ke+7hNvJEaamEnTNVjuKCam +d0fUSQpTuQJDy/XgiHx8/pYUL9VATFYY/2k1fhm5F0YhEzcCBlHJl6yeUhDkCqgZ +tTU36xwLL6vYE84ZXsSfJYSFalCo6NNTdCzk6PS31ZF7OxA3+zjG3L51KHPIpxU6 +fTK8RwlPgP+d+YIsRhAJcB2prrVCdcS8CVflyMCwuPpwhwULR6TxJbFhcsTYwHx1 +FNVluJVLiKPo+qBSGd5sTc8vJksfSzXhnWif5qUneu/JSJ2bsNvpj+3fm/8q3ktb +rMMS2eFVaYZhRyHz3S/sR1IfPzmGFuhEVmA4xrakFxZhM3vEf2EI8+qeqgK75cUV +oeLMKEKaNXtQt5PrXlZTNNLnUi35m7wNLYT1avuGY1SDJ6FIwu/2O63IgIpVEcFo +oWWUksJfq3xwXQAYaDQuEbYNHGEqcE8JJjHzh6o+YFFDnshThd5/W5wcPZH1JXbZ +ZkB1pKAQyqRQYwibd3Urn4IlgEol54Ew6a9uPQAvzHTh+5Vo4UG2MOsu59u1gHQ3 +ngOZRagQt3ZGh5hUbaHdsUZB4KrL6tOhmk+rb+2ze/s4KBEbsx8yRCwlKJ7CWBiQ +kUW7vACZwug6SHT7+YCqNKhfqYwk3kqz8qLHZu3vpg3oXIpkJ5Z2lTnph/Wls+Jy +3f5yLH4/aVMefR3hzOcqaqK80YFjEryupPbH2v/DZX78/vhIMeGnmrRST9Q410Dx +SkRcrQoQ3xe/V5zwBZfRWCSi0dj6obyNs1W3eYj2xGW7/WlIRVRKa344zLI4IIbE +qwVacmVjVjCpgFmweLR0DElIksNZMUd9MQkXrk8R3Jbyc4BjReljnEnwrWAXzCit +kMJY9ii3FugypE+p+2daV4tkL0gb09x8GICMy+LzYy2Yeu3tNQSQKXFQmYcmgS9i +tr2mF2xTzW4rgMSPVMuR/DcUFHS6dEy19sCq3NnLrH5yTPt7DsQNVyo+5AgAGG03 +WUKbbp/LOiGZ6m8mcgnEV0wTMPIJoTmAI7U1vuyGRyn3jjXLoP3pOIdPS3iXbrO9 +uQzTjsQGYYkCVfPlU3zecujO6CGT9nlAGvgcjL4lQHwRd1+hsGs8g3kNnzQdg8FP +Ml+krXBZ0xX1et4qBiD3caNNXaIk9dbQ4u3/eWD4lIf+Fp0MUCl2zyHbH6fg/136 +zKUBrS7/+MoxXZh3KZ/UyMQiE175E4MD9jSTQa2A9CR9n8Uwc4yEoS2u533pqL7q +bde9AADncCHaY3rEQafsj9YJh1iceTknpP6MGx+xGqoI25prDyHP9zoGQfeYPeFx +vQCHr3G1D91DGLEff76GUVS+VA1F4n5+Nx0LfZywp4WSZX2UsL5hpCT0HZPWkoBg +jWtx/yUPvOrtszGzgq6KUkzkLkjpwdq+M4brTOOxWIrNiNnxA/5HJMsa7RSm3+GM +X4gWKW+qIMRTjhR61Sd1UlTNIpE8wxiFG1i0bEQhx7fBO1ajv7Dj1oHzWRRNF2NP +OcEd3Yo7UfdMSwx7BW0RqooQtazFtrZRSPZqRTcD75yUUcsBFDDlrnmI4UzPh5ZE +vhWrTabBQpC/PE3RsLGl+l9QPZ33ukky6kKAkgt2QVzVwobwavUkeyFCaZ+zI1IW +9RfGVA4MUtdk26OWf79kzhzRzOTf2RwEqQhAyOUg0xsD+zUQzgMQWhXUXKkln+wt +PNMPhDaweFc7sVflhYJbXNHLNiZntu2+eXIYQvtmZG2IrwkqsA7yFYdYbrgJxpPM +EwBvelYsyE1Tr9qXL+lU/hw0rlQgQROa9KocBKGy6F8SA+FIrFgCd/MYHQp1h8q2 +5af9oxztd8ndppBrPGLpij9HhVOpNMezNs78MIM+CRWZ0tpA1TA6ko0lfE/w/8C8 +wlARedb8B0T3s6EuQQsjtjxNAZXR4Asx5Wqly6naY3MqTVyXY44n9ariP3hEdlUC +owy6Mzo9FPSSKvCcdzd8Tpup1MaEq8UyepV2UgchzDXLBTi8fRGL6bqSeZXkQzRN +foA1cAZ5Y2LHu8QIcGK7juqaJFnJc2xCUcY5YCKdNOk3RWgumRwWyigtmMgKCJ0L +ocCrrdY9L1SuVyzYtMSo/rsOlpwarxKNnBh1WcQ9arqZsERuJYeAg7FaU8M9kMiJ +ks7y/z5SV8QPiZ/EcSnLw5n4N2EEQuJRIpMFSR2CqEMqN91Cw8rVw9xEv3jUd5Ti +s9CGQpLrRWBPJQDsvM1ZDCPZBuZ7XJyJpt5MXWESm3WvfOaz3ewp+j/3+NeC+3yJ +nN614CvqJ8BqA4KOTrVZcCYW7NRG5HYDDRSpZH6N1ytML/aE7RJi6Ngbo+DVK8qc +O8THTskSVTadLlGuY1gls7MR9yBz08Vjw5sSZnIRgAqI5ec4XVot81zYKmkNHxv4 +/k5s/SKF2CQmjMZC4YA8YhQpS3dNk5WR5I5Ii24F0xcag9f0dauEbfqK5udmR026 +U7jHdakHVXlDCc0WoVvgyLMXjOXQzzQ3moOXpvK5f1FmVPPVDGQ9C3dKiKICBAbd +MsAAD0nG1cQqh7qiXC2qvbUkOkKtKc6dLWGAILJAOZ6t8FfoUCcavsIZ0GrKZQ6W +QoiPD0Kbw5aDQYX2iseBTgow8tT+qY9A77sX8xjczKLcVtgekYj6/kuqz0IDn/w/ +JFseiwS/J/phFd+5EBaFIHirZcgnfunJXZy2eYXQXP+9lmgB6r1F2WO2HOAQkpOu +fj26BiFTRoUGyDgbLpSeOKK7pxRAazqUzPUZe6wai/QpqKjWfYJ600ATNuo9iNSp +0U0IBGsh/iNbytGYw393bkrkSi/QEgG+3Vu9tLRjQudh/ck1ORJcQ4k7aMthOGix +ZwKtwTjX14N1iJA13NbZ1BCEtpcygnGOfC/9/DC+xbmdUmSmjoib1fapIF4OkcX3 +MDNt+ChZsi7f2Kqrg9OFBAb0zbzuAF4Ll4rkm+vS+IHvJXNeoBwmyGCp5pudKDyA +lG00kdeSZuDnZfdQj2I93hZNUJmp4nSn8TTSoQZczRO4ezoHSn7a8dSEYpD8g5DW +9Tq/xkH4S2L6H2ZjXhCzwG0qI7nZNMPczijyM/HWSVgy7vCPSw4YCKYlFcSlErcY +glHswJsYbo4z7gg1cqDxuRjGNWBNRxLAmmTmgkxf1LrNj4nMv7JtKMyf+dSkSsfu +VgjxptFUXhwg8WoEJ0IZ8tUSxNr/RE0tXQe591boMsaFiNPHowoxmM/5YPrLclNV +fqdyNYYUQK8Jwp8CC0hWIYpWxCj4SuDEDN01d+75Me3F5vgmv0mvYm49LRSVjNWb +kCOlTMPJX5iusjTxnMTUlTcqoq2keFskr3FmfBHmUjibKwv9UwDyL7QrhGVCMA/h +jjVg2+ORAAu2my8opgZVDR7fsSeb3uGH9CaZZoqPdZ1ah5eCx2HMD1OiE50dvOLl +dJdq67mUpc66GTe6ckKsYO8PSXs984E6cpV7cLOhuEOn1Ce4Dt2sGdPueWMP0xX1 +/aGrNV7ybur6l/ttBMoN3mcsczlIoMQApAJ+9hdndNeGWqO3TnVLdnru+WPHJtgG +fiXqwpNVK7CGSaNZz5tyNYFyMKb1gDSQYhWsxSLY+H8bRllKMra3lHIUpxaQmSpM +CI900N1BJhPkmiBJsNKmSd9hic9V5tLDWvh/C1kLuIbgkdOyWF6ZTpG1PAnOp+Yc +lejoUz9E0NzKok5n/XCYBUvzbfgGPCzk5ScXRJf3QXThqhI/StuGic7Vji1ABqP5 +G5Ikc8OFLDc5MfN4AG3lYZkamIrhgzQwpUGue1U/oL/uVHqTiti6HKnEubxw24/E +4b2iMgluiPwVTr05e9TVQv/wLPfiEvzZSN+JJO0xlwxTkGvwPKuWyhHmTyuQr1Vq +NwrMMwcOwXxt3GXkEn7hTXytf3QXIwn0vAZpj0torxGpS83Ttms3JbUaV1YU2zcv +Vnnmo0mctBSAqkiCe0+zMDl+AkotcjK3s8g7ecKv5SfLKGyIMIs+6nxlE/C55ewt +8HkQrE4Qyt0syZ/wkyFfXASAq4n0yBIxoCIQt+bcgusjpdLIRKxUx4jDpKOpo3pi ++hrIlTePZy1xqnvaAfrbYL5TgyehZpO9Mvq3IjwHQi1BY8CQyDwohuilprEAF+gB +UFcFsI6uEU7DeveqBrovD05ef/9ylvMZ4gSkq4WKcGQKYVjUBtIpzShxTQ46vGLN +J3mM8Zl4GBu6315/3Ttx3C6PkhUW6hez8in5ocIp/XkdQvWVi98U4kc+0BTXgKVF +hbyiGv2HonqujUZn18NjN8KpDwQV5Sq3n6GDmYSCeyI+IkaoqL7ywSPxYCEE4vJK +EJN7zP2zIhjtAhMCvp6pv9QEC/NTPFf3XW7fHJZ5cckRmguDjb03ViuCxkrBrYFr +wb1XGTTkKnQYlS+zE0zOPlvvXw/HJv1lDycISgEoU69Kc8mJn/s9nvwA9LuJiHX6 +Y029OTRYY+QuD8aNSy+yXD3X8uuWPFOdU14xhpnehgGfCWLPG7+9u7CgXbOIKqVl +9dk2wgifC4xJ7WP4bkFqPIH5iHkz6kI5pkWIFxCuo6Xl+4swbN6n4Xlv5skXg4aU +rxsGzp4drpDeL8e/tnutvDvZN4Dg3IOTgUHr+5jSF0Pi2aRVv27IH2FO4FWxKpRo +NQSQ34PvjN5U/Kx9Z67x6Hz5nkjjVgn4nQ1bNAkuBFnHKH7u83/7brt3vMgT1FXd +0Mp8Cm5T3ZOsH0wCBUNtlTRPAMwXud4+kfK2NkzJQ6V8ClDO+6V8viABBeGCeLPr +3KGdnjCvnmXXyhUn3K1RuirT25hXTugxSWmb6hmid01Rug2qmlOHEHiQUyGRcnEE +Ovb2zAzXntHQoZYpfOj9HewCDmAeKNZerZZXFt2x6gbD9thQMoVQUhjqgVQ8jtz7 +5ZhmHhtPDEjn84vhQLeF9+kpno/Qs28e9N5ogAvfi9CugPc0+ISU9FRxJ6JI5ZKd +1JAKqCdEWeJ/OVynvClcsPDXpxiybqqh0PgZENbIFxCe/E+YfVqWZYrIU7PBGaRN +7vNMv0bEAJuAElMTuEkzcestjJqdmGEaGKUWljAw05tsS0D6IFwi0C/GF4lShm/9 +h9ixPPKBnzUMAo9KhesbW48bytP7Wq3ivXDQ4ibWmwRodYIBPCsueFJHf5xqBHo+ +pZgmPUOneYTe0ajfX1dQpAEPm+XlYZZAhgv+jrCuetECacSv5HkicNacL5N6kLX4 +LuR7mYYEgupOcvPc2xgMl65x1ihVUd9TkCR+S4efVOvplfB3OJlOfHBsphk3AYUB +HpVeN/lsVMDwEfQUJYN3IYhRUvDxJFpsmgkE0D4vFcI2eX3YUHxL90ZWLmqQdWnq +ixKWdmLQQsoSgK95sosdeycfTPHIFv07D4N6KQuBSsyblOpCYXYj/5aqpjxzryK1 +1MxqHZNA7U/Wc9rJLuJT72rtnElfAFgztkwmx2qYz3ojgVUkpmFZorixb4BSONqx +g0O4Bdupg5WxAOKzasbgCTkwsfBrQju8N31Xb9eFVqZHS1k7xm3QWHw+ho9jhCTl +4TOWTIzYGsgK/83PjbtoNETtmBePxMHoGIR0ZwAkxxBSNuo3q6hUdhhHNTVrQoJQ +tdFRoYv+PXnG/2pNOTq0WTVIDtcUIUOVbgzh3tsq4xg38Mlw/h1FakqXIcI/ODhQ +XEo8rwqmMXRBlQso3JuJJ58F5NzEo/QcJCv3sWmhHGFZ+B2icM6Mqo0oauE2y41H +2yT+iLBC5qvw4vAkJCCYslwAELayS5uWEp/+k/qrRq2IHXFfo6dqF9HHq21oDm/a +JJjbwMecYjX0/DwUS7A9fH04INnMXjaF1OE56CLHHu+Ogn42m37/hO9/MHSR4L+j +W1BqlKORGl49QWLGy74ArGWO28BjObeTTMPGQCmnMDWU+03ymzJ4g3Z3k9kHEkyE +gt/JKZE/p7FHiPp+tugB6RuM/XHvOQNc1zsb+YmbmP+63kuF9cGxtEQlW8CyZOFF +klEEvBt75pt8DJsNM11zRh9HzIcXcAkcBMu5JGB53k+4covCfU9cy+zzJCweOY+6 +3fqmnrWCtydrLXdi+ENYh9rIHKp+FTiW8LKU+IxPmfiNumZ/2G1+wNhCRMlj6/Ok +6Sw+Wk4u83GXVNLVO7ECGl2HjNldoACKhgOtrrPMuaFeeUp00NqsZ4rXSqkd/zxF +SJEC5I35dTS4ANlDp7v3G6ci0/H9TFJDChTeyeM6JacSWPkNBvyknL4k+QcMtB3m ++nnrEeVl/eQCSWxhaC2S0y8AW01geLIAQ3SQeWyPTYMt5q2zU7S2gE34omc6Syf2 +AnmCyaXg2hsRDnEPEtSNrgnWnm6c3CBCING6VYCGxxBVeiGAWgaq2wB4O2gh4ydT +jBTL0tzzjWLhvVSnzNkAoDPsFJzzt99BFp7VqIU6aErM9tCK/K7wJ0oPBAiGhLgd +gd6M9hllZrDZ+azVaz+HszIdjjMoKcrY6pnyp0wkq7d6YSKYiMRYIl6/dytp3kdv +0g5rfFljjzjl6IK3e7OQKEMf4gMWUBmXdjoQYSbABMJhwoIX//Mi52QNNMhqZ835 +PI90jFE1uP8C7vv9p95u1NmMKxVq2/NYdlIZNdCd9in5GegxGD1m1vMSKvedyjQy +l3mi+Y6TtnYSBf6s1Ki5iRaOAqesTatZfW8LnPzbjIaZTVrTixgS3O5A1UVHrirQ +dkfKov1Maoban/87rlfp/dk6D0Ul4FaUjRlhBmJ2KNOa7kqoz0AGw36Li75OuTem +JzeFVUCzEL3nFrQO3mqBgAsVaZP5ph5j9hvUARR+SO0SFzFlrs3gRcqXJhQVe50i +KQ0LCSA5bV+PEzZ5VPETNbOP1+LehfuLgVo8klTTcxRjSl0eFdd9r6wwLWUGPZAD +dWQGzkY6BzdgFRydfcGAP/X1UXvdCvyCING+uOTbGR8CsyGKCj9ULtxP5QhxXS9P +vMIi+LJGb4XyRQxP/icIeiQ1svX6oIf565UOy99o0HcmJxqmlJU7qk2n17bKJRW6 +ylgZIAJ4tgxvJlCKf4FJCVrTQ+1F/QELJby35pO1xmQMXNtnlk9P+qzRAOr/c3iT +0Wg1qCjL3layAdUqgiMVFdM7RoTLT1AjgEQpomOGxO9Gn5soI4zL7uouDOeDMXbx +53vW+PIj5G483DQ+UlNZvGzouGcYEe2uJb3N9YGfl/TJ7SfgBtLvxqS0gMO4T62R +izBDQIQySinzo6wr29CpMUdHMFDOKqNpLcngs6DwzJxX9OCVaqnKOGwpFNX/McF3 +7YBO2YvIYmAuBhXp7QuhDRLIx7w9DffilsW6GlzC/xipn68B0p5zwiBBP2+Z1eM8 +//D/SXRHF40VtgIldToMNSGYvFLXa2ZEa1M/vzW7CSaYfSY40lqn/0KL1MIPTilm +mq9qjxv2oEsKNDvvlzo5cIuUUEEl4vZJiuaUxUKbSqtnRQFrRszBPRuw2V0XV8zD +YZAb7GMGbr8gPB7H1jbnim/mlceF5NkiqO25cMczQxSqpHTzU24gKZ+4EKD0D4UY +QF60Qdb5rX97FcQacwj1nutSCKfqyIIKIx/n2w8g/zOXEK2HgMHycgj1uTX9wEwi +S89dhk/TcbhMnixpGE/PRYQWwgFymAkVnf883T9p89mgmnT7gSt1pH58s6yC626c +gf1nBtKhuwVv58XvHQ12HkftES4n3oAmy6n9yX/KgqMoLC852RGnU8Cj4aa4B7ep +9aeUhAs0kk2lp8WA+5o9tjt/MIYBsAiGWFyQCkotkII4GetDL/DQnpvdhHP/owYW +O8X2EbwdrBX2CfmeGmg1Kp6vtJdvVykZMXBC7lPewmkndDbARmNehDLT9edvUi7Z +JSOdChUCYFs3B380wrHFu6BiHXFvwPvderyGdNqrITyLEG1iF5/wL1CM7goLVDnV +7Wpnn1YOooqL7u1Qta3+6LAyilR2BxHWRLKTxcQq4F4DzGUIetw7ij6mLxcPQjVy +ypFasZkSMKST2R3RRL09t2UYl738W7HthAC4a3BJkawi+O62Dj4oQvMPqoW8VMjX +0wyEI4DswkdzG+cdOXvdxyv5gONBT7r/IB3tx3gP40F1T3HhB4GTEcONYv2X3w2O +wEDZhykO7kcuAhNtakn3S9d9rpFsuH9NaOAOPmzltFWgQ3UYvhojIiXn96lZTRw5 +lt/6fg35KFSSKtRNp7ZE/h9HbW6RIsnuog0irCi3mh5M10oSmj6ifCnyTHB0zvVN +QHgycTbRYL+7JY+WG2esvaApx9u4yBFFlyEax08XDBotS/ap3pJuliS97CnKtQ9G +7D1fgjshDKOmM7YQUlWOwWCLkG35Mo+FputEIoZZm9+I7mWXqZEvpd4qNUsXoDuw +Ed2VQyTc/q4JXgVZsqCHeCqdrJDDK9cXQxxqh+Of49jOJL49fhOwT6vvUQSvKEHy +6Q5w1bYgEXZJbPGRbcmavA1X4Ef4N7s1Hh4HfmlaCU8Pv2O6Hq+eLSQHSMgOJgGh +MdfsvUYk4hNX4Ope32nHNXaGpzymql4P2k1Y3gACndUaqK/2Ypj0rou9eL2QKmvr +gXCHSddZS/83/JbnIQf/k9aVogC1R8uCBhonN9+Itb4J58qICd5gBSDO3FWiKqps +61ILHrpHGabK1gIkSb7IZy+DMehFyR1JVhsv0MQa2yK/zTpRjoY+6AaBn9gtixDL +u5iko/nz2N+k4Ybe5asafJr7GYKMVLhetkLvym+ZzOpzjTgGsirWuK8vgXCZ16WZ +kv0qRy55I6+w1h1n4lw6/dE8pE2C3nRxmfqo7Xpoyj4qYSp/UEtDFc1En+zF47jE +WLg7//dU4+aY0VsmqW4En9kCT8SqdpgqnIb6qlR3y1cmOV1tZwT6ilN4ZfgC2r8g +HJcEqrJzAfKIYr5iZdLTRKsWiCB8LT++O9ufh7ky4PSS5pWb71wkFAN4LePpU3ER +s/PtMkONf3eYhBdBiMlqI8KEgmaBUG6PrqhzdAzyWHddQRLZvDwdjAAulGN9vIcS +hc9F3jMGHN0j0vfkdlM73WLVLsQFy8hKZV9OphslwonP/4iBOnxING+UY/anD9G0 +Gsu5y0rhLrxtASUqdt+YpGeHB4dNutMjn7QjdiyGkx5SRfVQgG9PVzCwM1QfmUuG +K3Dl3Ge1rPclK6eolGRmMDk9jwn0YDOkZmpfZvQPwRykXnYjwAms1aBNeDuxDOF5 +QUqtDsuVreRBe43QieHQWU4F3dM1UgIu/JqZTWPBwQ6JlW9j9llMy97ixo4CCO28 +gle2nE+DlhpuXPDxAAHurfekKmYlut75XPlia48Nqm+UiqgZphjxR/opTtrYVbL3 +FdJ/OTFCwjFgxTt62kIbLk+cuuFu2aeunJt+kmDMjbQhNq5ib8imiSpvPZBX/SCD +8BUr5s87Fli28C9YwTTzsEgYvqRtFDdEmA8eJ5JbyUtk/HsQKW0CJXoF5g3OxkHZ +SLU1BsD3yInaGrFlWyZQRUko509MkEJ92vx7dwwxSGIoKjcrc+ABAKP8zdE8gk3I +ueKMM5AYlK4ENHyvMJde6y6fyaAQ4xKxI/WthdTbPL0j7WUzpXYpFwAsVfz4a6hJ +RP8ZocnYD4XWk33sA232ZAjBlbfEtDXDqkBrrYytZx2/eyVkP3O1I0Z4EyVWQa0v +xaLJOJtKZz8/zh8R/eT+I4LNp9b6oOGqUrENjIfeUjcg3er1tSieK1LMYFUKUFHu +3hp1JO968fIir7Z9+oFGtcHIpxhZNWjzydcKDVlq6iPWZDF0UfHw4WY/FWSN/8m3 +lB/lHh71GVnuWeVtMlb7mbAV3tk7z1quix1pYugaJF9Un4GugHKoVazjSylpSHwg +ghxu+EPhuk1rrKOee44eUFyjlgVAIen0xz1sa4HFe3diKzfAhBw5hNijWW5MCPAE +d7q/hbzoR5C4m/7OPCRt7qx0F4a2uQarcT3mEnpQuq+iMT9yfxQX5BI6n/5DrTrO +fcCdvyPVMyW2gXxzWKd317wlTrMfUnsrzoRnNh13cvJ40PWNTmm9lpS8iytzQe42 +FSxLoJ4IC9Nzt1ZsG3YyRNtjGI19CLuVnIKtf092Y3djnsepwfumayzyoGJwIc+g +tzQPo3b0jocQ/7aN5pwoieoO3omW8Cm1F9emhCNuVHK95rBN1Ye4tWbXQGxA+uTg +xueKjrusymjNH6m+va0EHRxUF2uHDIwpvk4q7IapiHvpZZMkJ6H2k4Wn+P8Y0c8M +ppM80vcJjGlwh5g7ORiMLoVQYGfoIJ0Wmv/qxgvqtaCioy5DrC8/XCUJKgCGxPH4 +wgO3l0bYV8S3NobzhE9LWqvt19snWgBgIMW2/Zmd70NwMo5TS1pvSM43Iz7N+1iF +oHTkb8at1BBbkoHjAi+QwHviMeAXbqIW54Iw63ThCD4uF8nAQZWT3OegSB7FYoIY +yvhbc8O4ZDWdDKpFfcRVyPDO2gOukfxIMMZTr+J/PSiyhEchM+5KeLxDrfbGVLyC +SnU2fzZllYr7iiNyoa2iFVr0WFG4Ay3YjfWKZHZYvYJvF9Crf4CcmLc8BmEPcUhz +ZCO5tEOvUuUw8nmoxDEPQbi1DacYAqkyO6jPFVPrZ1ZsA6q1mSFegbMDVAytKEcV +SnlcqrF5HfE/V5XSiMCFrtw7fpOwwdnTNGPB2Vq0jDhc1fMai9kiBQnEjUwV5siW +WDhj5Y+Lei0Rz8PXL3b1uh1CXEizXTieGkqJViJecteL4R7sXAFtzxm5SQXIjW2a +tvLpbBDacQtmyPP5k3yJlJyAmcSaI2JUtJD/198mp/TEIG8gDXGdCKZmNOfiBS0W +VSpzA60j8IgF8Oefd0xHRKJznmXU7b98ZGwY2mcXZAdBuIAIJopRNM2zs49f3X/u +aKki1QC7lYPlbR+3iRO7SMakd6DRf5wiCoILIzCEA0jlL4d9kDpO9JzmFzyv2Y4S +6Md/B/NA40qE2f+v1Ix3bx1QMPO4+vUM0ME/EyEgBsMKpSNZkUo2zs4OQH5tuiJc +6jNGDK5vEh2LACX6ZYhZNiPJ/WOUPb/NlnbHpjkLm/VYqcpGnmw/BA9F7EGGywqU +ZWabl6zFTjeuhCjgkG3CkwHPZ7Vy1Qwr7GPfwB1CB2WrRuOW/wK0mc/7Jw+kCUKe +itZ6S/U27UEGAI4Db/033vI63peFsIqsE3zLvHmlMwGB0qW2GcVvGgmBrUGEuLyU +bE2Nmno88PaMTBMsXWqvbCJbvZtt2S3XIO9orlr9V0ELPoLiqR22y6HhwzwLulMN +wkrGyhtit5SrVitI3+GswDq7wJmyC6ickEuNokyNqyp50W+AwOuD88nxErS0SOHe +Eo1NV45l6scX2gxAqbXq3kqZCeVENlafvCFfaXouOIHQFFUpLv7lHGpO1q978Ztn +JbVEzNomnxeowmBYUpudmZmy1TW8OaUhBpTEiO8t601TAmMvPQCFEffd27ZYIB0q +k8BIecwD2lcxMIxAi9FzrBISZalNDA/KjeZwYbxO6+aozaaPSNkKYCoqppBPNmzw +7U2Q8myiyGG1yVHzPO6azEyN3c5R4nDvq21+MrfDeHTGkD69Q2Lr+hNC7WDof6De +OSNz8au5OjirFt3IS82JIF/POQNiVbS8Jn09W2Q13pL7WTIJdKFyMXQi6sqUpi3a +JORlNvYy/w1XLUGneH8MqBblzCV2P7IEu+b+tTVKLWZUH34ORhxYU7lSXU0WiNDW +1qJryIWtIwPnazsD88Fm/8DE0XL/9574dPAXy6fc88IBYq7mVRI/YvNvgTlUCzCd +5hjjPAmyiwhWA2cXTlr3kqQjMpdYwO6al7BrV298fxSTKyf3IJOxa9KjJQvnSh4u +dmnpyN/WM7p3PGgzZHqYNdIvo90GVWOMnm9CxeFNmP8ovVJ8dBp1+ODTlH58f53P +m4ja2Crzj93N2moMfWappGXTtRadCqzYYPoCB7swWtbPKiA/HHQPQJpjC7nnnDSO +mmy9UW+acvW6+1VGx0qe499kqwtGYyCPBeQFyFi2mmNm17ncIbfv/riyhbhv7e86 +2T8KjaoADGqwremosYKxtpBR+7UkmaA6smw3fSFzlqpjjE96fTssEYvkliRYwUnF +yMO3gVCj2+WhC9SSCof9BVkD+GC3AYHbNbFEEGfBnolU19qhDoGQ5m4c+pMbJh9g +KfMt2wysc8HlhjP/PeY97FUyNocGNV3THSR89FmQNNzQWAePmRUsXoIcBln5pDs2 +gL99AP71Gttk369CFw7/QXShNzxhJJrdQAbY64H4MQ0NUXd3bdifSJ3gnvGSOmt/ +oG9UbxczgYe6s0oHjN4FRsWT8JrgvO0q/wypwV5LoPcUM2u1VveZFbEe9I2mrx5Y +n4jP8u6tleX4SADp+LKYbx+07OmQ/198q3bu2EF9Vnj+rLp/wX1Lb95aA5PCmkyw +b1CeXnaN0SoaVCMS3HgevroUkkl99KhY7UlZATsLZyPi4SByy9QSst1C8n/G+eMe +ScM/m7EouO91Elga/4UFJ29L+5wtjy+4RV7qliMIUyR503Xxo9ryL3lu1jzZiz3C +69UOwA+nKrLFijBmUoBcWboGvXuWQ/58u5Z+PzKwsKIv95n/zULOeprF6lp5VW4R +pweBsv4zmT22lqhcn9eR4d90U9Vi95WpKEDuwWLuzKAZoWjb54gV/yF7gE39LI3l +x6b+UUl5BPwSTv8/CcvbXKD4zdWfmFCusQFKFjg81HMfkbvc+MZMfwZiXL2sQtny +yQQj01wBDAQb9N5ADAUlo+ReulO4aRA2XcPtsCzup55DeNfFLGQENs3Z+GUqIA+A +2xFI2JkDrKWizjW0Qb0Rtf12VLIJEi4pjvKcBNTLQ3YgTiYzvQzIVsCd2Zgr5KPX +cMzT6Z4bqVKXMre1IEWL8gYvWYn/nfMX7y9Z1uat10e33Sl2llT23HRUG9lcBf0l +jPhEPZzmfOIXWdPvQOuuIOywM83lKbstLHdZOfjxiQ/HbV82IC9KNRtwu7HtlczV +4AjNugZSXeZ7IrXDNLmu+SgbHkbYuVSDS4rzX7db7Y/bz/WkzovtFYhbqKKmmhLx +Y+eRHR/5l9+naQiGY2Hc29E73PRhFd9y0DPtUjHP9ahXVRxXXsp9Zq0UySk+i5gn +SlXqv+VMU3o08ESnC+wmkS7r8sodmqJFANoub4g2t4YaXFNEZxPdKVobqYNeA5g0 +yo2Ea08VQ6rd9PzjgYmp6q0P9+r88Xi6e1v6iur1qM+GPR6l90yt361BW8RCkbeD +gIZRFsa/WIsPmOfVfU6kN9ZxpdPRiHwMaEF3HlvxxrhZ2DHMUd5FlFenPRSMS6qO +lXQlRCDEmKekmds7FLqSoysTrCeG9Vot3XJIcJj5Fn2HAvVZmM6vCJ1eMigN8de0 +Aqtwk6AxeNGy5D8S4nU7DBay2lRcGmS13hYRkkIYmp3sdlLfqw8yLRyF7smGwEeR +hc7MyxZEBmDrCav5JpibMitywSB1cFf4ADvxB/M3xyQEtsFIhW0Jho9ISasw1Ad7 +v+Avf0ZdcNFOVaLC9vVVpDkwpKDNZCJcFSV3kVUKdvCPyqkjmQk2fELZrq/PUEr2 +Ick5/XtcT8UL1KcG3B/k4AJQ9Hyi3Ps0E5o5X1b49pSU0NT9S/zJMRxg3EIkl+40 +O/EscgbYZl3DtBx29KdTsblkAtnMZQmaAfk3xzZZRpiPd5nqoy0edFVKuXyyY4J6 +RfO8rGkd90kM2c4Mxj/BNCQeo+NaZWkbM0XTMNpefzvW8yQYutiMayPqhw60eCp0 +ty7mCZDQ0zXnVvBWgsIO5USJhsvg9apXlnL7R5JM3MlxSBn7SgcPjDFqI9+l+12X +hlt6XYGPh2rJkbya3jfuTKjWrj7Ps+sPH9ZdTbUJfNNCWe4Sneraz2Wo1kh8Qyyv +vBrTycgE8Jl4GKGdBd0VwoO/Tbt6EQytZsDSEA8wWP3duyS8qjOXVQabG2TJ+qp7 +e/c5xReX7kujM9b07tQEvvaTgNJW/MVm0zQXDYopt456/qpY8ASMSPrU9rQFYdq7 +gJKcnzkIh5oy8f6PakqVKpf6aYHLg0C139W7m4JxctYUzuXPrsfmMyOdChAurNrB +TvPgBrET5q6GIi2Fu6T15JXC6T0ePLywOJqkE/O+J1L2tMTkJzKwVRfWLdAiAUm5 +WBfFKmMUThEG9bp8fJfD3fgWZzbJGq9hP10fgBrxs469cw4r/W/Bs5J213PeqaqD +K8IIWRpn+0+Eh6fQtABELQ75MfE636pmUf4o2pImlo30q+FcUptHSYrXfaDOOD/e +U1urK64JjMlOIKnyPhuz45Wp6SERhb+9zEwrO7akkqDGQiL+JLvw2PFzW6V2CFyH +qKn+DyPaUu4hQ7vZ3NrblS9PZtU0rph94i71uTRr7lyV+JL/vndZHmKZVjIN1+sE +Y9vN+fY2L7fF54UJhduOSQEzj9jjjc+VAYVlFd5YygeMbwKZ3VpPCm6c92IZUAUs +n/xSa2HtX3Ot2lf0LdtgVDRyNR3dyHQcLBwPvTA8vqsQq5N/L0CmJ0M4Qe2ZRD66 +dJPCUCOVTsFIqNf7uZ4rtMEZmvS4FJ7htUUobTTml5b4LzCV2hOqO4tj94l6lTYj +cq803yzuOdUTpZLWmRJ1x2j9eVMhGPiLoqkSzot7LdO9ba0hLTp/tGORFVhrKwE5 +hH+Cf9AETTicdAnnxGQM7QtQnm8+iszDOpitkl3OK7YCzaSGOIxgt2Cn+7NLZtf8 +rP0M+ErrG3Ec8I+Ahvyd8PihsuAbMEFUzg99AT6EMR2qhCqoQQJZyE0YLhTsmmX+ +NvfDXRSX862zP2aho5cqWHZr4/bQKnJQJY1+SwhUBxfmSUicXgxdAVgT9tC7VxkE +ZchxjSDqNOg7u9VTyzJ+Qwdiz/A3HsazyIJUYsy2lmrvSQsr0g4rE58asHUk3jY9 +YMMcSDoFgOoUjT4WISmvtfThbIG6XJ43piEjeBWTGfOOoEw42dOrWO97fXHXJRZf +fd/aSnIOOU9XKWj9uGiTDK2HGZlV8j8AaHk2M9Hi15PyCg2nnzDTbgqnxi7qYzFC +sDmCh70vlHyhbBpjrpSMFe9UnNmljQOyfzapBADz+07NGjJ9aN8/3yNT4alhDpzm +WygTowglexk9HvlnY6QxUmixRk73atHEslC82W0vLIY3lL2OBxphMMMnXnt7lJLM +tCNyyI4vKIOShc6IDAUYLOx3xfuXviYSk4G4sWa9BA6vjK6jfB67RBNUAhbGVsVE +JcgDBe7BjZ0f5rqHletyyeVrcrSraJcNjb7HlWdJIkIT01G9e4oa+dYEFCqWfW2c +HP20x8V76QZfVeQIURhPG1E80XQCJRYUEmImdSKwDrh2KQrP7FBg/m1hJa6eRS4o +AwBNGfrjNLAoAH/Kzp2UZAk/ZoXRpbq3YXNiYmGZYpE+oWcMusI0qmCg1yVyQew9 +A0NbMBamMWVLNMLda10jr0JJ0VqWonaWH7B7XjNIShlCuKa/LQbrcfBLKWG8SzhJ +P52Po2vfgUcK6X7l1CEmTWssk7QAvdeHDA+y0pIjoevdq+SI+U6N3LmU8c8gR5up +B7rM8r3aE3OfmjfuvC82iijrZkiDEw2O/7Wvw9H4Ra+y2aVvbU3GxP7mAeI1Ay/a +AP2GpxEryOO2Kr8TiioZ2BnfpgllTlI/GxwbzxFHZ8zRlieGesfDNHoaQN3eaf+R +WC7hs2brRKO8y4yfUUXuDlJYAmiX1zdJJK+5l8AofhrXgAzejCGzi4vC83OfWZBC +dQV2REZBSZ1IYUNezfuikUKKuFdkMSA2bMtdb4edfMdCLBHnVd+gx21u7g1vp2ek +MYNuJ1Qe5t60UQ9kbVXwWWZYHs+AT4qb6ayjQLxL9PKklXQGAMVSCB+AQJs7DTI8 +w+L6NnEIxJtPmIrecW1Zvy/4yQYdz+E1bHPIBCHH1OVGxbkkAFHfibc6oCpRdEAW +IWXZChLsqnsaaQ51EfZNQ7YedsoeqjDkZBgaA3vz0XIDXiVyWdL3qALVE9CBXtux +wnBX2zYikz2FraWA8kVW6BNGmGef6q27PJtt9HcNc2VS6BfDng5EbOVkT9BA6AKr +EL9ZoXbd5EDTV2B4hBdMrMNDDMdKY65mRyU4pfhxGC39CcV6dUtLpig/OvmwELwC +DuGtQ0H7rzURX9vwsQ0GR/hU6Rj1wzYs5KC+SCeVxBeufYmXnEveWM+/iYzSoPFm +cR3osKxd/ZsunKWbQ/2yuJQn25HPJgXgCr1PZYEDDhk79GQSMxnCo/2TzKfgSEYh +6tsTBAMb3OzGe4h/GrjVy/lvcw/CfCu/YpyZSXuY+GUAmyrKXcxm8ChBO+N/ItWt +2yHPiN4c/PWoWpoV8yZmxXvdj/WRAlvJLqhutHTNkWDzlTxGPhrPJnnZgxGOB9ke +W/CA/uvTk2unj+tWpZQ+ZjiTn2e//UC8Q4/xfkZqAnek1opWM9aBvyAnLS1YkjjD +JX8ZzIgzeNFsrjoZqY1j4BKDd/Wcyo3cD6PNc4ARTz93OcJHXnHxmMIaEb4Z66mx +G7SnK+Zc+THZaJapsoogmrU+3veIlw9in+epds3zo+O1SSil49q7ZwedDaDOQLCm +JQe5Ix49tYIPCihbV20nvX2QEupMtzrvnBfm5geTH5xE0SSh6j8r559bNXkswQtA +uoebf0LbhMB8oQFScswSqy8lNcY4HQsz6b9o/JjeEmGmXTuyr2wwMtTvyJPrA1b8 +yU+2eCXlsQeWXkjCJVm4XB9/2YcWa+IdWxQtKQfH7Qn80dBxxCZfaC+EBr6/GYTZ +L2JKRcrv4+PD6EZ+QA/Xqnlyw2CrIyaBhrl1u1L48e9d9t+/ngODdSTOF+TbUadz +Q2b5m52IImBBJzwrb2a3NB2HISjONdsGmgEWfgqBh/Hv5Baiab7QSjiFczO6twU3 +/4Hh4Lw/VGpglWgXVsvAA0evpGFMirbVhGC7XTL4Ylf+tZOA2E2A+ZxwBOFxCMxW +9hf6JvCR085J3U2ndrnLHsxqSc7+kOnSh5qtM+3+HShSxb3qqvo/eSN/w30DWyG7 +nuF9wL6xd78kC0usag51FNCmFpXAPQpg6pZTm8e7PvjYKyJo26BBxl1SF4bxEDsi +XSDNMpM3EOPYfqnTCUhX75KcdsHVTcwSE/vLPchrn3zxI5riEnWE5XPYXJZrk7eu +au6hD3gr1Auw9Hqtj2uTl5FOS9KjXk0vjcH/NUsDsRHRtGrZf1z6jdBZJtzzuQ/H +KGxzUyOJSUziXaHo/SltjCFohE9kTD4DZpY/DptFgXkOHnxUqpQGJKQGFqcmp83x +UTVKpombG+zyctZp4k4L1pa1oVtZ+nb311oy7XGf6ku+AWtYiCvYMbppnSb5a/Wn +9muHFfK7pLeHRdhhqSXjv+kp7QOVELvep9rc2bxsQNXMntLBP7m990j6SvXAes2R +OBxZPQ9qEST7/SZSXGrLq4ObDzzdwMaIbLSvKy4lMVfxmrhAneUKmAMautsYoT23 +uLSoL9SbmJSNYYbvbtfBMTY6EX5iWZrngGpkAnPba/irVCZA0qc7S5qcsdG5S0qP +rImfcczLLpOSgqG5d5s5GYA2/+TFQ2M4kE1kcrINWaOtcVYgfwr2S5iiq5ZJm8Hb +qhOURJ6Ib1gi59tdPlPxbGnuY5yLGwmvLkThjCx1bVqvAF7JBSLwDQBM+sC0h/Ao +1A3C5yzimKYulURDCZCB3b4BNGGO03b3jERo3kHsLjSscYNSbc0/AfuHcmZUvZCC +dLOcwQOHXfdw8gVyB/a1XK5MhCgdGbrMeXLVqOAHBYvfVQ+mwaFwN0r3DEARgLHw +YdnT7wW83kQdnjPG+uY63+6GVWmq50Tzeyu1XkTu0e/LwmHDLndZbiY7QrYwva4g +07WJlFQQydOkYxiKOPI7NMXYDo7wujoqCcsdWnviQImfTsVaH8owtmQ9tW7uZAR8 +CVY706v4LAAsoD7ktjjhucaFsHASur9GKF72kz/V6ZiLsZzMNCbIrZeBZH2Ar6Bm +GsuktE42XIuys17shBzTUCzvY5+/LcOKalkaJ6vLWiquPXATQDQ2yACZT6QPB+Xq +PuPnKMK/Dv8dJITGmAOizF6SVtpaA1ZNN2+26jGCLnNA6bioZ2mBuTlj41F975Mn +pEQySQ5cazAyRr8DmWN7NOc518WzKJSdXMy7yQBXYxzvNedB61OvpF85jAdgytlH +0PoivgVg2vJ0VgcRWSu8V1tmZQI/tED00RJf4wpTGrguAcSvCzhVgn569ZOOrLYD +TOAekXSDYCWidntWK6DeA2fPuXmO3tgLOt8d1RNfcXHFaXuyIUjHLSGaCBjd+rT8 +8FUYDhx8NCSNgyDXhi0iGKhC7U3U8wdFutx0kUUnCE+ubMNtOYSbkuFLzWg/xEnu +LOroeUs8EgptpMx8qiMcA6QAWX+74FLhJYpYWc5WIA6gF4oFyJU5mLPF5A3qRZp2 +zXrFH3JhYyy7hBgpKE2bvhoz8qchaC0FWWdX3r/DH46JZcVJLdLJ69Y6juA6Z5TJ +ZPaXyFVZosKtmVdUZBX64EN2aKu9gO1IagH+WcKhjv5leoDuVqhDGyTLmsdkTKXr +Psti0veJczPO6dZ1QThaBLx1JzMpK3gSmT7pXmyKvUhGhiYEivoQ4cQILxaR7UCw +KFVyVPmRZdGH6yKclxtDlClVBbWmWNj43gYM1KarAxjWLuJ2Ah5z/piBZ7JaJseU +NN8i2j/Wqumo0SfkBlHC75UKyMFjN2TQhBIPuTs0dluKZKDzBJTtISIYj4ZEQEir +PHl/67R/x1SrFK1eGOcmf+h8S3oLK71lNLGDCxTuh8i8DRMcWc0gLoOyDhS4NV6c +OoEg/Ps//Ik01ZMB32WoBq30X5Y9oGkxYu2clYd7w+bC2S4qUTpTDHURCzOEGOGc +u9+3xZbZBOUDw5FsWqR7zSZld0S2+lZ2GshUhPO7AxprLax83bQTQ7s/ohF1CucY +MSqr+wJHXW2lEjKCFJ3wAms+KrOa09I5+Juf+AAPLlmVRmFnopP8dZP4wvpO0dWU +RSQac1sFU3S7G+Mw2sNI8avqo0rOBS0LxiGG99JyXz+qGkFHQBp+I+Yfu3yayp2K +7T7IeoSKCi7vTmsXtoUOh2P8YHbVwJeg8BdIdQj/0hHyIPxo5//tPWv9Com1bdNj +aT10h6byirF894TCkTcyaShSxnbGog8aSNRUuuqJufxscCW16Zh3ON9RvX6zfLnA +4gqM/c5OcTgh8i300XcVnt4FfQ+vmfR2Ovxwjh9rGlvAVJ7cFXwoSQvYFrtd0pJk +PFpuKx10Cs8JjOAiS1DH8uc+PQnNvj+ZeeWw5UVM5nl+vqDYqV58V0Kz6YmPHhmY +guLKtI6xOY1AN6aMsrXjDr15Q70WWhhO14ODV9VPkFjPfOGrDQLoqsTo/opDPjF+ +nkQmzyvxdr2zXSVTyymRVAJbOzQkzgGSEHVDHRwt4IBUKD9YwYYICvARUJVPpi+W +8VfxgTVLL7yzEOSp0KZ0kbQjIopZatIgnDO+0D1OpO+xQQkASx9XRQPMqC0+sAj5 +u/rur+M0y6t6euqqi2/MhpQ7a7Yy6+OrREU3eNqZnGCMCXRZTvj0npXGHhg3ivjV +vTyGPU2qLwE2i2L2QXxU+Qtb2DTIpE9I/UX18IXjPl/sQyV1o/53NJKP6d8q4Rgf +3M+hY6lqeXtgx6kjGbdsdPODQQ6aImeLoK9ydHaQ5jiJJIZXS8yIgqoDqhQW5a0V +g/pUvkawYkhVYPP1rXS+rlbBDqEvVl4JQwePOX4vd3kM9/JxEJ5tFPN7kMVr4Wo4 +dlhAw3+8OF4lzdIri9JTyzVK+YqNEi9X/P//AJnfGghyr82Syd3plI+Fubu1UCOd +CLkWxBk7ZHlxsLlbQTLhiB8tqM1ktJQWavby9ceASIjMyKMEfND/Jpvos6+pEivF +cFXPTn+P7YtJDi/v84+si0DR6FQppLWiBPiaJD3e+MbiUZGw1k0XjZlNEPfeK/5c +DuJz+99GhvFaY1osfBq27JboEdILt89oIy6lXe1QsxnfY2S8GkkqA4EQjAXca4pr +sgaYWvWntwFYCVzLO3rX6JEJmfTVO7ld/qzwYITAkEM35aziz53jzu4+ZoC+zqEb +RM3bntVpUNVq1YsIdDEuNlXmdQtODJAlg4u4ZbDs3g+LItF3i7C+llrR1ucoAvga +Y83WTGy6FrKe/L7Wb5r0ATmvMhWXHCFItfRtkP8ennVSO9NUt9qjpzzzJw8f5eBG +JZhwm9J76EXTQCsNdyJxUSLJuYamjm9jZp5Pj8PdrxYhRnKXJdTuP2v/pWZw8ITq +97TvUSiPlj+0OQTzD2W7rPTVVj3SON1U29JPXat8Q3XMKJye+y3RfVD8+vt3IBWa +NzriR5U4sAwETEO2kfgcgdHSVHlMhnaqIL+f0b1oU16+At7+7LfroOB5GcaPnvLu +z2K08dA8sDR7WdCZKuRUj8He+rPWO7ING0mZvzVXtrMjXa0VXXGTu+9HmyMjL3MO +nZRx/bVvg4y/LPJooho8uH9Y9bJ74bPrlW3sE/lHhazXy5n3rWWyrJA+774EUcso +0rilCiSKiVx+2b2gHGIcngp1wwN+IlCatTD+MzjttZJxrFtVsrm0k4EjmAZsdY8H +vDVJiphbr8525e2rWKybEB3uNtHgvUWBvM6XMLGw2mW+3gYa5ygG2/eZ7hWfQ8W2 +BSuNN5BYjfyW6gHzjPBW81IjzGQnDPtp2TzTpnoq9xFF0SDdw9peU4fHHY26Qhf6 +XoCmVG7O9WXAToH6pfwgjLKpQtnT40r9hgaPmdv9nsVIR4U14zr1M71O2gFaYjXq +4URBGr4YrLyfuy+olzFxOaf8gO7P7yIQAFXMJ8bwyDNIYEcjspf2dxAvjaYRet33 +5ImpMuUtSPEHPHQKNVlrZDDsmCQ7vF8ngkEqN2BlEbsHOFDiTAMDSvuL+SIAXx03 +GsKRlIvnsa2ldXfc/xEbyDGl4Px+kFFX04XLoyhHB0U9vXEHKqAz3hYn2yPjt5bI +YfHgZgMtt4BWJNSNGk4reqMxNNOu2GKWH1bpAvUhLaVwK5Kg7PsqLYzXxTK1Po05 +amiDBMeS4QVzQe8jWKi3MbvMy94JULtUBbq+2LqhtrSSCQD1QxxcH/87mrnZ8BI8 +VcudWm/ukE8AagpW5Zf5XBhDJ2mZYJgA/2z5EfiQMBRneKPcIYCZwJITleQRZRGu +WEwwgHA7uUrmY9AvvYF7I0UYDcaD/jHvQIKxC29v3tU8kwiE4R7vM0k1n1C7HdTE +x1F4bubBFft5qr93J3urvzC4M7++EaLkN0r4q1sbn5GQNanurC39Q9jF7zQtK+9k +CbZ5Ze71gPKBlJrafUSkVsEDdObXvvMKvOR26LtG4degtuIHpMD4kp76bb4pBA3p +G/0eYQcJ0AWP/0tOTsD0gjCIZ37HwjmLRDXl17MVb9f2zSBa/FOmbq+h7UdRkSJb +2GOpW2I8BnJrp/QBQpJgK5GKKvJbIE/rk/yv/X0qPPB8fFuaMSULa6GaOlMxqv82 +tsSBgpxeRcTim/NSJm4qwBp/mIQlPnq+V3miZW5rJSJr8w6tcIPi1WZFQe/0/Yg7 +QzzuTuUA9wALPf+tzks8c78h+nT6DTe5EJVzxQf9WHsmkFSNjpr8pPDKnWyoiRv2 +dW6gCqRTgjUyUt0f2UwCKTS5gp+AIxgfBF9z42mgYcxNOXMSn9YwDLZDYJhp9E1P +/0A5R1LDN+O6rJDAeXiUyPN90uiiKl4rkxzNn6hZCbu+99eYrfCm8I2u8XtGlcAw +JRvTPW4cDY3NxDHWH1Nn0oOeMa6pAUKUWhXC2GUhmSnyKanH0O6dxYAtkim3o+j0 +RCUuu1d6xn1dKSPbBsiBM59FU+Tv2Zj80Rc24YLqvYDouIE9MR0IUeRTc+/mVUap +ZCGfdJPw3EIIQtUH501ZdNutClU7zqrVY8Sxc/pUVvbR0TSqoRrgNH01/ApgneL0 +ms+sbQzfXFZDWrTPzdCM6mibLF/Vt8ico2Dojp2Pqm/sy6cEIa3MrDFjXEs3Qe9b +wubGzMxpOybLyuL6d8+iqHl7uzMBF/r/dcppElQGSP7rL/mYkoQhX+WL5dyTok7N +As+sP7xVZnV+QVHODWr1HDRP/FMPu5ZeAAnFIdEZ2C9HwCEDoTe2svLv1FK6SuQ/ +KorvBBo2sZmDPoj3uQmQN5n8+e8mkFimPc5POVBxu8c7EZY9QNHrjbJSF4E7fLNe +yX1wEcBkIwpKdhHi7Y0gliiEEGAo9GkRmsWAisqSGf+K3Cwlp6KupGgSdqdjaU5N +Cu5hEv0R5obgEc6dnAAPy2wIOOJtqPgUH2+P8TWclt4VwH8l4KsdYvhEpfeHW6zd +2XZxBUB0s6sB4ZZnXxp7Vzff0i3HcoyDfjgxdC/CayppkQk08P1pCYkqXVXEvjgW +dfnb82/oexrKaqAK7a/EnoEuDwCaYWNgXiGgw4sGkfEN0uVre58ZANGDjrCGFg+h +np8QOK2dLRfmE/x9D65sOO+j8yOp6h2mDrwi7gtG27Cm5s4YyuBb8QzECWRu6AHM +nNtXmmmxNOTF9sDjXIWsgqyv7Az7TrYxbD5kz0D9KGwwJcy1HMWsD18m9dHOqIaR +EwM7depg5oe8u2uAb74iimYtlC4jPDK4OhG/Fwcr/fFdskzEwimeDCSbVtybdjAD +Tk7CKTP2LjelW0ekJOOkmSGUokVvGAo3KiyEFN0gl3ooEL4Rh0Q0wYwnQhOKCf2e +OJT9FE5/jdQTywp5xn84p7KBLFrBt+4XswyjuCdQIpSmU+qbXUryFsyNC9fcZq4x +30wktsHmET2aDIRqzwYXYiypsbnr0RpcfJ6z1+bRW4DudZ2LNwkZWGehzM5cIDRy +MEmFCh7mIsTGQBspzJyMSIajG6wAsKlSe2OnvLoGMuetRIg57sG2YzckTQum/MV2 +mQacsnFxZq/y0umxj9mlYwLmFA+iR0+7pFbh8ZRx3qDBZLbtFBtHcys02d52/JuG +/vaV5nxyLDJ+3uVRKgE8+syNDAsKWHAYduX4KMm2dwuyUU2Zo/kyovKQDJBIDWgO +5wg9mriOETpSTA56lCItwml+LNHT/BzxI92J4Ut4PKn43ZBPyw8O2Ij0bCWb0z6Q +qEo4MBUNsPPVkQZySRn6dZ6V+h0LpUBWgmbxqqK2nuCKWG+6TBhaDG9Ufwgvk9w8 +PUEfBbVMkVIKHAwzTCwRr2WLCZIwEai8aLPYyNmr/fqc/IojOfFksoUHKVKCLZla +Rbqy8yWydAFkf/UBKTTFRbDL9vTs3/xHFs328z9K4r74v8nAu2/cs6hU2KfNGyC7 +JiAwmZDQrbpvFA5bVdzLfQMuFA6yxGFI0qEAAJnj3OtGxsNbcjhYqvhSYPlwCHm0 +wFZPbcezUQ/bB+BvfSf/tsdkB9hAYnQTSq/0oNEIFbLJ0JxRBYZFFU9/nkmoAmnV +tLrasKO3XtUntFdBxPhmZDBAo4wd8n2gs5CVizT3YMZXETxl5gzCkJ4pgFVqwdwW +iXnq3ZoH52m3Qez66CpTIgFbNudDifeThSCzhTJeMbfimQLZH1aYlnK0SpVq6ecr +3y2WC7jYLOXMNuvw0lNGlPCOy+2Ot4qL2+ejDJ0M84R6euqGA8C4TdJte2ZCasPg +Ady9wzNukkIrE29WKh5rk3OGkeDuvezX6d/uGzBRlfRI6KtdV8iKdnPxUksE32r0 +RHMZfJys8LS1Z3LMV/2+eTWmjMqPEjKNkDK0bhFTMgFQiOce3bdsZvtrYz/J1wJe +O3MtgnlyY4v59TyhK0zOvdF4SHh073MdTtKysQ3wygrUwa15awt9RxLdnaNQ11Te +o7fhVusQwEWR+K+Dc27kY8BLiYf9WP2WKHTQSqe8h4cm/NTxIMuK20LQshSnK3kD +QCOVPnwUPXM/TfEGyjKe5rxKZ47a5y+fR9A7GeE1RKP0eMpWXV3ZEZne5G1+oQyV +PgnOSUnYnBI/3ZHY7+Dvy3UBrVf2qV+HIljXsQ9EjZkUvL2T7GxRnqqSg1+RKl8x +k2X9LUTJ6GFZ44pL7nG7Zov888JHVSnrIRV80ASO5hFfPMohUMiqoXENyVOxz54D +oX/Yoc2418OYPO+ZktlIFP593hTjD23T2D8uAex765LJsTwqsoE52iVMIkg/6NU0 +TPbHTDrEKZCk3HUYcSbbeHSbRBob7FcgLnJn/YicmmDMG5rn4ZDXzXTMAkYJNI1c +YXkyJ5lt5mJB/xuPYNgbTUqsnm9CGjXA77BwyUrVQXh2XqlQ69UWoQqpojHruh0N +aMbdfZHKg/OGNoz1CCd27UWSunCC3nm6U6zbGzp7tSdQnlsV0Rm02NLJUVpq/WCY +H4nQkLHs10VjFnY8uXyVuYpLSg1A7/ch6jll50DAegEm9RRycQJeGt/Rd5uyySQm +uFwoHAAUJaLnWYfPcYq0gTeOR505uiXTSEQzuks1UMou8UdNN7OyJkpPuTyfpU5E +yKmBvgyctm3lcOu6AoW+HD8cIOAB0n9caeGUUrmIr2Xf4n+0/C9x0VWyhY12ug8S +Wf24QcaDq/rbD20CqQ8/cyVCOphkOU/We5ofJWlphEWb+UysIdth8BZREu2yoboO +B2Fzc3b+HFFJz0Sw079+Sbckji5MZkJWcnrOxA8wTcB+wtzFmvpT8f+bmnB2vvc5 +Jns1DHsIjaIbXgue0ma7BA2Zzo8fLBv+ANqsBS7tlLQHTwhGqnEE+XbL+kUylD2J +YvJNWSO0UFiJ3kMY5B190GbgbEbxwYipPg18JQQSn8+t+lxHrZr562sfi3b2xkKM +2dipDIS3OeapfZSNQLsobyYCZlbsoeR5hMeA6UmqvqQyfXeADwJs3i2WgPL9ZyqC +PGDOlBimab3JQYur6gGdqRAwn7xKYPtTrPYqKUkFs+Hb33xn+I749LIbrmyhuPYj +DJQ6om44uSfdjJ1zGUDkV8nrl0jethA3ii89hDRYCMSulVNScME9odP2hJKPQfGH +GMgUX21Lasvil/3wLYVRI8d+5acXEZVHHIxR27ySu08iOmrHbhLxaBU8JLXTDenq +vsPsiG+vRE0XuwCRC4GEVyyIBHnxsn4AmcBESIBadi+0LAg0mun8M14dYwFvr2FH +eTH1LRFxtUmF8rGJ3ZRUbU8tyqCkUOr/LzxOvJui9k+cgkfEUIDB/EWacf19i2dv +bMWwSwbeYIhwzGur5ZmnDpXm9Urk0f7DyuHY7taDD1h+EFuMuK9+ghKt1R/nNlmh +C89nSltwzrF13JntLzpeQfiDQaw+c2wtI6ZQASCmfIbewpDc3lYcluzZ1OZO+2iD +0pizDRpCXbHpIoSn9hkgK0GF8aLNNhq+kFdzDHakbbAgpziImyzDiBMzBwoigASj +YDJoM/rvcZH3JxDKf1ekibfiG6QR6tYGIU6jqafcoTV3UiYqg1hF0ogPl1GBhEhE +Bd4HS1xKx6T1SV+VkRdHEFUscmuTwg604z793VNOIsdooSUplK1VOXgYPDnHsWxB +le7BNWZs2THJJ37eofveMrn3RXbMmlJ8ij6t6PSSrmmvKfyJXxjB25oXwzsm9wZM +fZx7Nxi8hONPihKZvmx3tHAsgKa6uQTUlMEGIJ0vrlmY/Eg9/Oc3FQBHn8FoKwMl +C6kYYJLCZ8eAGIo+lzLIIczmkyKnlPVc1am6wezgsZ1gGcM/MfUsWIQnHIafEycs +gcMVu6d8fpU5zp96Tv2VNfs3+AGcEd+ss5XOXo7P9qntKQpNsfZ/YMZ1AoNWGDbP +LbpHuW0FTu5LM0yW4JqjtbpxyCYB64wrZzjTGEDN9V5/ZnML0OR/gNZg2XuQFU2Y +zoj+J/zdVGs95gP+nw7+AEa8nVWAVsj+ppfgt48D/pxDa/9H+V4iSBT5UM9TZodM +pWinlL7sjsC/24s5d/9A6hh3246OucujzvySXxkWrc9p2wHJmLGgw5IQSyk7Rwkz +AvyBB06XGG5Y5Vcnoyn/daOlxyinaOfRiKNBjg/UEisCtZUy8tpvS7eTJ74W0xe+ +pf5ShlDy6rlPS+gd8QIxgp7DrdL5aWAiFLozHgr1RoOFJbn8FsJkdsIMX80hJmSx +TAbIzqTXYiw7rMXvMVGrJ6za85CzJ29fbrAdMcJCbshdIXCPToaQly+ECjql4dYH +28hfyfyejyv1IVgW217ZEd2Of8QQqcFS3/gc6N8kUeIEQrgEHzHPnRvcwLEQRkiP +n+CiYf6eutBj9gw8caMwKOMzPVJJjsPe9dZJQtgz0hawHoKpsSujNPqViX7/fI12 +XLI9guw1FuZGKcoejlkDPIOqclgzoN0CB2oMRR3kOranrie7jtCAexCYyYw/oITv +/LeXZpMQzGufcPcDha1Ju4VX5wAEZmjxH4Zk8aqdS8GLmRMvhT45WyMIJ8XDb5ht +QzMnGcODLTnP08KeLm51B1987ZRQhtzQ8bXgkre0Es1YNsPxb1Ii0QHkI2W1Vg2U +w+vJ/nY3yjtqoxl2NMxsy6h9+EhyckGiCkFWqIwIEPrns3YYTBn9nQsJ2fxF3MCK +fm2/m7MEQZzgZ1iw2JrgHvh6QyUy5ZZ336I85ry1vUMK9ysIk+yd6Smxm9gWpqeI +YgDA9rjCRQyo79DZ4hF4hyZxONk5zqqBQSHuENbfbKmQeE72DwD3oBl/qqciMee+ +uUsGIfttvZN+6xsKj0mwDryxrKgT/ZRfhyxnH7zu2WvDfN223YvjkFKjsYuhkuIM +603HIllOzviN8Wek/HIvdQRA7I/rbAOlYqyO6FbE/CElFUegwaR0LT7pbvbyf593 +qt5icoT0e+hsMCBxCjD/dXb+RENr6gaOUKhtBvozQvtP/ZZG5cIIyBKz0YGenh8I +/HKKVTd+RYwd7sTQetWjOTva+d6zySAf6TydVsPdgfoHTp3LkrIe8UHhJgQA+MQN +3v8GcJUksgvqF978LBV/DKaSgWTjlTusW1KAw80N14HKWTZrCqjognap+97xcXTu +AGIbabgaNAFlMz6aFB519NKTHWpDM91UJArB1zsnTlA/Rj/xO/y844VO46ATV676 +8lzwnbqcivTd0V8ZFdDAWsBFpVLxGnjwnOHxP6ln8D+AKHMORUIEutlRRB96jdOC +JjIHeTgdtrIwMN1XyVhi9cIGwLLfQrsxScF3OLB01XNic+oyxwPa4cV0e2Ja4t+J +y02bSLLOGRucPW0hVL+s0jQhYsqmEd96Hed81C4R4JUzInG/LwyRrnHBC9DbJ/gd +m/l/h3XKwFLmT2k1WwcZO903l+MNX4P5pDv3YY9Pboy4yHXmkyXmvHFDvhJTdOI4 +Q8ujwv7rE6/FbXLO+REosXQpoMRGxF5lT5/PHZUVdP0mES3LOCzWDuv1uIa/fWaH +SclK9XpuOZQZ1zmEL0cvbiBicF+jY54qIAEg8hqmXLW4E3oht5rBPGU4MaGstAMS +3umoWLfEIx09KrLAvmVqaFBV6xEWKiSsjNCQQZ0HEWJ1w/GuHO7RQy6b3AQSo+88 +Rj3hNMpUHxGOZxQDA15z4HFxvoDHAyHBEG7RPcvtzGFavLhueE+Wr0usnsaa1Ojj +qf/ubtc7OTsnSX7fY99lemLGVaK4hNmgqCqPXAg7e5yfodhdXJYnO7cqpN97qHzr +5u70Lbggteb1P+8zxqTWjDU21czUiMsuJ28xB8BVIumHmPzIqriJQJkGf3cZNB6o +3Or25iylVtTqEI/XfrPU9ML5/Nx0/HF/MQu+JiFuGM9n/J7M7mmp5kPkRyPYzMoK +E+LvzV0WdX2f7RD+JIm97bKEw8I0u5wgOlpYH+jYJeK7Q254VSg8/85ueKdXq30P +sKj/trn6xjBFJSFGsXhcRwT+YtwxmCli+ew2A9r1H5fSQba9QW5vyzrgtqcoW8dl +dtN7LbaPf3i9ii6AMr8QOM4rb3WwyngvLd7uQWdNTQ3AIQ+p14bdMVFQOd53RLf/ +G6dyPHdbJjIlePp4E5qo+U0TLyt59FMHFy1FQif5tR/TesUH7l68YMLWmMCkLzCN +YkxgwXOVhkTtvLtSCfMgCcPm075iAIX5TGH0ngNpXz6ti8ADNAvpGulMM+Wa6PzF +BGs1wFKlKob50zAknrMvjjz2B4/vr+qnc1AmQGUFfVQiPzANT/l2NpOa/csfsb2h +IFjrzHle3F6qHBVHXN/gCt5gM6qCWFV6tIMa+F9haFq6iSv+Kl9y74+QtVl3g02l +r+nJh767lLvOSgVjhMITVgY8uDWMFg/jHy/HzyD2gb2it0LA9SF7UHDkoCtvHjzh +jWZPMoDFA7tADQEumKpzXVxDjuSPpL416MYLomne3VDyNfHs+CemyXxW6tqJDjGk +ZzMdffW053slvfu405uENpjLXC8sltqyW0cyFdksi/MhFFZa/zsZo2gqCKhvDDrb +IUZRskAqtENMxNbn33SKZXNAqfhKIsJ2AdlPbXFt+i4OxSJ+JDMtrOXgjo18DDGr +M2W0/Z2J+O2B7z72K3Z+zwz1hbCoNvrmYJS+b78WWpWCZHIS51BBpOkas8+SjZxQ +skBW0drUk1CAItyxiAURnKEjkecJO74A5IBVQ98t5yKa7gm+tTykbuGxmdVuaBpo +Z7xJfRnbkTen3D5QuQMtP5bKc2R54xwzEiUtIeGuX+jgN6t7WfM0ZGIkcfVMBnxV +X/O8UI+OmB1JpZY2VEXwPnsnpUzupWr2viV4+914O61XdnO9BnRiTdqz3qfbWhxP +0j/rbxMr1a0LDjNVNPxyjvh8QW6YthEG8k0ojJWVEHTbSZQDDq8EbJGFw9g1EeC/ +x+a1FaOPUU/tJ1uMsE+wxqh7V2/LVX3TItGQJS2+rq/iHI0XDdQoIYAns+Xm4t4X +KntOwaotw6VWDftAluwItWzuIAJAMRdhjbs0qg0alsouAWdOmdR5VNkYd4pc+Sat +bv5ZrgpnJaWyh7QHgco8bUz3vF5PNSE6yTipRQDuW5ere4U4OHqpkpTslCAY1Yuy +TPpOVkUZuveaPtTNgI6pcCQPj9ZrBYhWwUB0MFOgqMv5UlPYPIB+TPorP0tANU6q +tTVY745augnpVBOuzZry2G2gbXucSZ52ZuUpi3SaJ9kMGvl8IsSALg5plM1c+4Jc +3xuMSMqoxKMeLdQ9/KJN450W8M8IBd4JBJQkHWR0egq1snnI55Xd3WXTUskpSui2 +KlxlmYmP45OTBOQRyWVvln3jNcSLfNM0UVSXVGWdXcv0I4Wd0jSTpym84IhZyRlx +fGu7dkB8r3ZfJSqLx40E1iu4icPGIcQ/4eGMVc9kqyB/cgoXXNwUw973TUvz6jPo +YkEX6SPMoWofSl5cQUxJc7n561LCouk1GjS4SgI6+Ak/RaWe4MP7Kpz+lf8sFdzH +XCVmNCwRUOL1Lz6y/gkdRxpKWNGJnbsA/mVuY+EYbbFcJNcJT7s2I+oTz3T4I9yd +pCoXDXkofouG74EZuGOW+a0g1DNWhPCigY7hFX/cVp0jeoOCzdgndXz+GpJb5BKO +DTQLQwfOgQi0ZFNa3YcH1xXqqtEOPdpghtroQhPdNPfkFLKioMOwtWzpXiYgJLLr +cAC3Rx3v3iWFCCY2HZ169ytpsyBA4vN2IdhF6pCK4OGnQw7HHSb6G+mjVhScDqWM ++/FMV0w+EL9MjJEFYU+6GjLnsRkOjhO7y5XMSUY1ylADcxZILp4Iw4vnKjWsNWDy +L59MLK8xXdZdM0pRhM/np4MmmhiKRUTuDtCwoeoFb9ABXzco5YRtws+VHPQ5yFpS +/PCBhGZ2hOFF1eYcMRVUap+lR/1ASgIMKz9cFLYSeXuaLbwyU4A4rQnTtku5YUVB +0sTCuxAeVdW3i6NFSZEPYEy93Ub6jjRuu6zdTtFWy3ZYWJhZoDaKwsPnAP4lt2yx +KQsjAZgmA1u7TIqOZf3R5CYa5LFNzKpuKGG9HNWAK6RYmPoiNf9NUhx9Y73q3oBq +az+gsLj53yFu7M84mKs8Vy30YKkuFH9/2FRJuIXucOcxnDhRMNVWHqZkhd/LT3ki ++fDGYeYy04hDrJqbawYB//cGQ1Ai0Y8zh2DKPnIR7QwaY5IikK9sd7ERPxPHy+Wm +Ozg8PpW+O7XZhgJ0YcUSKWZA/JlK8INfl/0zBgtxyNXjNQkTxKwUUD6V5By8D2Ib +3MPe9+KEZvDcWoxUulbsMWU/6mujSNifEB8gPc/xjZ5e2Bc+MNtfe7mLF6eBG5fN +rdu7bzFkk2exLxJcqmxDV+6zJLGoOWsp/GmvaecPXY1LYfhsxco23lk67YiFBnSG +YTA1LRvx0HaVgwd79oXX98XxKF5TMeQ3co3y6E+7Tg8SV88ERjtc3YL0ohxuV3aD +UHSkwRQ6EJxV37OcvrEFEVNkfFC+heoxGXQjeWjg6BI/2PghS3PR5/KV50r0A0Vv +7o1CQNr9yho7LMzRot/qz0xOL2f+89qi7wS9uInjDS63kix14ve5XMeLFc0h3yLE +nwT0e16corXVxBM8CXxmcHVaJL+5Vn4zSznJkuzjdXcJEb/7yuYCJB3v/m/eNLUe +1zDTR5Uhu+1ErMY0f/t7CEtZDEl5FZYB+xJvbuMN9ppkzVr54orzfnjo9BEZ6o97 +0EZN9DNfu7rl4YZUVVifjQFZ2RrNDHikoh9Z6XY1fGAzLnou7FOMVa4CQC1/nt1x +ZNH4keinMM2vblQPXcWxOdijYM1Z6yw8DhT2sbW84YRxwSJ9m4qqQYOiNzUbx7aL +Fp3kVUoW0Afr3gsc3ZWEE++b0AZ3H1uX9ABDG3ZXsoifz22SW5nl2yg/gIec81jN +mDCGUVektp0OTvrYz6t7TNK+i2FvRiuLL/9yCnTbv3CRGHDG2mn9HnGJ1O1+g9as +FXHzVdACSTc3bq2h2fL9Enb/a3uqsmbl2NW6eRoycxc8bqtzWgphTkE0+IHahKU8 +c76ZloVa5o3JWkqqj9zFcBEd77IIf+gGmxROlTqDKof0ame22AIRODYhVJOOfXnh +JuIdmxGNNyfYGHs2Dp4G8QOcd6cY9skcixWzm5Ks8Cun30tVDIZ8OwcnULhfGuwP +kXDrMuSARF0lRU5TQe6yL+DLT1mf9asPo5yP3dLR5bn8ocwTbL1Ii9JAJX66Gy4O +iy17Y8DsuLBOZvRnzSsC5hvzxg65TnmZAcK8wbPl94EABim+/Ks1cEVBtV2jAJhq +cjiIHT64OMP0csZH82wKQEyGND8SYCGEcBz8paw8+9yybVEkxlpnDxS/+FhV/EPv +5yGHjBFMHARMw0g+GS/hfm1GNAGRgOudFyTnHToTsFjguSLGU/uwSaq7f5XbtXWS +rtZaSvv4PfE2gV7uWLe6l5A9N++rvEdVVtK77MWeYFLXdFKhAiz8u90Re76Yucx0 +0LySDyDqQXXTbYnkKCd69z47+LpJhDwo/J462alEg9vosop1usGIX+l4TTF3rJhO +NC2psYoQheFJvACXs+YzOfUp6JK16kdw4W0H90qx8uOV5AbVnBnc1zgIeHMfo5Wo +Qb6MH+9q6lDF5ltyroke6kPrtvh8yeEaePgAhYc6tXlM4P/X1h6vDKBVcqamHSr7 +nt7s5y1JO6dRnCdwAhlFufVXPtKh1/9eFoB9MX87zVLJrvJwlWn+AZ+uz2PksdUi +YI+QmC3lgMvbHOyBXeCDQ2p9Tr8DxiFP73WTKzE/9ORlzB/4NujmaDdLsqkHPueG +5w89ksE+3rdL1vxy0DWiJHtn8uP7XfJolZ8gz4qjXk0OWrGdKARFTH1klJtWyv09 +/LcDeeO8waejqlKfwv01GVinOJT53Si2DhBI0SZp8opFvsBDc8hzFxfOuSLtteq8 +5wM/W7TnhnXMHziLRwmhV+I8023znhvR/vjjlmLoSr9cM6+jSdNnperhs7siR38C +ilML7cGi0DTh9yzKqVu9Gr3rjeQN/+mzRlLvKRs4IZBEv605AmrImSsmxlYVqs63 +52kIuAsyWeDzoa8djbm6/JWuEyuTxHbXhd5zEAEx9LZH6u1yIpBsOQXY0fwkYxWb +0hdKkA6X/E09iFQmSEreOmPxt2XbxuYwjt0RgtVicAe3LGbaUAjZhg787mYGeBgy +D1zNxhH1yM45pooL7d6tI/weyZJCIIDTi7kQeFRiYqOTIFz8KkTNucEvFo7Q5Z9e +Vx+1mWPvHj/v5Zr7H3U6xYF7klZvoHwCI4HmSHmiOjpwpr/NykqSCQKn0XAhyZqU +x4UkyyBajH44/J6u/1NUK8nz+XYPlTHlAwRNzK9hyhjqcriXvDoP5swVNsh4oC6K +AJfv91pv/5DtWSePU8e8VaStcQrmPe02Y0zXx/YBYKcrJATFlFhjePTLwR/Z5WYE +US/ZsMcbpUoz9yjq+Dzz/TreKEpJvNaVqcp2rU82qKTQoAPuyxUtbrfi6RVTHnWX +Vl2y6di8fXmX+Rig6F/Auan2FTiyxNQq4wjYaN9muhlCKd+OoR2Lz13CMMalD/6n +u7wEmpVSGLZ3pO6rYC+7ucH7PZZMuEg4ukBN4DZkqXeP8zbIxzORzS/ctPV3YLmf +krKlaHwtcapbWj2BSPJM219C8t6f5xhpC5sXV7T+nHni+pIahuD3YBkZ/1LtdOOV +Fk4gfKkp8p2LDRgOHow5Z2aJiRcaVAXG5GMl4t5dW824YaMXwk0c81QWpmPTbGOG +ZTlbqwWeAUg33ezRVkbK21HRVIQRYbU9YpxYFcE52ElTEWiQGOL1RSGjY4vWd2/W +9jgA4j0Gb8dqPqEJpXmsNzkA4FrCZ1sdhfg1VG30rZ1pBnchmcTPdYjK+f2J0UE+ +IrGMwRxY84WEQbOyaBMWP9nqbewIRv+ACcNQKGwPZveEr6hY2I3PUN5dgRcwT9kM +ID/Mi1K96AprtToNiNRXh9OFqFWuhSKd5JXr9iekD45GxbhGR939JBTG0LQ91ZRw +0IGoX/8q0K17ZrP0REK/vgWq3jo4thM2H0BkbDd02I210Lf2L7qE59E5ZJXcW+n0 +7aFD9or58G/uPf1VhwEZsfhcc7pFSDP3rJ2Xoqy66SAu1W6GuBhZTaDCKlw8Oknz +4gkhgcc2yJWoZc2lFsdFaMg2tCBvASGiu+DVwfEMtmBkTAEVoYJsCY4bFJLqFTmh +ij6IqJoL8xj1u8uQqg2L32DuLJMgXrvLjCFprVwvvSW55FYbZMXhliEZzB3HQkIP +LnUgAGrhjOs/PBV3S9Ee1QqBcUu/tqFt/2tjLXqkYrAYFSDQ2OucpiAR2y70j8le +ARzu5xncJeLG8J1bZV3jBlaBMRVy0MDXyIDs0Cud8RHIHGz7wq/BeFIVVDKiQThC +foeC7oYJr47D7CnX/EY6AUjD/HtdyOWaQMy/QFlG+0QyWPUTeqcFGyd/I3G4pCZi +HXFumhas+jWZ+iwPAIDqZuwl3g7h/7E4lpQBZyZQgECuPb1xxIH3AHm6GfOOKdpK +QEyLkWHZRuolAyKgPxB9sSxgKhmLdB0No/xjfFcJhhB811UNLrPXA90bT/7OX+Yf +PbW0YGeRMF5BgdgMUCKYdD+e8HJRKn5rLdjo/FZrucYor9AMTuvXnY27rmgLdoDg +3J5kZoyDaYrvs081bSgBSpwr2qz3DKbNNjURsT8vJP0yqynbmWEkXVudW/xBBWqA +XZqLUi3EUZQn4XmyqnsljhYxhEZs/b+QuKX+k+WPpYjqpK21As6NqdIzFxKZ1zC6 +CM+n2SddC1W8Q3MuKtVJCH4Kvkse3iBj8iUNekyfCovAG6ptanWTpr/dzEvQCZtK +BLfrKxrntP9fum7pViB27RLlc814DxrXVHQlHR7Oh1HwagFtGNNNYXju8X10L0Sp +wyMpwFtKVQBAbzROql0g++ASVfhtOyOKRItJcasESAHCPu4YhNU+jCuCdbnEigE3 +kRZ+A3AF/aZXGeHyR1RUPSGJ1OVw0o1Fxv+1vE6iismWCXcFWrAnzDU7PW2bR0eK +6u3Jk/YK+Vu7Yr6X6bR/tBANa7g6UvgmTftRaVkPLNEO9ZPTdOWU3jXeNIAX9y+V +Aw+ogLu4/eogDvYxMGjf6kh7pXDekyExJUANoHsUXyE77Kp2wAkhE0S3+an9F57j +DYTSnEDODvbL1+P7EwvVEbbnjbNyk7BlWaS2pTiVwwRJerYdslB26Tnj/xCBKkAg +MBWY7mmgDIFPoKuc9N2Z68RcomZJiUMP8vzop0IeN2PPgx4y1bmj8hM2GMDclYlC +E0Mm3wTrgKKPqSlM0nQQ49wI0FL8XdZMQsOzV24uKQtqrHA5pAANVEjmlxeEviwJ +pY6lAiGcFTtNEkcIh8LAQ6SaT0x4PN78HFSEN+R1tXE1zySpmVfng3lHRFKFQn2U +fxYGMxWmyGBFGyUntksCg629oZrjsUQH/TfQaXRea/qXn8RI+z8esmGS8zjRYq3y +3NjD3I5VALJu8gW7xD03bWVSSUdfXs09cSanI4qcfwimJYypHLo9KvCbo9awiI3m +JxbRPYrhIVqMmV8vJFqWSS6rImSHMCBeqA1kA52k9L7m2q4kvh40aotT5zH/uLat +UGWez4aAWlz4dWsedBtwIm+f8sG7AuOpuPSmOfhWiANAd5pa7/ARubU5XRhLFpEM +9AwLANjNwh17FrQLi2ovlw9gr8B2rzgIiCKz5iJfrLmsXFmp5If1/8xfId3LOuIe +PGTYRlGSjto1Mua/HwiVQ366Ode3tJURP31UO7RwOtsm6/sMasa2fUFt9StgbjPJ +2m3cAfH/rxqTAPvkynuDD22i993ywRPozv0GaRWgxzkP/uKBKlc6WkJGccSH3BRA +kO235imwG0q2Dh8FdLQBXjE/Sgl4QafucoSXVVr7zI7yOQuhXvFpFvIaG0+U0NcA +39PI2y5yUVIuGxRLbOze+32xaZsynzM2tjZDvPgGeKuYIkDRG74G+/jYAjrVM+UB +zT8Y9Zs/u7HcrEq3g7Zc+OBgDnaTURzP63pxVGyQIyFeVCwLtK1dOnRWrKlTPJAv +X6zo6zvc8ID9e/YaYEyjK4b1XOmaoGs8eiGL5rg/YyV2ZuuGjMIaOEeB/XdA1u7R +bXxtjdcuf1XB4NfsUt+c/nTZmvROIcVKayqwsYyNbgAu3MG4xFhSKdVHc2JNR4vV +Yw7MsmjbFuF2MdYiR+MQZDbsa9GsivUj8VNNJPDUwZVixGrdIHei2OjcewodMxKj +35eCsf0gmtD3cQMcbBAskM4pEyfayiqQFqomPICJNlKvyN/QV+wudAaaP3E5A8Q8 +f1wqvONhkigzxHtKrcSUzcLxF98vpvySNw4jkRnOVooPAS61R8XzndVw9nERTEfh +6V6GHNEZihHscmnU5SBJWZ9USi8gQFuaHpkz7XLPl0/J7H/F3Bp1SZJHyDS0g9BY +xMQXxKXumUycpGTB9mILrWnV796i9UT3i19/zpP7//Gj+YL3UMFdLmtANJl4KjR3 +HyZL99tteP8jy+UiCn1LHj7OM+C1oTZbO41ln8lWaX3gUL2dcP2VEdDdnyyJBudA +drhkS6HZFMVGLJ7VOaNV2a57S3qqE5mHal8hOycDqfQxAd9gatzAo0TrT8uoiFgp +vWEgxXPSdvzamfrQDUKxnTzdwv/aIU/aielCVK/he7YjBnJCnX1qFl3JjWZzlWhe +/385fasDK2YWSZ04djIxxZmKns3rOfYnu64cu1HOM3TTquoRHq563NqnTWJjLFq0 +GhXhfSuyW2vnBlPbIhkiZc/cc2BNnDeHlpwhd3NIxweEVwIQwch60dDW3MoPx2nw +tJ7Or6GF5I4mux8NzxvptqhgnmkwL2rhZZNrI9DZS6urAUWLxo5VvZrvlKNMz6Mr +4Rs7wSCIfiw9Z7M6QvXN61QnfxfrRqa7MkUt4pLlnLczrB4kzhpTQGCCIwEcVTOX +mjQ8OChOrakC9Gm50d+jphl2QgZn0wKYRhJXfT5sM/koBw5Lf+6PLIhIRxivzl62 +QKIGHFswymuV/E7I+0T7ps7ZCAd/Z+1Vuaf/ykH6lllTWd/E53pzOOHfp5GkkCmu +Qa+abGJFqW7bSopUp+lmPR1QW5kOeuJmzYZK4OuFoxuXEe3djzsokT6LD+kV0tCy +pQaVYwIN4dXZ3VVhTLn7stIiM5/U+RyHPCS0wVlK6Hx/n4yygXBKxrEHgaZrbKZn +5vRorOPmlC+Q1WwOvFTKBtKC5p4AFLccN5FbLWWkmk/OosdOP5m52Lgetn3M15LL +DgRk9S7ijnh8GqG8Kux6/q1bHh2lNsZX/RPeYid6m/5rqCUUf/QcE2rpDOTqsuwA +bXH4yFuf5Sh7kCOZ+vOGTnsr6YjOcFlDz0M1T/PFIaTasY7mEmouzPdHlnWTvwVd +If1jHOyGTn61q/17GIbS16giKWXVZkivKkgtIJDVoskfomneTvqHpEGJQQDAETN3 +reiVCgXiWawFCu2bi/Nk0atsP/Pces3ykK9Kw4T6ewguVtRa2klJHsrExMHwkwx3 +91GVREQ4a93y+47onHEc6OwjQMeGrQVXW3CXcC9foIDm1tuBaeRVW+J2yTcj4CTL +104cEE7nJUtXz5ItAxpsYCzrg8AZHIJavAYnb94v9EvMKn5HficA7uOuLzwWYPGZ +hLEQX2nQr8g75b2qP7J70zBCWlxLSCECRcJsRkpOJDZKL2EkAt/PKUBY2YUDz+So +/iv9kVaFBKPxg/fpD9BCmitBFUJ3TdM06tyWM6PjvhdorhI+hMB6B43+ZUG176HU +WvQjT8OCPc9YlDPB4UrGg2F6tvcaudqzwZYoyO7XmW2tolobp27OXQ6A4JRH0bK7 +tzmfLSc/dEBVZMjNMRA3o3DZY8M4k0cMBqyibXrDeOAJMdqLJ9jBfarC/gFkDXYA +nMl1dFDz7mapEx876RAkIDvyNmynZxJobSqmf50bVIxNe3FXphUeEObmqV+gM2+u +BNEEWq5h+J77eiZospHCWBKPPSXMXNKkGymtvCtbAqaaPlg6VCTALeJBune5MV6t +6u2W6Qev79ZyyCmGkVFXkyiUTif/a5u7BjsZwqFFINPrk5oa2Sh0ROALi62n6nSQ +EH/ZLHA5KCUPhZaDAzfhmBSRdB9c+XrZxdyyLkZa9O6RWkU9pVzqrPbByEdhZckh +0o5ZpHrwgj1w2nQnBpQosh1bs2FKPxLfFsrRUMJPtK6X95yqGHMwVSwnv3US3so3 +fnab0oCliWzVrMEEgpvIzJqBXwS99JT/Bv2X3ul36N2l0qAjNahLHaYbMAtIUb7E +IXo1xojX7MST9hNFRcJEbUIFal1lUkFXqBWbRonB+Uly3fK0NTaIfKown9q+CfSm +zspihPP/m6lMd+jWKHkZTTkodIAK7RPiR6OXldcvafbOICRvwsYnPnCyROxGDOyO +8FfOe/unkUkgYvB1XLPd1LAhy9EXi4e+QlNZd3ES1Ic9u6XWU1q70Z4gRBudIWwf +oziTXjQ/b40fvf2DCJVKNRNaX85vtZzbM7Jcbqa5JVmtc4CdJXgDHQKc7wtBadhi +Y5ddaXwrxqI0WZBiSRcd7YRZACuIQAhTTlgdCb8QYU0ehOxScjC3Ak/mx6H6xwlu +ucg0pIUl5DaADKWkZz9h2HvDiSAn/2joNaElDQnMQCcEIJOdZSPBOycN7gcjvvmz +EGlAuHj9nyRMsk0hkVaxzuXOfKfs3bKhzJoSwAgT+AdhNrJFK4nCPPOWSEUfc/eg +zpWhvgQtcYSBdzU+ptfS8jlJRril3nv/vr5frzHKAE2+iNyROpnKWBFMpmp1G54p +wss2oIQYNhmDNX9dANLBWfMn+6mnU0CWxDXYcRXaFeLyim5oSJ5c4shhJPvde1Np +fCfCkZsOZZ3OnJ5h+HjYrB6f6kCQzj62ub7w9hOY/OGCAj1LleGx5nO1vtzd1Vp3 +es0uSixk6S6gzkdf1NQtMdkCd/BkzpgmLrxDYwitAfaoQlxV2m35T8m3CTY5h/h3 +MetK3bwK0qyhGV8xodhtiinBDlYnKlyHE9YxdPnIi9kQKEx2fdeN8d1gVySOdCMc +g2xdWGCNGjfKqkkjOURWLhMzjJTDtxm7v20ZJ5qXS1q3qktFt/r51yTr7MJvqdVS +qlmH/fnUJyHijJ99I9s3yhnLX6NLVBSNM0OtZK3bxWimtO+5SGVdX3bfxpQrS0AY +jOxxxHTJTKKhimdPpJz8Dd79tzvx/twdSVJH1OZ8IjujsXsfAgY32Gs6RyAD5Ws5 +CLk3LiCk07avhpLF6DbMdlXIggAJx2fbAJPtmoRrusNhfshSjg8qUfcfe36UG2oY +RSfFIwzi9G706YzWWe+4l0uBrUoEkvEn8Jm/VnpAS5b5BqlQlH78d/mkuQN+dFTS +wGuC1xu3mA4cdekph4x9nZcEy5qi9oL4ZlbwF+uC0stCSeX8PL5xvsh43ao5JUxy +wXpaLR0p8rzgZAXNLhbUQDvTm+3gOkrXmyn3UQz+07XbiZZJFe45iSSGFEvUSdNf +RzA+nftl3zL7/zpF/UitsbZxDbAL72z6Y4EUPmbNplb4u4Uv32uGnmCA6Wz8Q4SU +H518Jsa/TU0k7ZnWb/EuX6sT7EkhIdTHjFOhvlHaTzbCB8fag9IMcLDZp3l/58N6 +VkdZXf/cctUz8lZqLuHGPtSb+NQtWdqYQSBi4PF+RWgpMqkJVyFX64T+8/u0vRBV +aRDZYdMthCU9VDmomw/gtpEFgnUq6AmAWLJEl9pMupwrfK57L0eJnqKuVk3pzcQZ +LVqQ2omV2Ww8vAspEsROKgKI5e7S/wSirvm0uFFvd2hEITjMoEqqDM22RvReCcRz +Y2Nr5BOtIdv5ImtpnVqrMEbG19vaU3rvU1plkgJ6jvwH5Tz9lq69GoK7hHadgxo2 +ZbgLOq2kM+z5CeumTgLojsOVLZdULGA/HbIQo8bble521cPKperXixCRGqsMkkRE +1t7aMLk2msrSVgqgox6uFUjNNZcBuzFRvLaOTlQUwymPK6ZDf0MG/95sL264OOJL +G2cglivgpNFRzWdkauciuOed16YEEAq8EoWrX92kfOWABoF0kX1vIQAQmosPceSN +/8Ukqa+0WM3m6u7BLwmdOXDAmtdSHfZajTGl4ROGe7SvAzLTjyQgzO9busM45YA4 +1Fp7OcwjrvJwfAcLHJ6MWCyf2a8yewSXkSTlyDN/8/IWhsZFQmd/6CyJJ2fMh/I/ +AiuNNPhccDx4+A8wW/EUe0FYOb5vK19wq5lqzMg+Ew/eDzhrbZT2X8MO53KurV+o +NLF73oxb4xepkiR2VCA/ibfaC4F++jJODTZyzOX2d6r67tdm4USnwQAZY/J5j/0c +y6mEmmJXKtvUavhTqGpYnmjdvNrxlBAqgerEwAheT+jdz0DQysHWT4WupE53jkQD +ckeGsYKkBwFsDCB/dHg0tEEXySZD3W/2ril3RBuxI+NXlg0dRAmNFiKKcTBMGpYS +xxTIWoaH02HfjgmUtqOCnIs/Xiu+R8g+jkrPoGaYvgD1/v1YllfCJmRU+rNCleA9 +UYJOlxYY4NHf8uJYekBIPSqrGAdyBB1h5pL7kFLZ1IUpGJzqL1lsig2edTInv2hM +YYdBBe8mUFKOS8GsWnFtTImTNXo0bcHU+zATyQ53QSVdy0FCBO5IDSeKPhNXTE0c +Hr2qfHH1sk3MlmDZzTEqc36SWGp8Jdnch6Zg79zvZp5obZheO3xQB5wVMQ2Dcq9r +lubGonSviej3Nq4eV05l0c0TRdesI993+VfBOCK7mh2lHbNhrzEZv4kDbhZSxNuu +PQmwAeFT6zk6cJhfLB/1alHwuHT7pgCmr11hvaeaPjiaiyMbXwaixM8W2DJEbtQA +ijbedg7s+Jb8NxiYHhmTXOkBMBD2CG+MHWrvFQTwEmOY2rBEDUqBfP9diYtSuQXk +niFPfMV925z6LufdExX4hgw+76lyP4uuHgXTZlbDHNbmpOouPe6SMfhx1XjAu6yt +n10dtl15h6FCQJe8j9OJJkrSmlN4W8sdoFAayzXnuxNYpK4DnnTiYZaHkUl8Dmmm +EI9n/eHkRzCkMhUwIfUF7zhHTTqRr+fKgYnCmxsjZ39djRwSiOTvUUbqE/ZJiSbi +rjjOcjGEz7Zvj/ehu4gGUnWLgJnsoQ/jgtc/J1f4WrmH4fZcvgudshiTr20TjOyb +L6hAT3vSfMc0NkDt2riR5ub98z+kEmDDqYVCw11O2hpfPxOW4bKssCcYrhyYdogU +6DhzvST6B25zCRtdw5fZnIj6mJ/2oEVISB0IklPpsQBp9MMkP8WN/ppZyJ6vHr7C +IBr9Brc6cxfvy1dPV5l/ns8mZqqMvuuBfLjfkqrtSiMiEVbGBYs7Qfj+sJe8w6I/ +OGKYQXuzAr7as3d8pMkG5pJgE03SdMD/ZbJzokH+mE1T8YKhm5efUg4mrvYWmF0z +JAlUSu/4nH12IQQ0+xib05691unJogD0m6FKOVfXgDl7CQ8erBoNGQdoEDnpKWZG +7DCBPlPCwnWzfqL9Tsbfc5qCzn9Wy3IyZ5P1l43/aLSLxGJYtC91dPvk0k7+T6Pr +YwF0fzSmJM1pSeGdEJC5M9qoTKmQjXGy715eMwWTGXUnLUxDWGGHkEJBRscAJeua ++oIrXG13Dc4TLujM7pt5Wwk4tUo4kIkiXhNA6/Rohp9mVc7oufV1o3OhMEKDoV4M +O1pZWrC9SjFMitS4YALPDHmAtnoEV4op6d2ztp7fNXSoCPdtTFxhOn8NtxKIBbis +/KmRZKJz7pTZI1EDkRL0K3Exz5q+T/DmJDyGIqwRYuO76cb55FJYjCWHIQD9bjpM +yoTuMO3U1mCeLLoPKX+PPZOH3/wD0/T8sDxX3g5ZWtPHa0aGU63zKgDNj9xxuxBH +RcOnp0malqe9TAeXtnxWYulV242VhZc4A2HryCFYWbWs4VFwNJen0e+4GAr+1Kyn +jFp6ekM6Wg5jSEgorl+4205FJE934esQRW/xRyBFE0VAz9zxs3Ajw6pk4WT5JJtu +YbBZ427bi95HElX4pSsoVXFgV+YVe1oPeLVbRmn+dur4Rn0hwOyj9nI3FKGESdZ0 +hNtZ2Ha8YWfUU+HNp193umxS0FcsfwFZSJ/uANmVlN1uZm/6ZIEd+bLQ/BDcyLzX ++kdhcKIhLDapxcM+gXTf8YrQtdgmjtGGWPun30JLHYMoey/lV6/98YCJclMXeq2o +W+PFmvlU7UYzvGdMju+ntPTUTnnms1AFTO03sujiKL8e6KqLFRY4IYpl5rkLVSg1 +C/JjTE2GKnQ07YgPR3M33IvCGfjeGx5VOPRpJGCONxp6Vd9AZx9S119Ti9wLP6LV +bTIgo8wxN8+hgWNrmgmp6W5Z5PGeuYYp5LrGWk4av26uZle8H29e8hlfOn8cLQ5c +z3z02GQXAXfDhbn+/QLl4GHF688InUDpK3aXMmD0ujEk9ZkdTNN6mRXqRia6OqRg +sjUUSyozC3sJiTOOzV2msEbRMGAJ4sWBwRJ07IzENVV55QaNlw6cKbWed3G/xFP6 +LYAWrK0ST+iX+mt3mfuMDhJa+oDfhHSY7YVowLtA9Eq6rdfhTn76NRgUKOenwPyQ +ZhOuadewLoEGnqZMF40iH6VRwPJmdhM/JwQDt+xnTWDIyQbZHp41W+S6Okq5swrY +UCNH6nSomRGzvDNnC5vqkwiq3AqIIRYxevNXZV+covLaGqRzO5VEwVN4GIqWhnxB +2ZvxTUMF7sDU9MApKZXLnk1ij4P4KYqyz4XbKtT8+sGRkFH8JKJ7YdX10nLjNDUO +pwLT0oMr83ooStM+E93BrRfX8QFE8jEYTKjY4jffpRqwS38MyK3iHoyf3ymkZohY +enTTfFgZ+myKhd+f8pqrd1R9eAdUgIU3bEMrfh8luJskrFIkmyP9nmdc+q2fEs9B +QMt+gSU68zOuTOxeCHGq5CeNez/HmpFY7TI5u0uIWAN52DFSoHXMscDe/k3uISOm +KhXgzZU0ohFVZPBBijx364MYDIxD43Mh7iMzpT1fIjlSZU1bBrwda76OxV9fDSeI +FDIlTk/4pGrAAPrAWRWIFLYS0gTUF+UjxZLkE14Sl5BVMJNMc72Jfu+p2p2VcqBb +vxM/GlYGzyXNlO7gwNNAM186FgNFMGyLnuaqPJ9hv8Adu6Fkpu6XLlSSI6NOoN2q +zeKV5M6qLpd62gtK8zZ5HQsgwXb6TQuCXFQORVWHp5ep2CltYRyIsUyGr/99WFf+ +Y6K5HgIdF8EwhAV8Sqc+6mvHYJGkGv8Yh6V3xBY3pCQBEKHW84Mrt5IBFUg73HXD +4f3cRjjNLdc0HVe/Bi4PqSekACodEfEX97wlo3pBxZyY6hD3wVOdSIRkWAcoSlnf +QgxAXMp0S1fnIF3JkW2p1wSqbeRwj1YnG7T704VbdCfKDL/xoCnLT4z9nkr/P/cs +CkhVfRhu/Jcifsu0pm4UhKdG3ZMCi8Y2Eq3tV3uB/OKtJdhRTMbpslJvKxY8hCvI +RhazVVhBHMN9syWS165wHMiu5CEQ/jJgeeG+fIc/fIxnkoxGczAywtJ0qgUgT3KH +C3QOSYuRVQQ8qz+6/+FlnmobkTcv6nNjrFEu4fxvIX0KBZVeQUl2iv0lAKs7T4+4 +MPmDXkrZufkNLxoN9bLo7sU8Vxgetouf5tCuf/sfv6yg89wqyuLZcQrdstcRs1nh +7ed0KR00DpcrMhfPlepj4eHcgF1ANwx/tNCPXLsmVSMudBfTfSQU+R+zL344jLIR +X7br040Skhc7vZpfo1v04XvaGUS7SXYEveVsxXic5uAOv+bLgOAhAj7pLIhKSRIr +G3PQRs8vVEHBrjPEVBkm759RoH+T3uBWKVJowx5ZAdX/s1VoQdBZwA8qUvv14vgL +moZby41tGpzgJibyMlH1iakGqUrKE6+pgdfY4MB41XnMtZ0uMlO8TtDyeZkhTJ2H +M7FaToTEXWZRb0RpM9h6fZRCrIyKH4fjVWpQSpTZgGyGY4MlQ6AOq7pGvUHCtGie +AwMKqFuDjIQktAFP6SDmJKcIXff+xW7NpiLyFX7KyBVzpJ8DmnYSOFGPDL5IXPPb +/NFs8Q9t4QspkmXWt3YqyoYc+po52IkN2U/HsASTM9lfwtzOjeoPM7JPt1hUdoFy +i/40h/FzNrjivafNPvTQPBLmAvSnb75jAIeDB7d5r5tMrij30pOVl2df0wVtSu5B +BZnkvm4edJuT2ECYV7r81qRO/E9qA/qdzSB9aGc2tBqQdCmpOJ8ukgCM/ygLgeRN +cUKH3OvK7nJliJV07hHc03btoctd9YOMLeDGQIV94OoC747D4U8SUuGh4PEHpiEx +im1U2sjKxfHJ+miidvjkXFmV+GDqRpIGK5An4ZK3n20CPp7A5dAZ32h0Lad83X/9 +oY9vylQFABuvrAULRwM/oWQ9RqIkv1uOP9t8pTc+ZNyQdMSzUS0JLyKooliiCpwE +aUFp8pMSx9obgJaPQnDeV1vQlfiWOOTUOgt60P98qwPOteKV+PNHBsADXvkDQb0a +wyve1hSHkONISGEsF5/+VOptGTXIKEXZt27fubRToxIpFEMp0uPca2WaL+n64esx +v9rWLO5DLp/byJ5vU9/UeRZj9hky/fQpTQkpo6XUzeIGjTL+SS451jMv3RH2Chj+ +9tvrHI8jptnGjmrDQkuj1pW97IWgvN0rOTd5Mrj3XW3HQeNRYUujuGb4iS40t314 +qcoVj5jxvIC1oihwyv4BGWzYWX6u0yHVuoQfVpms+HAoZj5SaM38YxtyFdjPLqhP +Vb/LBt+xGdXuOwq15C5ycv59U697n2IE+jVbfryB20FVE1tQIpf5Grr+qysqAz32 +1s79iEaQwv1HXp2MBTFDj8iWk6CMQuceXpbmir7BtdqEH1s7TahzJONuydQXFr33 +Ef5uu+HeuPF5AEPvGRwxkiQOLhLElVUVOE9b6jtdfBPH5tur9WTLgZZF0bxubgr6 +CATuyDZBgA2SgEIZpg302dayUcjoMPDnifsw6/32oYhJ2KElRX6SsS5Lnd2JLWoR +9UWfkme1q/t0NLxbHhwmAr3w/7QVQsGywqWR9rIGD7Kj+nSoEjtIktQJSmv3s8lb +v6UaChuBYTCFLJftZ7w5mjUQU2UoofLJnoCz/nMjFyOWk36TyQ8pBXjs8R/G6YXP +RHvyIUWLJRSa4FGwuV691m4/1vEEmjPyvYUs91r+mHTLFZl9y2f9kqH1NQ227kXR +zEwe/rOuEPynpgz0TidDLGx6DmwM7sgVwSmOjNtJq98XWVFPPhwWji+2Ux4cnJ3c +A+Q+kUgMPMHSwRsyBAeejdOUGQTUaoSLpHHCuV4yJUsmOGY4Ddo1T43Hcnyt1MSz +CvQWMSNGMMDnG0D4ekFMd4cgIv3TijltYrHfHxZXqo8VcogxC8JB8uTN9ESkBtfr +uN/MD75eZM7Nx7u9wqs7WJD2aoyAjko5lvKMKt/0n5FUEUuQVGH/edA78r+4CDme +Qfmp5JqTlKEdKnms3qxKarLyjPNK8F+sPQfhOs+4iD0ZXTCtMJOIMt9a0vSmnByk +tFzIGPk4tHL0E8sXYq45R2PEwMC4Sn+NB6xSzAruj98KxuEGPWT/swKdPkjzkeVR +NdUdcBPfd0+RwEUT9J8T+WG8mtiepuuH1StpCJYdlIgAyT3AQ9HyeA03+zW80GXQ +QLEMSboEcHAD2xUcioNsckWpKvpmxzmtS/iEmpgP9qX1h+q+E5rHA+q3pwegKAnk +cGe0ZIGgkS2v0bidF1NROlJPPPqITEpZPOefQZIoCKjVIL3jSXlLJDto16DecgfH +JPbKNNrsjUfPNtQbshAPEDPryS5mbwsaBsEj+jr1Z9wNwKhc6OXZFmJxCbevWWVW +cu8sy1x5qObKomf2S/IWhrD9uPp2XpPv3OAn9DbEPk3ouk1YKqi6KiPGrL8dP4Db +87RrtMJJ8BzlUarVwg8APKCIB79ELusOKTSVYltwj5BqQPLPL76hW+J4WWpmemGZ +WNYfdoBMACsAT2c1kDV5/D8nKBHAevArOt0lvEoDL1sA9wx/OIvdwMyV/82sX99R +e/VRDme0tYgSgPRkJVvSJ/3+1HATtZPMOoVTdaTSEjAkUspdqauksIHwyqfLtMsw +RmmQdZl/uZe9AQw7mtalzgkrmfS8tbgwFalfxsGsqKj4fjKEGF7jJZBMJbHj13BV +rpEaDB/IqCMXIOSebWknZ7ptyHNA8e2yp1pS5m+AZX67MGj5gk5DFns90+oJfPJl +OhOkJbNWUL5d2TKblq3EQK/3xXUEG6/1pVbgOf0R452+HELyivm+YDd+t05BImio +qhtmhbQSGGtlg9lg/Jbtszo7E4MkCnF6U1lTg1CrAyPKVDB5ueM0v9EhZug3eL38 +HnPbNYeYOpHkRiZ9trlgR6wgE7AoabDWpvk0HHsJgiyXiyziUDxffBAr0YreIVE1 +hYTYUtUh6cSfK6pGk6lZj9ss4WgQ3F178KgbCzgkt5+qFjgpu67RjeHg4B72qc5Y +Pm/FoqmkBuNXkGA0T0jWpUxoLlqISYDT8hd9HZQ+f4uYaR51q8kd++nVwXB72p5M +hGgYylwYDrZyM9m5ZNmw2lK86zOPdxnxaK0ZlbgpJvfs8DHNXxb0Ua08oJFq62BJ +npZv0pduunFRMEEdkvUVnE24tX5jCAgD5o79ptAWCbDisSsEqq3r45SXageSfzpU +KgvueuSzO5u2mtTmHnAjYlNoDKkZxfgjG6evkkYWPLJISOp6LCfEPTmG4eegbu2E +BcwJFdF0l7B6Txbdig7y58GWIN/JCFdKLxMIowKtvNPPlB4/qZB+okuRAnogDQpC +GtDcyGl6GorqT1pZHGAIJ/CYnRgVRCVWe/vUgg/ArFAy/Us/DzmP4B1gkSN0c62O +l6kRj/CK8XsVcpGB1O82zj04qlW4WwTB0RYE2tvTumPhxxl+/ie/KVsSeoR2SCyh +QnWymvt8jJFh+6boJzIQSqQ2nNKwoDfsRTBrLvc6KHnkEGF9dIe5x1i4OHf9OVAV +NfCKvTs7gmzdusP5Q7n+hIAeIDZz0dUsPPqp53y+l5zLG4IDPQq8prhjLHaM3i1Q +wRl1Fn/VRLF3NyR2ecxZ/Y8qupjQZ7a7pAVJmFiIBPTzJDyKD16OyxQBbOGJCGLJ +9O+L3oQiV6hsk/QorLgghhM237pPguUWhsPx/VF+9BMhsaNYvnEdsOdwd5DTWQed +C3/2gwo4zW4KBOmmCV5s+0DKuWGWCGMf2m/ZrVCOb9SUf3KJ5uJ1Nk6IBnL8w8hf +QDLU+2+ZAc0UGjeAFT9M0Ga5Ry9ggtSNPgwDHj7kR20bYb/7Sdnrma3XYWIC8e/n +d0qCo+6f/u4aupi5P3WxVIMlYAhuRBPErK49nTAszgBYp93pUWQKjJ9tYB0PDR1A +j0weeSgdHMcqh4JIPavhxg8izYHw/YOZlyQTPeIEtmO8pDprXvFYH5okQuPOnUub +yYai9JHpdeMcJkoOCFiiNNm8Yexq+zZk5fRsWP6394+rEhcaGFRsk7nVbi0AAHPo +G6PHI8aG2Ob6Zyhx+T1cXHWorMXF2wNTJDaOKt6tO6vOaupOJx3LuNeFh7tjwvUx +KCMovdjeiOvhKllwLvha4N8+Nsqec59JJyYBjGV+3fy4thuFMSBMiUR2Wj/Qmj9Q +ViMOHhiTLtZ26oYa+ubWNT3DKF10XJ+spLxkDcTkR7tBGkFIui9hQHgPCTqUAk8F +SXTEeuSPK8JCFm/+AjGysJl6gv1QqlHJeXpd8IsaGo/KvCwHMFYPBoI+vAU7LCrw +tTtl3jowtecMvBNBU7/KDvw13VU8E83FW/4p52bdxLFBBJpGQkMHvwZ0yO4aaVmX +BHK3VatumIaSTEVpuR/f4pS1+uIhm3Nh1LnUiR7gR7xvPr1QjtipdgChmHNsm4Hj +sLCD4ocrQnVsTHmHu0YVNaJUqZEchs6l4UpQXWFt9jHyoMtxbcf89SzizOpFXDf+ +Fcw+/WmtMbt+AumcwTVJYWtXNQf26TAkN88RQOuKLiZCj+yuLmjty54J9B7x1FIL +WrZYii97vgezhZLna2DJY9JGhhIlJhmlyOcl3VPYoroIOQdwFUUuISdJi+h9X2qr +TxF0yWB8JPZiyP7fK9DPYvhGyNsVobsOXQnwbzhOq+C/mqhqP27r5HWhmUuBObNF +0CQGkE4GYt/Mtn0hgvdZiZUwsOOJXqmq1jVof3cIjXXQuYv7EukFMXI5qWcnSFna +FPUizAUGNppulR6RnUp0A3+YAXuYWpBZdw8LBaL5tl+5omssOuMmfDskpxU+9tyQ +43j7vk3Zr3JX4k7UsxQcpAJKm4lCYYNapgTjTSkqkGi52EMWt2oPx90xLkkoxuAe +VIlNQlbHj1nbVCd3MrEtGKbWCN479HI+GBEx7JVOkeS5d50HJAUbyeMkSUWnwSSg +lyZL1jtZA4qHJpZBC3nubwbtQF5aAJJkTaDBwxO8B6YnQX+DbMVGwYTgZLlgWZV+ +sjuUwDIwEJclp7cd0ZD9lWc6uCnOPbddyxuvH3Hs8MeN6GvNCY+d+bc/vqDa0yuS +UflWw52Lj1iZ/Ni/7tlToqoaXADyLo7YkXFKDcfX+hUxEGP3/zwbcqrPbVDInC5L +N0zk+Ta/0ilEHUd/yFVsn7akiH3jQ6aEF6cp7q8Kt/RLfZvQ7fu5cz2BJ+xFTF96 +hAJIGSG83kWPNd8Nt9a1BiVkX/dCqnEYLhe7ioEcCIk3iez2MMOqnyQvJkdzqYId +SaX/jEsjUkxf6iiupe9/KBXkkseW81uMtCULlQkRslGCjKnBmyUPyROqp5lQrVB2 +7qHlo/GP9xaU3Bpkasb5ThqeCTEjQ/b6oMqIwQUghbRIG4RqsQatfk3TYvQi5KVe +tPlrK1kCBGi+5PRlHfbt0IY6cGc9snnZtdIPCYAphqMBhh61LswIHu9ubtWiqWKx +QwQKd/M1QqWVt3oIlJgNIzZjgOP9sH2jXrqvFbrfSnal4zjhPGUGg7os483VAZNt +baPALkWCMNAc/mOxWC8mZlKPOIiK2MyBpbtytNxfY3HPY5YMg+S4USt6JuBGPuBh +pr4Txfu0BmysHioOyX8Dt07xa43AbpymtvCm65BdBgDND8oI66aw3ia1dhlr1Hxt +L827WUt9Dpq1u6KQPp3g350oV9BaPSYo1AXRJvO0beuYLnmwk4igbUml4waRfOmk +uS5jHgE8cMr8J+u3w/E/5pHNg3+hOVm+XU2/Lk8EKU/Jb8IEfirE42XUdrlKnuIy +6oqJAhtqT6w5S+pPSEfidjQIWNQxPy+g/HKJuoGfofp1RbVISrWVjc6TKfGNpMbB +Ueb3/nLFR48slb6uR6JXuSSdBWuLFty9VZPZLk44o8P/+m9Je4V6dxTvhzRqyDUY +hY1Y1IgWdZNd56FHDqGYQ17gTikO3BZQOeVlXGe+W5zO09AqGDiodNViJumNF2QV +uz/KXuMUu7zXO/d5CJ7FOTjXSOnVJIqUp3agPFSsxCgqwAmBN0ocIMijLRVK74aU +akcOerFuxzca6BvFXjaVQNRsoT8xSwafcAZDyleJZVStq/PZ+N1bcf0e+pZN+wfP +fGcnhhJJPtZXGtjU2XcPNUgv9KTV7ms8TmBDGhgOZDnPkoaElfxBcdMJC5yuI1Pl +9JHDSJVepgH2FXwXfEf184uzVH+LC2zH8x+1X5/GwchUyFIG7DT+qtIyQhxRFru6 +fPTqET/BLDXFbxY3m/H3UjgBTzSg32WGZFLtYyKqUXwXsKpcii8qI664t47kMVWE +jBqWpLzDA7Nk54lJc7Rou6fQWk3ZueCAFWq/KwtRpx+5LSA3OnQsEbPRgjhyFmht +bakvwMdjGzhIj0hr75PpMkRyva7cbEJFJKsbI9nHOnF65EdtvsaxNL2PBCIbkQFJ +AykOFwDXhyy03Ge9Wn20x1mLrekzjLLKH3xq0OQ1Q6y1ueBxeUQi4mfJcPqfl5Il +sCL8WL+KpP/wjF5NemsHKuVY1N/0kX4gLU2xifg4PXrpAEkiheZ1zwIjj6H76fXn +P5DX9Tpw+lW6udb7ICgreSRL2Y1RfRkRtzpuial01F5k4/Sd2nHDjoE+93052tge +MRK9lizU0DxrNGSVBs1QzVC5grX8kUE0ouyLZSLPaO8IX0Tu1dwUHE19sTI5wLT2 +Yg6AYgBQNs0dg47YBDxjoyHkv5gDFtFJc9PpVXWM21SIy9f9HonxeAmmUoo2DmV4 +j6rdLe4NW0HLcFGqX/+F05LFJxLH2IZysHo7UOUYyE7XAh7ISl1bXGEG0G7Q4PvI +B0qOKfnUGFVcFjkcpfFOiTlF4Ss8FqvU7UvF9kVB7YULMJfFza/+GyFofkZWoDWd +DEdMRbGCyd06gFinmrtIVqSfOt41ff/RTkm6gMMusQGXMyoacJuHvHFn6pbmaM/v +Bt8u3j/tyuS3S3YFlSZEBCYtvWyaANjzRaEv8Yrkc2jApAXjB4Cl4y1E0GXvAllm +rLbI1eDuwUavYGl+Hqwew8f48oYOSvCmRJMhgX9hFCdoyYLFXT+Qmu1BS5/UlckV +iaz70loM1q6ywFDBq3JsWgwr/m//+SSG72Og7Lx4XdfwdX3iVocBSkdLTw4A5+V+ +/7ntQIwF3syU/sSlqmkTGmlnrYPZL+Ju7jZCzuL0PkvOZDuesNDXQN2Sr2y1cYF4 +LvklFFLHjd3HVPI5Cq7AWK16WwJXmQk3+Qk4DTSxx6AA0f391/TsTXGSTyYO2Nxw +2Pj5LPZi1gElPL8EBWeSjrjxu7nRE6GAkV+y4TCiCbHqqtNgmXNdipibhRr/wIZ9 +PYloO2jzuUpojS0bWqEhyl10E1xgfvXI9J62V6OixLpvCM40NuPFAabvhWGRyxoz +VdljYhctvxGIqQncSYW255V1K5UqdHgH+0GPlWtZuNAhc5r7kftsFk1Dm/UZweC8 +0zC1TXNCHPt3cp5usXeokLzwfz8fMlKp/hDt1dcPB6sJiSXmjvDInKfWFUYPOWre +Yzce15gxJTlp0SOH4U/bRemgdWVrV17QCOLDKxtxr+JQSE9sohUgnANv+GRNgGRv +Fr+gsf+lUW/CnQrKLuwOeb5X4U+uDz0uyr/SlIZ/wkhMmgClfzi3XjWqCeik1Fyn +Gb3W/7gzNKFSbRkW5K1sav/MyK4bLPtwo5TkKsaRx103wyWb8t5KpiRctgfvXjGW +ei/5bBoTDCj4NBzR8JTqYjLZXNZldP/OgSSGaITNhGffi5kAxLHrq0r2ZM1/+Eq7 +WhnD50QvmaQJpTirgZIUSrTuMgStmYmmUs8mfWUeyEeldvySxQan6GcYS+NOdn3V +X4C60Jp6usudLkxPIZZTB87st2ZGGX0Sd/F0vXHapJGNC7JBoY4wqdpZMr0NzuB5 +7thZgKRB2GinSPn3jXCXiUjJZXH9zi0Z/mFYfHLS+k44y8ciUcYye2E2MnX2ToXD +43RuvInO6nQvH8udpH7LlVB4973hky3W0R/typkvQyQU/JoXsWHuj6l4/Yu6rj6M +DlFlpTqdSxPd0Ap7TifuNSXSyBmHOViwj3VwG+o/2nM4CGZDZs4knonW2Clrbbqe +O0Z1amdUv2hHV3X6G2A8ecRDTDxR1H6mS3mc72XjWmQPD7P4Mb1MkufJcod5pGu5 +6Ny7XRtsZ+9vEv6iS9yYqDxhj4uNQ766OFsjkVN71TUguXWPmQrfO69dxLyO3UwY +pAGdCxx3Cbm8bxaRx4OHzIBj8JygxdlWY6O4W/YECRJq+9iPe9I6dFjRcA1ZMVXk +7xUrokcfjE4WwZ8Fs4SseJmqDvqUeNwA88i0EKI7CpUkiu+zXgke1lDi4pygJ8Ot +Qbew2aeNoNky8LkMOMLnW8wG6dHWlfDtxq3TN1ICYP8hUIGIpgPVOK0OmNtYOM2l +TBjZwoZ0AdvXrQp4Bh3cabnXMKxawvbxZd/IIy3eAvk0Thf+gFjNJfbH5YMDO9bL +M+qDy5cAF0NKQzrZ6vOsGhXG0AkPUKaczlHJbyclodkUgb788LknbdC/655w4AeV +HqvPeweabaoTy4qtVBUvbru0aaeLIHWDjsA5s4uro1AVyDQGMX1mb2lzGH8A6L3w +wtRk3AS9sP6rQQzI8oKtBBm3MX6UD1pkcv80jdeXSRfwUZUibIKgfBLuFG9BFM/i +XWDJUsOfu/y3U6/y+fNQMZ5NNlqnqdJ4lWgKeLNEUAnNeRVYjoOezGQigyW6ZdTf +AwCZLcNe6YpM3OM4TSJ/XBEdkUIt3jvnJHNgbEE3xQVrFuzW7PLefUiHiQRwzjNd +HZ6VtkJZGIkZs4z6MljIjtNR4utcADG6ra2auJP99MOgRJdjQe07urg+gUov+KQk +0ibTJZCnKCINGJqx8hvD+lpySUAwVrWh1tfVvFcVWvrAOT5aoNEYL30nuzO4tNdh +OmALh1Tp14bcQWBoNhGzrle0WKxkbPRarLKzcynlr1PqEF/DS9Q6RejqP3sxoSxI +nEn4eiSVdM8XRpvcxnqp0OOv1sYMuzByi3gW9V0nwVsZXUhR6PgNCn4tc8n0VQZj +YyBPospK1LF+BbhJCe+Ql0ohl6mnuVMYW/SCCsQXsd70PfUFXhgXoN1AAVdA2b2a +egFIDSpU8r82C7g0ElspkS7aAEYcjB/zE7Y1X5so6XO1BxGs/xZQWq9ig7F+thul +5FlqUOKUXHAke1NBI9lUijfv9u4aeQpy+hhuSsGH1Ny/PeQFbpN1T5bG/quuNTXi +omLXkpjs3+eveUwdis7u7JNm+S4+1zgULbFrn4hM9izkDoBILx4IKWHd75X5c8o5 +dTTXxY/BstVtXeB5s6Mh2fobwR6VksCWOZV3Lic6pgTo8AhWywMYWV3mYtc2tuvf +6QDHdKhladV52zSBq3qynTTRZzlcL2tOZIQ8q2/mkPZHYZ31dUkuzsYQYQOQ4K1x +BnbH0ecs424evq9zNswAMC0ObAJLgou93eAJKRp4KoB2e/iyinf4LRxpNJWG2Vx9 +TA+43Q4ftVdWjX7TmCAZ7JtapaZ/N5J9N8z9hldvYMeBRHKGOJ/C8y6mCTHrueDn +xWIrgoSVesEMsd0WH4NVTrR/GZ0O8f4dPB2nxZV4oKZGudGJC59GET9nXha4aur2 +ldVQDqSkRoAHzJJQctr/eXtEMov2aLwFWeBA/T2fqzXcFRk6F59mUGalgc659zq8 +AlhoQX6JoAaOnHGuuCWX0HFrQJXZAt9+gC7OvGIVQYFCD+QQlV1tRRLOF6of+Elv +zmYO0pUSc1z4NklvILmEbqoJpTuZwoOYtFt0GE76KuwdNVruJ4i0AJw7PdHnBD73 +Ej5Ncn0ZXtRiEtckiGEDsLMtoMH1ryKSEnLVhyHuiS19L5xhGESM/THffqf0/+pa +vzoyVy9uGh5sLDs8b+DDhpusaN3EpzYdzWjdHCQ7w/ZGVnQ1gV5FbQvISouuR1za +hQBDyYqK6B9HFDWr9PPiNiza7Otgk+qt2g2dSbjnxPA6ea+hRT3cWeW7EP2cEVyV +5RFVyxe8J+Pn/TT2wYKMuYhbC3Xiab+3OeKZECdBoFD8FfdLxgUfsxxzVuPQW0L+ +7zot4M0XDEPtKp0RhfRzleegLjXjr3t4BWZY653NcNQpiIX6ipvKac863UWd8C6f +iNs/Y8qzuA0+rLMLzZ6gekrF6WkVUt0Dc5FEWN3StwSOnCt+LlEysZUNDi3BSgRX +tXqxlCbcsnjw8mrmA1G11r9t/6kY6+jCy4mkvO2uimYKqVBh5iCCAbCcXPcdt3q2 +icuLW3Di+oMqEYXAIlQVFHqdeiQOOQpi3/vFvlE3NXbcCfYFl2OhrGbMOVfMpfwJ +1v/dis1wXv2Wh/LX0sG4A5BzmW/NZtEmVZMQXXakQz38JcCKNZeXxmXqPAEoL3CC +Qv8X57k/aoxjJanLIJ3dKU6NlveyzrWCWNK7dANuBiHH5wNU66FW6Ej9fUPY0PyA +aQNCqCuImMlFh4mit57O0/RJgds15Nmi9tVz4fnzEM9lA5EZvxkuhWR9evtGX7Ls +t888OCt15Cb98cb6Kf0xZg43jHDpCeqGDOh0fs9tpdgFSyd/MS+6W9JZfLXW0Xc/ +LXP2rK9LOnYEJxRcaeozm45qRex2SgTLHO/4jiEyfMLH6GcCt0jw9+vcRj0SnKc3 +hFMh9ZDjSOrwo3gcKF7qDSVcbRab4yCS9YvlAvDlpjIid4CX84W5AO8/DtKwxxbQ +kOBL/OwOZM+THP/ri4w4lOkUNrkBqdUj5k/PbtYNB23fvRSJ2aj/zIWCIMXeTdrK +STFmi1J4JolN6ZsbxLLacbteiHTZMoYcLkWkaEU4fHByXr9xT3s6XUrDgLblti7K +rF8ylMOSeHuRF31QcGCNc5bn0YQK8XasbtJ9t6XMk8bwGO2hVF1odqJxjZjMmMbj +nOVAtTQ6eXnyB6M7ZUPCYV0emEvJr617NPOwWLD6xD5G5L2zgVKVhF+jPBmBiIGR +vgtfI0fp2lpN0ZbOHRoZyPfxBuAMlnKVL/bdPLX57I6+Yhy6WYmrclpx5Wk3LqPn +aS1QnZqfuRNtuINb5G+lFP6/ken7pjKuQYiq28sBr42AHdPjI9V142Z9/BXNdpRe +yHmSnXifmL/s4TgWXXYYyKpOkvusfS6LuRbd7tQu1IOSbsKEbgutMAn8k5fm2aoc +jmcewAMaQbgdErKkW3YUiqGkbQ2PQ+bGJVjvK/aIxkxV2h2SyHIYAPNDSkVQTcfD +xqd7cf5VFvxk96dopyL3e4RSC3YzdM5lJl3skwwz0JXDmRnOFtHtENN/UKmSSlVP +kHThL9qv12eYhBdqJoG6AftsmhaecwtPnUEfU89p21zSiOaC1PsFGlKBnoqsbr2/ +ygzksJGQOTRXzkcxUvoXKe87jF/+JxB0lGk7pzxapQmjA3Xt/jUrWPZaUYxCR3+K +UfqdZVTCAn9StYaSd8FUCmyOY27ErlIMspfwEsjyADfpryHPJFu/siMnhM57TpbH +XiQpIIo4WZllbBgfa+nTQyn/PVLJ56G4dU2Ne68FekyaC6cEg6r5FL0ZU4bntjaw +NgYM3DRtRIfljmTPGieTJXfIopevU0tFvSECqMo5t1Md1zAyqbj1nW8m+bbZ2r5Z +rs2HEef8gROQHyDvytk5BxvJbS5lmydeO1ZKBu/ZeMALK+gxa1pnXh0usv2pBzCp +CnljmhfYGUdiB8XAjteRSN6rZnEqUraobEgJtJVi6Gkn5u6KgLpLjzYiCxyhbrx0 +I+Cmu57MrPy27Miu3HEhaPWYJcN13Jz0LfD/kEr0SuZFQ6vFDfrkwnPxO8AtEpBo +KOnSlz/hwhhIsz9rJf9HvAssbGpcXXc+l2vUWhhA4ry0H6L9iJet4y6pmOv72J6z +RN9f9p5Q4wrueNgTvrLoM1+rjI/nhfCMtTUfUFtTPIWbdf1AQCjL+oei60EcW7Nm +GrdCV4vfq1BLEMN3RiodqupRA94oZxeMuRkyAowjmtBN082mYxmspaJ6bDtFVXIw +hjGiSOjJci6Dulp5yA4notvdW0j3/vGfJr8AD8seZYjMF1jgAbvOk9Oatq8iG/Fw +hfLZyJK2b1KXXiK4vkRf8G/UavSjk5k+3VIWN/4NFIdS7XfjEUxmcbcmtK7EvHEc +xWz1E9DGv2n2/DSfD5HsooeZ3yPaPHbMvqx0tkgQD2n08ogwJlW4qoLhSj5w+cSI +7Y2e/QXzXiJlh/QhRGtPHzuZ0+Xx15RLw7nDGzvf6nHrI9+msCIgix/1hockPXvg +j3Ziwg6+KbQ0zY8bT9g4eLUHPv1zEufDfwnJZ+yU/C/w9QDZzZTjAmD3ubCliM+5 +jUWKViMtilZVoICTipbTWMblnI7mlzay2G/mZxVJIKCA/IXukixO9txdT/jEeswI +J0eTkVizSwskCAYhMW7MqVGJKspoKbXKwiCqvS0I54RXzpEhWH646UzIJ6BB3Qbv +UaC/oUiu/zd0fmow60IivLqZq8tvbI/b1DNX8h5LZ5BEcy36cWbS806G+ZBhz/fF +W6tTwgNRIbZaO8KeWnvO4+PiIlAtsO3hzYrgDtL409BD4T0ujJHMcY5ODdVyO1/a +wTMvyAEaIx+leuqYoiW8I/+M/JSoZqZjzwjpsQ0yyw6gayNcnpN5e5ioXUpzlp86 +80OqgBBGBtm/Ciq2Ti6q7CTRTA6ADxKytIa+SwR7vynydIdvmhqZUafOWBs5sx4J +pJfLwHjw8aJzSbbsU4javg2S+7NXH/3MWytVNUibEmLixs7zIg/xuZ6z4wqrtOUE +YqInX/7I7Tgm8PZYBIrnXTIMoGv20QO+WtrP6GBYiy/k1eAJa/b/STA+w/ILm7qa +uGX5OqJkMD0+ALzgIeqkCKVcur/71+KX88iE0q2nMWCuXEnVOkL/5+o//OAUDbfU +Lr4gr9JlaMrULTNLcrhm74WysGB7nuvhXBhUuE96e0QeUW3M8ScPHGR2lkuGBb6K +ql4XRyfJI8ELn4yY/lXh9KXMWQtrvPZQ5lGkjIfw5HAMZCmRGJLg0jU9/fHV3H2P +MG0g03oOoB9siEWhzzTVhfzt3Ng6T1BPsgaC8fNp+6Bfl7BzNTL9Z14e6mrMHV4e +XGvclEgzx5NXSdoEpd3U/4mQ/THfNKfGsRJGHOkuPAL2oO7ayT0CVL46FVHkAtwu +xSV2h7Ubhk2ywCmCHQ1ivM1ARn28cF/eRwjjt01GUpngRUGULOd8qZ4JQWjscSEY +RZphGe3JQkYjdHjxZvm3DHfbqtRLyBB7eZMYRL7HEicO2B0vMAX0LKMzHJyVyX0g +FUVCI0WguN8wJbB0tS+76aABh/L2G3wn5tI0/djJfMWC5yqzL5vPfmtFm6Epr1S0 +93E3UskIj55ukTAj2jaim91bwRpxumiTzkn+PpIKXmHbQzw4PQAqXQ++TzfoYzts +ct9mZsLf5J41mNQcQ9GDXMMe/0pjRrtDmAVv/3elOp+blKtPpa94I7uiylak3t6/ +QlLjM9HlxYev/4wYKSVbmhFQmim10mXkML5/SxykCo+3CeqNm0jk8yUGTaLkILyF +tZgJnucw9apBbggLf9WwvefB/kd7csis/TK3tUhFTjJbtaQG6wqbqR8/0oEe1+oe +qTMqJzGc+UcwKkSs6rUrptoI0ZIogRGpKlbB8DqvFR8xZkSm9eG5btEs8C2shHIF +O469r2k9X0psW8FDk9F94kwNwMquy8u5h2CWM3Y1/FLwy9kWegGhGovmhdhRQgZn +oUZQAYKth+sHluTNl8/oeFCgEpOS+Z2b8jk33pL/X75EiMvzwuMMDqySEMnl9xQC +REVfcJhhNRwNso0S18LgvBL/KyvBwy8UEhSZaQuF2VH0MDs5Yi4jqvhEEJDG23gD +u6olSFKWBph6X3ym9EWp6p4R7/7A/75V3XN9reGJ0HBdJK8/Oif89pm4dQeDJV1I +7OF4G36AnXWLMuSp9GBVPPopyXWPGXxUfx3XFae8c8n5YHNfkzadcF2yAizF2CYL +VzFdDfWDXdCJX8PY9FrDjSpktmuNGFrKMziqZ3A1FZYAmlgDftFEh+wxRkIZ99i4 +WU1F0dJSSGGjyRwHliBGa+jQpqcL7VRrNVO6GrhsoXSbaJ20GJgvE1mxOvz1OSCM +XlrHQ/dXci5gCXl2I7TlhwABt9Pm7Ag5ZpdtgH16ja33oNZx8FqMLd2FV8OG6J0Q +v2vnWtDVq5dx5W8SGYGKzsPyIZf1v6P1/t0h1nCGGIo91kHUPj4AR44SgsyUjEXd +I5tlnxtShrbxLwPCYeQtC0xAMdi6FpuYbK+Er3/kA3I7jugpWIAtzYeoNlSFzM2g +ePRMhww7SeccE0I67ZgbNtlmYBgK5A1DqYYC3u0YPt4HZ4jXnk1rriyjeqjMMopO +QbthhxvoIJzJ/jAc3wvEYbQ6KM6wKiarrh6NTmT3Mfq2xByes6aKGImLCBLzcPZh +85oPmTIPX/h/qjfyA8jIqDE2D98e6XM1dQGcRx9hYMdVjht097xYD1TydVXaI7KJ +VGUnFZt1hBdeFmdfvzZ2V8DSshKIYANheJ9zyZ7jFD2AXgk8TPzhy/+IVchsYZ7r +7qhGvBg833aptnI9uGHJdFG4V3NxkvrrhZxtciUlDL9enKdND9s+jMS6OoSmh14A +Z4JM/HyOkZYkJsIQIkKNFteU1JLqizJoV9YH1Q5+jXkO9FSfOzqVML+BtY4ZjHUu +uUvC0ZiWZl6uJU3ihS5yh5aw645YGNnWYjYkUwCMWX7VLpm7CpnAjX3OObL6tKGV +w6DVmZ9+ARbHT2lHF1iujLC/z8acHKS+CCYFbjEWdHoOlCOR+z6qjV4fW1ZnCodD +TfxIhyLMjzKVlSDz8Bc61Umyl0mXB00Gx72UH/spMAnydL0Zih04xygOmeEikO0C +o2OpeMYsA9AUB2r+KgNwnVMOF7OM1Rb6WmSe2YFeT0SAXl45lIVPCgHRiITCI2OR +dpxpKe2aIM5xh9ww3lm8ECGcwYcMLITrK6ieSrzLuUr04cviAd+s62VYbpbo+Ttg ++TY6vXUF09ohglcZDcmkpnIAUBC6h5Tj1+8nsR/uGHN2vdUCI0c0QfL88h5xNpMl +RvNPJpzfNefZ8fmopnr1sT3zQO3SXKPdv+PE14QMFuAikcSNggyk07ZSTBeEcORs +ejM0NuUSJ4tlOShIPmbVPOiTYqOkD/OOG+uwoL6A+dVGeyzRfpD6Mu0hmuuwCCh6 +9cphhy8l5Q8hIw5zg/O9jVLYl6ahZE/bgFARvui7vDRUwFF48oKqKtYBpdPGiBn1 +hkp5IXd6F1UOvibBj4prVPWEIi07iZg8X2Bj5UyMA3IA96ixlOZHbn+aBeigIn7i +h9kjEFJFSh1RzVV2kfROvMoOcBcnYT6jv+g3eAxRXEdSTjogsHQjC+Ylnmzg0HYf +qOhuiovhtnbIFXWoncSr8kb/pXQAyPbLdxYqZtH1bt0Q7fTSHHGLKd+qIEUY7Vl8 +1ScXSFGAtZmh3BBZZt422YmYc7eo4O53Qg8wEu139bvuG/dKkTmspNUDZMqfTiLj +YTt58wJ9as8r0YtSlSU1Mz1WG4NyTd6F5YXCiKh1aLmqxUdRiET3cfwC0XjFpEkW +OxqcOsk+O18xOiQ/EedYWyldwXaGeSvt7YJ/M08KW5C/LVTZYCIcoWbEYJLUph1y +CrH0KHvxwOX3UdHG4b6yEeSf8V6oqTfcNg/U1Gs5i6/Hm9bWBWO+eU6P6wR1MYDb +570sLa5t+eW+b1AEkY4teBX1N1H4iCLEBghhgLxU/Xr6Q6k8wINkC9yyUFN/WNYg +riWmczMyz//7n93u5jOZ1Xz0yQJpPV+gSNnaeOBtbcxS/2QXsxuMPuaxO82p7F2Y +Y2AZ1zEdJu/Yw80QZo5ZUPUjL5+pg2cB7DCFcojmwAacBRSQBtu/75qxBkBOehAl +zlobXnKT92KpSLMTKv8zvwsNihbFCEpOB0ZkAsEoMv65VMMhljfR1jvd28MXxD+j +3o/yhQDVvLZxb/VyjuyNc+GSfe4v7okaqMaVzKphnUq4iOuZ4P5gQJJwwfpQn6zw +Qi26AyoNZDGFRbY41WDUX4woNw+bxOu35fawZOofxVvs52wz5ZYen321mmcw3GdO +KHT7OTAQPIK7P5t/zJj08g+IG+/wRUhXYTo7K7wSpcvcRK/TUNJ4KNK90G9IB6X/ +9JXUQOqB4m35Rw95eEarzKLs1IOdJLzvEczy8octq0/3/db1U4Wca3JTzd2Y4LAD +E2OSfxLX8/Qai9Xl6A7FdICXiOGbS2V2pdI7f0qpJveejTWbzdbU0flojWfGWMK4 +r6afa3AgTNAa8w1rTJI+332Ltjyiz4pEktImC0DPTg1yp5Ybze+kN6oju1Z495Vb +01Hi7bNUSPNbRG5LNpAGDF3Mhuw8yxEPcEjOsFAqt1dW56KU5Y+kvrpsupKugBLW +LkYUh6TddC29CiI/1mhltyY2kCtxoO5lybZyhKmlxQkDs7/iAJE9V4WXwBlrguWu +GsDVvNptGfkT4cQfx9hG2rwwrEBqIIxy7m0SK8pJYXMcUUU1JLlOqXAbquDtVATf +N2qMIpXukzWODHA1kpeqNGzQesjxKTFIgUNLQ0JX6YC65trFV33QIWd/jyuLWU7N +pnBSOwQeLC4jcw26UmbZzh1E2mwi5b8FONoOEt19JZs1BSd3itZoKOFOLImcDnBG +GKG0q7FMH/KMAr7U9ClMeB2mh3xqg5glS6mVPiyiwqrgb83iJJu3wqx6HIrmLJcw +RJ2b0TlmilbPDIs7agVcJnahH7Qh/vyFSleMg0m+4VDDR9UPLCL+gRzl6zSbi1Nw +JuPLZ6qKC3bIX88PCdgmTtdiq8jVvHDjPTQFfR8LAKmZ6XqzBKmePEaHdETWbXpy +/2Fwhdjo8r4KYBmhEfkQYoea0yciuBOFEIMjjzlFwLr5Scydug8MVuNjpF5394MS +OeE+55Qt5rcsQeKguQcbRFrG6eQKIStfL6/bb77mD5j9aky2VMuKapT/E5Fy1yV6 +8ZMxl34jAL/w9OWSv5yjl+uQmxp2yofanvXCHtmmQEIBpy9GLw62HFqihyQnzC10 +q4B1oPn57w9ibbuGZRYljUAbvdsYcsWqbOklipYys7OmIPw6aqrPvsVjm434YdWX +hZjSieJ0Xr8DlKeS97rlXUvY9/ic8tTWDh7C2LKzZ3F0jL5UH2KPqxQ9MAbfQ7H+ +ORe7nYVB/tFeZwVtMDj4GzmSUeclHTyg2pQ5sEg54p6p5lrTfGo0gAys6WkW9rUo +NSg58haZYRDW2bBU5CzdXmnH/Rh9o4mdwxLvwya7ZZvJ7ECfg0iSoDrVf0PR/lRP ++2ZB2HIFnllUZDtu2jkIA2l0Lv6t89Hd491m6lE2hqbsDS6MY5jYPYNQASd04kM8 +w8iJrMKiqpAOmQ03E73t6WLrYFQg3P7zOzKY2DNgnQ9EutXnMQJSA47lvEgZ6YiM +XkEt+r116LoSBskU3VfCjE8uNAfGtW12Ja2SW5J3uyZFNHFtI200fKkvIY/YZpIb +IFXxfoRp+qtMjOSFgPDOcVGcOPkwb5GNdplxgmUvQpvDHka7UWDo5GxvUAaUAhwE +Z0T3qdt2j6VXrNcsQ/MWHG1w+cwik2jT/OzUwRs3sO+GIZ+D3YXeJ7i5njDuO2g6 +pMZCWROACs/60ECrB0VVMb/54tL3nkQioqrJgfTzazt9Wy8vuzE4ZRI5j3bZj8gu ++aFoPgCkn6jg2kMKdC5wObMaWw/upYgHuDwDl2uqAB8mkj5C1q7utlRX4+aFy3gr +dehOleVWdG/C40J0a3iJ7XfemTalIoJ5whOC2E9jXSV7PoCYVeiWZY+kvUzNLqgA +ZylmaHqjjZedjPW2DGxaOqhidgmH2ShBD6X8iDJoVNqVz7f4niUo1+mNwYG68B4q +6sNTYPbUKd6KF13rJbBMJzF/3v0zZs4oi70w7d0vr+t+lV1gVY+6bf50GgeIKoMS +gq42K+nq6fDYPmdKFswLODz1eeAfzkl5fIFlDNdfAw8LQpaDHN74SKOUbrbCoL+P +FmnkhCzod2oU/lB4GEDvRap9Rsvy4KWbOUnf6IGSFjvetGeCxE3IGeTmyNKLJgrY +gcxyq0rSHDfZUt6geEZcY9ydAP2/RWiPUkMALpEFa2cf1Bs0mJ2LZQqB/EV3oj3W +DOvSvgk0p0ayPWGBmCNaX941yHkFsW96+68twBbtEMLmWf1Z7fYLFWwwHAIT9jVs +cyV7fMjx4JFVWCmFOwoSjT4sSM4Txbph+EiF2JfjHUKzXp+hmgXjNItEVNKLZCRp +z6+sf/nyARxgc1OzlNlwpG4ZsOkwc+HOksyr5lRUuCQqd88lSvePHwwHjZ9ODjJL +XZ2wdJZF3KtLOK1kLR6pFdF/5osMm1Rq3XBi75JICeufgjrUHgEMwr8aOcEGUat7 +NeDPE7akTO+uiat2F4sca7zwgxusRe/BpHEbHzq0sq1/lAky6g/0oKYI+eeVbU7H +M0e+QXYpH9pbDhC2jyvjrEyELwQPG3qRneRhgfx6yNtN7avUUTmtzD9bLskwghwd +ysNq9Fd79khXKA0TfywS3u1T7qG1Agkh0IwsoHJkTi2byrEaGf9jWNTY8s/Z8Krj +vZg3u12CL3dyQg5hAAtYAip8u/4g48NMyvMMfUu+6uqCZeLu5uN3MLYegO3ubsTw +5rxpvI2d3o1yuTdRIXRSO7CEbCC8F7WaDhLrS/vbk9xQU5dwMpMW04G834A3epf2 +gWdaXfL60TFsFN2kVnpC7zYSs0yGu8OTFjR7BDb5LrKWsovIBAll98I1fkEMnPb6 +1GVeUfOMnNJbc8obuMl6CK8NBwmde9LUKfnzifQSASdPO6R0eYvNNLjl2QiXgHy8 +80ao/vLzBrEz0LZZsHJHSLwf3lCzA87u5z3nDHceUxUE1d9WplbK0zQAhJLfGksk +zLnIdV0YMC817xB3Gkw5IKLa1YWUju7m83vlGV5nVWyW5IllMpJNnUUVFLGO4dkk +OIMiy8Nc2xLTDsGrVqjKfq5PIXjMyFT6S7dFy+1HcxgpmhD9s2y3LS3VzADbp4dM +TPewFJ81yStXflIsssQI0xbCvwvZlHz67VqZ/cAG21Rdczu+7AwqHAgT25dk37yL +B4zPbgPnwHv4wEalVBEqjmxFtKcWH/PwS+WYl/AQP2QQ25rE7Iv3u4HCEwfg+lPz +vBe3z1M4hPFA6Kn4rTshuJgcrr/498Mv+Q8XlXEVxxjPSCa2KvIvnQLwnzNTyDa1 +kebkA5494HtTUwj7IWDukM4b4NFTS9Fs20YTTeFnN9cq1ln2OiPr+mjJz3uS2UGE +fEfFZy0X5WP9uzVhJDVAS8OhIFOzSGhIgXlRVNIHBMZ37ATGh1R/Ng1cITawerc2 +0VHxW6qaQWwJey1kk2OjgpinmU9gsIyU865R3m2wO/6tDaYy42RTL3iktMe1UXsQ +CujC0XoAEQO9YaXAB04HzhcAFCRZ3XdJeyPwLAZqWISRnNs/YrHYANvJ6edE5BYI +WrLCor/DT13oxjtdx6iC6VI6v07WNpE1GYtaPA8oRN3M+AY09E7jgglNH6MV4iIo +S0dL/D/sUIFZnFnPFgA6Wd2K7lFf/rO/ri31QpZOYxwFXwPs+iugAHojwUNv3seS +VvmWvep+YnHWuvh84r1sXKn3T2xJXnjgvIqEUo4tMIqgB7G20KEe9G96sTnMxSXW +iDYbb5PJhBb1N2lXXT0b1RmaNn3a80HSxPmwoAYRulD7xTSfVZveedpQsWyuBZz/ +KHpflN0562Mqk5Rq6eIt3iD42cOKZstmbpG5p6FRJVJt5MD3T+DaVy79p5nlp2O5 +RGoV7pYIDfew2VKJ+vG9/MGPLcstx1tMy81uXcI7JD+Z/XeH4aTjryHX1r86d7l2 +oCf5FuBtQMXbS1UQcWeftUQ/4tTo5we20ycDGP7iaNuztVVUMa98d6P2YpKIs5JU +rPKa/+lF/MUc8axnokx5LFR8klxsclZW1+WAmDnvpPuROQiNrAHrXucFzzJssWp1 +ULv1kUR6pXXeA5hsEiHw97KnvdFZWr3+vVgVNJ3vtyQAlpbM9i6mdnsV3S0FY3YA +E2eIvgUQcoRrxNMOAO9AxonnQkjqHHPmnZPYKlsiRYd1kIEoB9gMe6bRQu0/SHr/ +Y0CPu+9yTil66ft2dKCU/VNDv7c6kT5Q0ZIXI9lwAqx+Rnsxd+4Tn7CoOX5C8h41 +aXPbks55/I+r/d7+PrVAvHBuH0W6+kx7FE7GgySWG/LsrDgxIp9pgdzTYKlyjg9Q +EPa2kOOsl3lOBeFM0z8xelmBDT1tzRAJVLoOYwizS/aWLTqz9yRHrTKzQaGkiiz+ +2y5+xwq9dMuM7lGT+aTEzNyjaHc3jmiNkvzSagqas1HlPepzQd6Ub1HaV9nzJClV +VsC8H0SH4aZi1NxkBgnT/lSzINoa58/z6mC7DnBE0V77Rtu+NnfyD8iuCh/bmjoF +LENTQn1thkMB+2C423cZ5yrHpOIh5hDgQ6L3ejkQo7pd2tr40tC5LaeTbJUFxm5E +60OiLrnLdwoNOBntP0Ei3bVJ5acyY3RM3gqr7+4bwEXNBisxNyAJC/EzjK8eDMdD +n0xl9GRPvvq3u6j1LNoHx1KhauFcCwSj/r4V5U1NBnfFr4KGdYXp9L9HuABkFr/W +6vAm14as3QHsquliSTKTGU1pIMUoP2d2ZY6QDE0WvhMDcEM2XIQYm7KQKOdvT2My +10IZk9F3zaPZwcc27zBXh/h6xLAs1KnwTsc/eX1TcWfmnBYBjCIZvgFN6BTXMOtI +RZJzzBLrGswMiKBd1kfp8ZoDj5JWf1yPu6uDk+pst7E9Bhtig6Pe6gqxFBhOU/hv +GLsucmyP9YygkkBvoec3DYAkwGcl6D5HXrvBqWzLoe9jq0Msrywbc2VYY7WzGzwI +1v/t16FfyLJE8pTrpwe4bBWDL1w0nzlpbloGk0RDvND3BHJCwPOGp8xO1DAWQTyi +U03oV4VqRbX2Bv05xJq1qy3Ho9ditEsnYLq5TMPBK3Qe9kKCLFGdGdcHyjDy0L05 +SiulHiRgLBnVjrJWL9K/KLngBaQcqxifLPzTY7g26AY+5U9AL9JQ1cRpc/m6ZB9A +FYiTUHC1kN/ickFoeUZs/xjjkEyFJC4EFk5ol836HsARIjMBJQUlNuSOGjDszGZd +XhByKjl1r1kjqrYl+icic5tpajaWJqqvZgeq31LUkmmL2Y0CPzhrwaKcmxFLO4V9 +lbLOeeUVRl4L2FFAr9xjlWyml/mntD4+QTzUGcepTyai2iwBUFcxlpWdCMMp6B6F +LUsYja1Jq8YUIG0e2cgEprvaboHAmfF2vBgtxgqR0+t0o7eB7OF+7Np5NA20hZ2x +S4r1xQGUQzomZu1hh1JFTxbtRkwNbtEpqB7gzoEvQKlaiezD4UyjntJY6xotp7eb +ES4KZFKlEoo5IM7U0RwGde9pega1Rgl8F07GjkPoe9zyoGwLebtj/+oj1H1aOBeh +ZxolinMjDpu947JRefV/SzDnyy49E0crMiBDyBQ5NHWYCEbLYUdmr9Y5vzNkw1im +GKaavV46W/nCeN7daxY4SK8ieXyZeqr40cMSYEX8sKjGYyTIehab2r89RTyOB+hc +33Sm0ZCdOJibp6lEZPtClza5g7AnQEy9KxwO9PSPMahEJdv+3KUrLnbrT/jra+jM +P98yrXp/frhidV0xAxeOhZ0C0B/aC8qfbdUcqDuHxoWQxcMi66E04BpWQSjLZh0f +uBepyabJ+NN5wcrK8BEUGktTpCpaTWP9d9QO1LEORqzQTsn0zkOdKQZstRbDPzNg +IK8ylJO6bFVxvFXlpHU+ykbJNGZWbE2WOUt2CYUrMCHjBcKCDx5HopaG5GqMUsN1 +t0LrZdaE/9H4r7zWc5T2InEOliKoQgYXcC7t3oTBfXDRSgXQBssxkLgtugXWELEX +DBV2JglnqHc/Ch7EjmWLqaTIKcQczky/+98Gh+YIggLMV93DKrlzJWzthDwWMrpL +IOFwiSV2z0yP/bjV5Yz/4V4Lh0a9UKIT+05inA9Gf4BacWQC3E1gwAOjIA7bovbX +yYcmY5ybn33hN9vczClKw0n6rHTpzbzI3KkaN7i4waBG5vmwmyKb0M3WcPRWaqmO +018wzxcrU8uNzxeFVTl/JKTREr/itcJZUYk6vJVPzw/T9Ga31kCun29I4qdk3O+S +o/D5jqLvtfk10JBfU3Ir7uQ6qls/4QH/Jx6v3GBDJ3FjExcX+TD6Ib66MwXh60G0 +ibYkh9bhsWEgQZ/M1ppYJoNqmglAxQms/Wyfml4dtKD7o5pTkXWEsdY6SXyfbsWK +XgqCx56cwZh5LnFTVY/38ribibdwJkUujM/FekQDvNtqKAspos+fGxHfPwT0xPyp +azcHFEv9+g6583mbRW5HSTU3aDbHJFOeAVTe4SRQXvRyKxRquLzH/UiOsREnlROv +MVvHwoyGqfc8uk8Vetq7EZnWYtX7okEGo8Kdj1OdYOc0TRbGUjDbiFSkeMsi4iQy +tG4dCOPDdEz0kKjjqGJWyO60jdIq5HNrl1XDJFq3Y/7eNXLg1dvAWYZ65cPoqvNP +X4HbuRZIhNLh27RHAktWqCT3fnhGNRVSlL/a/EtBHeIQ5TD0HQWjy+aIPPyr8acu +0ZHeYeETZdqlaj+BKU54OrWWnT0RNYZL5cSBIqKSJwYanN0tzCfMQZx/0nsFLSLA +nrjU1CLbHI+/dKgAlW7gD8O4fDRtmR5rzmXufndGm05kC2wfMLkqBiQleRXHlkqd +/REVv01mqfQ3iwpUooFo2bOun00csUIoOvatNVU5GEMv4g5mZ7ch463CG/gOdX8u +wuk/Mf8yxlcbom2kgj0FiClEIgBE/O2Xpo8xygORzfwD3Z+gZTM1L1OEnmtZyf+v +wAy/0AgvgB/qw0serWjI+k0FkzXNZdX1Gl/AhHRuGzWkUyfhd0440DKq1lnQwFSp +aPlouzfLqwWDemcb9fvPpKikShZslYsA+4K4pTiHhQsZUjiT8+j9Bav1DgTwzTuv +LWVUe5mYXdCphv7me0bzyweNiemt5IFmQYok7qDYa+sJ3NTiax7dAnlVhzBO1PH4 +F0b2csvZC2xh/6TguwcC5uNTZYfNtWS/OUbH3ZikNoHBLTC3mK7BDbIm6EOXJojO +SkQq4JjuWw1YeEwgrUYzccBnQFVjKyB4J79ObY4cupIbtbY5rHkfJ+ZTOtDzxSbF +gML9x0Cn8Z3ZS6irtNCMM5tIbWtI5mHy72ypZ6zIQJURtPvDF1L43ZUE0tQ3krPZ +OpBH4Yb71N4Rrp+9oDzIU8CeuO1hAAZuuUXMEVt/X6owjQf4R9MiTA9xqH6liWMp +FaCS6ckaanengqPC3B9oIm2Bef9es84d2HW4BJnPltH1dySqP7uPvtyUPHvQ3+Hi +PG4CHWpzwLOKGp25gTDYNQ2cg8lS2xSoaujFiM9OF9Qd6f0AiA4QXUXb37gISKYO +QR0xd+1AiYNkoiF97AcH7TCaiHNIcjtX9L/DB7lRkSizkZgZu9kNypPHdHoRdljN +rJ+W1pDh5YYWkJBFPkOCgJWPDebf0oyJp7mTZsLRzjM7b8FSpHQO3debPyTH/DXa +8rWzleymLjvJlreLNvIyYP8/uW7KcfJumMtQCp4pB3k7/SJTcfomkq/rZOdMtpM7 +wJqJGdiYIOYVHcjoHr0QBTVbHSPji5Gp9lrrekY5zacDcgxPDXcuatRoa+S37Zuo +gw0jI9yYciOxa7+hzq8Qhoe1jwOIY/laiwaKPRFMEht8q0nV8QUfIEboJqW9YSee +Sw5Jre4+sZw7Jjr5m7hXiEVOzu0K1NOAQqDmIdlBu+j5Rn+fPp2cJHMF/PPx5f13 +Cf7nCcJWBrBF8KhxVt69O8l/vD3wm4O/Li2U4slA36fDilTUIbYcSrTFu8F9CqSe +KhCU3njEY8ChO1ROvREDB5ZKstNGqMqCahrj1YArlrBYziWNU2bjc70/jKyqSNnp +w6C2J6oP/rP3mgYKcJrLCHITp5WcayoDDesm7ClcArUoRZ7Ldj2EdXvItyGRKC1l +IBd1sqg5unjYJIwl/3HxYEVDcl6pLHybzagX15lEuCB2LBLvykq1/27+mKxROoMs +4xgX+1o+PkPQlRBoXWGGUOvSKYlHLC44yu5yKbXbGrrdH7C/QE6+2iV4Lvfalraz +LdLT3aB2KgRmHF+rYHvkWqeBoJ54n/+yVl0L4LT/fOpaU1qG84QRjmfHtAaSokp4 +tzNKQQ0Aw6ofvzWSgHuBobIU4NIy5pMRbYnosZxk3smJXBA7zL/t++h8fu36bqhV +TDGHIseiHMKgPvotbEyJpbtXXqhA9CDRzWidSrp52+F+sI9rK9sPkEPjJ+5EhvT2 +632e7spA8Zc/2ct4lwY6T9w+32886Hx+bntiBjiSXUyHAGKOWFtiJRFL+3i8YyYM +WP1jhqyWWS2+S+jwkZgYukfobTozKRjr7GtNhYUI76PmQeqzH0WAifSjBCcHAtkJ +/b5vTudRgTq5pN8PI3fBwax8kxHAbcnvNrkEqmK5rLQYOWE/yzTEIFVUNW56Ckf0 +YxAS89Y/IFze5wL56U8A8WXiT0fc9ndYX7UkSWlvEvRLsr/e7sRhTtsnWjS7qDxw +2PUlcwylSpS2fyRDwwfYesiCR+8KNJpwmf4FfVWotaf/f9fUSbanGa+wjZUx7Z3e +fkbU/VQC2V3WQVOjCGHdFZOF2a5GWBBbyMYi71K1m432KUCa30Yy4nqMO74oKFpx +KX/OJtehdaz0jEdfWtiCuxQGG+aiYPxTy0t913uhCe5FYFxy7UI94vVD1C8R7sYR +84cbJi+HyM+7iIC9wOcPr2Cz7A7onNRtnz76UpxjqPHQ3RYVpbhAe7AjGo9bE1kx +RkZ7Sb4/8OxzMKJwMDSMeYyx9wn0cR906Tqm5m4OL7/QJqcF3oB8kIWeru1N6L8p +xk9JRgDyeO4dA80k+2e72UAwZX74/r/uKSiCYT36/FrU261SHvRRuDI/Bz2rPL0C ++sJxshX/pcGpZ3/T+LIAH8y/8tmoOX4pMdqXYNH3UxT5oZXRWt0mybz32RA7OG6W +VPDGPprkuRifW6o4rD6+xwvwMTS4vZOfsx12R2choYf/yCT9/pJuB+FZQ1WhEeHM +i7UQzwd1Vsk5YsD0FkHrmSBqdCYdMGA6JgOnYk7qDIjE8Bmy3MG5Nh3p81vnzjQc +ilnXadBXEumZZMtfzSQPm7+rpmDYMdPLYfy0nMD3ZW+SlN+uOwo02CuhUH/oJyQp +z1/nFGNP6YTuKUpcjVOvzAVhTQr6PA5zglYXOY4ZpYA/u1r/o8g72hILc+prO/h2 +FSk5HpnNTiS1b53W6xRhI1X4XTKdS4LdjRkT2wl3FdS/L/LYsZJVaKeHsvv6f4Bo +lUrGzUvuaZsOmKdOwxhJhnMvnHbm2oFgzrzanVdd0LPTtj9F2RfBdB0WadnSIh3n +hEYCiB2vv4w33gk7kyMyvpLlPErBFp/kmEDoCPf8kjGnrjDITfH4Obr07ORT+twr +v+b+RPNydclPuZqQDZFNfpZr4oLQP1YhZstv4JtP2cVLSvCCxttqGRR1bNkw/14q +MQ83cFb6sxsvbhgfU2n1KVbkWrJcnuN0nvkl70p5JckZILhOMrbn6Fz48XGnjcer +YoExnHnZBX0Lb5x/UROqbyIbMC+B6nw7lecpnJ5ceJGzx6zN27HxkOBQTmRSy7NM +WOdppOo8BrtH/GrzxfnV3g4CMlZSkNOqOMkYVJ5x4MVPsobkBg8ihI3I5gcykw8M +KLECMF+sGbcrFnY1ZS8bJsdI4eFMb5rUK0i+X96aHSAcoBJUsi7FJm66fFWIOh2l +YliOqGBkHdfdW24tSGbOtbEfc6vFlcWCGkprf6vO12nVqx9yRMY7uV4j5n5xHuN8 +NRUuKbScVIyqGcehKzycRWxDI/z7NdMvyrd0fKCxEc+kN7bkooB1bXT5fVtrPoG0 +VbjgP9e5KPWC1EjWK9W6RVL1ZeKHLoYBCOUQBGI+mfJX+v8Nfz0FQoymkdSkmo/X +EarW8i7m2XAeaQ5DxZckRKLnocecNUl4pATlkztFrXSobetDC0gMa2uw8agUhFca +4dDUQM9sEJz8Gd6xALNj/nYgHfN8pdDmNoWyfknfCmrtjzkOnqeih9FfMUpWgo2S +x/9mrenMOSdCbbb4SGRILM79KZ0Eip/qUofQS2GgLpH+3xzjRItr8bTdv/VzRnQu +nhxFQPq53Sni/HWyAiVoxTXswxFyWChqoYyhgB+8ZiPj4m6a+M2e46T7Khtk2JgO +HoGXwRrgt8ozbuNIwN330rsTR7faprwJOvC/cM8O6C3glB0nvFy5DAf5rPv6ePt7 +UAgiV8y32bWR9I6oxzn9sytnKnydtuMHaHmA+J+Ms/o1b3gAx/pbKLmVncXB3AW5 +4MeSpSlZP3HbaUN3Xx4gpLykTyQqiE6AMSF+FLnafVR8LDRsWm63CrG22XL3rXcZ +G1gdwtfx9px6txYEE55O8Z192j0EBsm3IQ4r5iZ3VxL4dwbrRtQdJs94lau9ydzS +xSCtUTDPkSczQdlKPHobcAsjgZ49dNxn9GvMuumSqLNVHcr/5OTnyIykRgHDh1F1 +5VFpa7L5LqrTm9lIvzFhgI7Q0dvNDz1GvMlzxAm25Ky3//Yuxnm9fiMU96Qo05hB +kJdXtf4iHxN2SmAl3y0JwV8COckOlZm6+md2z1VRVY8nb2516cG80lHhAZDTsSR9 +xkEj0twtVt8aZ5yz6XhrYtr1thFJuuZVDKBFQgfMbtyVr2z1kMt0qyBs/0XLA9tu +8TPdwXiXEFB5X7YbBUOJ6p8H+V/jSZIQ7RzMXuyarwBZ3xkizuyuomFxFn1HC299 +7UGP6hraqKW653+uBhKbwf39wDdktiJrM2HjPWLm2gPzAWf72cZUfF+HixubNFcQ +GQthxxqvyK2nCWCEABYZSiQJI3KLO3XeKR9QC9Dj7+nT1G2GRxt1+qDIq8roExmx +qABYYhp5ADyofFj61nEw9A0WB1qKnA+cbcs1XSmzx5eFHAuNvNVX0Q5NJEkBsHl/ +LqjGh/udsmdbMaCA6mCRP1b2m5wPoskfVUjNR11s9Jl5qV5iRcVeG7/4RJ+fehHm +CpDOfZ9nXTe17HUczUyo0Fgw1a2IdqsgNbEy+U5mib4mIG5A12P76t9UxS3gcjRn +eb0n927btXPjDSTC778Tl1JH4cjoqT2Ld3/Q2+N60rooqeqnK1WpzroOjqKloY5k +iyn2CdS9vRDHD1bG3nmror1sKOC0qmK+DUJfB1E9E9DQYWO2ls0npPEri4S1HvUn +SzXHfKfnZBHO7Nqt31+E5fnQCaJgSoGYfU26zzMr+pNjnvMunWBMDWq5X3lywaZq +QW7/01rCFqs3frdtFzPE0jFjTspU/IJ0U8mm3Gn3tTTWMee37hcvWna65WHZjt8r +9E6Zyy0vfu4DNNoxLUbAb8kCgGKAfgDjFDErKM0+DMqMHH2fO00VEUdvKKeL0i9+ +XLgjVPW9RNvYdPts3Rt4n9tFN112rcLXXjo6Yqf0SEk+6eInHq4mD38Vz33wLHUo +cl2IG7M7MUfluV/tjh4p7bVtOQ2app/tpxHSjCNNhNGnNhHE3nvVrX+6Ho/AXP4l +SGzILrZLDymUu/+mkgEXoYCCOR5LnMi5f54pjKv9jLMLkYoi0zqYs9jiCUJ608lW +nOWLAQGK7UXqsLP1oC19wa0r0h/O2yYCxB3Qtqd3xV6PMtdwE1u6bm/pMnZoVxHZ +osLi8a+3DN+H9++5egecpQRWk5EUfPdmr5k73gRacdQeJqTErT79abcF30+/Fh29 ++/eVkLshjJH1w4OZApDBf/2HiUCcJTKjpwHxZZbMPlun61C6dpuuKL751vpfFEg9 +zaPbuee3nbPh3HiOGNLj+J0HK+wRh0OHIr6/FPK5WVh9kGYm7YoUYNbgO1yC9uTA +hnlrC79zUFA52PjxqbTSYJUdgx6I1NQqBLsPbSNqoIwUsjLd4ZSdi68EsRRgNhB/ +Yv2Ummx+pE9pLPPuRCdL3QtVP2KhGBjnVSq5D6hXWSeJwR81qPa7Uqj8csn2PG8P +0eZy5mxU6RBB6aQJK48PvHl0fdiuIfNbsL2SY8Zmw1JdpuiPD9fQMd/zv4FfZcL/ +pBmjjj7K4QV6vS4jMGFsOqh5ZFPOTuJ9PQC+s+eWAOkZYIqiSafVjTQuBdcOvprX +8V4RzMgr5w1ye0hG7YdQqDtVAxuizn8XV+9c/GcX9s4xM8gKxrJCDUPVRzcDDAwW +3W1HGSJIu4RVCUIds8fOFbPwlCCydTAsdSpwbXc89Sg+ROP6s3Pq8u/jx0C4qVhY +OWwVT8+hHHfmjwnxRy5fYzUtkBUWP1WUxFhP2Z4/rAodhBc9aaZo2ETTPFVpHs1N +ZQ82ZE8tpzAPHucKF6BRz7SnhQm8XCDuSZp5unupLMFMiSp5KzPAtWZtjrNvbKsd +iFONUMPS5b7CvPHC8rOy1PcUKFHKbeZ+rJ0xT1N91PKP9/1PL0/4mVZ6JycEhukp +ARnY65U3rLITTvJ9Z8+DwQGS+bFbSp6IdDr0znaQgFfBgNMOYwWcZZzt/PLyH/cm +5os6B3otG5D+jb1NAoxmn3aGQkIUhbWZTekLiRep3pRgjiXe1Squjp1e0H5rZbVy +4+5IrGwTKR95pZrenC+f7mygtTU3matpU6DZTmTT5LRzoZeCIc/WuQtFKRkKjP/a +aTM64VjbUfwt5rYHm7d8m7cebukwNITdd2u/9M6A0FbtDi4jiNQ19s+i0EGdHZGm +j5Fid0n5KKnvOQF8l8UllwxDGSdFO5VR7yjU5SWPyJiPfTzouprQOYprEjsbhaFp +CiNS3BSdOsZW/FK20+3Gpk7bhQrPOlvjudjyRlZCR+3VALNOaEaKYx201Fwgk6xi +rrqst1vXkerOFLrmjZesM7mrO3SIr0JbydYgkKAQHIEI5toTTtXoV1KaA5+r9gF7 +MxFCS5spu3v84vR4LcYXZUqk/eU6cdZILNZ6v1OduQmsh3ssFjUxTcX+3r3lrlPb ++OZFwUR3MBHkwe5zP+Opvjbtkk6bDj8EnEBM6t/vYy3Pc0mtHwvS9xlFtARKs1lk +NX7KjvTQIVgEKTy+Ci5ODKAiwywc/oE++CpFbmavTlRH181f6r8ngRhqE7HIjMed +deNzdTa6JJLLrYRMcMvaIXd9JmbRsNYT5U7uprnMVvECpJ98c3BvacgJBDyf+PP4 +d/2i7ZS39MujVEbws8TmVJxsLMITasMhAs1aY/jcd8tq8YS53aErk3D/PMf45Vc+ +77yX5Lq1yYbwRvyrsj4HO9Iefsl9ne7XLDQdi9lb9zfhULtrf6P8LruI2BiCwggm +evtKHPL+JEXRpVWvTd41ropGYIOm2/3TyijV8UtCvVxcSjWLbuA7X0NFgnuU3L21 +lt2UzNsdft4zVzsd81L/i5aItfaDZC+FBH7TuNXvRmK385gXQi60jWxmnxZxYWVu +UivKyibmsQSGdpoSM5FC/AgDsbpB7mExuNspGLyzJoEgyzzdGjSSkJfZh3J+dUhZ +joFxbHfeI7zGWRv1qFE4AS0IT1YBtfnAGJbCUwD0CmYX5XW+KOxxTt+mgtJy4fzB +XIg0RGaRO3054e5LQ0bikhLFyrFzfrg/K5JMZQTL01zBPZZWgaRinFo+LSFzYOVt +7pJ1JlEtuz1jgmdwL2pQRUBHXFFXx9N9JJlEsL1N+SNYRBIqiIj25lsaBdAiiW2v +LYr4r+s64qFFe4ByTVs2/aUAzu+YePN/eFwEFnMMA4U1q0LO8de6fhaIZl5KyfPW +Jt8n3lwxOT9jQ3q+G9guWpCuhr2D/1tKaqdPwGJPasSp7RTS2ihUdBJyf6Nn1qIh +1+NRxtwLm+CaNp/ovxHGfXcuPyrd3gS6A317Djvz179A2A3RsFBbsRQRyXDyVojs +2m4R4VXmmipGvKZh4oveQyAcVX2gdPzPUVV6xwq1f+gsFxynmIAXKnvHz7//+m8g +HO69vGcJA1AWb1yMiOm6JAdYMtBpTy9CzvQP1Rl6ODH2gJ5spXiheYnOXdc1hulN +rPkaNt6eLpNllgQ+nk81DhSwAtw27hthp6SHmDE7HuDuI5cNcS++huOuLIsz56xZ +DaUEUAAmEiOkM/Z+6YaHQnMM3tY/H8wOBLMSQ4gPsM9zMVIP9V1YUapZzUQ6ho3r +z5Z2dKHdvzN9WohbSfl8GhIlOxfkuZDb3gMCfxn9GFTfCqBPkAk+RWnr2nrzeQE9 +J9Vi+vQGnSdMGSLSydov0+xeaAXSdmt9MQRa3g+vsRPLyj5pPrSzkZ/xx3OVYcTU +MO7K8kfpcHcBeSWetn7g2VpYgZ16u37NkW8p8QTFYYBA7xwMjVhzngixwNh0Jniz +bgWTgOIWq8bgmYtSSMbLrw/Q66IvkkYK6HxdYqorV7CAM5K91pgaRn9XhF1gp3Fj +N6zd2SXnKP2lZMd+wbz36HcnCIFAEBJP99u0AloH3v3mAUI15sVs7elbqTat7z4d +fdeRQ+F9ecYEmA6apSpEMelxfUsEKJLb+B1trjiYfOJqD0zbQy7VPnaoFv8rZdvm +hJHoe1ZuBX1dC95Oh5fsxLkRZ9Hps+vLCInkkg+KZS2RleCJKjkoNmDIUrCnP8RZ +pW0690VBG1U2gQwJLIG0oruS0+4GZz99hHE/IR34TLfIgi4SzVqQ5N/i54kpkAJ+ +UjjoSkU/QuPqeku3HT1vlLddjk/GCywtreN9W7yOCwIpg6EiigECoIfNWJ6BISaq +o/rcly/15Eb31e9ZKNX/GRjWPpZ1lUuOeoT9VcLlShFB3960VwW8i3tbXTKGwvvH +G6+CIvXsxoQtHxomZWn5ByhAQxx1HSB6BgPu007h/o4nhIisu2DOfSacOyNUVxd2 +b7FPFHxQ/V18JljtIQhFfmHAvMRt7Qju1jHK9fyjuwNDzZH9YhuCQ8quOdx5D4Dg +dhyGdkxioMTat96nDX3Wcjvw7HChSQTDTt+7WX0zUrv/RxdZGrt4WOs6+3ItsmPU +mqdePC4YHv8g63GVAYVWbf+qxG5XvpF6r6PR6XC4nVr/C5G0g5+IZ8PwSOlhLAbl +UlbAEv/hcGMIPL7G9sA9JMd2PUwJbws630Pet61bsT7zucXUua8nQMJvTj/cLHjP +F3rUKoqpqE+I496kcj3zq6raquLUzSHiGXaQzFeOVVaAQDeXvFwHM9vYIzUPjqJ4 +sCpP166MMK2vfJ7eUxGpTvZkYOc38lkTXgL7OLV3WSZ2/vCuec8ET4rle5EkvvSi +wEeJ0jKfw0HHE37+LTXV+oJwhyqpUN7PAqCUNmAMMADwtjakd4PwC0YYrixf38uu +tSziwcT2baI3ukCl4rwJoq3nKhpeApjOex2pxSqn6WrPI1hAnKQypWEENygsYTbG +hou6f4NFzD2jJAUcaenwTRhkLS/P2+L6YSoU+uMBIfkckLOLRPyi6CX7rH+o6D8V +dtal22Tk7kxgrlgMA5nuTXOA6r+3p62KNk/1BE+mZhpn5SiePnN3pXUZ10/xBil6 +S/aTDrM8vM5hDTxJaUnCGE8QsuD9mbEBuLjjo6n/TSwETIVCTTCsReza3DzdTLm4 +tt9JiVESyI7JmCgJkByz5yHE70oTUJ+aniRt8hd1lb9tknR9BNbBUMMekD1uKO6i +Q+UggUhlqPQPv45I13+YemlnjXlAd0TMteCUGs3FxdqU6H3N917hfQEuxSC3spiJ +LLTGS3wjVDx6BfVmYp4BX663JkQUIZISdiHlX6eiPwNgvXjKamA1YvhnHv/biW20 +CJPD2Hbhhv5PrwtlfKtQTjNJtCQC6srdyJjth6WT89IpeEUVLU04xZbICFGxs+bT +3uqCL9m2ytNFaNqWA8FgRqd9W8p7MOGuJ16EHLTylU6Ih3Qkdzcz/OS9okPAu7qz +G/TCbMqz443xCFNZjPpzNNCQYtbXzAR+7zTQxhxP99oLhLbNilcx+EKpP2wj+GTy +sICm8O9/kJAXb2A+OeQ1FidDgvr1VOOmF0dcXgRfu8fc5oNtMXbFeqN67fmBIKIa +0/9e10MC/PM88slEEpDKTtuU1u5DgceAs5jJsxtqNA0EBQH6n1oippfFphiDU1rP +mdSUvSEVDXCX7D5bDKWpgXkR7FGKd0cIYQvcnvgN4hAdYk9964SgVwT2p9dbvLSu +rpgcFotItWhQsBYXgpCLbJ4ML20SoI+5jSEjsI6YLdr/8pcLlgAntmAKb7tbzw0a +sBJWUZNWa88X2EFsizjn6nfPYOR05kUkNHNbjUJ9V7mS1DBpGVnkcUOxfRWIgSCK +eMBT3l+o5fCt1dvk5B5IPXx7D71uzyySD9ki76ewZDA9n3Y0zzngPHnv4ey+pOsf +/vRV8hlSaNoRupA0qmfl9lJaSJKKX0DCZD+BSBms2aTKZPmjRCk+ImW1UJ06EBe1 +22rE/jhVqaZiKYNHOv6kG8C//pnWXaFP3E35N9hc5MLSeQHRB+q5AxA4CFE8sbaU +MZwPQrlY4S/kAJVmd+QPkeNINlQ2o+a6A1INqa75/8IP8AT0HxqJHaVytQwpUl+q +WxGQX597OVY+6uzBe4OXRzdG/5doq8/SJX52rWt/QlGmKaXN5t6LIWC3N7ZY2SqU +P1xtKTrsKO/TyWNK/CZa21+LyBDSQjsE7Eyj7+CLO4z33WeGrlKlB74dHzOELQX0 +MO2m/RyRbJhm4OPHo2QBOQm+ZcFsViFubF1X5sXFw5/UZzn9/uSMn0+aKDVsAIyG +0oAJ4KB5SnIM8VaWFy9cubdMg3Dwu16vlNrtk3I8LD/ZmmbX4UEGfJ3nEEdX40JZ +fG57U76OaRYIO3q5j9xrM75FVBy+Db1IEy+/W5oMcfgoze52tBGZQjwMh0BMDQLb +vvm30vwTMYnLLZaTAechVQ3458/zR6ZE1sBDRzrNF9Sfs8LyNJ10Zaz5Oelp7/WJ +soOxHYi8qKtX61H6orphIYt8mJwuBiLIAsuSoDOPf6uA1iDsNVZRFUFxID1WrNvI +Kf9H+XoQvNLfDOuupl4tU2T6BJxjzGhvaSg5bN234NB1u7PpUfqlWaJFUG1IJoRg +mnkSME0nwLIS2u4lfPOs4ZTu9y+BUVLv9tLnxyQhhGuio+1AbV/sqydCiHZYN70Z +tWNsf5cWBkWFCyhc4a7mI+JnuvkGXjbKN/3NzE8EUyulFMxu6zOwezMTlktXgvO4 +5n7MKs/RVv9aod27UxDbPsjtwA+PGYjNiY59tNf+wfWXr++iamS5R0ERUxQwD40b +M6EVF33BY5TQycL3q7PUkMcCJZcQkv+AERlA+eMrN37in7xC8IRtSZx3Oq2/AmxM +GAJ3Tt6Dff3z0znxd5XM4xeAyGqlGxRAUsOYh7AumVMMtWYXGocq3TdBjVpE9P5Y +2e+fAWXaNzpjziPWZlaIGvFerIcYlUFFDhtbSdKBWb+2I4xy1pIbifm1hDU4lHK1 +UhQe5ZIN8iBDEMdU1n2tNmBZcSVBmTEzdZxkPVeDiZXJON4x2F8o9tLNh/YIwRR5 +rcFdz+GMAuu0ARW6D+w7/6+1K+5LKiI9TdF7CmSTF8ztUFW+XGGEDlBhXxjmJajp +VA9Yc6xUhkyI4LBBgwAc/flfscdqIaAwE/P0wZFrgpothichrQsErk4OQFRl1cOs +/GZ6HPePtXBQt/VyOUKFXB3HJp2GhNkh9N7WqDAD6O0MEtvSyPA3WIFtA2fWpg/F +kyGEht/SZkqMY9Q0miV91QoJvIUQE124/SF2FyKKXsaLeAa8aSsD8dEUeJxKgOpk +CK5eG3IyBkfSnivkrEBYTI+XKPv9Z8mE1c3c9CPjoegu9vNNRRiVOH8VGTUEnGRG +TujkZ/Gic7J+cbFRrrFdy1pLPVR990HUUxI72mugfuH7Dw9eKM+JH3ZWuI/8opQb +/JvOArK1EI4fQDmHn9IfR3/2PxtlxK9IiF9beLKJzjf9+PuZpMNugIsOj8rZqq+Y +NqpS6eh8tiu9xX8ZdAyVJpBEL8Y7t8USwogKIFduwOYc1dmf4tsR2VIniSrhtaCW +Cx3U/NkylGDv4q23sS9bu/iQ7mjqP4WpdDy15ZTw8M292fw+Bb6aSrpSTqKITDPR +gFQIVPzKAj1crN63WbJTKEIX9uZOcDJVWb/vjdmbCVEXr2JuU1RTHmgpO07WAnVM +9uvU5O9snKmM4Q3Lskc9PFoNln+UC1Rozp/Mr2uvw0NkbDXQOTNzfEkbx09BKOuT +F0Tn5RLsb8GZf9CL5rxi7WnwMIKxahxUPFp8t25Qi17NeWO7MWSW+70nujljRXwZ +XcVi1jYxgbSZr1xD9TNaCRNxvT0Q2+PuNbthZm5MGMo8hsjGb9PzGA4UPUt9UN7t +rf2fEoNY+na423TouazvZlEDHUdKqjRLHawv+cwc9frFwCL71C1avJVSHql9WWhk +I4OuT41m4EyNgxDu/wP/vMFx2l/N0qvbMMEuvQJgADmEaa9DH8tXhLxnfY7sffxa +rE4Af5XJqgxNfal9wB3hCHPCZItuJ/IzNaogknIBt6j5Z8OSEsIC77SUfCqyYaDF +e+RR29nqWb6p/JB1DJh0/iKN5Z5aD9zuhQbuQDcDvxITurfCLfcS9eeH/csuwgS1 +GoOrKf8phfB9IeYRE5om7MeeMIEXSEo8i3yg13CNB3/3ooK6AyLLZXaM4NO6WCu9 +KSjZtoEkIlpjt+/lWffTx28V5+ta7WPZV5xKbz4O7cprHhGRlZLv8UEh5D0VGM6M +gLMjODkLtK/oGXNjJtD3vJFz/PhEeRFz+kzqfiS4U+AWNTHLv8Ct5sRLz3F7jVme +hqHF0r8NP82SV2Rvc9cki9/q03vjdsfbb9GeG74KdEg80sjT0UoBXIZandCTtGb3 +b1EtgZMxlzCLipx+pBsV3HK3ZcYaWFXKMclpHUoyROaEKP0TfJivJdcoOEkwpnVn +4D/T8jQoJb+lqRXbyJtG3c1vStPmfA9x/JWVkwEor5B0DOZ2xZs3IX0NE6AwNDqO +aqnxFNOw/ZaLIGyqVFNYpSkASPrVckb7UhhMYGI69RZaJdqQOGqPPo9WrH9yvpU+ ++Hf3FBKAM2ZR5k7osOkZrKdQTxNAxFglEbuYViV9ay/uSjkBostpymLv7BsLA8XJ +CICR0rqcjaWDnj5FXp5EhHoZgzrQ5CyYkTqd6F846C+aTFaTwjDP8M0AloZ7+RSN +MsNcWQ7/hqkcC2PfdW6MtLg/goTpjAPImYC8UMxf26Qo5VRZsG4GOvwzDzfPVipg +1l7YmaxTl+P7mQbqafyDXy0NED0766v90VTOG5e+EOIGsi7NDTiiImIM47UA1dbV +OnEHpJxo+eP674N8jGF/zzGdLnNpEiaLs1XMiZ72vZJeviBDmmDKGRPa+a0tlqcb +6dbWVeFWlJCSVlheuUxY1rhYd0e3yhbE4Kj5qpWnTSGokoFNrR2hLzaiih0FEneG +vY6SqPd6D9p5kyv+Sag/jy3IhySxNdfZhjBpYPTsxHqChr7se/8fwPVRTzOBWaMs +rIKUds5w1ll4ACOAytbR/1UfTQW4WHDHKjCRaAJKpmpe53xoCQRWOmrkDDk0Watq +C0lSczshThZUryWmuGCy0jb3NP0cWRvcUvkkcppUf0p0ziASi32Sun8gfnmJuPaE +PyhVVHPKckKLAo1prF33n9Fgy7o2Z8je/qEn6/7wS1aFT/PT5JWlBc9O1Mm3fiQH +Xw2n/+dmQTz6Rbdn3B8tHKadIXRqp5BbFLq4sOgUdf/W/+XyhrW+NJtdlzi5chWg +9Uvg85QmKsQhfCbuWvNfIR8GlQrFAT3oUoGAOkPVobmO1mV4l89rsuQL7A0LyGgS +xnxXU3tRRtLr130i7zTNt4G/KznSnPmOVdAuNV5kO+EoOzvbeWdXOgBOBD9TDfYH +lfEman6FNVgrf+VbFnUUnfZ8YSj7ntlxPLHhurhtGZl7yhqXvsI3Zqz0QY0pKAlg +24vKJE0q5i2MpPYO7zVTUK3cbc+mtH2SJYYDQ8rE4FfeeyholtbihP7cBdMqmVLq +ksG/+gMYQhKsRajE1aVGwZIL1x7QvT/Cfyilim+tfHbWzMQLrJ/lGqvVXNeUdBY1 +TWo/Fgza+wRkyPwUSm1u8HTwzWSaWhVYzZFBgQztKvZ8klE681vKfOmIWMzZBRlQ +NRBPm9VwvEbPPQxifH9To6mUko57/RuT1x2m8h4xy1nHMdbhRpbektUxQe8E6Sep +FDIsglA1SdEDUXMk8sluMOzXavaqy14262xDz+vbGd63AQGTbcVdQ/74Shz4POZu +7dGihFCmE3UJE3jAdOzy+DVhts5B+LALUlvsxotSWGM0jyDZaJ0HoUePDn39qrDN +0kzC15g1k+Cw6MA3f4cyXaICDo61Lqrm5R/wons9SfJfohx0boornI3Y8HeQi/OU +jufdrGbuJXGwTldLOQJqj38ETmmgaQC7bayyM0xs94bmPllxvNUXqdO+Bxd2VtK0 +RF0ZbMByW4crpwdYcxmMbQC0bhQOzcLtboN6hGbJJQu33PBF1a0Jk4T7TGjmhcG9 +rbH9N9hjAZwHaq1zPhSXCnth3r/iNNTWBAkEEB+rkqTCufhwYo6sIALLcvJ2wy4c +2cjL7NbxELcDyZqzaXx/LAFRhwwM7UTZwvOCyUlUFiw9UFulfor0R1srd0xXYs4d +jj3PzhuS3SgbNIVrNmBs0aFUWq8L5re/THF0xarJlAwnwAVcq4lrjHj5zy/53wrN ++eHpszuEZEgbpK/l0Z3Nj0cbAVndAtn7UJWaSq26TYxbgjibaii5fBARkfjx0omO +UKIo6+YT/iq35O8TXCJxY0Mi0y6oAHpk3PG4IvVxfPwa4MNbJP9yNOxeWX+lPGvR +57IfHjNy5vDrtEpWHJKIou72GcUiZhYhoOwXSbSARpNhUtdTkYO6mTLjdWkF05Ij +QSylHa5FDADl9BXuTP+b7LMJmL4ED/wXrcQvGDYzQpVWYlgS+MCF50H2u2kSnHXX +frDGHJrEMcVLeX4udtupUxK7rPGr/C6q7vpYoCUJ8TR+b2YzcfOBSMEwhvp2aEdA +8eDrvm8x7YkMq75st5GeG2C0czW70Z1sYUWm1Ml6A3cgOrYsjCm9w5nqhdbIh9uk +alQPVjEC3jWGzJ29lZ6Go4jMXaPWa+Ad47Ni9c+g1wnKAMgtaNqrOgfZe7poxWZI +jCzjYUDy2+sC5WVMsSyAwqdVPDxOsBuK9ZtLQKZTV/Jo1NKkzNVXLTxpQJ8cqShu +UTxjsSRSeLSlMCWl7f5yKYfY0A18f+q6tilcRy3jty48UvlTGl/GRmjPaAznEDWs +DLWrzbyGcTNdA8KuqOFnJSxO/nXczTctNjovzu/jqXoIzh62FhmVyNinQjQr6Q2i +JP8k7A4rnXth40GvxI0kKRm/YlxE/xiORBx1zqLW/q4VTA1FB6Izw5dLEGL8OWPi +xsZTOym2F/NvS6BNwewe6Ii6t1fuR3kvC6LZo6UPpksOrNkA09gFVJMWZDbLvV8w +BnCRvNwI7J7+4b3dfRwCcQNuzFTfrFQm1KRa5Zh8EOo9/X66WufkLycY8oPUVZcL +WLaqclmpM/dnt6U3gt2ODJf93FqxgYFRrh4+JJf7YVa6cwKYNixld5fbOjs/KCJ8 +/MbdEubIQanOYhSOvrGTIEAC2Ypou9MYaDhIx3jb0z+97y3Oc/E/7StqJYCuj/3Q +Sm62HEsJ5qcmbOwQuTIa6rljkAKkfNRdt6MwYr2oUvW4FBRLprTSSZK9D8MKzCgu +vhlNwrJhyquk3NFs1mUQa/BV/DrMp9OtPevIQAj3ODkeN05hVtjUnhG3Qi1yUdD0 +WqNMllE/Ifr6onDBTcf2fHsFg0Jvnqr9VC5zR2EeR5Z20HQ3ccm1CSczTpTeGYuD +VBY84gn98dKSazNIzLlU0gdoCI554o0Kbp26BWsrKcBa582Yj1jw+f0uV0SCPbCm +ReZYvuw21eCHzEQIdO6CX8k+A7ooKzbV1FC3pJCoSmkJnfhqEhXbAlOQjZO54c0B +1+oYIXWlXu7mkncSceHOsWcb32HN7euAwU9vyUK/IWnBsUzlSzpbieZbOfH8iAbO +1Ol0TEbEqdrMWulc8Ot7oMnZV9v5Sfv41PNlC9+2c7s6U9AnNGUmmNUtu5xzKAJS +i9tJ7vXkoCeugI2MpqewVOzM+7451oV+41UE0uo0c8/mLsDDgMAwI7fage6Ai+bJ +4FhuevO7IdXOaFjhT1amVmkirUey9wPxIP7Q3ZDdXCAGXy4ijntId3X3oH7nnNLu +cI/tSZr0s6LQyMeiMDRnIws00c+hFAtXdB/KCLS0J1Y4+9IDLnOW1bp+6Bamxatt +5GRRXtrggdIgvXnJv6RZLCLlV6GD2N08mJjgqpSSvYyYhmru6olnznhG7KAF+Iu/ +mC0X7Izv6CeIJ20BSgHh9iYvSqFE8Vou0QhpBOn3cR0FQngIrgNtoJPF5L1yMsZ9 +VFNXtCUivuJMF0/h/YY5TxfDxNtfkKDQYYgcPJkl+lW5gtms+7jnFuxZ4U3pEXtG +HtNui+vU8E6saCa8lns5laOkoDlW36gNSf/W9nTWf1gTsuKw+oaMUzQHXE3fMgPY +slLi9u1330i6tEUxCZuJWrO8DzvGUVibfR9Tg83pyR8lSURi7jjZ43XXT6k2oNZD +dLmH4O0eho0/xurV13C+Wlq7d3sNLfxfSb1b0ygd1P/TKf5UWLpXdzR4WTAsCpmL +eIQHneseCSQLAHMI2HluJzW9srWrCDVOvuVGVkvtfFlXfx69xDOnRJ1UGRtU2Gsp +ch2GgzAAWcLXcZyDsGpamYiXTDRcwOcJaCuLgYTo7jZ9y3E9f+2FxJ/hUjfQ03Hi +KGvVcGHchNSYVI6euYRBa76XdOL/OwZfb5HcVzCszILjei7By9GkjLMocrJJMoen +EKP3qK+5evuECZ/Dp4VTe8MALG34BzGqNu84scNgQGXS5yEWM4LBc1wZ6dOtEZ/g +qSWk6V0uIJY5oSxZXZtdVK5MlBHN1kQX2dRaRNjPXo/oNF/hXb1YcSJehl2RVyyQ +sQJ0V7Ln4GohHdVruQ7LtFWLtTM+3CRIvBdnCu1sbCVtMLgR09ryWyiaGXzKZcBX +YN9/U2+Wh/FguZ0guaYa0Z7cd4OdDje4mf5HmTWl6EJFZuMm9MOC54yGdKkutbGZ +0xFZS0vz5v/g77JrMwwNZ/dYsSCTAn1vJuwxrvZ9XvtGSzlc9aEFP3vdiuiYADgJ +9ZmiDrvxXfnn+anwEgSLUD3DvSl4uV56tTu1uO1Hw2gOzd+T6H6na19C8XVedRGq +1RrmiR/bqRV7EFQxyiNmdVO5183iDgw+kbFEApy3zXGlELuVDPKfxBVq5MtF7mJh +XxzuCD6p6b5YsFJrpgKyrTZrmjr9TCHAV0cEu/tnV5+Q/uBZPiPPZCPf9dNWNeYp +/Bxbsm4jRtAtjprz9cqn2thz2BXrtQpJDhknnHi3Yrt9mCuEGSfaTf3jaXlxV0DM +o43c0irHIxe7jhex7Uaz6lWTcsj2qMTlPunrqLevBNJZkD/9EROzClfKQIailJkz +wtFYh9y4xq81t6z6p9nnp4JuthLOM2eEAwrVlr6bG6qU1X/UDOBcEfg4S8YIWCyF +M8cYpK2i8Z8/0MIU1mCmPW8V12wOXkUrxiS4C/HlQspmfg6gsCs1kW5Au/jBZ6rF +WZ7N4N5kXf7AcgokaOJoOb4e2RAUtfm41WAVLe1tDOOt2yAqlXuZ+wU1EguLqMQ3 +lei8voQap0JclXKp2mY3kImWsV9RCR/bbUEF8mtQYpyZ1bfS26uK8+afCuOYG126 +Na4PAXf3pmjfe4JDAoa8fK14zFXbYNLgmIbaVcJRh6+iO/ECdLrVuFRviyXYF5mN +t4u1K0mKFtePtw4lvDYAXQtq4PKfDhqe1fXQhu1VZxz2CxF40/tO9FtmiPfhLV9P +rXUk7RgaMrudiZ+q5FbVdhC4H5IezBF9mhQERySn3CvsVw0pGmy5IiCHqBI0oHge +N4zhYWUb1zCQw5JP8XFQqimge5KLpqBv47xOuaoJrUxEjrbi6km9/2X2mpjszm7u +fTsGiMW6AwP5c+3XzfiddSBaNGkSgF8ZPKVmLeGu5q+BD6D+nsX98lsiCq7Caxqz +080opu7MxbTyKSg9NudaOpmLwnwhD0Uqs0ZvjOFa8tYjDKqIqY5YIZC/xANkBA4k +2SUT3IT3uLx+tLG/Y6SfChynK+ZN7pvSimoiTJ9pWHcdCr7xXBdm+dvvZjWud6D9 +mGPtKDgfHqsf3s8fr5fJLTzVB5eStK+ME9L7IaZS4j9CMGLv/rC+EawEAImwRhtq +WFhhBPXKfe+0Sbj6t+Sf8CwlEt830qDHl5qI+aMvpgDhJk+m29LklrtjLzt8yrMs +huTlGBww1h3MR/b3s5MtTPDH/whO4LrBO82nLeJMnp4TYqhnYYmFGAAzNzTlygo7 +Zz7Bby7pEcS2HDk0Rj2o0Z0enhjy3wsbmgVzObhFtRP9GaVB8rlYiLyf3brCM412 +Wld9xzCKxhme52VRYIOR7QLBYviOkhlDlmSGCwnHiWHWzXi3gan64kPDaw0Decju +i6QoR3zScmx+C7eCpMP9ZW2j5hsGWYMH7dnjKv+ShC1e6qCB7w6NahS51h1kN+VO +iXGneZIKE5N9aETQCGogkEDI4zRTHl0GFYuTNo5Yo0vf3UPQD/SAALniW63+xZCz +6z/jpgcG+rSeeTk/0dyjWK3cE9FP4RBoQqDygenYx0iSd1goJiMTKHQcS7knZjFM +vkSqUvCz0LuzscyIcNBZcMwhafrPxfdjBGkq5xkjUyqPSVuyPL+jmfVfim62R5wR +ierTM/6fwjNNeqUojIWOxJujymTSPF0jOSqWcf+CSsCwcRhenhzOg72ocRfk3vX2 +VFDojniORRxkTk8WzaBm1IySw+WV2OwVQfRYu8etFEFOelZgsGH92HF1yFp7ynwa +Om/hhreUUOzVCmYqnWK9cde/LEJ3I3Qa05suG1dmd5690Ho4ffn3ct0o4sWXSChs +PLLTbA8ss+GT38FmjJcxlY4RQCGS1NgD15zs/sL9w+x9FnC9kPcz1LZ+n73FloOd +QKkbMKEg5AtViUN80rGJqnlZbruCQX/Af3NOJ8wxyAeSmh003GpZcK88xT1l7U/z +0xJcML7iFNZpwzPqszWz6cCK31zqHrr9gR36IJP9gonJsVAaiMHQCKGC2+Yc8P+x +Xg5Wjgyp4lfKW8egZKCo6jhbQB8HLhs55/W3HK8EclPPxbt0KH4kYqEHSkUHwFCb +A2wZ/RZJO9LMmaSH9mVKzPTcs7YcfsfZcce9uaEQIr8Mv713OtZyetv1w+eJ8wIl +pq+Ag8kqf4ccidC64j9xZr7sbiC2/OLgJKO5IOHhnNhNW7X8bkUQ+LEiU+7ysB96 +7uQdgqpbQlmnwP9MnZf/WLEdnGtUhUy65nbpl85BFKV80s9R9yF2Wuf8EcuBtLYx +aDmzBr7mqnLnWa2ic5B+iJtc2B0apo3coWhW7pYpYAicApSRIQtNQeI2yYUi72Zv +ge8bkTe2gl+IFvdHRYp/q5tsWG+DH4d4a7pYfrTwAENuQxjendDRdWHDLevM8pjo +u1iUtWUhtCft28UZ+B9SFIzaikkx5PVFX8FbZFEG9dpZpQ0i/cq41eHxWjSvcq/c +BlPDPFkNJC7GaGntliQnffSqpIJcbGJNAozuX99YUW5pcI3FKLvWmpt9hwjgVY1A +K//sO9xErxcpSXqWuaNViDyEFv5KaCE7UCzIBhPU2qQlXGQRmcx4apukMj9TpHYp +UfpDrsgenFBDW9aBy6k8pPucS92rKKoxLvPRrzBs0HO5d23deCeTIShQwa6BdL1f +yeKpUoTmc0iN306Vu18JKmFPph1XBntMAHTWYgvhgrqP4b1oOj+q60jvm7sB8svt +TMgRTgS0umcW91L52fTVG70Hk6b36R/qo7EDXqc56ZKy6BhMtTCMu0ey5wLx2vb7 ++6vhK7ZpGxzAx8kmcHF3VfV3ACeKr6eTYiZDFA2tsL7jaFztLYFLVt9A6LWfnANg +x2a/tEj1YAD2ZE4m9tWwcwdW2T10FkX3Q3nLpZc+/8kkzEHwHg/8FNja/arfsua6 +3t2YOw+q6aPj9waBzSib/sbrHOhlhAgeYhsjAUmS8H9ESrLCCXZdiSSQ5zF7nWUY +Z/CaoMTJ8SYPXo6fIpRXd6tzhu3Y774budtE85czwDxIq5rFZ3hTLZodUopLsHrU ++pgeGNdbIP5OwKQgV2lZJs7OhcOK13U72Q0bADpPUn4cUXumQJKwA9TYWIyWgydg +cnMNlxfNGqQXNmQ8TXRCDcUNlqIdOOEfOm2BNyKc8o5JdveUzLHV51zZ0HeEk2Wr +JSWi3zZmR4PIxhgkKbPaZKGSXKmjiW3o3igkz0u6gSU5bpWJsM/os4AOhbrUUvdW +L9TF33ziDNLl/Lw3yD/2Z98UBPp9J6sUOHr3n3lnkecpGCFCgLY7/21SdEmXQTlr +9qbvmsspQzj3OAplUIdb0W2mVGhm+z+VTwWDnjzEcWCH/Fm1h4/ioUU4mrJd5Aa5 +WEIAGEFtspf1gUrNnr3mKZHweRj19Hbg5NM6GSENAe5ZQH/dc5ctHghsG0zI7I+t +QwtQRHcfnf5PKgBBhhqnJtwnZGxEgJ+X0JP+INZK4j2qSKYGyCl/TT0YR3fE6sFD +USY5VAK3ixO3OKeKbyvGMuCSWkwZggQ8xkRU/eswyLnVgxlm3TM9hg+TrOP0KddZ +wqM55VtW7ghoijQXLM8AaT3rgrqoax6Upu0oxJVcaZTnLVpCDRfxjZtuvjH6xI4s ++ndL/YLQ4IDjVu9DLEX2rjOu+VIHgIlUCbx0Q3alz2jCGUBOowj9/q75e7ZQg6a6 +QptFvCgeHXY3mXV5N4sahScp8kmcdejV5zfwoOgpEYPpg/HpiMRT67e72FW8LAml +joXkYbQMraFif93XeGSr+T8iFZEGaaw8L9BNSQXUgij98+UpHrWwmFajT+9QPOoV +OqGYxSt44OzrgFIJV159afmZCsdAsWL/3ORYrXqYW3+WW9OJ/Rpx40nxZE1ob0sE +RKbc9AGHwU3SRKvOCLLvH6n+orL3BZxlLxSfdgakbH/OIHDEUe8j+uePDhRsRR+m +RkV77Hqn1WTNsUHLFrb7yQjYtGzObNqH37csonNShKFRyhZJUy3up8pIFniCRUmg +4XMZT6GnHpO8iF/Aa0T6zocw6rS43Oa+hXjEt9fk/wdV44Vjy3m+AmE2bYlZQKG6 +cu+ojGsHNo8K0SNcuxnzFHtY+Uv/U8/ZFQXYNFfBVDQ4vJ8D40KbyEPGSKPT5kgj +hXTsbnE82Mnel18AjBTIHhK6y+N+XAcj7UZvhdA7n0uDKTwRH2mw/rSJwzr/sn1C +TDIkAiz4e13s7yO1i935YfnXU9eKnD5JSJW/oO2nFyvbOxxILgFc4QMbs/WM6IFJ +iyttD1GKTrRm7K1QTVcYmyz1hrvdNWS8B6Cudnei1c3muezvHYXkmgYzPCjjqAff +6CIRRRA8wsoaHq9R0E+6w4DI853TOIFFOQNRcB0rT4KBGudMh9IuXTes7NAPNQCS +N6sbOr6uqszlRigS81Kl7R3a2tDEstT16u1O7v3S+Qjc3F6lup9prKqNyl2QLn8l +P6D2+fMzpft3Nu8giilSEytKdtz4grdcOVPf0n+0/wsEx9+DS4wRVERgtQRz0d8H +m2S48gt6EerwtK86sOt8aT+ncUh0E0myAtdoVRI0s8qTPtzE612qAQWLvJTrO1xe +5UdK059nbeidEb1yJXVb+fu4e5utry6e1CzAlzEeycknl8fiy4SghLam4Q7EJoug +vd5Y80fIU+k1ZOZP7HVQZ9Ew1FcndsG5J6K0yxl8I44fiJ9MSRPbw8jns3VYvCME +dteXmdFBvxYgJrjeIomzUih9lQbi7wMCeGhfyxw6zzCV2ivlMT9GQ0ml7vX2cC8u +fxI18uZWSzkvF0XTKTbRpqfLFQy3JX+GsgHgV3ckWmhdD7KytwaB9jKwlDKZ0zcs +aZhqvwy1RzuYWa10KJJw4U+ntaPpc2V3t+Yds/OUnXI79aOQ6bZ4XhBdOMumjuiR +AhihXZR9W0JCLBy96fQaomd/uG0dTizlPUSKXsM91NJvqLMZzBlAoUYLST1wYYoK +gj7oCZkSSTrQMhdDM0aJMv6uX/qaMB6L/gZ0uEvzdwjpCWvHTgKBCpuPQN+A/EAd +c+xhqF6ET41vl3lpd6ZzQNKenmPU1UnaNAXmx9oNdW8hqaA7ovMYb7nsf+k0nrtb +fAN4r4RRIgq5qxI+G6aNwaOf6Kf2HpntqMEj3syinOP/3bDnl3sU9fYoiZ2I1GcH +A0MnAzWm95nkUm7NbrlLuPWVd4f/abCttUwl8Y5vDV2DrJ6lnSagdqvcBLDC+hQn +kehGaa4cR+JXo+xxG8sXDgZNp6R/EKhp6fiWteVbbzIu1u86RK8cNRfg32O+N9Ea +Nhj32MbtDOF+aNuFt4h9GWVw42nHaiGYDMeOEhP8lfZckdT14hbQ67GPpAqzkTZm +oDPVLdsGuGzB0TB2Q1CAXUHSFfbGgVTjMjdddGdBpFg4O8l2Pyis3m7oq3qZpLq3 +YCQrYoNwzWn2PhtwiQ8upz1sKhSk960amL7Cwluzq7+2qjFwB/liwFWOcmdXuJM1 +ZQtpz1bR0g9/UWDa5gIsvTKtBvBNU4u9UANeG3lf/OqxvipMppED1JIVSqOCUBsy +B5uiC6OiUZvRL0CkKTPYZjf5kmQyKp7rbxr5CG9YR+pbBZSrb2oL1jYVoduPcXRy +/PNkx9sH37y7SNC098zgVS7c8nghQnS59N3r4FgzCfA/kHslAi1t8OeWNj355by2 +QBnZcw8CaAqQs9K9xrwjwJsBgY649m0HVBmKhr7utiIX/Y8neUMXTnPC/mN2+/WK +AJjxWttFZVQREZNodbZ56b2QlW4CHwe/3Q22ifNZgKs/caiq7TgZZ3HJaafolu71 +TSg3DWLLK57amKBwtGrT9Skvhwqg55vEtiy5qaAjlRk6e4qxIxkfxhjKko34CbBg +J5TFncW75c6klDeeEzik9HtwAEovBftKF9YM/FwFYVx06zEJZoz1HsLkbupvxZ5d +8X//6xzL7MqUvSpKqIpCvgopO1BGZ4PSHRN5tQNHEV7v5mmqXfDtXi/ullU67P1T +ckJJ47SV6Q57WNooBYaHNWKBUFytSYr7WbnSEwFB223sc5xKK0JGhvDHrY7opzmK +uqCYCfXaU1tl+gyben3yTqw9CREnXxUqqGv6JqLOYSIoWkG4QUWbndeiRANoOwnj +nVQ8txnQZZ35Esq/nCL4Mwzvw5qW0YUL9rufbAOqIlbseqGX7QlL3Juz688RCgtF +kdHVdbuUhh5WFv7dmkqPeCEYq5UN5WLfRbf4jQ7hksiQePEg7wbPwDoJwyVCZdFJ +T4sfC7OY3N8xdVYsTOE1ymz669XTlI4EF1pYScKntiJlPDdM8hYL2MM85W9dkP/P +lPBBaugIjoSPdeJN16ce5pAjciy7gSXQFzPVtqo0+F9X0p/dzHhzTFFSnNdXGNI1 +n+DULRhH2kG82PgbxJXgvQ9KZgoA6qbxd1GKU816dwdfXhi3FiDqcotimc5azIk1 +bO2i0Kh/cMYga7Pd9sbskTkBoKWhSqQr2YbUe6HvSXvS//XTEgEONexK2+Tru4QW +Ityii/YFHNsQq0qSed63+vajESpssjYTYYUt/GoW7XFs+SwaRk4K8T+G/Y/WIN4g +BmON0i92r96aPNBrTKyIM9rtOFAkuov2eQGK7lI+Rfrzr6e9jMeU5jm/UizC8Gs1 +OImcKJRQ6oQqP8j7Mo+bBJANX2TmzjQMeEEdZZ9PAu96aXT7NoUBxgDKPX3GJ2Cf +ZBY/XmQWaXDCK7zleYB2Ajr8mQ56CSAlobUl347LlgDh9yJ/XO8BNBXIkUGJ/jc7 +bqnqtu0rttkW8SFNK8+tAuS3emm08DS4hTefvL+dYHh6QbEjbI9jeJ8S+LX/jaju +p2Uht9G7aahDbl1J4qet1ODC/nqUxT84jfM8eGahgIz/w0m7RMk7jIrhcg8Csib8 +DsEsTIw9nJ4J4olrU9OeH3+eHd6h8C0di5D2Bwg1AN5fxzXS76lQD+0EuSYd70m2 +rgHcs6G7vSMDjOHJn3gaICuQlrDlFb7kQvFBpV2M/fbSOSQ9VwbyM8OvLQCShTXc +qESvOXWgQYq1EmQIXlVpyf6EpvbsOh2AojkcWF02c/ZQpsFTIMPkwqobC4x0MNHw +6RIrJfOZsYWDGf53B19JIilAHfRslSybPc5HsCQ6MfPsthCq+vUiRYAhYDnnbmL3 +g9jgQju9lFslaSCLWQzvKrlxklbxRMQnCF2Zi5iz6hAH2w+dFeoiXwA66gF3SDqY +M8zwMS4UBHs+QKuSrgIPKM3ZNDMLWn7FAXggp2DWWtK0tVYHXhKnOIcRmkfBCrBu +Tu/2eO56uB9Y7eKhijx7fpGHFTAMJ6VmGaBoVVjBHf0rj6qihMM+HVF2sz8xwa0b +UaJTOO+a/RQK88JUy3K3gWZ47JIMkr5NLRuWwAR69qweE0NvW68dS5VPkvRRCYBQ +YmLJOmivBBUVSJ921eDMwc3lUZim9oLZp6TPUX9rvCtMkx2zzYmYn98tPvPZDJKm +9mi6rAYobhI4aV+Xv2yJ0TnfWDGim5GUNjh+1Lu9goIAOkNAKTz69Nr11UxWjBid +213t6vqMGE+rHPN9+igVLnQIwH4MOAIhN08Vc6yC+Fawr84l1gFQvw+I2Gaf3mO+ +NsszvuWMKIUWHAot+lxUyMt5c11ST2V4rwm2YOFOvaBXG7y+7p1KBAeFKwCtKruY +4uc0LyMY/eZB+jmn4kWsEKaaYr+C/3eH6q2kcgX9AwNQ1L4WCn0yWZWIjNMx4s/V +tD4wNObTYGCJSvoHwITYxo0mMtmJmTb7QMzx9SaibcYwyd9ztqJMljbW1KuWVak6 +jQazWoPeeUXBQoGURZh8U4QyJYvrIi2JcwccmEoHZieoHqh3DjAlue8tPOuYAJQ6 +qXhD//hpzz8+s01KqvmiJd28GzZGJ9pnfL0wov1EhFdPdFalZOvxklpKo+1K4h6p +3Yr3TIyxS4AXMRvH3stA9ukilXb7Aojxclqjdwf/hDINhx/ZL5rY1kVmd1ppSeIF +uOB+5EQb6pxWqXoBKabSHMmY9oRyTCLp2qybduErWRYLj9Bd2z7Tcfp2VLuLuBou +AKZzAKhm3/CTmYrk2ld3RP83ZhpGhK1J/h1pzfCroRu8zwEiMH3elkpwwxAyD0ff +juUrr1SoPGw4uD+Lw0WbMY/I8gyKUjRwxod+v8ELGb/NbsvnezgKKhBXaaC2PtbT +d07+S8ZBoknP/arq5rb4wpuDjp0N3/jAIDZu+YBI7oZjE03h3yTRsOO9flvoct8g +L6yLmObXQ2y4P/bDj1pP/9tH6SVb52uv4FDPvj1DJKJOzZj/WFRc45h1qQW1jDDx +9ie8bC3NHGrHHmjXL6iCTqWq9GwrloqojHnQRQ0buBsv/M3nMcBi2wgnDSOa+jCw +k7hFQ4RgcKCnxtMmAjLS9S6fbFeee5xv3Ng9pnZWE4UC1TztAl81PWkuEZWZa/IR +6uKwkaHeBrWpAUY4djNPV+T/QV4t391sqP5Y0i/nriBPDwuoH2NF4udi5EmwiUj8 +leVbqFziZ5BjUpPq8Z4B9ab3u+DnP+CGlHdRYvsGCDrpaxklSO4N7R9664Q4CPsV +/g+D9SsxIlkHdW3AlzfiWWsJ32XSGXMIzzvkGEV6KufEiuQxmCAHgJHJ5lPDjgSP +gWqCfoZ0ZJKGHDWHJP4ynOV4s691962bXslETaituVTl/+ftnv5sFp9pZcnCX/yg +9caP5H+nqTKTRt7tSjP07v0kvjnvpg80AfGZ+2k90BpnUF75p5tuC4cHz9gjHig7 +xbwaJF7PWl2GwDTe408P7Gyg4ped8m7qnZenjnXgBRJ5c5wL23qFoZ3XFAUbBe8k +jzTu9MsPr6gqZQcXAOoyFqYSYeDXYUvIumk5LUmwH2ty4910MzK5/O+SWeZVNwz0 +iks47iZIqApSYD5VHfv09gLhG4U4ETV5LUtCekBYXYkr+ty1MUwH5ZNPB16evyYR +6k99TIJOi/zl+ZrcdunrNrvwBlau0ji/RI6sSjeTTG7CgRdsQuWHGONMF/SF0LYp +dmdlivzLIIijS1mx+9FrhFqC4/cmpU9QH8sEWZpB0JWTs0r/ycEj8ZmWPisVezX8 +fsluzQkW1QcQP54+aRkXrRgeb7hcZvkA86NhSOOsmK/s3ok4bA6S3zFdedZj4Z3B +/3sRch5TwAHgL2uXSHD2IVO/PUrVaYh4vhi517Y3/Ch48CFrCK2RVDJPKfewTEnW +e3Y53CLctzln+LgNmTb84yhkXNl6MpI7p/qxdm9OQxuhh4Bw0hKF27K6bJi6MXll +twFNqLiaeh3BlNKhtApT5raXl0XOFj7CdoqjG1YlELjWtAzyLl+ah2SLxmW/IuI5 +Hdpc+0OKu4PKpVdw/3Gcr/Hg9TumA72adE72E1lDbNvQQMcbzsO0UTfx8+6RWFjF +XvaIY+hXyxQVPKTX539BcpyarRKy2SZopvcaAPhMpdGVfBYMWzFErV8NJ10YG2KD +kXPyLRouYRnKNw+o2BGisljjtygp/wcXNL3YuFzNF3F6ZXvHDGaCmxHYyXdf5y/s +FPqTUGIvz8NmE8L4ZF2TMpIM30FzYnifGOg5jP+sJMBTYMQ5YY9PlHB+gEXLxKR+ +nbA1bzm0kOqIIBYRUyAeVP28RwLPLOEgTqPf0SqSztl7Bn7oh1LkiwflpYmtBLHm +8bEKAVtNBlrT2NfNCckIvH/oQK/5Lg08XpWt1IsOy4tUuqWerqRYtvwBnHGLBT6N +EpEv40GY6sGETrDYFHt4b49jRjFW2Z02DLNGbBMVXew2cqsIv1oCHlkQR53WPjvb +gjq/OrkaDTWnNnbJGW0JLeiPVM30a9tEaQhhOOWiOmbtM3UyD4XPjAAKogg4SzYn +YVmOXu74T3MbtMGGZzCZIBbDwcTIVglL4lagCIV8mXkLKiGDy337l985+0PFKjOS +D4gp8Kvg9j7Ur7Lus3mEztwS1m8SwIaijVmHh7T+BFAihCd9qD2swh6Qym44jPNc +GLx225Zd8Rh1OYC7Pr32h45B8xvfnVoebSj+cehE3jl7jHvsjlXHAMb0IsVdx+MT +pfPF2LcA1xNlb4p0UXBbY08La/bxQ7UYWemCvvWHi0gPMMQBiTFjSWz7SYVSmv97 +BuL+uYddHFdwFtEcUGuW0CLCoPGSwZQqX5qcHXDQG9GxVxqOOZ3BCCdxU0PKXjfr +lhaVQhEE0gM1mn60AmriwyM/ppVZnyBiKJEKaGnlWj4bxzLaPGfU1h8SmppLa0xA +v7lPflouLC1pPE2TthZCQhFvk94FGYvfUANpC84arMBB9BUohnnfuOp1NLCXCbUJ +nEgoGFmduvZLaIE2A9+xWCKKxADxTtROCq4YG/poqTBRojAesgDYDsi7d/Vz9t4v +SWB0MydTjXuAxhk3rL/boBiM17c3MGu+sEnRy7t4Mi4aNlsUEIffsu8/Ow6o89zP +CNVKjoD+MljrrPs+z1p1ST0MimJ8LXQLcR4/P9DVP/dS+KiF7SkfXzTwUGBmCKFt +60oNIRaBDvxP4s2IIM4XL/WWkX/FmFeKLA61DBtyp9iQQn+3b9ZODg0ZXAA50+hG +CtJoT9I63TXPIE9e6NBXUHIW4fBATJeJA8vQ8LMtRpJD0SY7KLMS/NZrmP4u6mfc +ChKjtsTa4LLztl9QibJBUSOyCdHw+SawomTnK0fIwAq4NL1qyFHkvU5HkgiL1Dcu +lwaewl49HQqJn3wAlxoWzAj/OAiOOxc07aOcKFKhaS48NWtcKizYHa7SmR+AZs2H +Yjqe0o6WzWuz7PNVPt6mmgr0+df3RRUciomngqCdUnxjLBTP+1BLcJnKN04l9blO +xUpxXwkUDuJbu38t1hFhV3j0KMJklqVfJkDiwN5s7qRcdKnmTN/7g+3ri4m9MTA7 +ayG04/KDkpbOjGO6+c4fzK9VzcWeyf9RgF3519svo0LwmBWmlyCvX7cDCzYKqR53 +XCvxkgO3FRq2+lSDHJkNPwLXcTYk0CWlv5RHSkxbkjNGErFezg7HLtYrF41Tnm1t +prggPFJl9VjDaqZUO4XNnc8Zy1P4YYpsV90n22tXAutUNGA2B0/7CgzgMeEX9Y1e +vVnfWkZ9XIZTCof+ooVBWjvZfbRh1TclDw6x9Rl0rTSgQ7bfmqZ9XaHZaWsqomMf +BPCWfRXVF5O2lA/uzK3W765Ciya1oQtntpq+7RXyzfCFQITJUxN1x+MeIrkMdrP1 +JGzdNNJKMxCEhCD2IYvFrF7xU7XD+vJCTQBSu8fsUFWYIKbkJZDQKUmGXz3BqEQW +6Bju+xpQ89OJ4H9PJFjb2ef/lb5141cA1Qf5pGFdRRx/j++uXOwL+UWXcshxndvZ +F0feYr7mkQa1i0mqYGm4ouaYkyrxIBEsPfmp1T5Wac8pY+JE7LY363U7mj7Y8WDF +Hwq67BMHA35dgR4Iui+I+IDEdG7G4t1Gl2mFnWcaHK++uEXP5kCkc8LrKHsTwDcp +QxV2Io2/jO9ER1qgxUbIOy+dUgZUkUqw/1k2e/i0Lm7qrBSo2r3vWW73aehsPIcA +tFs2Eo9DzmWX/OVpbOGKLYvDO/MaE6LD/AnUbeg1yowOauviMXNojSsQ6Z0olfJ+ +b0vvrPPYpW9zjOo5JYInNbm4KL73Ktwxyg3eaEWKKLzbZGEfpOQnHYaOug0rlhCp +vmYWSfvc1rU1O4W5O0zUxnUDS34M1NmvzbcNP+2WXqjWCj2n3T0PoOCprX7h9iC6 +z8PUgR4MlFLGqKq69wxk6VPE6Bul5gn9vAbgD1w+LPeND7XrVnfZudISzPDOye+A +jPdfijLNxqgZUWZ+jWh8iXCtd6MbpaV97dFCdeEJxWff8nL9txJ5bo7Duhe4fBCp +fmnB308mc5xXFqA1VN3Z7to2VysHESAxleE33/udF76uDUKAU1j8eJDvv4vq2Ptt +i8FeMrZ4bmmDg40sO00TB/FxOjQMA0vNQWFuKCBSVBYOmq1rZxr7QjLnq8m5yLCg +tTlAITpJl4UQkVZkTyAZIkDKFGZrHtBgrqKbKI//AgTkQJ/adHlkg3I6AtruMc78 +QEvE3BJcru980V8dxbbsxNWz03sgrS2HinfkAxTMUjh8dLlZ8UrjyKj9B6c7+ykn +rJxshfqj+Ki1hlNJyibniTpVq5cp4y6J9IrSsPll1owci8To9r7MX/fCl26LIQiY +Yt0o9GbUN3/DDJIvIP/EjKgsrh4bqvI9VGogS/SZ5QzwnyvrdHxXTP/ySR1k5ZsE ++Rj7gruK2TOEAy90gtC0/W/AQQ+Y1gyDMTlN9L9GDPvSelMhcOApgaAmzGt3rZCZ +cQ60RIakYKdellV7NryEH1ljBvnpdKcycoKiwwxtMtQRQ5P0GuhpwOWdMOtl70bY +DUoYj9ZXT0H388xwOmyvoRfs2WF5FmlFB+eOj/er3eKjmwt40A7hMsMeknc7a4zi +5ZR+GUaL+X0F79lCxSQgs2/E3VEx7uareO8YfPmCyM0JjvxL98XUxOBdAmTls8eD +Meah7wrEYVwvLm3msLOX4JoJUnQNpYHiEvNwEeGIqpc0Xi4ZIdCSvJf9YKGAbsk2 +7SLvJLlOwPjhvzZegeHhDiNMWUIwJ+KZQM2htXYuXEPKriL+yjuS8VfI9MfawOk7 +zLAR4BN30rYu31N7en9BJ3WA7E6ZAAZ6ds16dB+W/IH/bIieU/sE2WC2WwkPF1o6 +mMoWIZkcCX3NBf0OqDPLYBBmOEkPvKW8SRO43fgskQTf7otcQGfx2miUoUx+/a4w +tCoNEBg4EpCUxBBixeIoOY+LCLYKS7WWDUsa24Pbo7xyE+gMmyygrvzbbJQnqag9 +nJjnZAYVNQLSjGiCACcA0vN3bpq8LyXN2WMNRTjPqCzjY/3qzuv9pfzWZ8/++j05 +vyBQQHB83n1pukNOx61mtoEfPZTFOCsRwFvLwKNffaXQaH8iIO4fUJk2MgOlOoWS ++Erans8uXB/5juqA0omPkAk4PAmK14MsV1k63LnPBeLM/3nv2jLh02ozrP+rPddS +7aQdY0Jv52x/LsDSxVYD6BSQ1gm3HQbx5XaWjSAOem1MisiMecUxltm3fZhEVLwB +0Dp4XOIBeNjqq/Z1moUGpWAlhy1LrHJTbmvQKS4ISWRDo/R773qkY6uuSYkO2zT9 +GhWPgg6AO6Y4Pn75URg4Wnvy0hEStL8auexu87ORumIZSgRYaPkUyB5Y4is8RelB +lHPzotoNfSwfwv2ZwiIcdXn953R/uSZI/IWB4MlWg2AFtSSPZ82YVLyec4ovHyeW +bRTnPSEujrHkVxXdHftbPNWJrd1jSSMbFhvfSVlZ/VjtvfEltoJKM9mQplr1/N5G +CxM8WQ22Se+eY3hJDaJa7lnFdkwWLkzcm5z6SnF7U8pWSDCjx+NMizmx+gNcgXlb +dA7ktUcVjpyo1Kq99jTSW3Gf2V6ajHz5uEL2NE8j5XsDCsV8Xkeg008bLxLiaDtr ++sdX0wDJ1Q+LtFP0uslwcddewQX2WwgZ8mCvDL4L7DPdLbqgIOkcY92cwjVI0QYJ +WR0kLqFwkGVwoCnBEGicZCQ6QT6po29oSVrtWEZVNAY6ih5N0qiUJLjjtsbtl/MM +nRcXhd1dvWo4pzOPAgshUoMc0IWsMiaZOk2Jo7aRqhRfCAuYY+0CzniYQ9Qimv1T +95Il0LNTSlijG0p33+rhcXC/8kvpK6rUEHlUSdXgPjbOh+H9WTJhG9EEOpE2F3i0 +fhhOK4fdY+dtW+fzdRjG/ah7JnODuvQXyDRVMwdJQDHE3Tr7h7y6XqPnsPTiLVAo +L4u9yK+QZb4Z9sgxpTkwixu+fWMUgd7l40aWQVIh6DKSbcO6eb+tXvCgZRR1iTLK +942waNnPKMhaWRxXmVys2BhtVhQsW6sFJVRNH3IQNWAL1zyfO9jN4Mx6jZoqj4/C +wcXSNhwk9SdUU9ak1Z0XyJP+Y3H2GL22ZXaSq6+mNFrUTVl9dckDXsLcENdqHiUW +VnaqSIMiTOFqUIXIMIIta8JHjBSJ8l9TYfEyC3Hh3E5PglFy5n43fjMFj5MSDmjV +9VungYB8xqtyZ8hyFS+9PtHnYhSo7vXHQWu76hYYfgaF8fppq7wGr/XmhAoP6VXi +eTOgkrap7EgoDWJzBwyxY0SvONXqGNBHYwUhc5vO0Hcj6Krn/UEsI6dejO/hlUox +faNJ68FqDAGDLcOyjDrbDukbBpSwjq7+VbBsS425N3EarctXrW0lvA+FhcJzRkhF +Oj0DsRH+6uILcYLsWZAnvIgQMO6mzeGQrX4IreGO7hQapidLmnA3d+5eH1sk7fxa +MzT+eqGHdp7uDqV3loQtCs+mVjWcMMSFbI5Al6kFqwhuYXPm9gw5b/PgJx3KwAod +cQVWg6rE/a6jGoQLbB35s2LEYD65BrUZYzRuHIcozBdL8fTOxl2mhOmdn29MZusz +15CqlE1qXT9bnQlaIzdhpxBn/80eNillNqBGGjwJxGaSm9TxUcjlU/WMDTOlXJEr +i1jeQwzYvOcFl2wIVSiH4a4jwFgo/D1AlaAG2tR49vcXu4cdr88aYnvegbAz/vwN +sYR1n/aQ4tLxLTMUAOPVI5DU9KsQTcX2kRxQuvO4B6HhX4wK2T34XlbgO9m9pxTp +vhUzprEg4iLDi0/QUAOXe7LOdhrQXkdcsED0D1jtlaVdPeXwNIniYxvl32nuZXCq +hUVoBRguCp+N/6H3zEaUhsW3PF9M6dhH3N1d88wcTlO3XCb+psKM2vPgbzly/YpO +qKxkXClPdvLpyJcZpQcE8OVkPpn7RUtWG0hgM/6OkhzKOXS3Mz1D3KeFbNtnO79v +qCy0uw5LEIIFx9IbiwbziMRhHk6WDTyZQOrHOO1jF4Y+Pe8S9GzfalvkJnTLIK8f +LwcGoK1nyzvIjoEGZfCJZ89O1eGp8mu3HCkObR4ozlVDkkqNjaql2YP+AILoIqoI +REAKk9zMlXTNWZN83Zg5UI9I5RDt+h6fFi7zuAB4LocdK6E/54zSxMWPG5G2Xzkp +Zw3WzFBT3idGgiyJc+Rwcca0moqTJaZ6XMxipTZpvY2qJ2x3sToUbDBwURQrVOkD +IPx4QXuJd8bRn9P5ykV1W5K7p2Zbwdg/FNp+ATfqotqFn1XF9m1JfPzSr9yWWq1d +uhkf4Ie4GscQHrCkVcoPpxXakWShkyEKxwkkaVeWhQwcF8X6g1DYJMogSWjibY5B +t0T7IrbhZNc0i3ulu39onUrW9haEETLYIHuld5+OpZeZjfRmKyVk18bH8BpezvcG +CF7EIhkP6bIuNX4+WLVNsdQLUjHUQj9vAe1SxrBeqVOHPl8zJNz0O+QqkBiSu36b +RUeOT+KKE51vuAOYl/o7AJj1H0JHBawAsjPrNJ1ZqkdXkODYDBQXAuxz+uiVsniV +iMgwjXXToBEXjDAooXyI3fufaMy5Qz6E2atSR9i8HsXhDn4YyFlWEmv0kV7WTIgX +jXTWrC/7JZcUWhqK5YpYZ5q6Jw63N4laZg2A2eD03YqCtBvOxaJ/qMa/sD2yeYhy +tMnBbiFZ8BFUaxJkDp31gb9r21zV3/k0rE2ag19WWsGs7PQwOFOuOpJWjapaiUfd +ocz+f1eWWeE+WgLv0OEXUbAnIymrpoaDTaH49NAY7JD3jXNP5R8+KcjKHDiTDI28 +gcb66EFtQ+BLz2IGH2ZWHtGl4N8ALt0gbulaUNx2yhVRDLQWIR8gi+AX6VxxVCRA +JHM2Bxo4Wmg1v+P6p+RyMRFjqLguHk46C2nZAp01fraINgoUE6sU94qxTlcOIWWB +6l2EkJ+8/dUpvHOZBr4e97S3k1sT+me0LNccHfQxpUTZqhXUB8GVaYNYR/XzQnRO +UNmNiyaMxth9xOO6fSAugmq3qFnOlAvjuVI4uD9E0i3sF1dOPd7qPB44flGPZixO +0iAtqcWPuKpxS4B4gW6Y9NMYx9gjVvwPAlFQ5rrHA9R1raG6lM9uzaWWsgiNPnuw +K2ZB5mWTDZ1BU+OCd5Ktxo/7A1pY+N+a6sMGE5kA95OWIkm7R50rA4Uay+ITXORw +/RPZoerLcEfkFNrTmOhe6H5TZw92hZNTZKZdTAZeMfolBOZ9DNtpu5i4Bi4xu5tH +kK5NUS7ah47EASlaLemVNxhaq/hVJwJ5NoGYozJLMyUQX50aAtFYWN7RfL+5a7Qr +TvhcO5iElKoS9RN68R9wiUXe9Ox6rzcYC7uzuE7FsGhwxPUjkJ8AN08YtyilO4FV +GTnDULS5W9ChGnSMT/0M0+Zkl+8b2SJCkH4QVO0F0DfxxzYeYPTTVPvEIxx6U+en +vESYAUwpbv7IBY8iAjreGgVru+0KPZfiYP8GIhw+se8kiJ2x0guAEB3i9bn8TQ1M ++eD25s04z2Ph7Tf1gFQDXpHu0Fdk5xtfj574/IkyHZDuF7uk5b8BDh9M7WyXSSfX +md/7y0gdBgRLTVxM6FLN1T266DpNMQ0Z9hM84SHYyZ7RsKDY9gkJBx2SrnD+y+95 +RQ+S92j1Wvo+u/QNPxgW//5vcDW3ESWq9SWBzR1lERcWf74OxcIgELlTd4ssJCP1 +3Ipv2IkWSLgf1Ee9dCK6F+4yi+YUfuH9UxlNSAUFg596aBuXPS6i9A7Gdc98LKxX +odtADj/gdknciTX7K4pl0dnFrvSJCW4HNlQSncjzIKnPzVtUgxjJcPlUnnIM76Wt +YS3NXGIIre5CNPKS4MpTP5WF9H7QKyqblKBMsydoxI0ImvFcFbQyfuAL6IYI9vZN +4zgxEmDaQGK+HLHmGCCNSHJLemcaIUa84Kvd2fuiEskCtarilzjJIpeSKrrG09kL +J8B7B2LsdASl2kBGvl66WfO+bVtq01HDHgPNj9WZUYFbZtk0Z2ERR8+zvO3uxgCa +2AR/F1ZB2FlE32h0F98WSh7yAfStvQ09I6Hs/NEeQ5le4FHYTHIkwofW3eNls+QQ +R1a7G6lpYQx4Z+2dkMUe+PScqTGi6/mD5vikqglQ2EUG4cqCxQx/kvkZ0WsBPyvn +UqDf5QYX4dUz2B3kUsKAJcngwR5esU4w8YWwbkUf71C0EwSPCrC4utJwYeIyz+HD +xBEf3LzTwx4UVV7TRlyTLcRMbCWySHih+0XJN2xkCQRrPhjIFyFWyP+GUXqgXFVD +whR8ckjqBFq/3hZYH8/wkUtWi53GWlDTLZCs2/+o4ZeRoxBYsXgMqpXM7aoWWfxa +vV/fGqyoD1x1eSTh+u95E0pvNKzyUp9QSVEaJOUC9WnsY6jzJGvSIZL6pCgeDhBv +JFQxlS9JLP7HLXvRmf2gfL3PcGaPoqiNMs3PCqvkoHgPj7d3NSTy0QvWhujxi+gU +4Iug23AFNVm2M9FFbr14n4C3RlhP2NbzNjqI94HlyZDMqJH0lg0ZUpkwvGtIsYBM +rCjWyBaAZYfGp6f93/EVPBzfDy1l2w049mvT4jp+jlfAIB7y8PblDde1B4Z7EGU5 +w0Apg3mzj1MFSCzb9ICy31ZR913ZSWe2+2bjUjWoeSEdFR/FSO/pYbsAo1/hZ6or +61eUdAQfhfgMVbDRASGF1PyXoqHAYuIC82liKj3L1tBeLk/lcuJm0K7cP14i11tR +hC0lGmAgQEZSOs3Ke6+JaeAe8/xYbs/wOubCt1W+y8e2P4ewAVxiOAKzhn5dPlQ2 +nfFvmr5La/QGfryziBOB3z9zMEC6id0Y8tX8PUsryWBMg7kUOVGXhIG3l0So9XPp +eEOrl/8bSIRkSpJ37uizUJEVZBwjV/Bt9pO/3n+yrB+Sw0InPmDHm9I+mXXqQaH9 +wA+gSrfVtnD20eWotDQh85CQZQKuJR1mKH/ggkWNnDujvTbscJq9PD72cNK9hlb4 +m3jAaBqZJ6B5MFKf69CGaoqG9KffvvOALvYtJgD9xaARPWcF2ybCJwLLdMizbwgT +acnv3SiVuvF9Kxj4uIpBmfwkjskW3+j76Ym9u2wXQCtHf/Z8UhkoHgftgFB3dFSs +RzygSMHR2WbgqK8+AXL4tf62vGcW3Wu+2H7kZtBrQgDetmnqde6fVKMhvcJecgsq +eZXA/XWdcWiiLBa+05VVbTUyuwi59Qd+lcjb5iE5JgvsaKw8tCcrXshL22TedeyK +MTShe196+6tpuYvOUAoqwzN2ngXxUxguXGgdoRxdldJtLh9/jZAY7QG1GalvJ9h5 +QqbY0z0dAhJqirRM+9fGZC6xVGycyMXfLq2IoEz0JqDhGOsCPj16fJYZT7EwhQHs +uRivkqMBYs6lIWDLMiTrE+KHw2DPYw75bG3ve4UgyVG83JI+FXfCjPgFE+7aTi6/ ++RsPW4wzvFo8hZb194O/CEY0Hv8MDMscPFpZkM4WYab7G2bUF901YSgd8LNhqhk/ +tDD/5CCz+WCX+9eDUobgxV96urZwWSCzXsFFqmR9pIwVVMbF5pjt2mKFINZO22Rn +CRdqR8ZyXEYFC/jP7wP2oaDM+S2icfQtZx/dcF6rTE9zUPijzTu7khX7x/2QEEeP +xES8K0RO5d+btThkgVR3OXmpadttXcI1T+Fe7F0n3E+SRxQCgEOH+cDwSrLB69OQ +dDT8rgYygfSf/eTEy3VxcRcxevBsonFe7JWNMAEbe+xxUY3kb5EMfxtJpmdpcsv8 +LhOSh3ECxjEQ4xDhAVcosaUKxba/FHknzvD7sqR8WM83YrOtD/7cynpcg1x8dCdF +W1IhZmTQdQNtZVykVx53eAOHp6uN0V1Xgtn1eqsA5/K7U6IR62cs0WLoTHBclEWt +oQPsKc/l4yJ2gM818CXjRS/Tz85pNfd47Y0v6c6mm0/OZ4M5CECyM9QrY8VRn4H4 +ibfeTzoE13EUaDxsM8ZnGoyFXHa9sc8JSSKvpx9vFH/UiNtO7YxS9nocnWunhMPe +EXGuFBbqFsENifdYBeRGHkJuPoKx/v2Xt/NAlIhzluKvYeaqwcmXQvECTnKUO6qG +fFbMoJj7SkCxHkl0ns93tFpPNfIyax8jZVpCkPxNArIc9d28a4DzXgvoaBVKqBOy +JbzWUB8iHqr44Po+ilQsHRAWd20aMGcnxDUed/cFTyQfpHXdAVwiYTg0NxxsAP5t +NkwOxL0wBFrmfi9JFsOeQ+ECQO4WOmdmO983C/hlNC5eprGApMR+w1sCnMCo50ge +c/k72sKpSqcj5uZu87ksisEZIeOdrQMugpYpg1wWYqqEMOO+T2Ek4whO2nyt8h/k +xMOqkleoh4lmw1tWGWdDRDaI7NR9WaHRDDiLCTv8G4rd4qi+RFYXr2z4NiooFmHn +a3SfhMux1Ud37smKLBOOtRZzXKghjnrePLOPQ9by5g9Epbrol2064UxJfGAzkLlr +gCqtGqZ8Yua0jCfLZ9FYDYY92ZBrNlSslrS+HbJD2glYT3TGjcUBXxH75yXtv9oX +YSkkV7NjmMopcgVu0WFEI4wGui97JWaxrHZaSQeAag+nDGnhVHDN3T4Y3+vAjxgC +OKfH2H6ZOCAJVdTl4DP4zGqHrEZHRh3sWjBOHVQ2lvDGjiN3cmoRQT77sRk+1it1 +p4x7VyOa0FBVZHt2X3YLRvUXAtPz1Zx5qDSRlTTHcUCP50bQH+W3Ibf50y+8axZ5 +9dXaKE0WE0zjq09tDtxOxDDgo1UIjoSJZHUcsCpiB5f4PRYfB4cBFQMlnQCZJC1/ +ILZGCSFjSEDI0tX59557s7xHSBBZrWyHeylHjAdzFc6MPDO+9F+TniwEMuaYk0Q/ +KiHH2kM5DDbqIzTlzFuD8N/nU94ZIXPEzgkLmUp7LGJwUGRxlJNp/Dau68ExC3+P +rfwDkLzG988Et6/1HrttWRNgX77Fl+btuZfSry0FRKcxz85pQmC/Npfd3XoIT4/W +J4STG1xFgoSmW0qAWIutvCWXBa+OyTEE3ZfPgmGoL/7ppI6yLzc9F1LPmEpbw17K +aWg++7c25ygmYKgdvBQTGfDbgt8+QB0SxGg559uD0exkw1OCdA9dUbT5VWtngrYf +TV3ySNM/dJRK+TrSnvdF4c9iSKnGaUW97b4BnwO03ixvjxHDGb6poC3/qzCKI/LS +DGoAi/ng40ysobVfBa4yWmWnDYOaWhDdakoW5b9LROx8sbEV+rW63am/JSYE10X/ +m4M/rQvsnxfnS0c5/EGCwz8QsfJUFxCkOm+5Va+IjwzIzM8iALEdPqAL+YU0UyZ0 +Wt62Oc1bQUjdplUGXacY4jkMKcF7VOPGkmi/3qer5jIF1abCXwlJBbvvRiygSY6X +g0SwkaeFObiIdAyQYas3Gc0cKE2ZadsAb1VRwLlY+AchjTrF52/+Baqy/eisqfd+ +//lynceHt/555QQTYgFgus8YN5n+ytwjzFx1fWUr8GTnXBJG4mdJKJWnW5B2Bkwb +9hFf8MM59WwFGdvvn+o11B2tq6deusMqA5B1Cq17bKS0rvDo9S3vTCUBmvoPwxlm +Qsjxoy7pJLuxenRmyubQFSD9vWYjz/wMDxy9ow/BP+DCGQisIZFXvbWFEGKG+VgO +V/juNuIQqFzulzhQFG1Gf5l+bY30Tr/1cMYBUMmETbBlBJlJembO5RhHWFt/ffsz +o7SkvdaZrDWLVNLpXDLBF6FTTYsOf1O6/h1Pz53cWEXeiq264jDCiGN1PpiHL4D3 +VCUzCv4oKeL30e/bsvTtg2w6lRR24U9R1UQjMDFbQ0c+m3Ma0iYyIECbup22ViH+ +7dkMm2bwWuc7qbtIiepPDNozTvRxNb+WXMrCKxB2saovOoiC01aPlfr6O63rD2Fz +u5IjEWqp7k2i6Q7TnpVC4A7nOUgKkPB7qT3jghtNeTnylo5dYKyYfPLeZz0w/1Il +bLCzDnPIrYv05FjHIp3GR/jZ4q2QDGJcPvIv1+ET1SQpSw0517fs6z2nlbPsVJlp +QB2WFRNoHZeGBG6JAb5LnlFYSeMzgCNDKEmBr+OkMHKJuMJ/7xhCS2wq7oAR47BK +/JhXuhwQ6sN1tfETwBpnJb7bNepkaNkblG9+KcK4RlIUmpY5JzHeFKi2F5BOTDxW +ENU8Bvsx7g8REglyqJ21b3BTA4wwKoDR9oDFnOIKiyyV29CP9oNneyrd9ceW5fYn +n7nvmn31nh+7Bt14wlIbZo2jxYP4Hz7gTj/PycHWxxhqf9u+VQ9MuNI6s7L8MhD3 +ypIzx63b6243i9gzsFCEc0iGKVcToMIZm8LkbdaRe2Y/f9pjkDc6iZPe5mQMqkT5 +HeAb1vQOPyDRemyLAhZv2VpcTiG/x6wfwku5q5Z3WBSYACQ39oOPou+ZPzOgLmOv +IxeRaWrJsUVNZyr3PrCxlhZK1I+utgO/jx5bbhr2AKycgL0QjXRqlthQB8g9TgCn +I6IYaGQHJYypAHfrwschCcUfi59Y1LMbi2P+SKxjYQnsBL3M2fTChopzXk0cKac4 +7Qng5eG5k9zqsX5x9bcDjUohmVeN8eEYxvgwTdoKRrooM8bMGFq3r99gklMoFs6i +ETlkw/pHbiyJhoqZHaB5a31dvdhkrmqIpASoRWVxFxMj2MEjlykEiAeWgLpY8zHt +Pt8Q21yIFQpB/Gh9CtpMrHd3C21shUy/1wlzYnRNrQhWYZDhKk2RqSJkH4d8nplN +GRIgyFEo8ckG2+6i/fGPWx0sIU8timb4NrYWAu87aYB0s+JuSrLH5R/UiR7O5gFh +jz1uu04OgbobGkTjUJtosA1RnNp2qgKE+Z8BMxTZ9BpiieNlvBIyP3d4xVikibsL +njzPvvUkrbZXzRZtgw0rc2td07MiFIh5I+bNsV6kv2jrqJscwd9+wnStyxSyZdLB +fkZBkiTnCCkcVIGSRftztZrYXcyNdKqpYG+RLj6IZTyveDTYVQVj/THAUNCC4nHW +1iJmSR6HIBqImCBR5LDp2SnLcHysvstzIwq3vpA6G9HNKhvSFkHweeB1B0Z57x+H +ZOhnK7K9wcSwu9IYBl7yNxAHmCEbjLlcGAjVaudcwiYPxNDsOMrAcIbCxok5D+Xg +iWlF9px/C7g4OBmxzKkFGxhqKPboB4utSI+CiFxRan2eaO3jgTYJ+vy4I+x0nxZX +LSzRQ2j6oDQYfdC7LBtR3HL1UimVzBahYYO760TzBDWlhm0bWc1YDMGYmLEaGzUu +/BGZ0lvXOsfVnjLXpdL7FOA0ouZEynQYL2fwem6xzbGb74PtM1D6+96yuDGBf1Gk +H+H0UvEHiRnp+GZCKgkCV6VgV2ICXIEOp7RZ1LB+HEiD52dSNxLakBpARWVs31GG +rCuw/fK9LX9pti/zxajYh2/0aSgibf+3a0aJ2MPTQGMbB6lH3/nqkBixUljdvXru +s3/Xi6V4s02xEuvSN4enZKDlCQPQnIYhBeCKdeXTJvascWZapdorZ9qwzM9Z5GxM +8hlbrHM9hADX/MaYFwOIV1U9h7GlH54UYFbhRZxvJAmHteZLE1JO9+ixEHdbJsk0 +wODXkC1djBmKlcjKb6mPdMKa4kEn6RRL6FQaylNGsDGEcbyHRcUNTJwPMS58ro6W +/AxAN61wCilTY3lcnawj9cmmv7G559oXbygmdrITVsvxZMFkU7jlkHqymgt6lGXL +5vHEpd3SdHVt5VStLPnouLdj/sa81rGN8ihrBsGWvGOyCNtbMrSerHDjd9v07kUW +1eI1nbZEO+xLQEeFwt/oKU7zMe9N1ZgDouK8fdTMc5kU90gX/YwszVuW83yQMhvv +CiHySK3k4Zaqvw/Fjh1NDSMX9gPc870gw9JckXs2Y+Rg9mlMH0whDV7mfoEu40N7 +jI08F32vF77OpV3TZYbbdsw7GpGBfRhCyHVlUgJRxuZo4S6a/XPNtXvAE0L8MJ5O +iwtBUyJhI0GaqlOTVYcthEiwlny1tAJOiQFe4ps+YH6w9iOf6xdop1o9b1ad0KSa +sYarsvjtXAGruQnSKBnDWR8m/H/wW3swTer3npPTGxxMv/yuHBWJrOBqppswyQxc +XFinI1Km+2TUmIYlY4UIr5NcDFWcMroFJ6RbiA6wLJZpeDU1db3RJe/27SPOxb/W +0p13BsmMfGm2rNrW3XPCd9aaecBOPeEWv+tCypxwt4zrrL16s/Doto18SxNZcS80 +ZHVjZGJqCkH8BggXeclAAA/IsvT8QTtu+VDaBkfxn2ks88uteW3IpTVQWCcQCPgk +urSDr1ttEua0Dst5w70kncyUnwNO3RA2MvYtqCPDbrqUyGyITVNJDF4AFaNIdvdB +cTVyAd8+/DpcRtkKf9PYsGXOkUcAUsY6KD4jl1AQtYDkCmGs+dpAD3eATNz+WhPR +47n2qUeNsbHfC0rBilberOjo/8cYgmpOkwOmYDExaK7GC2Za82tpSB2ScUQOaMwT +RxqlQEXX5K9a7+jZFPQdlUJiMgL5/vtOMEFyWNOd6aiScuajl6S1z45Kxm7OAFoY +705bsQpQZg/WZ0I1jFfq4uc+SMAQV2BAKbruafwtkjs4Qqy0IQ4Cyt8hGjr+A40O +IS1/jPGZkp0G2S0k2HRkxQ81q5YbqPoyk2DHdPG+oIXF01+PfDPwvVYlptilexpq +9dWfZHYHbFlOdGtOpSY9dwUigIdg/NYOX2eVdMzVVcALHZTQdKc+F+S995XqLw8h +4Q7Vetu17FgMjsLAIUwrR5A7tpy3jk/7QbuApJhrTM1Bk7c2VC77QsFCOpGfVt02 +BmLC6F30xKFEvnsp+efMJvpPePL7FZNRnBzYQrcOaUUPG48AGHG11y3qifdz1xTE +ZnY4gtQWXeTRFoFj0Cye1w8BFU5dwtTh5SHNFHf8oUosqXuxwUzYx7bNrLcT00mb +707ZHUwSSMLaB6hP+rq8xgAx090EtDydIK3sUIujCuUCUiSNUtK3LztcdGsu6zIV +nuSAawe5XiO05mKWCV5AQtSZrKITR5YYYG3V9H1X50Mfaa5mlfQaGFoAnSDsyfiu +3ALgLXCO7u0OJaN+ObITemrpiVv9CFG6DGBaCP4rdeg1gCDUyGpIXlWloA3TYWxr +lmEUWuZIj1unyJiJAktd9Vd4k3bSv0btHTILbmIrvi7Oa+0ECUDfaOkJM399bNmN +q8xnSOAkWENEs+j9ufmdMVaBCiklFd1flwQBgJ0aqC298T2Bx67dVHB7fvC0RtE0 +8pb6XLHD7VoT3RiZMHIqzS9XcCnusE/9lEIrw7Wd+PQOVn+GtAt6J8DGTgfIr5GV +X3+B67FXkUm2Rw9BRiAA0I1W1gP5XCOPS+Izf5rVUkpX6XpGn774A3NJfRujTJy2 +SrQ1phWyesEfRecfKEQC4+cffcNsAqGxeYKj6pmsnJjXjd/TnR8hvoyeOdZxAUUz ++B23pYGyGBGLKS9ND55zSksFoPjS8c9NTIF1skmevMC5GMXKq43dLxPf0OlRut6N +XunQ9MwcSCh+0PPmAIusc0FCMthd0Qpx4zNc2MpI2otfETi+z0UFb4jKxnwdmTJC +HxRalomI5ZgJPI3RBLtltBfSyU34/+Cuvapl1ELaCc3ADmSNCnCRBo/H0TxxLJVC +jAYX9rDTKY1GtEF+ZJcemxZ+RurInzOHQD/4eNdXaliu/M4wOC1926tjhz4mOodb +dR+UexIoepio31zlLSed5wc5pGwVdFNtGPtFH0gCgJF+9Y7xuUf+IuAO1q4ss5t4 +bByLpDIVB35Kzrf6C1eCzKUDgh4YY2RppF9NWZ1SerxQo+U63YDqcASEZboTvQWF +6Zrx4OKMgbVtq6udgNAIr/vwH9Nv4BmZt9QghWD3xK6eB3wmA7V2UgJdO+WIedWz +Ck/ryhVQ9XDFyPua6zmG7mlZ6/uUdHrLPt5oI+Zrd9z9C4aa/qIqPr1KpdSUh9Jb +Zc0trdibqUqWXBZh9tDZZVJooLm/T5p5FZYyYAQHlBifXodWBVhdKJp7rRUKTHqL +pxxohojTdxTK2WYWdsCjtQo1Bb1/5j6vPVM5Wal2l3/YADnQTyFoNlB5fn2RS0Px +qainP3vezMuezaToG4h9HoqVleiwnTlBiBZXxyfixa2bnFcI6jOXCzNPXX+YLvvo +zpv+7XcDDo/1DH8Ntt5rK44VCCv/HahQPS8P8YrG20Te2REM2fN1YM3CIfDNJzFR +Y/BSgzg4fNOUI+6e/85qzA9z0FNwz74ROaBQbDp0NwZdlPzvQg/PMmHcPpi3NR7e +bX6Y9BJmeNxi91LV+biA898GWxrhZFYyQvBDxMQ8bGWWQGRkQ8NzD1QzTzZz3MwI +coRJHfjw2naV3uxC/L71hFL4KASzsOuoj1aUkIyqB45Meo+FRUG6qT7RX0Yh5h7H +I3t6E6o6w0EAGYeve6K2UnEkwy5IqrknY/bb77XsXyu9s8Gnu3lB7KmhQyKWcsqn +2sagfC3kV1B8wMRhoTD7NcqoCHHkyB7NFckNii92VaZWr2deJRqbEh+9yD4QjTcf +OR1jgYc0YNSFz+435yE0EawzNkHnolKSasYWYneuzxOGL1glyGDk9BynGHvDAogM +WLLSz4d05EfTAbA2Hr5F3DP5dSBScPeHxhI9fOg6RGPRyIy7vAnvIBPjvZq7NzN6 +AZHYr2BWvyi1fipbFlnB04LymTsbwZ/yqshS6r6sgW0AAILF4JKiSC27A1HVZ6Ja +dqCtwrTXo7N5zm0xjAWGpG+3jU8ZzBuuvswXjJV9WhPeXlpOlYRrME5Wad4hv3x1 +iRd/aGzOLV1wXQ/Ze/QOrnC8YLJmk89Vwfiaw4D5p9GA0bZYSbmRlHXUJPNYHIE/ +DiFvbNPqtuo733pUgH7ZO0SRWMtCArGDKoNHLgyl8gowx7hwOeyuFd3s+KZ6HAGG +knpQ3i1dgaFFgHgIuB2w8uoKlYa35p3y5nfZSd/NNz+S9qYLPaFX/HDYVlyk/s8o +Sdx7P4TUzXo1aZ/y59adqbuE9ZDjn9stkiR+UiwAb/1OrwBipWkynWAgDa3lG5eO +y+wrb45PIXr9rGLlAEEJAVyCBjS/8SW76/PwI/95kzxrZmR36/fftS+1ePxGIMC1 +DdWj1LiIOcnpVJW3RkPMXGKeb/paRxJNIXNDtFp8bboPwEaV5NnPO5EOFDs2hB+i +dZBlDnRzAuHkLdqFf22oPmbkhj/q2YqLEd6+XblfQpqLAH0EgrmA75RagkIPYj1G +rrBiR0OCG694RvaXk4NqWmUrsMO4IKVM90XNf9VwNQUl2M87IynSDEBzcF/k28gs +eJxkS2OT5gCT8h/MBzf+h3IaZ885K4NFwOqy9Oi/ybiyowcQQAXisFTkauYwJ31l +IN2UACGmVLxM6ZCazDh5o3PIwJZOUiH69UE1hlh8L7Yks+5VItiE58FklD1RHl19 +B4DXaVC6E7fOD4Yl5g9/tXalmCj65KRMDx2/WQ6JQ2N4tJoFCIZXCFI/BctvmNZx +SrUqeC5oCo/v38a2wkoJ8hhpzlw1kTw5g2/LKQqich4/9b23qKv3+TQSzrAa/OO9 +ZiAgYD0xMo2qN4L7SVVwBOzDjjLcTFArR1egttIvaLDnL97U+xnZrc/nEWjzXNLT +AuDgH6dCMlzEFxp3wxw3W0IdHx4ve9rSoBa9wcabAMr9UC05Xm5G5tCWA59a3HuN +FBKTq02YDQU91Mi6nf7BvT6ZteYq0rI/uIsr2sWP3tf/x8ZACSAldxjZDSqz6RO8 +ygw4zJet5T/SNw+r3ZmKsNo3PDp2+16K0WUiS83psjstatXrBl25eV9dEJLWtjjR +cQxKZ2Xix4j3kZrrsoZUIfJVP2K2a7APGY1oyb1A1vE0DpapCL+WSWiKvUgoo/z1 +kJWQDEk6Vesy0OBwsnvmJe+Jb3onxZC+DP85wcxzZuUcFg8PN6XZwqgOvpdWx/2r +yB5H5pkHv68MEqIm8UPsqzdqMXyv3QKv3RCHK1GKM8O1Mwi4NbCPEs2SBFqFi4wR +jwHQHPupM8etrqHBzH4/r9XLCxnXSljr92K26QuL5+sQoXIDQ3U25sEoI79wTB+G +Icvv8q/x2cZg73vzBqyafkFLqLLJ5zxI2HTVJ63/fdCUq4/uaimd/rTFceCki2nN +sCUb0SraMnQ/flJSYi5Kua98YewopIK56NoVBJ2iUCQoqPGEG2mO+QTxExH0f2Vk +Ahx6n4YTPv8gxO/Ov7lS1KYSCDx8vGbF5N8M5LOqL+Ty6VzgoJniHmWp0KhyeZWP +k7OIVYFjsrgDM8IG2SMbbG3SligReqYgmUoe07vm4n9PYZr3lkPc9+7KJP9rds/8 +1vYYQLNK3DVO9kde0WwfQSjuy7hkg4Yfs7cA6R5POKEblXjmUNzWshSX3Kg5GtGO +IOk4h6mjxKArt6gYX6SQUwdjoibzRJlsH05TayXCLgJt258yeJy+7Gd5Pz80+Oga +a8vU3FuK1mRI+B8KJOCYJsj92SEPJTEln8AZe+0XScrXfAJQkB0jqZc0023MUFP1 +bCB+WfvnrtGbVTB+PJnC6FrXx2ASuAODF4XgkIesRtIDiI17ub9ht+ln+zI9gFNK +MBAl7mHRFP7VkImgrChaYH1dWcm16Ijuqa1PbHhaL2FigahsfiWBC+2SJhUSqIWL +EZ/tdfi0PCd5zpAgOGzqul/Dp8RD3VXcZETfP+Gd9uWfVkji4A+KPSAFqEUaJ5mt +peqG2L3wTXNJppEk0c45dE555vo9P7otnJ16JtPsVxwVMEHAa8Y2s70n8E4oXbqY +LglIuqcJTDXCcRY7yyBzKlc9HoByarceFxvFSWHcyzWuQqaPkjscdfNash8AxxI0 +vgRXilHoGuKZX2nNVF0bNuxn6pgBM4Kje0s3Fm3ex3RaRUZxdB0Fud6v7ZchaBE9 +jMuCgyr/J4xCK5XyY9sVuDJpvDenSpGtWtWWpqBlJCnnhT5Zo32EeqQP7YIj9E4e +70xeNA9RkpVPisOcuCCKCYsi7zAEPAcwO0mxmgiwYSOCVkfmSn9hYLo5K4vOjN5A +PdKj2Y+AhAG+F5+InMXLKM7eqGpAksWV9hoBluDA867zoMic/VmpjUICU/uEUyNu +SN5bOkr5fpyyoZaEoOmxzUthKWNbId3NBhJS9rzlLkLVufsfsPOUbui7BBGKnLOI +RVKWB0qyOZXwB7gN0X2eoRp30q/pXlu6nHQlb2Q1dSAmTedh/XCukLE0euwsGt8I +mIh+278dUdoz8DxCc4lA2p9N2/W6LAT3keKfCKB1W2stg62PusU+3a8RR9Xkzibr +Ja/S0Tra26+0PQzPhGcTBdyMI+MMgsegGIeW1RL6Jmgxcoftv3Il+s9XZbKTo1Ut +0huThf3fqVDTJ7AAyPI1NOtPC6+l+BmunP4mJso7X4zYH3LqMsF4JZ3h+sSAMCUW +hTEN8wPd5oGIAkYBYTiKN2wKUHMnZHKUsfJb0jVFPc87epTsKne/7nA99z90incr +oKPj4U2ulRsp6Q3lzJjJZOvb+NNzU6Kshrjb2IOFQ6Opu7Q+Nnb1dRc1F6/ktXNi +F8hd29sFqv2/XS9Wj6uFIsAk3zKY9kYAEaAIeqrSPTflrMKQciNeAW2Z0XL08UPw +PWmghKoAGoPSHpA0vwQ8U7063kUR2dEg9nD2Ro8n1lfUp8eNtlH2vR2qlnJIBqr/ +Ibm9pJhhH/5hz2+fRQg54BuOEFP1TnBEIz893enKeEsDEbGRCuRcvDz8W+xIdUdp +qvBNfvWt8fqjQ87UqpVBc+E+O23dzfzhSu8ubdXKZAwDyKQW++knluDbe6usS0Uy +YJKEWcYUFHCDwlMdzWYrTGu10JOOJY93LSp87E0twMGdlmavlNaNVte79V+Fpd/A +Oz74qIOkbXSC5X3qsOQ8RsI6884bJY7qUveqtC4zY8GygcfJAHl2gAUJ+FcFRLtl +BET7PHQQ22j2Su4i68odA4O7Y/OHX730cNxK/hY+DFfnFNsHX3mCJZFN4gpIEsJj +3cuFIDtTh5u0QMHJsHa80Z/IC3/zF1jjth2UWdsLfbxTrb1R7sECdDKjeOdxOUT8 +S+Lv1LUifnjHN+C2IYuTLdCpCygp8EDz5s1A4xc+lX597KBcw2qfr91ZDvXLdbvj +3esRym2At/jCKJFZ+7CtFaGotluPrjfiCTzcB2ojxAE9S4a8/WteIV4rejbnmiAf +h/nFCoNtUHPY8642S1SMNk3B1xpy5u8i4wXsu+TwmfBbUC0HbhVgpl/1Pdaa0amP +bxYw67fz8tIkNIyb7gjQXNA+7FmDeRlQ6t24+LQqCDP6TI2/VedavwiJ+Yq+iLee +VAaM6Q+2oTpi4l8A/j0O4IpmTWjJ+Nq4SH/U55WZVLulXpsaHZszM3eOM1QWF0y4 +TP5hO+urPK0gSLEXpPJU9aWEjoZbRfJrvgNlbkHnK+uKyHHbQU3GDgyn5lCTcPSp +MrZwYsSMZNxTbdPI4UKocw/fsgc8kwLMxPPagF7GKgcTwhaKC4m2rTkgmz4ldUpz +Be8Y6VIliwMry9Jn31Zfsqhs4QU1ceb40nOVwdMdY1i15+coA1XEi53c0Hujkfgn +DVNA2G9mxwYx1Q5eVMgoFWe6DpVtFgFh5O5dcpA/sJLT2VXo3wbq9Nds22bszxuS +gSG+CGPqmpzZoidy/5ttsChkrt+P5vPCmQDTObrBjxGm43Hm9lXnlb0pgdP1c2Ds +3nQpFRWE7VpK7wQh53/HZ7xlLl1U01HPPCXMj78obIqABgLMAe/q/flO+s+hNSmv +K50Asmr/CtWXq+Rd0Dwm4vUrpQbXcOaLFI88yH+ZhKTWMhuxHPHEbBYFd8Yq7Dnr +DnbCprb76RTXRJhJrlGu9kn/IYSpPPdJG2J1+UyHcsmpkNY5WSZ5Y/qPaEoLaMaU +HQEI0Bk4O3jQ8kHBp4kkv0WhTGEH2xabvmG0W6goe67fI8aubKR4GHOWaH8BNIsY +8iAPXfp7FHZhZ4zFI7isipgBtrrQlr2hpzBSxoU2sFtvMvDH2+OlE2iPGw0MRnZp +whbCeZ941gphsZby6G7MySYsaBDPkqouRVosrsdG0FDZucO5nSC6SVHlqWDuZVi7 +yNXmtpNHKKHVZdFnAEBlZ/dQywrsCPA3SkFK5rRh5WsmGv04XKMind0bKI68zIeW +92IknBbwRTpr4Ql8q2sN4TZV/KZPsUW2cIz/N9ImWeNWggG+KfmXdj/8q2u3O77+ +nTcm770SwHbyEeo/B5mk+mFV+H1NOAcaEWibPQGZnV7fgF3jeEfsNb+Bn5v4NPxC +jQy+kDbAz28sl91Q58W/AuEqnYHxwq+WCwOGm08aSjkDazci7y93PpsxKlMLSayQ +M2BXfvWNghFMAYkHUe+eX47NRbuZnsRBeFD8rDu9n8YVJhA60amQJeD7hmErvanh +JcWyjpPWwp9WrNhHzEeUGutNDB2UNVpqN2nsdSLwuzq5hmCJvOiHF+Z7CsxNAP3m +pcC99aJzO98rc5IMnLB+YJTmbBmo6BLLJ0SAB5+Juun2KF70SnS52s6NbpcO0UCs +jljJAJyTN/H9d21u0YO1wNAD1VWZkMMqXG9h8R3chTjHeA2HPWUgxYmh0VVY0+M1 +2vU1MPE9BST9nRVpW1rpCmn+rTc2bDCdHdneWsMnDuH/DZCnYpwnfmhK00Y+uskv +4J1IkWcPlrY9OXjTYfZFNvMd1KEfT5JVC/DThl5uWqAEwWvp7wfi0eMkvUk3M2Kd +CLa+chzGnyvC/3+beYgYs77NXael0W6ZvBaAd5wmPL7rjSTS45hfJi794olZa9zu +3mxWBQKXo8AZ8UTcNC0ZE9solP+qOYEVXSjw8laDCweSvmiX12+dgLojnaQny9cO +7PCrQ++tU4RYwm2L1IHzR3DC5aVty/nQxsEea1XaSomzKiXedZsvUXVB+wHmpGyg +8KwqzMDHZGXjp20JLyw5nYqTI91VUgeKsOyt80gZ74n+So3Rw6GGcMjdxtGJ9OUS +L1czBdggNkNxcdeJLz5naGJGilM6Rdu/wjm+oqr4tDg54qHlrkLExufr63AHLBa/ +3B3W07NMCH4O9tmqCutN9JEZIWBgUPqOCY7Qq3xd99n/0nR93+m5o64v278oObQR +5FJo/LC9LJXzgQEXlUUwTnhqlVts2qR0BplEnIOUGEO9Yq17z3xcb5tOOZXtbKFN +uUIPZJUAiXGnHhMdCu6P/N7cEuFPapWMetRDdyWHgl8YPc7ovrkax7gCrQ/OUmuz +/3V6vdb31XDnsWr3w4GVJGnQ/fZAfvh6whZLMQf5Ske46p71+Y/0MOfYCqvavKtk +t4FjY7KurYGHUlY92TUXaQ69u+2bZWjwcwqg2HCe9CHCmx+AFfLbDSKTnToGVI6b +w8P41xTZd1Hqfbn/1CjmQg6rW4Az2j6QkRIgLS2X6aTCmKj+965tZsz52qDNfxvj +hUF5ghdWLp/n1Cag02mH6leBvH6HNLclmXhTGIIgY1mfqjaEm6tCM3sl2ymRhis2 +5F6nDTZi3851X2ohliF+U/gGUDKIRY5jdBuE2Fvwj3hKA8qkJvNT6QlQ8KJ8fus3 +vQJoef94a3YtAyKAiVWRM1QEihKQ4uuyCs3myYPs24635cr63AACOkTr7C4Hb2aw +r6xqh9M6WX9DzZ0KwqMhAPkWYjk3uI/JqPI/KprbIwxzJdR1JU0b3Ak2VjtZFxmp +aOfPYO9l0jZrfi/oSaPnlWJAHZpEAE8ZT9comBRsagF9/zeD+ANAYs8T9H/YT1Ys +OObt5CurWhktPdefAodZebG/fgMitaQ2KqguFlzHc/uFIbvY58r50kbquxkCp6hv +tfpXCdZsJ1DIHqYW6GfVUJ301z134Hynipu6vol2yChjQSmCHsfxCxtWGma7vDiG +ObACtvh4KWUmp3g9lrqoxQIANFdd5nWjHFmGlu9PM3H9msidW0yBeOBwQL72Tpf6 +Cv2Fniooczi7UvuJ+H9dcjH4I7KwvZeDUbGvMZC3TGeLn48YT1HYc+ZlGBiIznLH +BjHaieSHA0V2w7O1E66l/QYagg4GwjQCyneTmTphAeVPQy7BxfDDPP95RS6XQMx0 +ND7FszgQrjojAgRiJ4MI7tyrBmiWhO29U+KEvNNxi1n/aHjzF+6UHpBOHq9JURk9 +eA13YTqhLjEbtTljtD1SaIA2gfbcSxnzaY/IB4aPg1/kpTkynq46wDo1Am8rOTy4 +9VJCPGkJfJic/mY6xZlVQH9iQUhiTl6ZW1XKUWInYzKA11A9Xh2WPoIa0ZtiPhBt +zFPbpb1AW7GLilqwtsNCBvzp7vSO2rkeNtLR6jwakFpoBhWdrIyh0lFiYttbQZDE +w4roKotovl0SNJ253dI2mt0r2KZwGzA7Z5H42sU3oZQQ6nq7TjjIZrhDDfZImjC/ +3RlFwlcveae3qKPovAZuXFRYJSPgbX88KQDa74eNkfNde9pfRJxK1xU8SJ5I49Ii +PYM3gCLPs1S/+J0sYGwQdBADe1+xBhVQkV+8i3zxrRgcYIfUcyhBDMeTuwclMZht +vDL7D3Iq5wIzhi9L69g4/mLTHY+ZG32kgaHCM2BNkshSU/czJf8BB2IHK3nBEwOV +l0PuSPvlHRiNP44uWEzXRPiB0asPPyN9fagSbjPoKgZCIDjKigaIZhLcRseeRYBg +7G14CKiDT1PWKPFs/X9L3+UY+MqZrBQHsB22GslAdYuME4rEQEbyeKCHBMMF2Ry2 +jtG12bqLx3dTNaKVXoMET6clQLCEYW7YvdnXX51Fm+GH1KaLQ5eerLypDsODP8XX +DtYPMMt9E8kMK+uD2sNb6d4hAgyqrARwaK8SEqTCNTyK1PUiUbSacPd5HzNeEMDF +0ppaNrN92sxAvIaVQmMn7OHKhpO1n+92pPTJrM9S8oAR0RhbaJz9nmy2LPnf/SMS +UkgfdDN8zL290atjau9qjUuckPhteSHHImWVP84XpgDKToQwYcLjxCsZXHcxbPK/ +UJo/hyzjRnrs6/7rsplAAqhUfV4//ZXw8zis2Y8EKkNeipCP6ELt2sku3CJ+j1iw +vthVj93Gp/h0IFyKcXpcbIy6AmzmRUGZe91jBuS/1xzdDYeGCvXQKYd/glncam0s +KsOQQhOGbjGuTTiqaZM2QVGgaa1c3JheIRIOAPmIBIN+5st+StyblbiuSnCbJUSi +kQuK15cDUaUhlLDDqbpFDYlLEMJmLLTEwseY8K53WyDfKuGJj8t5is2wdFgtQC78 +Ex9BStlNQoAFVR04bojK2pvNHAHTRjEqucRoQ9RBu6DTt74IGu1yZM1vDHY+EmOt +1VeT7XbMPv7xLTgzZo997LiPSCQvF7zRhHV2FsPU180blTRih0OBjp13s8Ucfk7p +Ttmkyk7Sma7W4UllwQEQxdjo8DHu7NwdhTBz/hjJepDasTs50L61YeJjJmJ3Lm+4 +qi1zU7kzijaj8LYArqctF9jx4q47JmlRv4U2RNgZPBdoxP0WmrBz77+jHnv4pcA/ +Z3D7N864qEQbL+XoMCzzTz0mvxd3KD5oFlG1eIqtXxG/CfTanfcv1WxYVe62bbIC +50+KUhnbemg36EH2ANUZyClHaVdKMQ9+azzJ5Y8fXt5H6EyPDlxVnm8ApyAZnOzP +W8l95luocHL7WXQ3eBSYrqdGghrfqXIkdEoEV3wFjvxS9rjM3d5qXcqQ2BAuOa0m +l+YjPmkTLBjC3d59RRooxrz3gFzx5NVZameBOt4O1pKdMBdV0ooSpqBB2LPB2oiG +Bl4FncYrQehZfzjD0A0QC8UQ0xYbegxrFsxjFkBDeh1u7hvg6D5aasWxOtR2vRUP +ogqZ2c3ji7qlP1kjNse5kT57LGVvN1silF7k611UD2PAgrNXEUmvPeF+4OVItFk/ +beTF53/H0KGIEbmAUH5OCUC8AfzubFkqIVZ+R8NmTyYtJr+oZWabv7XKKwim27Bu +tIjlmYw9cH1xQzbkcRXm3uCSfgiyDlAqB8cy58TjfxpY7gb1BfqvkGvWjlK3GgmJ +Ze8SDzH+Wz3yGht8FQLVTQkAMXF4Gm9v0kBaBww8hJMnELk7us/rFBprblobqL8e ++nnIHW+LtFqWIgj2bYLjpxn2hZOrqyAz1eOuLuV81EXBmYZwiio2/4eAQCjk2d8i +az1TtPMgnVg9v8fklFzkIQNNoQvp9Q7uTl32EOMV4XKrtdoDTv48fBILkPdGWuoh +QXfI2MxYde1fn5pRmW1nV+kYwgkJGA2ayHApm6uGoaQ63rBoCk+AULbswOPVIZtK +A7SpZtaHuuRWz5yyaztGr0/hm4dCAyc9IY0SWc95TaUZEi4lz9zsHNhXHZYuxYU4 +l0TGLK7zrx+AH3VlpZ4dv2I+1Qvc29zWdV9gRs+/eWZ/tooXSbqCfQ6d66qIEFMS +cxxoh3dZoFLaaaVGfGmkuy5/twuT3p0xzPFQ7U6SLG33fwKg7HQOEoTo9K8rSr1r +ZHx0+J9cCK79GylH4ot6iCr0WLEcOIlD6y/cRDDp2c6V1cArjIZU9DVwRHc8dSZa +/brmRd8bC44Cpt5QFdzQ6BQlDC7L00RJtdws6bHTK3IoTAjbhSoW49+WVYdmC4AM +p0stAbL8EEfbE2Kv4wFjlClBrVq1FxWgDo5zTdR9zOs9T0izf8RzGthVHpvteGJp +5hL7RxeiLrEmgucmj4h/iKkOcr3mfo6bLYUtfd59gjFX2mnfo27ghvjzmHSW97rZ +tBqbfoLvo1qFcTW1W6IWINgT/cob1yVJqQltRMZFABUyNgtqfNSHE2ju4lY3Mg7I +vK1guooFpNrOYVKUukss/YSUFJneSZyPbjbhl2NZpS0mMYD+uNY4pzOYVXqOQyHu +yiS7msUGGOxaP/22ntB3RH1UIGDiR9qF/lXKlO0/TfAj7+7oScImJ0XCPq6EhlFY +caLu/iVzz6lo++ZgSjx63suQzMfQpGnCI0oBVu2yhIz2AFgPmfMZj69Iv7vZ0zjl +/OZQfvZ9ypxl3qKrYwOoMY8A/tRBOZoS6tlb3Y61hFuS9TwVb4JDz6APt9TO5jID +y7PhOKCB2b0jgtJZ6oBSRjPpXH+3k6eTyJluChRhr25OGH1JSF6YWRMVxvfwCPLF +J/dv2qC3PKU/W3b7VdYoTWwOaoga5QUYgY/RsxTM8mInV1OnRu17GSWXQdV6IVCX +eAoVRmls9AyaSCQSR+M74n0GVqxhuG4iByXUom7hCq+0f8YHFUiUtJHQUXQA63K/ +nLalpuVpbX4PzY4o8jCojCSb/SxAOZ7N2jVIKIbQD/o4QUEoMfj6kWYw9EIALT7Z +xtB24K8Rs1Sy5UPY2vacQK+GbhRABnjTBkrgve+jKXj9RZ6NRYSFEE5rKiQyAHju +kSHf3Iyra5hYUZ4kSVNihcnQ/lYWEm/oVlaX6Q51SPSpq8CJs0Ep9zLAomx/jGKZ +nBO/407QwYSDjGh0McNIwoOohm/GJ3jZrwZLFQpEOPDQrwlcuLTN0MxAOPANnz1U +nGDyAEa/MzrIEcPFrWDmq367e4MR6fK7tRRCYd0gRAoG45O59ARxS/RHKaKpeqfa +DZLoqc9eKW5+Mc7gt8zp8QEK/6Bu0MWnBqwXCAwESMb7H0iIp2WrItJGXHCf1KTv +lncn8BoVsYUE1yrcWPSSzSE2xBc3znyz5UWBgNnFLqcr99gwD6KeW+PNPSw9tf1S +DV5cOCOv1sEkGUiQM3mxJ4yjNfHNuOE2fCKdlQUzs1GbJYEVUahZ6fftTA2yeA6r +nReLUM7/Fd5cYzmTzAJHoLWtz4xLAZVGNSCbjSqILrsJ0vXHo69bQZR+br7fRJ/8 +A8gY1HasQyFzmQApEzWCO3m7nTBiW5RGT/vMBsvR7SXfA5pYyQR3BjN2Dn0wd6KR +xTXE8MR/OuiLohmgqfl3sFDofcA+InZUhzCapdzW8sYlaxHzCHvEbVrwYEb9w50p +HLRObmW//A9YDtGVSmlFSERNFp/enSZVyEfAvanS63jZOPDspyaLiT7UFKZuMNUI +L59pEdiQxZ7g0IvnVE08tKLFB/yXP704lh+v/VTQnZUkuGjbJyoAk+i0IyJD9jmh +vq5igTIbvUVBIWIzclGL2iN/6Lte+0AcAxBF9cxKbllORTzolnnlUkK/P+FHWrWe +QYyz//qwsLbvEIUxN8+Q+IBXWRamjkQ1ym7qqI3+cPXtvYLNz5oecG3zu2OzNssH +SkwbLyUb5E0WmRIYPJtryKPCtiD1g4xZD9zQ1nbRnWQ5yfeIM3PvKxqI55r0eQjA +S6h7VKPMkisWnMGdesAIXr1tWgQkmMzaJh4BVFOktsg6axez0hmdVuGoLHWhktB0 +SdgcCtXOadDG/uXIPpEOPgVdYfeXqRRTNbw+L0LkEICAi2sZGaggjHYNMBtzYZki +82nirrYdMadDtD+/VrvDE/TtJaOOhvarMY0eW98wVo1XXw+VVWJULJ34wGOhaFSJ +AJVA8VJvvxjU/hGAOAYb6n0FD6WBTVL5daOUuVeTUXecP/cfG2iDKVunjUT6NblN +taNZipxrT0r7zeN7BUSnmJcygLJ2qaRPeGlUs6+4nv5L4XWW8jqKqzWR+QnUdvQh +1tPZqk+q7kpNV59ZEN9thbfe4MVMwQuv/GpnK+ecxOWoqNW9et7duQv1eD+xPSK3 +V841qU8F1P3kTMxhMrUp1npS8jE8V6gMyFRJO0i8cGuFajE3ILnjvaDPudYCR4eR +zg7pSPxRKRh4sYL2zvITvWQn94vliIDZohGAKNM8S0rE/9TBclaqraOV5P4xJ+i4 +429vOB8VASjVpVXxlyK6uUMqXqlvmQMwGY+jpTRpc9vDlcezPphcW/8yEoE7mBwL +WbHQtTGTVx3eFUoyQ/hBQ9plDxeQstthAg8qqbsGa6N7i26tqtIHCmoknu4fF3mj +b4q2k1AkXw9gLwNx45sU8K3uuThbsUIZkuHpcqiviFjiuLf7My4xdYtsa/60WyfH +dom2oMQbikotvx2+o1OlosguBCSFDNKmp9hA2numcEnx5OBgY2rzYB6c4yK0lGqJ +a6gDm8JFXK87n/ruK75bZ9jOT4yrtYfH8PoMaeLIHTaPOwJ65/+Cy7EqifWHGmVB +Eb2HEn9CZU3bgZO0HH8EbC/EFZFSHZpOUumNEsZ3FgmWIDGkhdDePaqnhpuXpKz3 +SCUuFhWoWATTTXgJmBjX04DpImc3lysrEQj2riqBnyvxtCtsR10KfMB7+OjYGVJY +bb2dCnuIGGg4NyvGLJWBC+a9KVmImBF7KpORx1G3fznBCLPUURbGG+bqyaCjnw9l +79WeLJhMfKgDPN0tQm5ffd/MCsmsQn5U83Bn67LZyiXlLDmS45KdXyuE8c75crCf ++O6XYTw5ubcVRGi0fNUnqb/6GCIVfqe/j71NFCavrSrLXa7wtmuPkxrza4MyltVt +3Zjq6CMw4Xir/GWxtuNGnV0TGfPYgFCcT/UZ7Vn1N7fjNH3BjqostoWQBSHp1ywn +sOwx4zfKza8enetW6VB2LRQhh4n+vxPrY4xw/7OqFaJ1lr23Y5toAY77yDkKQtoc +Di6ZxL0bG/Jy7OR1bGgKEO4C8vMFtf+Yf/X8M8m50RhWP8jYsCqFnTaydDTfB9Lu +tuKExTp7dA+hTcWXTP64fAVYrBaF2pc059zRrfrzBq8Jc2QwbIUTZMrEcSe++wuw +zbLBQX9h2L7eLwWrDbnLsPdcw7A0zAOz4O6GJ+kxstPEqW+yLg68khY+jfH2qSXB +DwnLYvUOrIXhbww28BiUKiyL3VSQK8+5x5qkl1YcXosrjLqxD38RdyJG6xSuw7OP +KLwG63TWH72hX1kicvud9Tv4g53CGbsbBLTTxuKqwP/q6E5W1ml+Z7OIR3qlM1z7 +hR0eJF9TLlTyZE0GdM+fan6TmNS4oxQyZsZS65/a4NloU8jOHXOfP9GjXVTg/pts +8b4N5OHWwqvZSdeIb3GRYGagA1pokMJ5cZN3/W3eoFqWDNej0rA3iR7JG7/LyTpK +EKTwTq8BJXtvvibdoVgaVBvxq1tYQu9g4iTEoHT9ZIBvfg29fLm2MRM0CzmQNMS3 +WPiyd0olcZAZ8Xb5IX1mbeo+FEke14RDiXQJRYb2/3U5h+BYaAya1hSv+kXE0t6O +rP1fLpmX2ZbrmdFCd6XdWr8ec46V69w6mCXUoVabRAYexiAXbK9VwEMBC9bdfHsb +DjYbqEBQdrOGac7oLSPBq4DLFLrqUf5VyXuEhEAzqc/PgcQ3+Qvq4gP8fZ3K1swT +3zau5LWsmOZvun5jTM0x/7C/Nd7z2QMttSw/Us9h9V5bQ16bU7oA4fHXswzXtOnm +5NSPf5f+MnJnk0XdqaLwBcr3V3ra+IwVMMFF06QMfLsU10SnGN9g+3FLCZuFCi7e +PYaT6CjESI4e0FogSmLekGGXUlP0k+tSe6Az1qGdV06XZRtgzOgNiVF/iIdhx8z/ +eCT29lwUY9jxg/Dj002KS3b5oz+6aKrxCApiB5qnY9el7GcPrF0FEefxLPcsKGEc +YyRvNPoGzJbovbfcn8uS6J/eU2H8HoaT3dJ+XUULFY0tecCpR/52jdXnt/8xcC46 +UZ7kKbC91pfHCJdzawkcskVxrTrrLOXoJu6KAx9h4bIAiDJcUD53nDSKP2ppMDdc +qq2i5WPISwdEfZ0H3r3h0aKsey+lLAY3o5IcG0qi+gNuQSaTRwrhaPbIo54i6PIM +kY/2mHx1W4OFlL/1AqMogrL0Otfs3zFx0cduDXpWSswFdirxpNA8LpOdjmbizbo1 +Xhn7Q22CfAad7Kxmutd8h6uFhkrnYbCqSpRYCm0mCZPsazufaVRzxq/AsF8/8jvd +m+Eb6rM7D8k0UbGjvVJNOspmBjfbbov4rlmAKr1qdKZWCSHTWY0grHYU2Q6vtdGH +u1BHz8VzdoOV1aQwTyXwXvanmpDD/etowxzUPkRgVOCW299HyZ339qqm1dpjVvGp +2/GoEMKYBsosnz1+pC4BJGpVcf/ToVtOTlMSVp/OTOEBT4rlPCPnE54mpxGRtjVL +dsFb6Jqyr01/3liy8DltBlpMmlHpRAFhnrBuDHDqfYa8OXeOd0ybjD+YrMLnICUp +4V9K8RIG1VLigyYJUzY8iobmai0TjArivXAETNXIqrtG/TX/yL8mcNfoZEj07HhQ +Dez023P+5Vcl8VOBsBvM8BdzfHPCupoDw1Ng6s8PrhfP1jc0dXC/Y+TyzRB1KGZn +2p8KLbdkUCLozqUqe+81kKP408dKkNAD7HT2H3o1MuM2U0wzsPheMFzEpZWSJYV3 +E8I2MOSdH78DdZNiq/rTz1r6OS0VQfrtQoTTYxTxQRD1+9FlyZU9Darea6zE9qZz +VGv3LAu1LFN1G0jgAAWX/NXBfNi+njYo1ydJW5WX06qIG8p9RYqDgoLbgYPMNiHK +HJfz8nOR94FmxTcWrd6GOlwDRKqOfswEQUmcaxOJhQJEnzp1ag0gnLJaGo/54/dz +4gKPyuZ3Y1BxiHBozRNEniBMHSK41/+eZyeB/NZDiSJJCQxbu0UU1ycO/iGh+nJZ +nMZa1Oy87j7KWnms6xGF1dAAfK3bU+kmnWyb2I9pIpRA78E7hWW55QxMjTFNWXdx +k8jFMFO7Vt6lApbzoB40+K9jH8H3qw8kAcdFD1JOorAUxTJe9zHSp5S3/7hpF/Dq +2RXHyZxRfuTLLvR1hacS9UjwuSf4zsHD65xK3qjm0HpfDNUVVvfbPJNrwygSQsSm +821sY8tUk/ULlqWGIPzx/3OBVNBnTnA9VTHgTSfCsb9lFqzAL0LIey+e4AoqRINI +vmTnVWgCPO8L7eN9s32nClEK+XgrrRSpbY3Ef3DfqIYmOxTz8Mx9PPHyYJokAGyo +rObZSJcAyGUR3r3eeJiBxX25M9m0r2QUTBpogUf4v5NaRPJapj27mNUHDwT3eI6X +lcgGcII5QwLVlTYRctr998WR+IQgJvCNCN5oYOuUbvFFlJ3Q4DRQkMIi+Q/n2M96 +1h+bFKNcb+lMML5Ax1NGNGCqbgS8dPwcGKu6oO4OW+vUKWMPB3Ci5EYNtbElggja +hHajLy/sNm6lVgELt7sv2oy4V0v+EOcWE5gWRdZ52l9TS/7S3Wo2i0he5wW0UgN6 +PM94R5w/c3V7jx3F744zdeSTYwjZys6FQF7K9KS4tLhjYgv6aQ7RbljGeI6Ocr/G +D+Vfg/4J5ganaLRldj2BMrCJ//KTggrMYoCmHsgDjE/10mdvkNEkB1jEImPGz1Ya +NrJSZC4nQMuGwiR9qJ7LmCZsFDBAZOUJHOJa2KQF8wTD5cwrJv2zxdp+BBHLhBb3 +mFr7BzRxuQLKG91X9ihigPxoxQNbCY+tiKly2X2ZOXmRS4rMpF0kaBajnmstLzIK +afajw1l7YA7vNEuP0EaP1075l/XtDNBnj87cq+SePBKeGo077rHTMPFwHiZ37z3p +iiNdsvw2vffiPer4GXlU6qp17ZOJr/eW/IXpTKi6Dd/1gTnGcao74Nbr3Bp+/NXU +vo7tMx/at5GkeecMAiJK0jUe645OWWSpz5xW7f6PoS4h3b1rA5V5cJiqwI/WacnY +2QkjJM/gClh/Y7/yb1cLJGN0fkRJ1agg+z46a3yKUO15H+V7fPjAKZauWBGOc0XR +5TWtI5Frez8R90T66/+y3NTU7gkN+tPGj8EjngnmyM2osPAJTL89IYM94s4pocZa +WK6KWnrioAeHXt++Sqedabw+DRTmthuNqZBLe4vipGQE3v+qfVWNjMSiXj0PvlI6 +dj+IVpiSXsUA4nh8Bi+60XFHfcnltySjF0n1ZeuaMeVAtJ1kR2MRN7jLorWjb3az +k4Wu9QEqXtKAdqFssGGskETwaNYt34vb8itV0KT0jbQekfQ6D09ftWUVmUDFHa1h +P90Lnc5GkhmFWiJ+vxstNpBTm6feLBevZvvplx/C4ZR+NoVhOoaiUXp7MGHcPbl/ +6Q+lOM23PbL/KdaDKjBUjjG79YKUcfwvtZuWxHQp9D2XmrgP7sRdcH7zx0LZjVbs +8tSKEh50wjBVWEZsLfryzI7/hXW7JoY8pq5yWbidPV92w5759mZi9Uy69goorctk +r1kAYvcehTf24aoiaPhtVFuXRf18cOU47HMZoMtrR6vUfaFCMI1/lLqsuSCQn9FJ +vUhfU4yl/6NmAhjpEZyhI0gnwmvHOQjxPV2Ujh3PyYLEz4xsKONSq+YdxE9H9f4G +cW9Yb6VJ6k+qWkszuPHkMef5UOXyzDPAmYOml2JPLrjXztrlxRA0O3ipUS3Thd1D +S89WMtpJ7MleUIdI1AIhPRQ+NqhgQjKVkvei0QRIa14Ki/3tw7F3NWd+e6ecQvf3 +YPTuubtuLEnW/TAmP5sk3MAkDX+Q/g+vUUCCxjd1G4JRCuUAL2xZ73QU/N9/bf0L +yTfx9BBJfV9MzVZ2U0ssu16ufn2YLSwUu1ESz1i1DZl+AgHO5f/T9HnPh366jA0B +ZCcnx0UR9breKd5pjIC4e3EVzbAA9OHUCwRFTncEBQhMT9WPsJD/rPtJoLtYOjed +BRuWzyrjMPBIThsoD4Dvke2utrGtY1kFbE1ro3UN+mkIB1FJkYqCgeIi/TbllzSJ +F5x9Ah5oBLpsQpuKcHik8EK/NeQRezdxmBEe04AAAAsJyj9hJJKNPD9BgX/rcpZD +dG7j+qE0cHZxObbUfwetB9KFv8FWO6w3ZUHDIOsdXVN7jqTlVEpjc4O93kDK7ijx +MoOL1jEhhyiu8YFK6d71dDg0OG5MmJ1kAJHVA/MLTwjTQtrzsFm6bNFu5xmspifD +0rP42+nSD9dxKkxO0hXwN4fy/jRhsxi/KRgEyq9mDaRA9oMN9afu+tusJkyqXPUS +f3uB1TGPSFEHHJ9Q/Fs47+1D9C9geJmm8KPsokAWOm4cyz8k2fimYMvzZ8ct7yvH +jwx+SYaOz9TVfhFkwkbQskmaIlou3s6p3xMnkzwu3vZOgPjuo6wcZuVtOZSU2AGZ +vtPQrCeiEZoXJDvJwXC4mH6I6pAQaPy27QgT+/MiPmuLI/lOzrP0199Xcu73QFEA +3xtCKF8x0FrFRjlu5YE1ti4jh3GX2D3pFI69aYdzeOA6mv7kOmMOUWbPG4d3fOAU +BOfRjwmi3wcPBaV4tV/N4Bryg2w12D3MctPjEp022r7pk/eUIg4RL/fJqWHiUcEW +wTkXF4B1R5dw8uJXw3zn/BEbXfv3wYsTfV42XhU4KBBHPfUSk26YboDoCip8A/xH +w9RxYO6qzSDj/CTQCz+INagtb9tpCMy202ZD8+KdrMbedMTcqE+rz6+bmSzDWhP8 +Hv3LqLC8A7lR+3HBKWxMmQ6FWAevsFr3EtnEg4yP0HML+0GPMTB8i4epfqchjpZb +670cC6CoVAklcXWIKe8iK+zROyM5um6oIwGA05woWx7iP0OaHjdgMQyNM8E8CiEC +Pi3jW9DLQGzA4fP7ZywcqZALffTxqhHowpY2YyFiiJ6iLRiKCldacpqwIQvbtjyU +783UduRRDIgGFQWqW47xznW3JhFCtpO1tvVHGK9yEeTR7fLh8Z1/floIOYk9Vlf3 +2U6XvAgrjfwho21gcvBkWCKTd9pBZxnXxIKF9WshqZzcbyWQ7dzUExeL3eLFT6Dh +M4yguFxGNWEvWVBTL1dGTIuk2QjFFh1yIh28Ae6Qz/8Mr500W97GgcDeWUt5r/iI +B6PBoFoMSodHfW2ABdLDT/xUdnARFeB8JBeRXdpiGYJPerLruIbtDUsqop/clwn2 +fUP3Qm8JDpbHUeoqJuyU0gYOozM8Cj0dargSgc81vjb4ZgcrZTk2aUCz5W8jzWLp +5via0h5Zh+k7GBaiToTyRMjrg0hFLqKotWXiBuzdXTywgQ1i+LaOtCVZPiogPkpc +Io93GuDbFEfa36S3cAqXNbAmfRHiJvh5Tooo2eIgXpfokew6e9ru5xB67dCrjEpf +xMne4hu5PhQk9djarOjTiQ8v/il+BTrsEQ36QZM7iydlyL3y0JQmFu5agiaDDQgR +TGubIEB0jS8Q3Y6YW68V04vamS4HeDPun853CQd2d+owOfs51dmxkvSftTH+vU5o +cuirRhBr6KNipP4kCacluakTD7CDhIbNKtGt3x70LbXAk7SX55sCaZByYXueTfhJ +J5E+i5QtULQEwfjzso2uR1fnnVLISBrVpXhzTPJRBeiqLYFf1D4ZVs2TfEEy7Ied +VRVSakr3iE++0DwGHedh3yxF1/gBPAqVHB2Xmjmc/zDJEGUQ94+r6U0f1Gn/cKhO +YbRCy8hbQgW12Q6m1NhSJyv2Z/D9DuwwrsLc8xndpDaEgdTWymFY+6ZkXATWadFF +hPhcMvleKORLxNOPUNFspDCwcM0D2um1BNEVEY0o4bMwVWUAh5G0akGxtXsRSKkB +/00ppgHxk1rBZULQmxnzsrDMumUcA4UICpK+OzbEb+/sNZLw8tIOwMP7jTA1ALmj +pkM5wjq+blxdI6n9lBLj3+V1khn2ckWGrp3gqWSfkwAPK3SId5C3vhBmqJfJGeJg +dBrBubMmaITa0p9YP9dM2O389OUoXZg4Qn4B2fAgTHeWuYiPUtW3qIGPqpJNf6rv +6/GVUE7nXUKp8dknxosouzj3pbqftAe7kfXcK4Emn+Qt4seJ+bKq0Cxq6Mmt8YZw +RAoNThG/ED7BOxFuJcD1SWvMFuUITNPyz2nVY2NZN6/pEFhE++nNptm1cLcJmCfy +j8/iyqy6PZbr8xD3CJumrDIOxhavnN/6y+XaHZo+rE/QjZaTITk3xE8FKMVoQCPR +poNn4QH2GC03swd14E+Hb1hm3pOmN+iiMqIKGVxZrWxIJvyhtC6/PanXFGawItBn +AxzclhHpv+VHw5k0KSVXOV7yEv2PbTfdVK/gGY9Ce5kJaPQWoKxeVfR78lgmhVda +QDMSpaNWeAZKYF1azD1CyIJ1N59f2UxpoMqyS7OFUBkG3dTRQNMmy3pR8A6Q6HOk +FYpTAiYh8ga5Y5SI+mzaJ5V3eZFJBInRcgerpmwy1aWG0Coxz2jvNzbCFPTeTxX4 +FbIcuhWGRFqZd/AzLyMCHDugsbiTXE+woQhO5VqRoGz/CcemO9r+ipI2NL1mc9Oi +DIuUkbLvF1PUc/XD2PIvJxJ8VHdFdeQxKDOGyaCC9GU74q9g7TtZZHefPoQrNBbz +uBQmGNltoX+NU3iJIg9sG/dmNHjePUTOwAgfl2C0VYILk7TjTr6TQgjx91tUHDQq +WzdLUuMP44nGNPicMhZq9cIgCqizpzvBj3ybjxYhzoOMWmvWiGADxp9njiu+26gH +jTq2VTax61u4cPd1DId5+fLeyQKKVOgODPmQ++A9J5EKWDuoiOKEgEotDpjWvt49 +8dbR4fSuDmp/o7ZEaufTB7NCtL/mXfTogH/sKw0to6g4SAYX8hNL4qE0W7bdcKFR +HkCcn1hAZSZkuxHYUde0qorPM1E8fMj7DBaKC+R2XDQFqOb2+ZlwRDlAfDHwid9Y +FeX9CVJVY01LZjwwLjFeo2YoDaW2yUWtsX60rZLMX11B+sG/wDPHLRn//8pZhlhP +sSzJGcfOTsZASBeiAcQj2uiwqscGWlIO1KY09ytTj4AYEwAH6peTQO14xJRBlc3w +WMg0UAgwJcxR6v2SLwnh7bkkIMCJIC6S9Qe6U1D306eI0Xnm3QwQDNqMIzvEYbtZ +fOLUjpPqGW7Nj2Gx/Do+xQIdCsrP3bJT3zqWw1X9Cwpsb8Up+dGFkwbPEF7TujoZ +lmnNUeeVN9/nw1Akz4POyBl1RahjQ/xBHTP/3l63A9E4jIVm1oliaXxNayR0+MTs +KSDgdjawlowFRxF3ccACs1H1oPwi1kFD+Gv7xei7qEViRGOK6IqfaUQ7EzoNeo68 +mnOSy0n2dMYTv/hRIAasu0u5Uf/bOF1POrlbQ0eLvDmHMS626q6WnYIlF6ywdNAD +MPFxHULOEk6c+MKX/Mg6khdKn/SLRP6RrAC9d/I0c1kEr+SzJwERXWPHn9Jo8/G0 +CYOQ0EGx8YoOyPheDYYlPCjMtDS2Nq3Z3y7wAjsKn4In4Zg+fXbkq1KpUosQnFoc +cWC5JdalpWYqBbX6nacHmHCnbtIFoKR1nZwa8A7f/LVV0eayaW7YonlUmH04oSKe +dZzcxKmv4OxlwB6uUOp3X1rRvmmZQR3r4xL02L0mzxHMgfdbHKsHvzPRg1fJOwyX +66fnbeyxoOnHiPN7vvPWgtVD6LqjCOfWsw1UczbH3pgW3NK1cl/KmldSlaA3H/99 +DNVPGO05x7/oLvDLvmt5Q9Ab9cz2w6gWvu3Q0TCd5PkMhhFd6cWP1zRZ7vNvnHfP +nhAINMQhJZXJvGas5335U+IGV3UXQ+5Lf1D/1YL4dAlNaoEWnyv+eAhpv8pj4VMt +TEluWm7Vk/ih8DqxRUjYrCCTHZW8SIzGH7g8OLiM0U5NL9y7q/m16Z3Er/9OA2/P +a7gLLAUVhgiTX6D5eKUjW/jhCeMRqWQN6Cxmlbu9aFq0f3TPhucKibWTI5CA5WIc +cGxylQgPx73y3bIX1Iy1Vhs2EGynaI/zH4BN3BBMw97WQy4kVe3ot396Y6OhPqhI +3gGx4tJKzuerGw8jYRjV90dmGg0k4dz8X0Xr5z2ypPQN+XG4Oz5ooxQyKe1E2kKJ +HxNui+SP/PN9h+3kaGgh/T0bJIxS8yfJsKYKGdXCxPmiEYOpE6O24ZhjsWs+9Yo9 +G995whX9V1/PPVJYwYvaYhH4eIvmlaGVH0z7j1jyV0VPOKQFvT2m/8REpu+WQSu/ +EDIn449780D3S70jJhUatpBG2Y3ifwAO+ujRUDGt8LfCyfErWPSHGqQuhUzTahi1 +00Jq+1IoeI7zwwO7nGy88jR2GQAGRm5hw7bWb9ciH/vNg3HpcMqI1HKpA93V68Hd +Uq4yRS2//sqd3MNJN3w0o4ghsde/lx+igO8k6kAVpVWrDF5NXAQeF/osSc80aPXi +wknKbes14IjAA25VZUQGQzD3U0x2OQWgdZOjJycRaiOTE90g4w3oxg+gOxBnIWMw +XghxzGji099uYRNiWbYNgw0YoBYlzQd6+/eSExFBm16fUcIG9mxO0LRwoQnXKaty +2UREwhpnUgMu/rUGbF9Z/6VZPx9bilg6dTaxvJNBRtJvh1hwyb8KawVSem+RkT0t +jBItG6mkTbB4dBYVrKtnHjl9j5PwqDlL2GbALEfWx8/6OBrvioyN55gIVGV0D9QL +fc87plvJxGfU1c5n1XsKrgtj4JvvNZbeJp6cBUJYaQfEIZXRVY5jYfzPLkv8cfVI +MkVQAdaY1z2/PN3o5Zp/GAECa+cG5NEagIdFYulp+O7IdkHfCcAGnHmCtdnc7OFh +jd+bgKke/C/PO+4Y/p1x3Zv8+PgmmCOPdwCu3PQpbKMVlFMPVthKeJzb2A2mIE95 +I+RSgAIBTrK7HL4RchT4c1WOhLQx052T5gF0/KhLWRjFV4YAPz6J+TodRY01jSCp +Bt7iMkn0A+yn35sKblA5Gt1BwXb2ZaKZCYGuWyRRuCrimqhtNr+qM1UTdvaUnPM1 +Wi6boXwS7SSSJfMHt85g25JmyA9OcyodHC7BheLY4D0Df37/tJOy0MUzQ/rwXh+P +DyruXxj8RYUy1oelUW7268TOg6oxKBZiWpDWakbqc2vOpDSvtJDGh1214eR5lUF3 +HS6br7HRHVE8AQ0MCo62TOeqSRz2M1BIVyl/CNnvA/ePeH7Ml6aPl0cACUHow9/F +GUe5fI8fn6n9Bj8r7SmYY+y9RU6+M5vKfFjmfLOIP2ROWQGPqiIgdYW3UsvSlC/T +uYbEnA7UfEZ6PDPAEqMtzfZ7Cexbo9GNAlN226TbXASgKT09oBGPCU4+Fc3+uibh +JFWzsEYJKAVD6yNqFkgJZ+jdc1zxfoBVfv3d6KDLi0IyWj4ZcbJp83QKEpQOwe1o +6b3yOFtPf+00M0VykY+PNJoEKIHR9oFOR5AdMzJ4LBshyEE3F4zu6FyYsS2JJhOC +/p5KsUn//uwmVQMsZoADd1TXntiYI8imZM3LeVHm6zTDvje8XPKpHFVeapgIFM2I +JuKGLWyPpWB4vaIdNQI3ZQgw/vBJJ5i/38jfRpXZjHTDtS/B8I31NmCPIV//6Dgv +sdAeszSpQlSwHeEUWWbBB0FNeuHgh0ikiZIjHMY8HvxnrrpSgv5xRC653yFD5Vg7 +ffeR00I5NxD5YL368+b9Tfz21Irh3GO98bS9okU8j/YgUv3pnS9Qixznuuh/sJiq +MB205ReIAEuunKreVvrDFvudn2Hqclxwk2sv+r4rPHW/USq5HOW9zhcY9gX5VayZ +TNbXLl/kaNzKd6ZS1WNLhsmXACjz99CzxVaDuf8OQtJf5FJ0rUDLf4uzSYsNflwM +2CyMfAadi4JL+r63vMjKe6Ob8ng2vS/T/YO5TMqljxu8+pP3dg2xewz0xhlQHkqU +LzDghWs7LkD1Fg4Mt7RaIVwJBBC7Egh7tuy6Nzy356JAxKPmbqRg1RlzGTkLqeH/ +BNhnv94+5YIbC36q3Efs+lR1qs7twAQURw2sz3uLAVt9/paGDo7JVr7WG58atXB5 +M5PGOUZKpom6WfEFka37/l0A1tJ9Oyat2R5AHm4IJB9Jda8rnOagj96YEo5UiynW +GCeIlDCdQXxNsQYCVJ5J8kASQdnxFrj4oTdiYTfwE/+uDUSoll1Q8BsdUbex4Dfi +UiC+bj4BUhusvJIQjHPVSuTbZrr1M4Io73JWwsPTwOY3GXn93jwk1s5e7woom/w3 +CGAVdbalOktwbEz6DzanESZV40HiOV25MuHME46I2EMwOSX9zuxvUWQrkhMT/J84 +KlNqNBbswVUy7w/3MFcxB4lLJBGP/LGAN6YdHFEJLG8BRWMaD+GYP+3uDZyJpjAf +ql8RKsVRJROITCC2owZDc38QjLOu4d6Y1//J+u0clfmsk+ZwHgwZAxzUZSbemHI4 +5/SfHUYlVyyx+wL/nWVGxdguPWMYf2irXwmgyBMTZfAOxv6QCPMIBfzdgVzgqzs6 +OGLt3S1kavlF7u77Z4y14UG/T52HmM0VtPGIOOCObleM2EGbXQibA4MLvikAx4zk +oRZXcEz8os7taBtDuAxl1T0dCgkLjpl4pRmGuNr5yJRtArOgzxZ0uSb/tXnXakKT +gTwzda6VOvVhWqMylin3P/9a+f6/xj/fiE4NjPPC85u/TW/rUX5FYFjGq1McHUV2 +kkgDU/EakDzN/Ah8yjvDd/d7jewoRzWzOJTtKkC2Ds+vM+jhNnEfMSQKHiaAw0cX +OQ6umEMfL1/LMXuBnkED7Omo12MUgm21A9C4G7QRkxArqdSj/bVZaJUhXXCDvvC9 +uFrbyT/qFEQc6TWtG7d2nnP9Wnmgky0HQ9BU2HuPrUtAMAPhacJ5PkQIJ06jcz9K +jZO5teW0zmW29FCEWLLP6No7/Qw5xU0571fOAytWVcdaBJ+mckafhv0i0Dx1IR5B +/bUDh5m8vAdEYhCDTy2fP7uwSm9J9LLZzayMWxiepEswkXECLuGl3dtqa08koQn4 +km9pcOSnDbZ6D0EN2TBroEKHALM+1bgwxKU+UynRXFlqxx9//q0PoXZJf0pGflZi +HGwnAbFM/YDx4LMyU1yQ8bbQOesxJBLkgwUZveTku5j/0e8/hPFqUSU1vekJ64Ly +8FHEHa/u9M0ZZ8dRf8CL8zdWP6CjFzyEn693izwbNPEcKhON5xPnetd8Dw72J7Se +tFLYDI1DoqjHD9w1rPE2/txXLtMQTo2+hqyNzx64HqBpA6oNqiNQ55vd/q/UcYXG +CkB3xYCFBy4G/XGXMNb8/hg+x6FTVIwwFHGbdkC1JPt44fy7Ff7E3UpKHleUMMNd +u71q6MwYxcmZdYYWJFyUm9JHY5H6RzWRPyUUQuSjT5QNeNyOaHX4XR4AemNOtQYI +m80E9/KTBSqzV0sn9bWbouU8Ua7c0Zla+ZEgSVMJ9YxFJ/Z6XpIjCAu+H6pEyjtY ++5wFipWWvmJ112lExPhH7wa/338GoU+1XPziG8CyFMHl+U1YxsoTvbhrh6HmHuyV +/cqLLmxTy4UNB0Q2CygeLKbN5C1yla5FpNyCiCbjbbqeyFEdRtdQATAaLb4FyXsG +4ydzmUfXUaI2siMpSmXvWzihWHTlIFV2XhrZMosbeg5WKJq5tvllUYK0rFPdnpjJ +oXDleXGjxrSOaJcaSuPW4SgpTMnIBj31vGY99wLsc+Uf5UpWj7/hkHik37I8MFig +7vJUarvlduyUkawyxUuZ89djXuyJfi+yJVMCpdn++GN6Lz+Xl3Uidy32JA+NRIFM +pvW59KBVYvKX/+nmSL9Q5GdBX7D7IePqik8JTWFIaEuM2sqQqaUxXymxfuFYY08L +1s4uu9b7/ospMaTdvFJb4Cnpv4U70dk9kEM4a8hff9RyCx6ytftyEGV8nOyKR7gf +kPZLPggSwiwxvhpX0LAsvWZmnhByuo8ScSFTprcshh/3wDW4meM6R1FdE5rC7jV4 +z94N5CzWKjgHF1WOjcPc6vpl8QR7ZOszX5OrXbQBmuQWvlipxKmx2OcoLC93gxQ3 +Cj4lVABI9+Xh8ZACBqi7o9yX10733oU3nZarN/+tCLVd7HlCYTmaGhRKnsKm8woj +8k0USorEQgiDSis1mlDlxPrb6BA2L5yZCmux4TRixLw3TEQ+BXBhnN9eg9IZjUN4 +ILXO9XLP+dMeexkkF4u812DuqspP/qbSmp2/OUQ+OiuHjS4tufRWl9g5/dTmeCVU +9QrBXVlzyhC8aV6Sd5QTa43GSv95AtTLgon7siAqSrWHOIqF1mLC5YxlSbMM5YLL +8gIzPmAjGKz7chCYi1RQwC6sahU9TQMC1eUYvC3ncx1XRebtJqZe6NY2hLyMDaMJ +L6OFQ8woiwqREEgaVSndRfOQkKrHUCVaQzjdGK4K3j0CqDlvqrOHImp1eJLhlcOq +IRfnsqzdUxtuzoRdEvRAkRpXtQRBpIkB4ZyqdG8uKkld+padQDqCrI7L13Fu37Fu +jX/xttoMb11Ujtil6COzctLH9GQzwYRxrnMrgoNMpY3gSJIE9ENHL7tk7d50Nq7Q +hKz0fH4Zcjx/73pV6p2aWF0jCdwCEk7XKYvlj+GQXJGZi1l4qQyhp4Dnh4M7fqOP +L3/WMI4sQGzxWVLNzC9EwisRXrlN2/tkFAWNjNXCyD9id7ORgKtMPO1NuiAkjMVe +71zR/AY/NaU2Vi1exIlWBViEkSx5Gg8c5hfb9ZSw5i9laYv/y5nxBj092MikC84k +J8AGiEk3jJRTbh4N7mBobDB8ZusRVofsrevxk7vcWIqXbos/dCI753hTAYHPlVLD +fSUjoNxALSHwZMb4C69tzaV2hRPMxHwfrW35tFdCMIKA9iUiMIvDxTY8mYpS+d3l +ELAA/Uv2mGgeGax+CvBQbiwLtQzXsLQ1xy/VBy2PWzydCnMPn8tohx1fV7POlUiN +/uXfImH/A1snUO1B4Mp7JQbvwPbPQs9usmX64NORPibciWm/4EsKliUzs4fc6dzD +DvYVvR4oTmF7YguaoDR8FEnEbqN5Jj2QQdvnNi85ed8L+hHFsNMMuVdVueeXrb7e +ZDsb/QeqJPqplsuaNahH5EcyXvnUK940W5BbQ3Hop7fMpDNI59doJ53jrF1LXssL +fUGXGztitn4s4pbYtO6GamduPIuq/8H+zHvewqI9pnMML+YywoAxxnOhg/yhg/9v +NptjMvDZWW0OiGNkhoHbg989w8MnNxzsZqpxK2tyMWYvtyYB4PG4pkrr54bBXT0a +mNIBu65YpKfEqwGqPkpWN6w4Hcy2AxeThFUrIXicKExu4KEDp5H+KUiSClwkAKV3 +/TMtC0EOj9dqso95n9zg2W/VRaaZAyDMS1KI31pwtWhXSFxboKv/sCeDqGajnlWY +F+eEx1EYQD7gjqPJaD07rAn3osqdOiKt+FLfqmreYH7tMZiVddmqnQKLA+xXsIux +KOcnn4W6HqWFVBSZLTI3CddZ+D6vBmCM5W17xLkvedn3HuVrWQjqZ+rBOaPKKbF3 +3atcD91a6KYfMzh7HNy2uAjSetcVYSeZg0g15WRZtD3s7aeEtC6+k/wAUYMfpSA0 +PWfXVWX9pkNVpHYXB/ltP75QpSTs0Egx/NoXN0himFw+lFnC4d3u/MNJXBMsCtYD +U/cl6Ew2xCyRRb/lAlQWJm1wp5qke4yOGgQBnHGPhEL3nY4m0sBKNcOVS/5REttO +Nzx53bddN8i3S5ac17uh+5PIl2nlWAXsDixUuvsclSFSNQEqK79Yu4EntK0LMT3p +k1g5jzEWtFm7sHszJTfgdAS+WpEXqSXcPb5GBXZCdp/+4se3gJqdJvJ7b4OEkF+L +uBrBUsB9XqRhhgyVTSEa6/9GIJ9iYcxNQVeAbPAc25yIDrtVsjhVJK09Ozj4XbNR +rAXKHr7nr6UaWR7FcYK1mBwAOfrU+O/WWDRIXbfiHZrt26zh/Zy20zu5iwLpgjSI +NRiiHI6fOQUqk2Jo2V4TPHCjkuG6xA00Js+zdg83Nxkf2IUqCc60ZNFZUyusTByk +oggcRRiOCX27y4jrAOivdeBg7ndRDg7kXx7AIWWq3ANygCv1qthnFPMcBIIFX02p +SC0Tx2tH8Uecn+CRTQ7skihAwDzOA7gaceCoZwtTNiFg+opfr0tAC8wMfL4G9piq +298EnM/lq+HscUa3q8PrAGuCm2pjNlFjIAqh7fy+7xo+1oAeAoAwysLfzYY056+h +8xeIN+lxMLMwvnVtRenjsXGCGkf+UHAg+b5cQ9gssz/8vVHdtSQpP9VJbB/lLSBP +41FaeXwvCNLOY+c/1UNlMHhPRCocJo9Qsw2k5BYeoHLI/invWwZp8x9wGRVwLauP +ga7cSFIOUGYjPKriNjoiIAtfcMAvJ1uKCXwgYVW1Ur6bBjcc9HgnUgkcoA3r1msK +0TfDXKZu6Ja/UowfPAu04zONuUz7REvwesctV79FRL2zBm65fjmUgrodm1S9Qr8k +tHcfjE0NSpp15qrSxS4jVd/tnJqMf8Bhr9V4WxMptN28/w/ssepZOtRyKsoWtamu +ZkBWIp5f+zYyIgSed/6QF69bNYoKWwUl8iEfhig3GImG1Zaly82NmrSrmdJtgzRu +td9Q+87aWnzp9H2Cr0KCwpwrvE/lVaFdq/lS3e4Gchm4zu+InaxO5pINY24+1WwO +xCk4vDgP2r2OG1b54wCFLtK6eChdJN7kCpCFTzuDbgrSlBbjayo1R+cHLtu65GZl +/a1cLPh5QV7p4lPoXjOVaetYWwl3Qe9MxSHwmRk/NTVgV6rEbk92Ii7TFddXK+mY +9ouWII4FGftiRPFcM7ikJT2E6WWPXIlE4Bet1LmsfUXalYy7nD3VpozO2vnqqUNx +gyjQl3z/h7JZT8uz3BaEjXbxUkZdlJIFgRmZAFjRgzG+bCs6NLansCK49IgW3XA9 +sN8DglyKfWNkwwNWahnQs3PCkk7uZhCq21X4BQUpUZXYwok7IRpmcC4S/l30ZfzD +poAqrWNsIdQwIPtwqSKDCxvngWeuoJ/5UiyID5DnARhfhC7I6xMqeumuXrrSFBn/ +7SXT0oStiwssItxEpl5Rd3jefC3KkcjV5xaDdV1Y1ECn5287QJd1OVfjqMvPopXe +ZYF8NYz/npI9tY6xi5EjbrdWrIArBFP6IRGCc1YEtMIDkPK4SLAqhVu9ubz8LO04 +LpoxJ2W0/mJbZLO/0aQuy+Ximv1jxvVtIOkjmajN1k14LrZHy7tVQ/IyYHBN8zig +4s2KXcyjhI2p1kj4q9QAG/Y/moE9IgPRAlxxSWHp1/mjq0805X8tw79crcgRM/2S +qQYekDI2+IU35FgpWr2R7x7tsItn2viOlo6SOmKwlqG8D527ZKnGQ/Pl5fTu7Vuj +tN6fByTS05+niVRsUoSsbS5nSgArMNfGL1bz2WpFJjXRvYkXm7EGoK87S0QIik/7 +sCw1ObrYyBlbtQjuvjCJipIKFtFQWBfQdKZDmHZPEFNeFUzlvGSnBbydiuVpK/FZ +7tR5aX+f045ZsZEpZCBRHjOTf6wZVniYpEhwE2Q3JtVw/JkZwyJ7i1tJ9q6rhjD1 +Blw9g/r+7WLttrnMeBuwLxiXc3QAzE2DrZK4S2MigLPKkmVY2YtsavfAi7gBAm+b ++a+ery5V2Tin7vdNpwnP9WVqzBPARjr7zf0+cuoKnPSWODJ80NtflqUjmTrcPALs +wpb+ivufFUWnZYjQI4hqqmS6aSo0Yn+M7RW0xNoz1oxpHsI+OOj51yKpi/SMl+Q3 +fn7E3Ly/LFwWWE70fqNzQHy6avAVI0/QIyjzlzisPLlMgFan3g/sbdXC4NLEWWQW +A59LzNYmsckD4BQv5wgE4B1CQZBtK8Tm3JKMjFkv373XI2twka6W5IhK2JyoojB9 +HQiebtYhdojPvapVM1WL9eYPTmGaA1VQOrmC6UC8e8vFyY/ILaRSicyQSAOxuYmv +8aI5sbhlg4ZNgQQOh0AAow5GhmiKCR3XQ9QtgPoFTG25WOCoe8+WG1icyp8lt1R9 +KaeRsz9rexhR7hxHBRTDL4mnbj/p5t6vdApxnkPoRB9eKVAPMUhP12ZbyHSec8Hf +O6fxuiI7S8M/yk3rKz88bzRMHT93juUt+d0AUKpvoCMgkIzqLeYrNSG6hgnljyED +wAfr5UdmycbebxG2Dmv4xgPay/Z6TZadPULExRoSb16F4TryzZXpXcuY5fH7Hzff +60Gwq/BnZAIS6hPCItTowkpp4ZSeRtSbSxMS+QlxAjudhe41TIjocH7w5DjoDP9D +7HPHn7sp0fv0bEUi6lp1uJiDzZQskZGf4EN0bs+9qkt3FkaMeiILu8uBeLyM4VvX +Z9kcZbcA2eqz+Lod12+pHY2Hcq+jxXDNUjZVnyI5po0bxCOTbT1t0RjY+uapFGnz +1xsiNB57GyyrzM5h/3D+2R7u3P6goxLmpoYDWbfkSt9YyGao0yRuyFa8ebWApqoQ +lZGoaKL04hGnEEhPj6ToCbmEKL0Uiu4UfWjHAvcW3ixceZCC+QziRMGLeQk7cJn+ +xN+00mq/dKqp4q1Xr0kfhurNjER01QFwLP/65+Aw++sM2uIkTwEAYs3v334oOuqd +gAkF6KO3/8SvnWvofNmLsaSPe1QGQBRtFrae8k41pYTcQtr/TJZXIg5Wh8mRBdQQ +60/A5IcdYS7/cQgsJyMfkY3vUgny9LPnWlwevFKDUGVTB4hqAo/6V+8l5VN3fJNN +QkXjJ8qajXRFxCOw+fd7MANNpj7TZa+DswrdTk8POgGsZqa8cbUXlrfdaaZCez06 +gHXPOHQOBCHxjfNzyl2BFDiKxN05F8Wm89x66gvX0IeyYRbOavm+uxQzdzBZV46Z +h4NoV0K5BK22O0w9EexLx1fsR1jjp/UPgba7CY9d0apXcibm+EBPYM5BHtBhYbgD +WX0FaHRK6u18hsKmF3T7SPTi/Sdm7YhS5SBnCYNGeDew6JjKprlLf4D9Ht0aeMtI +3YA1YqbN5gho/HpW1KpRldvvjQBKVWGqj1k+B8twziJAat/xZKQf5iPu/SB65MY9 +NLSbxbNoF09wA6ZYO+67R5aJ2cUbLmHI70H5b4rRRgG8lv+cFnfe/JhAtlgyni20 +Zj3tL9rTe4LzvGiK8QIwMl4IxDAEDmsL2Xp4woSmYkDnVLeQeHEhkTkciCgOnoet +s+WQe6FoqMzFYP3Le+Ebexb/xejrfvoAG8FC39ZLxEMvnv1SF5VlXt2YdMp2G8Qi +DKiVKeg9EWDkvHmAwCpFEBB5DIISJTnVMownT87ztagws/WbEgYZZWJUnReXrCrG +sQGAUjNz+OjW+f8EePBcCZGqWCj6mAd3KA84n10TFqePlifzQ+Wjvjy1kXQIMBBj +2mOToKcfdssv3uYYYbghA5jNuBSDk/VxrZ10JPiYdAgHC8rpfy4kBsC+BNXZNdYh +3sNhn9j81PlriUsNgSSyKvYZv3ZyMj/us72asl9LynHwVv9MJyF7GIVAa5Fhk8Bw +Z0T/Ppvmszvn2jUadI35khfkbvrQNFzhi6CDZrNRQgOFLBMVocikQzmidGdYLlNO +llfCNFnliI0Z1tOipxNWLBdqJyrjSO/tHkuEEFqQCbcRragZ1MZbQCAUFwR6+LYx +96RkJbOEohD9dZzegTGAJ4ZX7+LRftP9FSJzudqBmljhLsfTZWW3ySrz/cg4HJ7k +uzZoaMxWbNOQN/ThOINkGPwN528mZXnhi/vM3OawA0zOeUqaxgrOwtISKUMTiqPm +yJTBVDP2wz78tV5JPXuwB7UzRToQgqyyQE7q3N5xXdXqG9iwdQzOJcUk3+2xKVsl +8TRcoXXpHaO0rNJ35b1R11HsvAJ0MDZtjad3KvUzwMZLgDsQorSzzakQ6iXToEOc +jRi6tlDJ9A964ajL4W74wiiavGAy3R2BpWCgrpz/mak3GT4n5ROm/1VD5PBM4RjC +WtYcDPZoPgK3bHU7g9QqS14ivLWS2B389XEMKp3+nFmuXVL3AO780K9bFCKaBh/l +S8vOwRTvBg0Q0ddqTJn3lL+EBTXW8oUU4G/6jeM/LPZoGMxf1+euzabhyfePo4Rj +SPm152vhHFea8SeNtux8LFjYM+mBT3fJtyTPr8mW1H2daF2ceCZHJkkMpD+tKita +E8Qhj1SLO5eNcED5gwhiI8b4uFDq3p7DkQYu/0YGEaSHG8vuAKm2zkoTzsXm9Lf/ +XcfpZTywZB0v1YkkB0UKBu+NAmiLlvIiK/anlGtsGJexgsTDR581iMm9jCj1N0O/ +2WsH1YPo8LbFn+h3IjXP8gCAvRe86Shgi9CCUUReftGNNnw125dmCdtpYWG6iIrl +X7XVLESV4+Plh/7jl59lbgFgf0auedRQZ7z0/Xd48fg2j+4FDT47uOmybZRLjVcp +KeWCWtkvYNI5HfK+Ws5L6eFZX0/bU2WfULDMoKt7DtRa+NnJvjspA+OlgLSpQvTE +SyoN6T0ftScST2xgKqGya/CO4hwKepmjjFIhuKZt+BhFyeUn3af6yL7MOrAixZcO +4H4X2uTlWbwZx56CiNK0VQwiViO0r57nCHiNH1mEUogLYGT4thrp0qLcafDgSMfl +MNqHptAlnFRRleQ4aS2mebylrlk6aw7ej9w96abXR3RFoTnsJchdW0E80/C/Ee0n +m7GS6D95cDAQwwL43kc48K59rEpN0Il5IJbiCFKlpYP7IdYc2YtTwkNg3FlC0MoE +3OYR3SFoNpV0BIUujgxwTHOwvzUk+uKLJct2gBwaAhngLkk9ubAeN1eTb+7KwkBs +v63p9YoQTKelH/nK8FlpdFlxz7aba8WYUzMnbgm1sWrcZ7s0g9bTmpgZJZ2QCili +xaKbYbbWqreHrDjd32vkBVs3vMx5unAv1mKVcMe/Zf9KC7YgUTTsGsIvZhglG/dI +cBAb/HpiuxXGk9haC5d12eCYOjvaIySqYEok/2AomfLvZ3cEW/uznHhtkJj0uyZw +5e8to45bCJE/05gh5xF0Wag7VoGKbFPpnlTeYAvUOJYBOb3uve7SYvwQJpCjMxgw ++hyDdxx4TDnEA50lZA3JGB+3xqVUgPXNJF8E7BT6fbnsVdkXrXEpWNRqdkuKtX25 +HNVGYSR9M2npLzDQkZxLTSsaYzVMouFpeO6om4bG7rg7TNEn7GBIvnTqtJfC2FpB +fxPuSQIB1/fl6jynTANV6cRTxRtCCVVeYccSq1KP+nyHI+CxxNe3a+cxJsh11+sV +Fj2Yq8QW8MPJiLxpbPomSVReCSPh1ykbPmYbeCyMDV9Z/0q+C3Xj36DFqvIA5DAC +t+qxypvlYIEQt45fuXV0hh0Egk05L89L8OjiWyJ06qYjeIguGe2EgH9F3UBnyD3i +gf4wyIpnxixhNSQpG2sCJR4vSYLTJRsdwRoPWpQteClpIhHoxwcJFCKlIwLQgkKq +c4UpzDI7yb/aAoALZW9wP7dcNJ+LRU2i9Z7dUAWptVsRg8guQw3E/DVUvx9Qm890 +vU7hKo64LKfGY5/R7Gjbj07Zp96kyNiU7pF24M6FQcxz1CjMGCpTD+nSbGx4nn13 +25oaBXDVCr+FM/g8oLUTRnuzbIjJzCQmjRwXZemSUirQPMW4yTud54Afu3FhZbkC +HFUYZHWKEuMuvt2SL7aRr1zP9qJTbhJ1UG8GSmYuc0yS7UXgC7WD5zCXVEtKmDNR +U8MImjSzQjq7qMP+oes57m5YU4VaZMkNeyxuwryFHSI86+tl9K04ZGPq8OMaIfVB +qRFdq6WACki4B2hzlPXVUP+0aSoEkrlq9ndpwPep1Y2dwJAEYfQ9x57CTSYP5qbr +uIPpRo8HMQFzslsECD/R3tAGLrWhuJD0jW0RPaoaEsjj5yu34vAuvind60grIPLu +b4tMXZg3pU9mjD/M552lMCIs6knppQKoOcE29ee55IqVNV+jomZ3P282e7UHPw36 +O61o6bod8GR88S6HmkVpMcvnOlHms2gSNEcuNAHQeIVYykoO17lVOxtqD5geqTYD +U0jlEVe7wnpN/E4hkhnAcdk6LW3ym5Zasbd5XmdrAl6qmloI3ClWZN/VH6j04yVl +7607wl+fSbMcnKXhW5rSCN8CzJG50J1kl4en3+cOH0NEQfFq6+WVUSqTt8/BgXj1 +XG91VIhNd1CJO/WUikxfvt5rQsfBGTWDfX+iXcy/+pmlV6oVFV5Lw3tJTPryXA35 +eUlzo6azD5oZOyMT5ODhfg2W7xsvRdjIK1M03FUSLVSrJFE3JUUEaTKyYZ+bRbuA +k+LzrBoGflBR2jX3/ZrK5rC9vg3QsA4cIRQEyVnBn8hEEcbRRTRWGHosKT/e+Osg +RR1Lodb4jDc6wAhpmJJ9+yfwc/lAfU3KH5jUiu7vmhXuswxNWXeuGiEXQQpaC1z9 +CFUu4OnVB72+roeg/Ou1DELM0F7sOuG8BvMuvK6OzIRheYUem6xoD9eg0pgCztmm +DZdoSn57fGtHqzjDPCwLJm2qIrTgC/B9VIPSy4tWvhbyhVG46ttlavg5a3wRKS35 +MRqN9dR03ATaxxeYpPciv/EWRKO5cnOhoc6ccxknfKxsbIVXZhdbCnz/6YiyJ/kR +eTN6E6G0v4mfMcv96W8Uax7FoHMBeT6R/K+4fvL5Xrzhg19GkSXO5GbpU5iFgxcl +LX7Gw1PJpzE8ZssNyJ53KdDAn4e7d+6fipOVq0U9HDizwaLgAjStdqMkCylzrKWQ +44aujxDiNwPqzoII/K3D0vBOXyAfBmn0nc/dGWNNPuGawO3aKih22ua5/EQg8Ina +myAqU57VKgbaAAoDLkbc675vSznksPUPuQM6JswpSMxfQfUDzP2CaIMehnEYZc4x +YSMRexjcFofjMb3yOSqIZq3ZEmIdDi+h0Ur2zGO1cygl7SW16AuiVhpScjJbYif/ +KQY88gpoB1uvPEWPevSE0aGxTLlK2D+z9QWaycEkyPjszJuRsbNhS+esHN6RnJvU +0G3fNTPvKWWk8qBr72REmuO9TZcblkUwKxRFJKcDuikMrYlWLA8i4YcfwNEeo0QT +DfI/qptUiFA8xJwUKV9Vbh/4YlUb0nxNlyYKPM31X67maWuiy/wb8ClApZWZ+w94 +zyflJFyRzfxaGZzJ5BzeFsED9WW6yAYYPQCUlDdAJyn9WADzoTBalDsIh2Q8nTZn +kPoUgMg/4pwBSDhTfoN5B1XBK0c+Bek5dSLPBbTBUgj9zg+mIKxm+D4JjXKFSMPn +qZjTJCcOIPxbdfXWGoLGgJ5aSkmbbOWDLK1e1GgCuq64ruVUBLP73jNoEbxeVrOZ +/1Q93l0G/+aVsaU7AysCxioQqK73e2+f32Czy10JNk2CzA+Gc0XIT1QFSYeeXTsE +JgNsgfxR1oePbB4WvByBKrZOPz8l7OnDNbD00vFdjaqtnULq0b1FB2S3UwgCqqoW +t6yOq2aN3CFWqI5SjhUsCxxUbEzOi6CEmtoclxRqNiH7VhAo5wUGjnTX3E5LFLqE +iQKaFJ4+l10zqGM89PbSHEvZxtlLsjLVyr4/TBKVMd0P6+eYaZVeSKeUE9wqo2Z2 +xdDU4lzTjnJaRGCkUvw9fJSaHgmbGc9XLg2/6JgwWkGxN5qrBbW3kKuWJVrNWI02 +NgbFgrEcBEJhebVlu7DRwe1KIzZkZD0uWQizDk2BsdXlXFwFgoW7aXkbjboJyFWd +Q05STo3vfUuXzHe6GYISvzZ6VL/D93T0m6Fjwt6nHLY7GOy+ZPEkaHiDMDRjzVC5 +iEaf5h0ZEZYAroymhDaaeg5RnXeHovAnB5DJIxymqquQiLBR6SsjrGMjBBcrBpGh +KQwY5CkfR78Yxc/1OGD0dX5fHDx4/K+yJIVFDARgpmZYangoGEYohRbEFPIkYWfy +b2FuMh4h1Uyzi9mVb3gqVEVak1w/aqaLB8OEI9LbW3xWkiaMo272Va5KxHPm0Xs1 +unk2AWk5oj8AbPTeI3uqBVtiw++ekvlpSHdVFvlp3sVIJfzR0gSrhdYHtmZj1ADQ +/zAc9OhhC84M2shYIrCB60YnG2ZQ9UCUiqAOMvMit+ftY2WAohDAeYXvTKzVmDqk +huQ+tYeYRI9V7fMyAGKNu/RK/VQwJW4SQG9otb0mf0Ic5g74Npdi0TChfk0ov4yT +MjfNAiFuv/Ci8k0IzdwPUziv3IEbnzcHM8sqqbb2BO3zMys3sDjy5E0iZwixvHTF +5hk1jYg0U0shpP52KoyYwBc0BQQSykoPxH8e5x+OJzjj3yxyI+fRKw1Xgjl5a+ek +E9Naji1DBSlixjOK15+ZsuygosGybcVps7rtJUOnAvUenoywxO9Z4JpT/AX0bfhs +ujhxKWvqjmPbpX9Pr8SAf9FDSt93n5x/xxneZ49hNlz203PQO9epSSK4s7a0a8uQ +4teB9E40n19hIuIXeAomF5zk5psXgyWbOJ4NMDH54GLRG8rNPvo1qA4DHp9ws4Ni +RwvN4w5B3Pv6GxlkUP5a5njqqBAkOoEHTX7xJCJKIn0TlTM7iBJQGVByNhyRHGQ/ +S+7VJ0CXHUfIvhnSRmV8l1+SgBZ+qC7S2xURDREx4SRmp8/ix2zBrn18ywCRd/V8 +8SOWvgz1d+/1kx+jNQs4l2oiIZ1EsvQkPfRzgxEgxNFeebd7kwcSdNrBltspaNdu +2rRVnPy2JwX36cvmMJ7Hr6XXQD9/mMZv8uY7PrCWgRM4/YUuMR4FaphaBNqw5cPr +HrRijClgC9Da7Rz+5uNL1QLNKZD3kJGaR4OIJ0/hOpTU5lzgKZR07FFXCJ0Nnz6W +RbveKkPgjAYx15qoos5/eu2eZtkWoC/gMqLBFPRdbCLw9qGqkaKwe2NhMIx3Hdkj +r1yiQxNzFLzsAg8hV7NEFSQIbzbK9O4bFhKeua8tS0JHzN10roy44EknDhmxgVqt +6AGhJTeA0oS8bTqwickoH/xo4YVknL+j5wxYTcvLwQd62jOVQK46qpTbzIUIzvcz +hZ+bd8aL7nAI+FbD0ej4wyaRJusT6U6HU5KaZ4FP/EMFe5lThEes2ZfZbEqQ9hhR +3SKUhqxX291RmW7tTmtIn+242Or1gqRUoTra3u5JKkAVDR2bvArJNro71ly3NnK8 +mZJP0e0lxm2r8/vHIMEb0vcuDTXZGMpmC+n26Q2iK6Y4WUPiIYnCdNREPcJQ+Ppd +8QxkXUEqk29N4BPiY0FtrcNuwu04jaDXfiUmoQhgsLlAx7GceviniposJDvF68bv +uTWBXyG4ZsDFoAp1Z69tiTjWEwvU0axrZ4V5t+bUFj6EPA5UyLSQlB8ovCLyGo31 +gigRk8dv3yhe94QM7W+hKRjKAv5OJj1Sszxgz5XMmu6CNY0fDXFJY8Rpd7yWPD8J +j7mwsZNTVFxSp/tSvHIXH5Ys3O3U6PzEB6WWOTiLkoF7Zx/RvBQNfsmj92XQHI/x +dvU5Kr8UfuurOsAqPznghnPaGONAdWPbMZxVdtnsXGs9+vtS9cqbhazYSh9HkXeg +AZzSCQO9nGT+I0fGf/tjZFNgByUkzMreX7VyhfIEqBCJqYKNvychUuyfkk95AisL +WAWd7OmZuE4MpACDD3tjCIKy0BO7nu2Kk1kdOWw1nHFTXa2uKd9LwQxAPKBZ/Qmu +14/5OnXBZjq+YMy3zXyRe1SprxPva4DUIKUworV8dXzUtqLJiJmrQ8d8vK3Vz/0E +22NO+Jnsq2UFPE8UY8Cj83XeJfEL33ZHTMbmyhVFs7ga7LJLfU/bmX+yf8iTmNLY +EQoXrhS6fzOXSTqxt5//cScBXT4C8hf3aiGiaYw9W8jQCDYsYlTA5ywA/FfejjOF +pMk7SNgt26D6BkJtxzF/bgi7IVOrt0YDszwca07BZIAA0UQsEgi9Opu/rRnocCtB +Lnqo4L5cTiXGo9WNp70laMbTXc7BX6yhK+GdaRv+d4oFkOv+YSuCmtcA4+q0aH4r +TIQVCNbLFqy4JnysGfTGeAFofZuOgLlTnbseYAUEbsl7kIzfSSkr+riHKqs8s/Ne +869z7O3rFkSftH004A981gRriSFMfXF8wP0UYyLJ85zRP6fdPlczC5JlKWFykT/s +In8MnAtjlZ0ttRtXfNBlKj+qxgJVwRdGIM+jrcsKBm7nrwZmYY4qzakEZir7VOnk +F/cCHcqSlTIwCMiWt9QTAnRe29DqM9qF4Mxn4IAbm8b9/G+PEJPM3rN1JjSJiLa/ +sBI2AwwNTPaABu3Xb23gBMXQPzu5MNLE8QRUSAOHhjP92rDjzV1wD1egYW8T/nXg +qprP5LXt9N+s3IQ5UoDhYwt2xEj5M8/CQLS4K0DCkKqF1P1J8eLMIetxMuqlg24J +kPw84OJh3OY/8W7LnxcdNCfpD54QmDk3suOjOSiydonDYGmwXeQIDpqqGaiG8GMm +YMnrlbvEIO5VbfnZXYsnyNA7ehoJt4iil1qcDew2UY53hJLcG1ZR0retwI3aORmK +SW4G7Yd/qmj2RzYIwcUcMOlgbKYoEeVjyIvGDK8NwLFewdx8AMvnY2jOdpbk9f0/ +9d03h92eLKNk3L0RSHMNzy79EDqnm7s1FWX7RpCbtGQ8UZZ+HcmOXM2/lRZAuOC2 +1CLwGVM//u0hKVq7PxiF7z5C5U8bMT+jR69tY8KYXSBy6qdP8MrOhWvyJwxCMhFn +OmgPK/wjSp4pdaz6Udpx/bDNUgp6amOTziokQU6g1ZC27IdWXs4CafwsfF5F1W2n +UEmi3a6pA78AhL9+gftZxovgrkxc33OjOz/zHzXn4aXrRWnFPghk/f3Y6jfol10r +PW/qtf0gCpwlryEUyqLoUqyMT0pByrnWcoK87MK06poY1UAcbxOXgQhlUeohQgje +iQ4re0Ab3GC9Fg54f+u8UBHuK4cHTeuMUmzIX9vAQ3nuinvWKgIgL0lQbmGcXQOO +AU28WZYRkisbW+uGRXdof5hmlizaz9iYL1QMBnxkj+VOd38IABWFwibDH1lBv9RA +7whNoDqXsc0FEiBhpk5Ko+vkZCd5vWEEfgyfbEUiN3MXJSym9RmCGuokfOdW79lv +S/nW99Rl0WnXOX5afwP6NIUubd3McYVhyCgjTH5AXH+py0FjWXFCeOGM6DfVo7J/ +oIMAPsdrycuqrVIfaqNvN54pf+VUDvur5SigA6HQeDvxnDGSt1qLDtRHsDX3gTew +PyiLCgweRFCc8AwiPc+QlefSzoBTrhzBhtr4rqW5Oaw+twxppwoK3JiVkzAZXhlZ +RUps4zleZWU/MFncBN9GU81nm0q/EV8OAGz7KVnBYFMRnKWO7rYphToHW2cygtmw +mH4/Y19vCsFGF3OeGDSQvbsw2Jhk1EtbIrbwEewmkj9wF2Nvw/lHlqxfhu2DZEzJ +2zWChZzrYyZi0HATJrIGolciF7S6Hc2DG2NLP1SeinclMexkoCu3kpjWJ6YeHyDV +BwGqzjAHd1ce23ehawVvMaFYFX/yR+sjhWg92runMw9lG6mPSR1Igs1XjlUhm3oY +inMZowyJ8Hcr4xMjvkNFHTZZaiqR0hqE4fvhkXl/l/EbZn11cCUCYBTGWEmBTR2Z +Qn/xrTxGgIhb5D2JC4hJoJu6x1aS6R5mbSq6DEeYKmXSATMRrJypqXmm3lS9rIEB +Do+YsooRD+Km/Gj8Sq0UEEWckN/k1ACRyHyA3TT8w/C/jaOjm3Sgtz/AmHcdKx9K +scyOCYeCsf13kWDppim/CZhs2bsH6eq08VEKmvyfg04UpFnQIxvsEzjEX40/bewO +Psy6GEQhSTlR2H2LSFh6PIlC9p0o1b2ada5wzJ7kvFNDMdCaYUtYocVxk9Sec00m +NxJVIMH9fNabjkjoyv4i3KN1DUtaYxOh8sKJWLiMCskwujPZhNT5WP7fAd+V+YxR +cwrjAWl1AnVuSWM3ni6Y+y4l11AMKF2WaU4AXhOAAzMdLkByakm23mVronKKKFh2 +EZmkm7yvGPZpmRrKQ3ShrMveBE6pepDKN4dpXMLT8K/5d561TAFBlwc4w/DL0HJs +uE31NMkEQG/aRttsqp1pC420kUQnDH/RNWL3EFgRvHQ78oZWEztEp6Atdc6MNTVK +z8iZjBr/074r2kVsKncREor8EMIa1BeDB3Lb6YzIECp3945tTE6zLqyygwqw1WPU +0a73MOlO5UB/bgz1Iw5DIAoK6dCO22Qh+n6gi0TiUBkH5oI7XXPMcz/iOTIHr2Mj +Okf2WGmGQ/3JjJQm48mZ/+cJMnJi7f+dbButhj1T9lNyJrz7x4Q8xxF1GeOCtcQk +koaXl+jUcC4Yf3PpaMTjEx2W82/L9SXeV1CvefUMSaK5iXScrmpc3oloMi0b/Z5r +KxIE+NRdYahFXZTNzYiPibd9To5e5o767OZvjn9tn4tYOLCPGvlmWyIAFlQQt6MF +npB8Vp4y+b28VF2JMRLPrpxMHzca76bYovRiUGyThwm2d2U1d/cLXWrAkVkI5H8E +kuan6qH8Tl4tfYyAuWXS+E1fNEh2b/1wFshU4tSpIwxYZ2Mgmv/BgDp39Mj/5oO7 +cFrI64aQnQLd51AZs9aZu6PeXz6orcQuKeYZxayUevFGijcfIm1MYf02PFHSth1Y +yRWjGJgcIZNu3Xqov/xWctdaVVIT59ra6Uka4E4ThP0OH5Of+yCwNhS6LOuFJbWt +Rww5+Ub+Py3dfmnFVarXf8goCGyUPDzlWAzEpslllW6ecIy5dm/YILWGEvBCg7r/ +ivCKr0BTNtJrZ8O1TIzX8pEccl2kkSy0WD2IJEi5he/EZdo6jsf8YpCSFw0pW4FR +r4bf4nyoJqks5wfJvKoGof1PO1L+oDy4nijCMynrqoxZ8Y9lq8RfRQgBKa2ApwZ6 +7iIQ3/7qX2cpIW405qVa5sO7U/U+y+toEze46r9cTwprO0AHs7SaXXSOH41+ensT +FCvzHdD2gM03tN1ouvjSyP66kGX/ijb308DNYJ3g5MBIWzbck2P6K7jJKMXQsVTn +W24L7jcwzrZ9gm0PYw4ua/3Z/BWmyXlVMGYsxZpNd5uCf5QOGip+uJeSkJ01u1hw +hiYzOqeLGeMarhoC2aegBAmlc654q3ITLzdHi+n2O0fP7mfxt8WzceUedP4N2Lga +LHUSOP6pPjUGI1JNFNLZsYiwkMKf03Hc4IVem9GVQIUlh1/V0UfkcwubnKAxD8vA +bYAngnCdMUMVnSPAaeX037kSjqCyd9JVWzNxIDGVIXJhfcWSV86LLyEfc0eS7IWk +S08+Fg6DbrWQpq/nyQNMkNo9LeaUn2xTwhsxqgC1QKFl439tGfqR2hm9TJXV3mv0 +1kBtx+C/BPAuit1lGvuPJnPfmO1SW7cTQgiou72O3UZ1YlBLtmZxAtEu+CoeAxcf +7KLQn5xA6if6+f9crHFXojio297PscluHg5DhQ/NaFkIXWd6ZvsYsSedysetxOXO +J5XxopBpkGMktzcIL+udj0ElaWkzTVX9s/hnnHmpSAo6cZIr3jfy809qd1FRQ3nz +NMFiSNo1SHPnL3wmQkS8QMlyiUu9PJmoAqRIZCuXz0xENbFwbvV1Ch5z9zM7v5hV +A8xI/9Rm5I3+RpguQckjxTzhs0vNYu5yuNNod8mrHRlQKDz9FaH8Jg/L4b7I+Xgd +jCuQl5U6g2+DV8jl9OrlQtwVdDBbp/ZgCBpNPgZ2aKO1l0FT2re4Ba3RcjV+A4fD +IJdD/6Onl3XHRseMNsPzHzYSABhoKbV3nRFVr5h+SNWOhsN27YOZvZyZw09BDCct +LGZlDhOaXJjKqIgL+u7zCgYL0V/3gpqr7aJ5ajXMGzhd/INB79/90/JQq0JE9OYv +17azyExCK/QrwKt/PGfVraTzX5D7hym7x9DPFBTBLWVt8H7xPpIPs0arY/PtMwCS +N6u7R9E1PYPZSbexQFg5BZcpJOdal0iJ1BfFKJ8Iq5m/g0/bp3XCKdIf90IpfupL +6YftGl9ITL0zYDZbHmpiELEXsCkQ27Np4TXa4Sbyl3W4mZ+fsAcSdsnvzJ6Ajgvy +EjmIL6yzvzXrm/naY3lhgiwenh9BcjQsNLwewf6ldeSX9/iuvcYoGTwM9IP+hAWa +cAquMemEO7jKKKjDGG5HEWliYVzZkjnbuzqPdM5hJWfuZZGptVXWByzScedPaafY +5DucdSvxUeyDHXhgEljIAsZ5cQPS+V+7Hp1xobV8fvo9KLBiU21yciLchgtCLVKI +nG3BaVtbyb0LKqwebKAegOzjK3kiQZDDo0fLXFE3vCv7TIOXmbhwuhBFVPTV3x2f +f2KUOOjg2n534l67ze8i9ZcG009J8cyYt97XX/zLxujvvLhmubBliFj0fKjLXS5x +m702K3qvhmIdMUn2rDs0bf1osGHzUHg8CM931YdDglhJmkAWcJU6ttv5iS9oOUS6 +/ayph72/2o4cHRWW9OTTT89RX7s4+/ryctAM/Wr56ZOIEMsLW/LOQ0+vHAxJj6wi +pfS3aoDjV+13QtUviwCP0xLFnyEj6266ZuFTBJXxiXe9U/TQD+AH1ySMWXkVeA1Y +Sb2VP5EtyztkrkwKy7Au5u/MMaSpvW6OQq2ZromVJkyuDXdgZgqCp58W77nsevZ5 +VXFJhYVEpJPJbVfXZ6+XaTAFV+bH8JF8xG25NrETm2P8kvuuHmzRos31SNWGGFCh +5S3hDbmpMeCpmw2KGiONLwqDyCch7B5CUjwNaPIQBYW+VVQ1Wvyt2zn4dA8mEzpZ +dqhzMaSFzoprgmttybt5PdQBo833gI2S4dJ47c1m0I4sxfQxd5jfzP3TubXzDxJ1 +Vac0Myn1epYWOehLOfvNKef9TuEWgTjkGKOCT6kO0zY7e7X5HMN2eRiNwHbluQrL ++lca/JdkERvNBosv3IeUCn10SxfWhjdZttxT8JL/eVlxNi9OPTf+eMFHcf8/d9wW +DaHW1lyy99+pddcGW2R0p5eCVj6T7HvdriTe6vI/K94u4xh6ac+ND/Yye3Eb+BNn +2qQLuAPp+duQ6ksV3DFx0lzju7rN3Ecd3MoRhhDVbTDKM557IWV4+moFAhtmKm6B +khgRmhV6aS91/fsAml5EmL5EwZDxy4ox+nD30tQzVmeCHo4OIFe67Pr0eVkNEJbi +MmiZSv+mKUEYTVLn6aeYEZ6knblqRsfricr6h4ohBMlXE6K3zg4oL4xfeFCnJ/SP +bst13Urcu5DikQsQJnSumA7NQKUfiP8jG1Alb0i/fjUEKYY9VdebE1VKuSPy/N9R +K85h2yAKzcGpSIYKKfURnJlSII17UQAnWQ3j+SYkF01/fZBjXz2oKzxIjc3nBhEj +U7VAI6BI2iF2YkCBVto+Pz0lKx9z42e9dbUP0Ix4gqOi1Fl2wZGwMO0trtW6AvHx +fRL6243W06S4oZLzjKaMbfj8svKTloNUyS/K//x/HHAa//dtrt7bCZQAJS+hkua2 +T+VFYun9wjoP3knPjXe18eu7CEgO+P3Xx0dSHwInP37DkVzPABPlspsdT4fcT202 +x4+3Sub8RBgfqzd2DbJwtZnx1alDELUAVk30kT4pUu7+QxVitg3IBUBhgv/GnWYo +mH+Mfm8PV17XCXZ3Vp3aggSoPGqCoPiNqDF9JrL2NWdu++qkRkboUNfrscZf8020 +ef62m9xoAwQlJS6mR1CNyZ+nSR6mryVeyH7JcsSpfgPheIg6B1NBT+Nwj83YXil/ +pDQ0GDK/nulP82MS0p7fJV1AeX34yglYJjfZb8QJ+Z+OqoAYr/jWulgac3d0hTGq +UAq13mTCKPIzzghfjMUpbkgW9fcu56rK88RTFfO8SXBWgjy79+hUEB+lkWknCVAI +ycpRtr+6VVkPnGzlowJWAZuKMRlG17Z6O+KLuXMvtPtqG0Gz22C0WVz8dHvPN5Kj +GfBJEn/iDKh2J9SyhYmiVANcPTwjt3WmRib8HX94bPkwow6hmbQOnVMDExYPmCpu +YbVpsHr6c7jO060diFkF4poSLq97uzsn1tOmS5jQuKw0Qyw5yYUqSRSoFb8bK+yN +p6kMCzqJHJ97O66rGDdLfA+3JCnJ9lUpwWkEEOYPpnIa/fCLVKuhECcm3fujPp22 +GepReYd7LJHHbNYueGrW2cIFU/kYalIeeQgkOAEVKnGcYrsOQGakyzLtbO7ZHskx +0K3AxRe/Y1UByBErau3Ssvlg2RQqcpdv2rxY0rTwynEH8SLK5Zf28Csy695kfK3O +n0IloicfHnsRfa56l91Bf5BQ2f83GXXy1ZUs9s+HpB10xTfwOZLvnc6bQYz/UZQr +zz7OYCzngyJA2Bmb/ZKcO+zJg1K/NWBBCnoJ+me4q4oX7CsJemNtW3apn+u8bO40 +o8K7wSGZIciDGTR8ol0QafIG2Igc7CzgzDQfqDaAU79X149WsJSP5bziHt2gZ2oT +XFH6HbN+z40gUtAD3OHX2idDqutE2aBnhml1qpR6QzPiQrnJBWFxkex5Ms7/SLD5 +VMbH+dTRLby4e9iBYjTZjMZjy8rgTS35UfY9iS1GG8Tke0dO0pU38DyP7eEDy42l +DwGDpSTi5Z8IkbjnBcOZ7RnCu5N2vGXlELUZ4KSfIWJicF8ic/HQ9g77XlzuFVYS +7rIHx0Y12bEGxjZ1z8IHUXE+LskG1hlKXO9sN5ZU0KVpbzhKZ7ju0f/Ab5YhOitG +IrIXDmruL89iyTEum8irWp98W1TCBZsoxrRKNYMsjl4qlrlfo8CC8WdIAo2b7nCm +VeH8jZZcVXgoe6mHeohoJdd3zcnFGrUkkSK8mzkclXmqttYtclIeMySObO87DKdi +M+XhHlFi8RI0FTs/hwkHfh+2TLpPQwnAfGipCRLsPtIz/0Io8vBw6gCJ4tQpLmeg +Xzb6wVwF3a3npec1Y2VvxYIHLfXjM73r1dNRrb29uLJeAJUO7sn5u/qu3Attc/Di +vvS4vzmqJOal/4D2dt0GZDAYyQ5XFHlcYTPsdk3IcSihVBrMOb6BHeUXLEXkMKJZ +Yf71sBdNd1U0P9Z/SPBxoJhizEUN/QV8MVHFqs3u6cBeZqSRj06XQHD7PRc0XMSz +UblqVm+4lsHSgytKzvis4i6OPrC8jbNlREIhj96OYWwANveTGDESDFNt1LUa4e9p +lj8Ta85HebWk4roqQBPPs41hYAvtE8j73I38NPZ0ru5LfUlegJMv9MtyvztPZn3O +k1v/eAW7dtDgadRyaGtSaIp1M83QIFwef9tVh3p2RrUI0OS85fv2N/+DvP2sh3Wq +YbcMa2jD4lCz4QSyD+ohJm72WLf5oBFbO0S+CHVC9/Vbv+tJi6wSrYK/IJmKT5Rr +idPuxmRXE/KOIHzAvG44GkejqYGv714p+1z8ibAC3hpz9gmY6vQHpz0mGw/rWTrF +pqtmagJqbUqh+kkAVAmkaSpKSluV+ulVSy49vFKKXhfZnIciqK5nFa3tNLgkFNPy +vSQWLJpke1o9GrbSBS5PJYJe1b4jrLyJI/H1YS6fDxkuqyJxx4DZ0SUBT9v6IId1 +JyYAiYFbTBR7cJ9WVkS0CxHYEV/hniojaMhog42dilefO9vvCWakXCD6dC2Ylk26 +GOTwXEp3VLhMrUbCkylYKkqGEwriKt4Ca7pbqTm0+9pbgSBlfd7MDyyKZs9zx8Xq +VoQRglAvgILUXG56fyqTHZBoDLQscajbJ8PG12D5sjsZtR7NCtXbs2nPZc/HSI1f +liU7nz6g0eXDgqyW2qKiSLdgQoW04N6yq+i/xJTLonDmKhSRPdiSTLTjBjfR8TeZ +sDaYGjjbCNFiptKDViNUWVQwaUuPtekLD+C0NSjBKjNU4BAKLcAsV7SkyASVdLTv +qo+GhTcyaYQ8/FGmREdil1uC7TAoy1eNc/K95uzS5w9Jd1ds9N+lHDW5kTRRUFDU +zBvSNxzHmfBwMyLp527g7aZfK0p5F76xyKuRX/i7DfoYfmbLsbnYxRYSLN6f81x+ +7cjcrTqwiWgvQs+zEGEA/jmrRjjjYkz3QYfEayyFiVcHzdohcOHXLQLsm72AUwQ7 +0wlWcB5RMPwOC0Qi2gvkKyYTM5bfLBYI1jkNOrRG6kNuYkneOSIuCPWHqarP6AYT +gqJevvps9nufRQ0dD1PjnWWEvB8FVSatjqJ+hIPCyu2ltgsYvpH6rnxx7vpa0dPT +e6vqAIFqnKGhLkqT4wIS8MO1qnBgHYSXzci6CGrQ2kr/oONMOvbw6N4grpxlQRms +PUR7YHPXL8qZjQ0RHSrQqjn+56pOQv5xc3BDBJWLgYTwR7AK0waZeccA832f8CZB +OQajtjPIIGJc6Z7vFIu9cy3ces1aZl4IrMopDG+2wIAnA1ONxrvYm0wMQdqiehi0 +i1Z3yR/sSCoQYDMwKevOBWXpss1Qpn/N8aM7hwCtEGcLfB1QEdNRpi3+Ke7VGvMQ +QOWts7UGEkOSpm+Bqc/vmg1b7YVVVNwKsdF90RLulMLPJbcDYdQwLD+EKN/4TuSp +ClZMGPxeQKCYOZhHa4NRvYZeRIp+2xfHGODHPNbFOM0kRQ+t3YccvEpZl/nwV+kw +7p34VAQCHsPXqQIwSnHcpYUOHVhplRxsmcbO/iKJ7vCbLZh9os/pKS3zz8dRh0pu +4Kf9S2vPv3vJ9mh/PcqfGHb8tfNyNteb2UwyMY6N4J6pOuASECqtO3CiEEZ2UJB2 +HKyUROBNssXwdAgOdxr9PhKFbzOWv+LXPdLX6pOTiJbiDOe3NSVwf/fTQ2UvBzNp ++Fjfk4w8Pmv1a2SDdxeZbYccfPA5cZfPVUwidYF+Tru/huF83P2rGi+vdIR9SDhN +S6lVh+XNaferToImkwNEdQn5Fp1013TKykc3ouDEbQo/STzTugo7bVwiBPHVQ5xR +io4xgbXflun4PTgt5J1KiFNN4OiZvePBSaZbVftxE1358/r2gE5L5UFqhYkuX+9q +tMg1Jf8X7JpQ00j510YzjTiUnl/MeGzcMOCmeJPdSvD6VohimG6b52lc7y2aSN+i +Bd8L9/reA77Ydw13hvF2yF56qpRcKptfzOh14mbyHvo9lsMdYfHT17eKMnI3kX58 +AUBq0Q52vdaKep3uydK0OssFklyX2JAqy8fNetdS6ZhWU372eKQiMfs0CQ3NVW0R +e4Mym/jrBw0QUBaENzJrs37Rc6s8N2iYdtSQ+Q1e04VzjxtnWessBIVxy1lqdhB2 +u/vwRNmKYNcaCFO/14aJ2KOi3OmfF81DwRYIQMsVivGZNvn784ixkNMXtscY9/j4 +rJUobZATNGTebnXEItsjXq7D5QWODIUgZJA2XGNQduJBCLwY3O9gq6/jxjWXatTL +euAz+DT6CxZEFMRwo+GZU96+0Ssp2DlhfYU4gIuVgmeJzpyD2/FlReS6md95Avse +jueK4kCuA4aom1kcUpLbKa80rUK63HOmvcK5+/g+Xz81elk6+icab1Wrfh0/iKhX +edUd9Wavx/tsbePZTz4GT/khKyNs43Yr4YKYrKD6MjJ8BeABsvtrb43GoyNO3Apf +1rIeRo2+J+yfb2t4BB7sA9xoA6K8O9sanGxkwpJN+dc8L6XyIkNTv3lKVKyutYeO +7bnws8RVQevZyrROSkpQwAWKGAHeEdqrT61NHSuTeiCM3L1m8m/Wb5B0k3UsO4at +UTIRRU/lHBqSWIm7Q2WgmZcvr2ydSzCUTvrgZlK5aCfb66YwOM+pnrlSAvEIv8M6 +Py1zx5qHe2RKsjLZPDVokbr0IhsBfa97iiSG2Cylqjvww5p3c+vTM2lnQLToV/YA +F+zPOiuShmmhiD+4Md/QsHyAbfH2HoDLifxJtQDnI5gkkMMjvi9qpcKt6M5URGJx +tpSCY+bVyMMqBPqjw5VMcF2cvc6wAhtMZsW9zmfi9Dgc27fIgcuTvcrEmkeSsWW0 +oauyj9svZnu0P7IDS6yDB6p+A9SzZqH+Bz9aIGHMJV/t26TwhHeZT9aAqYVPpdE4 +QOrBd99rZAzq6gphSvj2RxREE60WChtk93e28kOBswKOdyWSBlPTY42W84sCjaZl +A2WGqDIQqBfjD06DWmfixSPpk788fjGW13y4LXk4RT6VbkvH+AnTLf0XsfpoybBE +h8gYODg5hsIN/N90O2D0tAQ4bFPc+NulCn1OSTJlkKkslUQXQk58Zw2LG/fIGa+S +XEPof/g6Pb97qVYmLySczxxE6CuzCUl+HCmRTFicabIR/jcUnUC4m9v9DSxbKJ8V +mUSeZkPaPDrvVaB3/C9qGxtLd/4FES7fU6BeAZEKaHX1tS4P9x8SkS9zDXeWrlWh +y+nk6C3hc1YLRPYhwEit4Fot3Jz8tOeDXYSerQ/PWQDUFAk+RwPGL+nX25nRuijm +/gDjjSqjElLYpko2QlI/fu1vIBupnR78tzfGqEwPABOVPFEaSDUr9iff2fnGj2b7 +DSoIoXa55kHAnk1PWuBH32Pq0SNv3A380oK81crMFHQMORiNnbPLAGA0qFKUQ+6g +jdGQcbaOXNw6b6S8EWQ0mIUlJYGIjBp8niWHW5l6MA9mNZJlFF6Jo2qITJmKoqXT +JoD7DuSc4pJWN0Eep0UX+q+JQCe+129hg9LKq4kiFWqi8mCaeQx2zBTB3A29+I76 +1YJtxbvAIN92VMcljBPIG/LV+5KfrM1B4+ZCsuvNCGcIZYWlCfy2Hbp/mRpp+xcc +9hAHd75iNd81+pd/ubDK1uw3LRVPCFH4E3zpQbx4qNJb4AKceZF4Yg4PmBK38NV8 +WgQSzQAmvMl2EYup9cOSeTL/QvZ4Ppl/3pwmhauLaRXotk8ZwfCjDpzQ9vk1kBW3 +QKnZgnmiHRb40LbnxT39+1RLImN5rAu5OZ+UnknHHP81L+oHcUyY0XJkO09EAVRd +KgR/TRrTJ1RyMYm7ZXsySupvBuHiLignemiWlkvU0jmXzijWnJM0xQv4SXy4aDVW +eF//MxmqErOtIU6cg0jI8Kmal4jckdx8696HPUCm4HFYASQCs6j+KKFILai7g7bd ++8ZCN3RjA4QGNiMadpxssbfvkxDmRnY5GMr/7/7nZVT/V7WxTaPBeT98AeajKiWa +0YYQkPA2+NmPPE0Z7+ZR6XDwsfjl62E0ZFcdYszQTZF/FP2aXwMa9HSplOp9296h +zx17pQTKaTCJIi9nbTh+qgCrhnnZe9wWPHX4JZWETZ5+3CvqVJ9/zOoq3IZY76qB +BU8u37DR+5k1fUheV6y/41+/5C8MgfOfYY+Vpo6udI2/FtL3bO3IkQGyeCMEfvCi +RcPHuSsFtpS3oG/4DERH0k3amqJ4l+RTZAGen8SLFQ452gG7XoO6qSuQqabjlRgA +yCOBEODX2gRYd7e7axy9kR5qO68jmZ2TGSLbWn7+AiEp3kWr87qEF5YVWakuV9PQ +rauIeKsZwaAfoBTtRR7AGXCrvQCZHi/u5UVX1h38qWB8bNN1Xiknm9lgp1ke6GEp +80lbjRnaTam3Ip/Ia2xK0pW7T1rEvqpedWhJU4TuRTszs1Ru2INCSaGSwxmd6YxZ +w+cGCYAIc7djYKlHpT3EOG5GRfLw8cozFTSYHY4i6JXqrQwX7gJjro43ImFBqJFV +Ul9QUsS0rYdcg8Ve9GQbXS3DIT8nmq6ipQz7DxO+2glNEvO+V56FBCDgv+VJH5dn +NGv3Hnwme1Pf/m/iGlU49JjBXPvqmbF2Lfl1ssQYt9Kc8iPtYv5h9z9dcCiNtUVV +caY6qwGP9VEDnmXJEfKfN3Y+fY9+5wipJVy8385hhiugzQoQsmcxGH3SQTd2Jhy9 +akthVb7BO4MINL6MvX/PMRWMO3sYf1nqRPFltV4Zj/SAunvBRmYfA6yM2F9CvCLy +MJ48k+7XpDykPtePN0+DZg0XT1cC90ip2K6AksvzihJ5fn8qCxHTZ01VNUmnuRkJ +cmnIkwdsJkRAzrYcL7dB7jhBZ2m3iiFy0SfcUSJHvlEVcj0r5uqSTp51tP5IulOf +BVP5N+0NlZVCb3G1z60PFNfUkaSKAVln58WZKMhD6mTbnkeaNvBifia/ZwNa/uMr +mF/GOV/yyjFbLqUag+JV6Gf77hljonCl4u+lu0WQ2tecHxe/EO3nogFws3kkrVAC ++7YgrceMdbSri1NAxUC773r6vVYR2A3BbYokoSleokDkxk1mjSublrhyxeE/U6ih +nFXyuOpSMHcBsYwJdGeqLft2n7lFhELnq2UkVLL6ljkiS7QnOdAnwWup1ciiRjxU +84O5Cs+Gxgd+x/0PEkTzJ/HCN15Grkqy5ox8ju9m3c1a2loyL/grpqF+9tTOb5If +vlVLoVGf8GZe93vuGpiMhkEt3LgoUhBg2N/w02jsv0jOcAyaTXxyI3waejv6mbzI +ashKrk7o0p65gaygaYK+4amK5RslyJ0NY1FFSDaw48/Et7CnnLcoNKFBPYvfP/lI +U1TuOOMQF7w13AqhGkfqUa+aPb43qHyyYz3E228Sq1CstJEU+fdMBjmh4cM9wCjd +VMEvRm7NY/0+C2Vr43luvXkGn67qWOJd8zBsuzKu83nr3llul4JzbSkIWBal3R87 +pEhm5ptDQQhMEQhw5m2phM43ZFANeAxgtI52bDhdcbkwosMFH2Z6pkF8J7dmQxhc +1OhzadSD9EiPwOIW/Zvm8dgqwsrEOJSH2UnkdkxzFq5I6tD9IheuvslkYmGbSQ8v +NzHuwaWtIb9DUcPpHH+bE7CvabKMWJv1jLFCoVd67OMkwHFSR3ePkAQ1BYkvYkV5 +mF5lERsvF+iL/0wGZ806RTYprglpTUCVY9FnsXkSqVc43zKJv0SaNf7Iklt0sqIP +nb4WgQpA19EM1Ghen4RWGwrTVEMI76xBbkY4CYISGbUUf9TBvQOS81HE8a4RaU41 +5q74kHQFsn7jd+lhhe+AKcYY/31MAkFmpKnM/WE374DiWyfi8qllLjZJP3b2Azp7 +GTH4Ml63MMztuzIx3fIAJbEsTxNYHa/XawZNaRNSzei/+6z8LfQNdL/oZxv2jWSW +MFgnkdULzasPFj+JzxyDpJYZ28bY5JNMZgqdRjhr1Un0HFBWZrE1x5CBbCG8n7ex +XbsQhEFE1YjfRpV9/BAoeWnLUO1EMOy5aiOwOKRA41A9+BB9SiwaWK+y3uIjk4xx +fs+WttaS0VrYSbnwNdhh8KeTNxTE/4hfM+R4v51hjzA/uy36CInS0Wz3kz4Dyims +mmis3wepfFvycoHeEj39M0tfrxvTaeH8zMaQP/w2yVQvrQ1jbNxNiNumW2kO62wN +Ev/AtisSY5PHOlRwcNrexi0SFnUduf5Xz/IWe76x1I/ldBT0sdviOfj0wOc3qerT +rSkUSc5dq+VtZY0mFVPptrMuV3x/tXb0FPz7aM09+poxe+I143Nc1o+9G66X+cm6 ++be8Vf4u+jnpYxkG27B3Xzi/I4X9tq28ppgvFEJ6WeimxNXL2DyVEO6ZQKGcj63n +fLqEs9tqufavE/dfw+0pdg/smbYnK/AL/15OMFFJ0Q6IeTMm81b0n+2fopxqDK76 +A+8fpK7kOaO+d2fCEnT9cdExduhavATakVvKnsu2XTeRqpr7BQZ0q3vWr1/2EF5k +ok7n5v8pJBMQqu5PwII9vXqXu5okKwnPHHJn80FJXz1VNPt8U2/BvThkBQIYiKCm +C1F3wryzKtua2CdbZnou/2Gwv+iaI7SMnO96C+O2knPFCJ7qnClPQ8Pz1q76OVhV +Ex1LWWGjTfqsBX38AliYYgb/czF2b6y+CPZit6oPAnnF9PyZy/klTQJCPCnrUFsR +Uwpnd4vTdiuljvrgH+hZUxabWxll/+LX3yAGtMOW42lDEViTKCPbJDRWkuzv/cAo +52wJtcEnRqEFAZ6sGE+s9odC5de3osu8nq0y906f0QeUlEXBgevevfUTlEGkhODX +E8NAwXNNt8FXzE6Hfm2X6dzo/Qnj3DIIcthYgT30d/izQ/mNSO7A5DD2T5B1Wlmt +QmiOjpH+lKwkphcp1c9CaBxaqsBZqhYHBeT36txWfh8FDAC9wy+umn7l6LOl63X8 +91UMX6VUo2kIqWiI693MpH1RJG3dN3mgIUSFJFvtGtoGPdwlSwXSvejTtzsYNwcM ++OeGSKKVfi/AgxxiBr8+cs0M3R/8vf1BG09uXXuIfCuy3s+sHp4Sfn0dzCZ1qm4i +XZF7rTfrkWaHBWaCdsCPbQBM5gcuXSX4Ah8osOCO6D9/uNe4ANafdnb/5IziSE2J +3HAlHb/pD6yAiW1bpFNJLHjzEKZ43PX6fP7TpmugO5qDaBIAWCZc46Sm6UtTvwXJ +0QhOkjaaWoh9/535sAWrkeRPYirQ25p8HOVlGG5rW0AgblqjnO1iTTS3l48KCaNw +HVliCMdiTB9NfdZPSyrn4T+2rkmrtRieyeyZOffgV5R+nBma/Uu+7Wyrt+NvbYhW +j5H1I+BS3r5tPYNuHn/MsPJTqs0SAqZdf07/cDjTheMc+ejs9+++wX10tW4RO3Th +lXDmS15izGoFm6Wzt7wAx1QGBqc7CjqmjrDVsQj/6kfG3vnmoT9fhwJp5kZO7MgA +MOWUGi2BFZtaEx23C61cjobU1w1qr9OgQZKkUXYRHnxb3032zNYNCPlKxOYfR+S+ +S0n8GwF16WP/BwYFyOfSmjyANpJeCOB/85+GU1NJRbGLIu1AHEG7X7bjM0mXghs0 +KcmSSWE7QkAPgcLpQzkQAwlwk5EAwFk00GLuqFXGKxyQqGcgYRXJ8Szwrb6lCS+m +CMlpA9xaKqfoYgD9D1FwUmCNFPE78/VItt3CeaASByea+Qh5wtl9UVgZjPbvs/eG +ArYfQMdqU/1CaYsX1FfPmm00BuEiUIvdpfd4bkOTqEbfYMMZmkomUfJ4i8VGZpo6 +ibgMnO90oLG0NR+0+e5uGFzKk7weXpbLqiPS8w0shBqAeBl5oBMkACCADeCoWHtS +6XwdJbKifRYsmDkrupnAGrg2csZoNMf+RLRN0KzBF0Ep5MXHmaxl6Kg59+9Lohmj +rXo+AXRE+ShxWUMawLDs9UZZFej6UZACciV0kLBRbLXDKRuf9PFMzjn8t6z2SJ+F +/rGy7h3wbjDl3MnArLkx5MB/TLLSYZlEVXu1zKJRm56xp4BnLxvfsdH/K/T3I3gI +5SdgdXYuq92W/8PWWX9zc+GjnwqNHa+e7JoB2INWT7IemwjfMGghFsgn2gO1jD3w +o0RiJLyf3w08CkYaloSuMmUXAV7tqgugeu5BcILKMew9ZggD6e71EsxWrcNEX3dS +VY9GdYeoCbwulfuHnHDwKnjPSHnkbToxwUvvekskK0dKEe2wjn1gr/xgScRYLu+T +lsLwKnJZwvp/ecDfbJwb6rjFJ8yLAwimADq1WLHNllLRm/sia+1EDIj2EFLIlqDv +ESE0jhGi6iTH8Zglz1XqDJIJwmNEhQGMzH+eTZ/cRmE5KaFYNRu/8wEyl4DIBeUf +/lbDnJ+wtpeMfmRR7Cg9HVjCsFjYUflRH4A7DAFlopJzIh1xxBz+zCGN99jrQsF1 +qtm7548fL40hR6UMiNUvRjdQhj1O6lg7+JEhg5VkSE4PtXM+wtQFAPgBAkNsSYyG +LEsRAbHp5GY5gqjD11/k+qYQKptBi0OhngbCuhyaC5QfMleaDbGmCUIk1Uh3v5KT +AOtPwJxhwDTXfRdMr0eMYkuFD/qN6bRE7yvjQLCZcKJjCdOVTccId8ZvoEULwuwS +mNxiWZdK9hrotCye/AiVKsTgkdTq0Ry9OgNGC1EPY0LFS0La78J76Q3xqHIDGZLf +Uji91iVypiUuEzkqPDruAuBhXj6XHUhfRfY8W7zAgf/RRZDp7utlezBLML2dnqO6 +8zAY/a5d+uBvV5R4GlrdMG2DCgOjwzapxnLIXVBI2dtkjYhFK8d7HwZp7waWMNAe +ziegE4o7UHp9QuDLL6tZvJHqq/iyX6hefXk5V2LykBT9ip7Nj2QJIf9MKS2DUOHv +m6cwQowKKwA/9ltV1FPkEWcqW24uaof2DJR/RUCe3PeQ2TrCaJV/5QPmyy7E6Srw +jTwg89sQVe/5pN/hugrzprlIL+kMMi9t1NkZcGsr4+0Ln7EJwfPfqQ85QWRdO7gL +elOm89JzTHoxZsY6gHRkv0vyGyeqC8MNj6a2mS+IvWXf78wM/MecsFWGD2ADXnVd +it/s5wrvjINmrxzy/lk77ZRShDphKKt1TmKTKM6EMLB7VwLJPrYvQUzhv0xvVkNw +3xrdPjXj5RAqror+f9qfmgdHOuAAl59Rpx3FpstLIM79ZU4ePYIu00OPZHNNNJsB +L9M9Akkh3bIPm5L4bsUUUlT6LO7dFsbKlftt338NNTPDzOrJVihlnGaUtm5qDGa+ +k4qC8IrAr/U9Q4Yb9Uf3YNsVyW2X/YK5J8VBXpajn3oGLqOnvnxCDuzydOdiLrOa +ntRlBJfh5xnULyjDvBNOtybSB3rAL9Okq8WNubjo1QtvQdx91T1ZhSEAmQzv8tXI +5s+H78ethVvif2zvKRZPDjFri4s4NaAgfUF+dVA89Gq8Pfy53dp0PyqP6n5MH+Yh +0NPcskHB19xH+2fzsEvlMldWfhT9a7fc9FkaU5mN6wpWI+xAqKT+LgNDz1ML/6DR +NwmWWueYZSNvuvqJsE0/QmJcTuQrQPHnc7pqpVz0ZTuCvCu7pc/XCEKjrQtP821H +8cRouR6O/OpcIVsg5FaQM4LIAP5qb1I2iwvHSG8QGchk3zP0fbGjL278IPnhoTP1 +fXK9XQEv3pHqcc3iqX5BqagrZPszg8dTNe7J6qOt8VyD3zirQlJnmPWxuEfb858Q +yqT5vN7Kj7dFbLUe9sd8YCVzcj/6AJObZBkPdVvPX4qkSstLU9p43DmAz9VRUVV8 +uspYIkH26TuRorYhxJb2vzWxc7KXNVZV+YPxQp0svgG5tVg75xV9EQyQZiExbhh2 +LBBhdN7Fm1kfLsi2BhqSRFA4mcBb2dHUXUWHw5Iwmn7bkmtvJBRKNvkMl7j8D0Zm +EjNFul0CDhJNUBeCGNdZHI/D9M1WW0pFIO2CDR69s/9Hfyuy0aMYWVyQeoVAVN37 +642zNQ1qWmwSRNBKFqvMv8pEQhXo9yZGLeSHSTTFH0BSA4iq95x/wvVjZoNUZagV +1Twfl9UI2FXS7xrbi4NynmdQmNwRVCV9qib5YqnWr66YYIrr5K6s8aFHAULVHwVN +zDGgMFIvh2xD9O8F0sPBAIxT4rzuuO/lwshQuy9pz050ef+CVkJ8aivK//n9NzeR +rk4CDkwAUnrQgajmgfbW0b8fdBtKQnNLxN4djg6v3H+EMc5x9NS7TcaoawSynbzl +atPOLn3c7c9EamuXvLeu9R6ofOykGxAI0X83Zf5MiM3LXaHjIBrfRsy3JANRrp77 +/YUWsbjQ4ubX/kriR2RP6PzGYaKHqjp14kFVIVkblHNkA77OlWGFrhxaJ3d3+asi +Y31B6g4sjr/ynvH7U/SNLPfi5MvnOeyIUBx4SbEelDV4qGyVaeAHC5kTSKJp26qa +BRbC21HeZCt3v1KxRQavA+g89eS1KeZCgHfVy3pNE3XaJgp0J2Kxs4xcfz1jY97w +ZpAe/HCh9MiXkehP6QtkgfpTHuUwsIeaFdBwC5xJqO+KiiaAUbXhXXogyI4vBGIV +Zf/sHCAAo5ryz8cHV+OB13I5jkr1DN1+R8zXD/d4aaZERsu6GQBOYLjuKEmbuIVv +2zDOrdGMs/KRLwcvzfIt52FHA3tLCBXPvaCTTBLKe0J60j++Nj5tTrcDTmZGlDLK +zgv3TC3zqSISpeaEjN8r53UQesMJwkCE4EkLNkjuJt2vcTw8MdjHGKnciN1D0DeE +GSgFT4SQuU+7kBJlEPew7A7HQufLUHfB9/U3GuBkAj7cYYoc86xJS7cJ46/gYLvz +9wQh7AN6LvYJP+Yxz8pwblKYGRdqC8VLWChBZGvQYmHFwl6cXXYs0qWDqaDnJ4c8 +gfjSmZbHkMJ/c7mLv6IMUDpHHLaN/adignkuiV6KDDFQUcEOwUBh4RewZ6oAbM0R +YrpzoBzFEoyNJUQw0Kd2ySVcE50nxvZ3Ip6CRhIiGAHIkAwnpf/4MbDFYMrUw9ma +spupYvBJt9c8Tzo+1K6c24r/UR4pp/+1U/uRtAD4PU+3y/72fwB33qLp22QCDIJ7 +Xc9uoqDUZL2UuNJgvjbVF+GOVY8E6HHEZanVEaTY21VVdLBBPbM4Y16HQvGQWYDg +5mmbSWe+SfKv2rADGqlhW2JU1/ZCmQcKXy6BJRQPRul+IQUTELeE2ySX61kwCQ+5 +fPlIChdl6cCv7ELpwS4Mqb3nM2IslV1FoiXexbwZqO5A6pxWBaHpRkVVw2STaFVr +K0zqR2JP46uDXY5i4pYMRBt7Kq0/C/Q4Xl1kMo1xpRL970wVxHskmnT57nvwknaI +Vt5/ygoBhyrAs/73lzLxiPcPLHDz9/Uumy4o2Ppk2I0fSEKP3t3eYo4BZB4Y63Bb +1+Ks/2WMnfqbiZ0c1nBnOUT4rydhm+Yj52ODnELRxIr6WWKdv/hByxH7nZ2rc5Gr +eqI8fTCe1KwjA3Ji/u1HKTUrLU0yhS/nDvxVeoXAx4MFZ3OtN9zXjoeQluKKd3wD +Js8P1Gi/QF+hmnZLdEHdzBxSMW0Qt7glxvsp53eKpCj8nF3/+ngL/fS9rWbTSPgF +2xwkeDivnwWW2/moICkTu4dq0FvR7rpOgisTHPQq7FCvBCj1zFiyumu6GzSlC4zW ++z8L57SZDUIi20II3sXYoSFfzjq+S6p8Yi8YU9NJmBQAK2snIJ2KtC2CS9AL+DC5 +XbKCOUW5ONsUGaaQLi/MimKhoEdWIW4M9nrgfH0aakAkRBmROG7ik/vNKs25Bj86 +O22RgnANvncO/zGtO9MFCfhoM77QwAjMxblLaaFPqW+xnqWlSCSDh/UlCx1Eud0Z +zb5dK+Hk8Y9JK/04n67gpG5sQAeVDHSHNo3XT7lrCfoOSVrjYHs+x2bs20ILHTy7 +z2+Qnr3oW+gT+cHwc/+9KYgknAjajSSowvr93mfCnXn1TKTgnEOnKEbTST0YXKdX +KgLlg0mQRccmi3PeOpqIfXH7xN87GH46A6aoRedBlyzsU48rkqlUvK3+WZEmCr8R +IbuIJZa9abAydGr7r6AZhoDqDNqCo2OsbA6W30P6fD43ulzdyryI0Nb0nLAguhzw +73YholS/sK7YTDSH7dtsII6kKvozv5MPdea06QZJAY5Ch65ucJziTbEfLblbhQrQ +mn9Yh05T7GnUqHtDibPn1CNuqmLkB43+SHGz8hnFuDw/yA1gttnF7hNxE0wvm9M4 +s/borRvQohvwnA1qOhzV5ioR6nMKFO4RvZHXwKkob6O1XcDcVQ3AFp5m0525xIX3 +N5twIvx1Ds/sR93RYrkzlboe35uvJRvwUyKygPZcTMkCnVAS9w08Yf8K5UpE/qx9 +6zyAdV/IJq/A4xhpN4HsbsRT3d7jR2uFbQQLR1kEWQRipFKWkbUEBHr4vphvOTa3 +rn6KoFRBcWH2DkM7jPKRPk68zP6C80kd72xvSZuz39phdQYNS3nonf/lVVVbHfRD +K5pRDcJg7Y5BCqyIXdtmlw9JTcBzc0QbTxsrsrKHpJBT8YFM56XPBOGLM5AXrp9H +9up4iYOTrIUIjXrN4O20kZ/OosRnMAIJhk9lIzxSsHfdKVjz2aiLKHLFSXa5rajU +vg85M63mhiB5f6orMHk//qVlXaZl1TYvslESKEV0kLjUvmZwvZOjXZRnYJWmvltv +ILFzmJbU3ZC6adiF+V2IVjGIPVZqA43tqcEECK0KtcoPPeMGTVpCX2QuRo70AX9F +Gf5M4qrwzSy7KYbUN031tw0hDHdH6ZuVdpPvvE1q6jaxuSjHAAX0sLFVkuheoYjT +0HuQaI0vGwm82rc0TET37cYg99F9H4b9H2ENZ05JrrCelvjq7QLhNAHTz0KjgZqf +KAzkaHOJhsy6h6zOl7iAo06nihpguhCSFNq3t9ZBvQxzTuCMacL2kXKVOkWliwP+ +/TmqSL57Fj6A/81EFL09pgh3tYhzA4t5Ceq2W8dcKgXLqzYS3uItZ8v5clnw+PNV +IZPdUgYXwmR9gOvEb/353sNbMOaIIiiz0ef1/BTxsdz0rombb1UELFFUg2MABuPE +Vac90fk851EugmYpM72jiL1s8KFcWzgC8NStKdMid4XyT1iKNgO2JpX9jAWJ3WNv +AxM5NNc7Eis0tHtKPp+HsXoaYFp30gRrxo1B4SL4rM+MoQ1PpNtVwPDjfN93yjCw +Q32V2yxKu44K3EJ5/9Ld8qf9c244CIKESsH0jiktVwlRb0eJT6ooN2hit5a9bNMQ +Kv4qGf+kv5YeRnVM2p+GAokY2Gw7L3fdGZto/6rv6MW/85aYGy1BxGqJV8jQaDNc +ZA9iTulETeL+nmXLMh+w4AxkyquSZLr3957LYYyJxr3BewiDqcQzpTcZKRRuz7XG +zfgk2dQz+Y/JRzWapyFHtKD5LRi2kVWj6iQ/fz4QU0oagOeBKxYpAAhy8Zt4ER7+ +PV8vz6ExtGBFKbQjlMXK40WIMSArzTjbfRIhk040dRdy809W36O39b4omt3FM1cR +hUHnoFcDU6zdoeJCtWgzzx9fr6J3UzT10Z+ba6cpeSZp6Z0gmS0+RdxputqYJao7 +uADzJBmXkFnDZkrrLIEss6PByYdJ3J0vTVHW9vUC/mbaI9LsllHJNvMEBoDfXjnR +iw+zsg1tCvAcc79hi718yv1fpUS3zkayIYi4u4t2V3oJYtYyNANWnORfvXeoc6Sw +49KC1AQLt5C9VfqxETbls3h8MeRgqN537b0GRkFD4NUhCg92EwNzZTvnVGLzL1Ca +gbRfZUFh29pkK2wH9aSbLltg//Her64OPMSCZ6S4B5umo5hmOv7F584RqRiWSG2Q +8YQG+m2dPDLUwurACW+Onts9bg+uwrUKeTB3pT47DolhF+Aebb2JNm2wuDmAakn4 +jTsRaDFspKdtQnNrxOxkyZEgpcBVsTreGjrJ44vya3VcfuFc5NluhcFW+KzN1WV1 +t+dJqKYVm+2rYU2frZOMw+jAQcemz8Ep3ulO9X6ckElIX7qayUDJlN9hQ4uxWNMN +myAgGKrxdvTUTt8mcvBeisfWXS6Wx5fFdEbTXXqCa72U8mYOWJp5N5m9bZukAhTZ +95c7pSwV2Q7jjPf9T9qmzJOAw6JAw6mKAwlgWrHb+KKGG51b2pSey61m0XM1QRYb +durXzPrtX19RoH1v5BkhCkgOZOfZgtBg8LQNqNsQRlggPvDlBkReynwRchMsUyA3 +0Ng9vCd2GVEfo1mFYHGpudLt0oePwKLXlwppIs6TH19ie7RN3hfIQo2BPz32w0dn +mMwFtFI9Srozsb3HH5BzClsHeVzaSJlTlRlbqDe1oUXFT0NgvCw1qTZGXt8t7ckO +AeKcvxL+9SRRm6kwzlhXCXgLhXXlTTOHonGAZug0u0iRQbqvLJ/nzd833CXiaaHW +sOxmTS1yhmWxyJwR+mbJ3x0UIG1NNro/FpSy+V3IS2a4SRBipjoBPGQWoM0azopy +V51I/hgF3CrGlCNNNk1oXJu+hvsrA2gH+g/aCS3M9GbJY7t8X5vjLbJ9hJv0ZGNH +iuZ3zRqGlJdhNvpjJdbnt4Xa1EqDDzesnTwRGs4j+TGfc3hDaS0tvbL+OAldSTAe +/QfigB/rTa9XyIkTxQg5GI3NEI/jFiVLF2/IGBq+Cj5PST+VHKUO0eVdUKxlhlXu +iH1HSwPVrzA2lwvK4+rPNAJdYnSU+aHShjqocjriLQ1rNhwolXGqiv08lm7SkXOU +80ZSx3Rq+2L/KaNgnEikF0//BEqYuSGLhTcDiekyRbzHTMXsKQHoH8PyIYAB5Fne +ovTwUV3jiWh3utVT9KrYRrxrwKqZKBScjbSqz4txTHdH9nwVhwZeP4KqiBKvDyvS +MvB6UfN/c8US3PzPqJOOUH3T9NRAK3dBr2pk5PltW+15jWeDQ+ogoUUIIx20bfw/ +1w/pC7xw/5aQECF+1X4DFFCsctccpGLlh3qoj6eIA8MtM/1I2RSA3s4bmA1FbYEN +Es597usuZky16457YwtgphqbFhqa8XuYHra3CMXRrh6tOfjuaxWOcGR6+dxTMV/d +iQ3b4WdAcMFNejTAcE9V/Tk1+3XjxdjcQxI6qcVrvqWdeNRAe6Pc7IwrZFFIIr8J +oJfw7rWJxCcBpgYmNdPyCWY4yQGAj6Y6sxf5YCcm9y2lPVDuXC8QuLMJ6FjU2z8s +y4reDQJafg2NZMDLNKedsbpg0YQJmAZnazpyEAt75fORADJ0o4qF3h1f6Z6G6Hvk +CDkyGb9exSIij158pMR2hYtvkb0QdqPObZ1GLxh5NjpfK199SAErdsGoLGmtMUvE +Q/rHfKEv4e7fFVAC1sJuCyFimc/eAkCYLuFBdpDfG2e/EPqqHEDYCfAo8RWsLyGJ +XbWdW0bnbeO0P1pMP5VCgnNGSFLp3Q493QSb8eAMN9LkGPHAelB5Cs/1ijTDhPEe +/mBt6ChOKm5WXSyKt+dg/69PDEWAbtwv/yyC8uIQlonf1aVWFF/iqCxqJObWTSLn +Nj0lPBG5PI/ztG9MYL9+bQ+NCGd3sZj6UFE8Ly38WiJpf2CDL8HW7MeCEh0P5+Cq +w36Cvqlxo938T2dYCi0ocdwaCv25I15MuqTh935Qt7uhEhegGIOK9oBr4yuwiP/h +1h+k/m50vhFYy5UlWDoSJ685OaM/gC9NALJsXaiMI1N3lIMVB9THiFtEdkF06Tie +F1+vwNcCC3Qc7gZlgHAYu7zEDfaoYybXOn0cVSMMhu2w/M+ymXDD4WdqKIo5GDwR +WQZwW030hX/HudLgfsOA8AWp0XNhu8tzGcC3J/MmE91EUZsjqzgOQQ/ZMLGtmF6d +dtwmxgQ5ls67YqZXuzsmCNYF2ET0bhUjdjCoF3yuaHXZc19z5w6aqSFsd74YCiZU +AYy6ttoYChbuEogoVBr199nCJASipLl6sNycJ/Wt6MyU8OE1QvQFkRPzXuZ5uw/T +G7EYLgvjl/QppvPP81JmX30L+RPhyZpy4MrOnVqm0lUJM+SnfxXHN/2ECLAxtn/b +hkxVib/lK8pFQYTZGVrjeUj3eZTZQBYKuTGOOZgR4gYWhLr0REz7tyO2pTBQi8jF +JqCd4HWMSfYgjLhzw3Dm5sxgymKb+gnO9VhaJKlftavwBrzkIfXFXbIAdQ6kQWF0 +j6EtqCg/65UbHX+QlQ+AnthtGr+ats4elYxrljq3aYCWbCT3w4qs5qhuWEpubUXi +YVfImQBBrs2iFuEUhtMfzCIS52CbPLcaRNXjJp0plxn+4f/6rN3g1LpvOYo3hKW8 +5OfStcJe/OxVPJAdjmb0pY5gzEuTKXdn46pgPcxC+jPjA4BQuKIj+V9HF/Tp2DNC +TjCOnsgzAwuZSL06lnPQjnTzxDbfbEikx90b5quAj+/eAt7WkKmAEVmrku5SMg0s +5EPTQDda4ufhV0lrHrQxNSpPyzjFTAO0T51j5u4a+pOAJGHnVln/0wh/IS58X8Yl +R0OcAcROUpot8ks83oHDp32lhpkUTeFyMh61eXdiGkwoM960T7fB0OBj8gKSFAaR +gJBLDAgG0eRWDLBHyiq9+9F7wUyL4Sccfwc494weDzaAUnLu1y/Anqy/qwjmIi51 +l+qDxH2Y4PDh9Z+gSgvmFmo4W6D16D+iYTPrl4p2PNReJWhg0W4RdSOltawyCxgr +jm4m4nBEm3enICpN5jvT98P4WaMIS+lma9HYCuznZT1DBD97aHO+oi4Cubp+G1FO +BiEiYphRThNJJk1nnVznprSwwtZXL5i7zgVJx9Moj3Vj4cJ/mIovStpVxkAZEU2y +b4RnOoABGSawJv1UZ5hTjXfxsKM3AIrNxXRQuqTFvhgh6CIvFL1DIepxQQ0X+Ovr +gQiqjw86hreKMKcuFResBE2r+TLnlf7ygfuDYXQ7fHrX8GYUVPX20FwH3SI+WoUq ++YLv0FyD0P2FAQsDgR8LmhOZIsv9JIIjiBsNKhFp8qrSUuIYEguE2r4uZdvZ7+Rt ++2cU4m2vB947e4YnEmEb1Z/uenaKL43jUVTGl5QkFoO3psrrTUXvVv3jugUTUuSe +uY2QaHHK4pGSfyfRGATP7KEk2tx6jqD/1uqhxJz7Q3QGmnMA0YCOdh7o+udcRLyk +7HVAVKjXuJ+ANJB4q6e/Lm4UOHmZxtE3uHTuBjTLagES07s87401OLMOHJFKn/nu +fRXuLBWSE/+Q/yeH7c3j692spm5u1ny50L2K1+yG/Lqu8VGm3ApW6jPsGz4/uej4 +vuiKUOioOCrZzuUD5sXiHXmVLjKlgsYKAmVKH9cYMYNLUsBgE8QUU6vyGpMvJswZ +4M2uyzOxsJAmqldNrOWTtgv94G8bV7zs0fRWnDKo8GYRrUTNxzz1bRu0Xxk6LV89 +W9kqGMyg5X9+899Kejy80hxQLc9STSLHYZrGJ1/E12tVSMCZfUrTwxEwvU6jObOo +uVA7lyzhWy5nVrrymi5AV4zFUXBg2AD32LQ8S7Hq0WHJ+UOqXyTsYHuVK83PTwnK +lzFtL9KZVk4mOmm4wRfYWwPvknlrqk00pxoUCtVP1d53LPHFXO1x7NPHe5xTLvxg +y8/+D/U9ViOxBYbi2+r5k4JObJMlHG/UC3Qv4x2HobV/K2dZ+oYIkt+waQi68+2G +3Pox/OcFijfOsOQsSjkbKnZu1yUXCrZ0zrUHmMYqkYtjIk2+Af5mZO8x3biiigkf +SBkTcAwdGhGqBrdwJcOCnKRMN9Pu9+mHDP2IftissepiNQIqDWMEI4nWaxSUIsZH +2srGTcB5OFefewesGm0pDmjb0n1GCuO7r47df1LgDmdrW1V5fDlNPQlUOFtlshSD +AEEoroUYZeostXGOG1E91HZoj/xVm6I+LxD2tkW5v6NuT5f7AOQM67+YNd4AueVg +B/S23vocKO7xkR7RhnGYlhgXuUSBPz7MciSjQ34ogds0QXUmxKkB6ites5iWRSTj +cS+n85A/UBfUBc3YptuMnvZipKo87M/k9J9KrKmH56dWzr0kVDwxWj/oXERmWnPp +/VYjwH5QMo8KstA60EnOnfpkd7KASGuJVeMUsmFuPCz07HXePcAbCa/sJBXs3foM +lnC/ERuOmjWbJtke9xy+dRn27fikwi9jUsxHyzWgk8s39f/UNw++NbxLQcgJ/Oz0 +CX8Mgg+56zk28V5/r4zIRXVtUb4go9PZCC/Osfu2xR0zF3BgEUXo0KXkuP7NHHSU +H7linZRET2ROCs+lUyODKUzN1N+DbuqoplvAhCrqBnp+Z+csOZTKhSSD2buDiWNO +g9P+bKHHmW/WYzGdcqf4Mz8EcBriR6ZlWKQYPbYaADSdQO+UrafXm2a0PaB98OpL +rl8kXZhWemtfzs6nFNwq0i9RFCyMJ1w2pd5MFQU6g4CchM1DMOP3YiFiNimcIp+6 +4DuXz0yynTo8jA5XivPA59fvJ6HdHMX/vA13CSua1Z+YUfVtl3A6Ropr2yizL5/j +Fq65BF7FGxkrauIvx067BJTAfNiDtLOEO5741uWiteJwsz9lbHxcEdr/qrt99RKC ++JACIN5z6TxV4pJLjCmAfB7NWdi6fueGtcqxdGQagTfuknYo94tMcNHgsalwtAlH +vK6SWjo411kStEPra95ZSkKJacgaVkHJGkGrsjTb/R1S1vo1rTwClMtLKcYOSCYQ +pjVjqemx6PZrnw0Xyg6ILv6seZKjkuLK69J6bFSP1BzE9jWKYVLLB62jc8BXPyTB +cLu4VwllDIcGzghdlfvCrV2/hV6Ibxvzhg74ytecGQU7x7T9Jzmd1gzr28Fv5Xpm +JTukVr30zGZFvkWFoKpWL96vkNPJtWTZEnaHrlxFIogNVIoWAIcteU9wavYQsGGV +D0r4gS53Rbow3tArBnp/aOhC46PTxoCunh5iwWW1i9lRjXg7Fb8GUK529xp4ZULI +h0R9eSczhk+ke5pn8J0viqFQOLw9Pn5TnHKwcTDz4HCaNGHquegN4zQ2+8Laxn63 +wyyr+B/LFkvxVgCKFsc6LCVdRuCj1k57InpmTB/BKwwkuMj8/+XOmpru6r7JS4il +AFUaI3mQNMb6+lnabO1YrOh7wur79AtfzPcEn9xhhwaQLyq0zLtdLn2qZON3c6yL +7RNnYdGM9nN3q0sKVYRfbo6Ge7EWTgWwo+xA2si0bYfb1ByAbN3+Cu4BSSujyZSc +VeHkMDOExx/t1PCvZjKIYk8FRcb5JbbNmLxaPWHcZnakjoMz+rqhGUlZRL8rSXu8 ++TkaN0Ib9XM7Yi8Kv5/YG3Gp6WVAXHVm2vNnqv2RXLyB1e+B1jAJc8ljmRRDUoRY +rT5s5RMc8+Aft1do6qmLmMEC3FAKnFG3yVGepI0sdfEPKyoExo9TDMDuT1NYoP5t +tlouLadK6gGG2nC0gtDcZakgSG0FCBi29T9KlhY14aAQ3kC9tLrG/IUi++MAMGv5 +MY9vHQTXp5qCnBFOYCZMxCpMBjH6ceDMlma3tR85gY+NG2/PWIK+xWA0PlkSwkWp +jItJKOOSFLt70HSi/YAqHQA7nCmVDDeTCprclx39gW1WXBllyOK1oVpt+iUNGDqY +Fe55HHJx/zfd3u0yqCrgB/eIjREY9jmjA/TYnEqISrMAfhRnFmwYhLpuWTR2aroz +tUce5PzRAaRRQctUQs1F5jgrdBpBsz/owUXjurCkO5GmCqc8luEU3lA3i/mYFzOF +0qOT2cu2vuVFM7g9LyctNNCBtzDBgx5+OFvvj0zHXSfJmU8gJYwBcTNX4xqEFUOu +IO2c20YDd6FFIw2HsVKnmSQpUZhEHJyFHDBZ/g6/fT4BM83eH0/hOAy/0jyDzMvj +YYI2ETeIfTZpx0A0tVkZ/hntmCfbWXIyQTFvzyupq7IMlsOlVJqYTiyz7oOpI6dI +w5D+2Xbq29Ryg3k1zIm4C1r7+gyFHHukIk0cXvksxk00pPahRL9lzataWmzyFfg/ +nHTs4/H/oHoczdVD9OVOcrFUGI+0s+DRWr0SZh+a4zR3Alrlu8/ICHA6RCc0+bAF +a/eQkVkCVcrtulQNozMdR3Um4NfeGrtMwxpHYL8mepjqmgwi1O90ZTbHI27t9k3H +Z+Q8aCGzIY1/ZkWoRcvvnM+5oY8zOL125K2UeI2bxrskxJq4f2K6AX8CaVZzt1Wr +wR8nTdZSAi1eHojLd7VhrwmkalrAPyS4mKoiAiNHQfUnOmCflnj3SwzDUEoO7G+A +cE5+7Z98eWS8dHS1aaMlxvQEGlvQA5z5GWNW9/89gHMI0vzRn0O1BIDKs3vWvhQm +Zs4L2cKxY/88PeuWCMPY1ii6NXCMwMvhhyfUDoA24wzgyfbCL7rNysx5Ub8zzmGT +5FT0HUux4PqmwyI+KkZOX+cHiEm+cvoufz180uDPy/TRe4xDwO5to/JpcG601IfS +bqktjoG/ZC2gocMFyaiBZbnWvkM5CS49cESYIUGXrS1evY6hOe3EtD6pDp7PNlQ8 +hH32/wPK21NN/V2Ty03xAfcc/o6njNIrpqjl9WxX/FfwmZWgrb1+oDrS+EhHj0EE +4d4n67UtRLQr1r21ECzm9gMwLs0wcc966Chb4mDZjteahZgFYxtkzQTaB+zsiPG+ +a1jKlWIOpIUS1bm3hZQF4AdWU50XY/O3O9PuNx2Ql8cLwWVHycH9fKjVTBRltKwr +dR+mLIiPP0zdyIEDn7qu3SziDRT8d7HF1khJWqsSHpLxEaI8SFgzx7HukfnmaRtU +ZQA/ctgBuItS3LECuu4JhQ6o5wmSHwKHuCHpOkj+vOEgQ1Syinv1ETmDzP0Wd+Kq +2YYSqajCsQc0qOoFY/eTj/mD5wDA0YpgZzutUXlw7j4yBVACFWtfH4USIjm3yWnl +0BedC4lwjt8zO4V6E7i7kXGcnSSiWZ3E+26NsPUueYbO8P/Ala7RwVjSQps5jEoS +jqSoqzVdKmLaU4UpYgixuYoIVdf3wSnBnksvtHq5kRsIJrJlIA+XtpNI/kKSf9lb +lBqdgZMCrsQ1kdwTt7JZgLKVMOtfP9pV4qCRcAFJWVUjlndMX7/vL/g8TNwuHTGT +k7Bl2s3MDonm+a4B37Q6GZxii83CxQWcuFqE1Jp61PxKu8VeTPmbSuhivETRTNXX ++4nOrlJUVMGx1DDzZUziajpEvV/2FyBFapyHqWYrHmUGH+kgmQrbkGTFGDAZmc/3 +2NLlHyxqcL917bIl5DEq84TgM0W6no6bqSdwDvJJfdqQsnd9MfH+Gykq57PhWrpN +AN/uZg2IBaEL/rigdbhcKE+ZZS1o0uE9V/SminkQCfkzYioh6fZVlnTKhvCYRn/M +xL9HZRM7G4JVEToHAZN+atIujprFPaCXFtzalRj9umCzKIYcZNnWLuaNGgG8+TxX +hqH8YE9PynPANlf8/rZadplr4e5JXtwhEpGLFh3QZeSu8AmjFPlV2kdzYQssXL0v +oQ0OVgQ3/GpqlXdBYrMMRtL/5v9pZdR/CpAIilwo1zG6xmgPszfLCWWCrbzc1llC +CQ9OKvNPn1UZCQcHRzZuwNerTZCEZnmXFNXdL4/ijviSepsbZTUA5IfMI2uaoAJ1 +rUsFs2xOKnov+qCqxUFdBj2V/NOZoWMVuoAX4BoOCoMeEis/mKhQja51f+qdxJ00 +WeT/fKiocMNb2kVCYWKUxtlF1CJxVCFVviSSnmBD6rf7xx8kU4KmsGm2QuZB+vqS +0VcclIsSS3VZwpF86E79jdCOBZnCDIjJX17cGQMSQvSSDppvul5NgUD7wBXv37tX +yz7oOW1wED58W1W3bgI0kBXlDrMJfxTVrJXbNTv1442z8YsBuxjKInWps0+7u+ix +mtOGoFnpcarci7z/TFJRLErbzPs31O9jmclYtNLo1arAknkxy7B1gmZhqEg1QhTp +w0xgivdgJmt7KqSyvdlNclgQQikFyw4LPAPkztmnhgvCTmsOZBlTWVkVFcJYuXwH +ZQxPJKAJN73qzOdxBro1aqecljjY4GskQNRn2ykt9tWgaTF0CpbJw4WVynSNpRs/ +f9DNyZLI5Z+fvux2wlygIP8ZiWXuThYN6TuGONIm5XeavbmO81frclPPb7hhm3Xo +QXP7lmgQm7WhPlZfXV280XlVakj+1eHIuTDbHxCnOMbakzQbWTdovRXVgJwPzUmP +OZpBGAnldxb8LOfZ8xBAoFCnR1VI7akf6d7YqIG4fGwI/hcQdAq/MP2C8H5y8W3v +JNbQ2g+wFgjBQvHhQ2UhN3KqqIQu6AgmyXcvAnUl2245EO3+7rIZ4L0aG3W2hZL/ +7vch2MpKuRryDtTkADesb+oxpJeyY0k5PEGnClVNZtZGwicMADQ1XBWZHyw1EE3e +CNnrr6DZhCQmG9J0Zt6yJbwjOg7NjqjKocp7HvSaHm7qnBfhQ27GNPnDGHEE5Hpv +DGlGQKYhDyJLVQGcX6pqY83ZiNH2AcZf2ltDsyKKM9xsjXNnVtBtz+nY5EgoCfFW +fpvprzIHNWoHZm0wuUsG9ASKirYchEK714ckRg2IJ7YGMcLWdIe74D3W6MpoJ/7f +aTXOR8tkvyZXKjLw+v3nIiKCRkKii16Ju1JQ6aLHcQVwIrkDcQ9OeOfDVTRZUkJ2 +yUDB4LATT9sCD6dffTSShz7f9j7O4VMi635Ptk4g9Qvyf6EJHqewdTgjPanXpZ5g +PYOGI0pSsvgaNi8Vu1YmK37rLpVmEtTptLaoUkKt1n/r++LaXkitgTGyYhcXUB6V +SEKePPIXwn/TKNA28288CtPC6w0pyRIhChEHFmRdwWatX05iLBBbs7k9OXHg4VSe +gGUUWdo3VtHPNcYj9pTXmUVSGvTR0QBTmWaG0hkv3PhCQS7pzGG9TPzmicdoWLBG +EvLpkUDDyUIkSyUtqpdyHzgy3KTlzlISApo/rITPIcSF7rzZlW5cAQUIrsrY/44u +rSoezRp0Kfjv2D5JS7nqexFJa6Fj0wx74qqAZBnEwxs2ilKR2GbBRXzqXqCqkXwx +Ob9PbEH+lKeHtyFaoYB3NwuUya0vXDwtGOlWqKC0kpuG/WwrR22anwvAvj3gMjbh +oJxXuu9QUb+vu9vPvWbVO0JDIudWRsdEl56KNERVL+wdRjAqPGQ7w9dtCp/y+Uis +IiTHAw3c4FJ4IOiU9XYHWfKd6rNh1Es0hGBqzrtcwiFdzsMkTI0aq1YTJTM920LL +AKVrhXLJT6w4xzxft3o7nDxvD89r5HK9SI81ZvjyZgDi+zTRqJM6aEQZOXGsxnvX +5xVSV1pwxB0Uv7k3CQ/IBfpfWkc6EBfGRHBdFPdwJmxN8SHOH4xv0gjJBPWpTdnx +bpR3W1B/Ibxjr9mIrcYRFLusnHWBz0mP7+/s9nVBcA+WfjTZCLJ13coKfAoyj7yJ +XpnFx2QxTzfVkRkTkx7LEytpe+n2RzecihPqdmVrkxpuEzH36gfmkbVw+jqKiMRA +icOHCqj7HgUcPW262ujVXz8bz1vU7Gokiluceta3qBNgFDj6iPxnjPpl6IM/GSzL +A0GSClGZKa+w5YypqWmGq2uYljM/6m0CcZfdHn2L3kZ1x1YCUR8DB9PI511gBtjM +lfqLHF7YV2ne+5X27gcdJa0rSxcx2DIbLeOf5VjgHfTurB4EnEBhvloBaKmmosDG +9dnrNdifz67cVmBog+R6kJtfVh6wwP7rynap3/xKtCowHREEBes/6eQs73mihF5u +0zmXVnMZ4BqfAhlKCfrHCHZBcg/enaEzEqgAFSIT3uYxoKqVH5xbH9dww0lwCWJh +zHmtVU5E3otbURxCtKoDxXmSYQIZWegKq10n533YMAfV6Hga2KrlaGQLtJQCyBnf +Wq5MozQVli6sexO8wNH5/xVdEZbs6SwZbCOOtMPNtuSk0lC1Q6nQRKK00Qd1Cdb3 +AWC4KwhN8Y79nSPLiRuAxz8F4f29HBhbjvzoalGYoaTojWbAo9FCZHCmOfKwzh/q +aC8bFzs38n9OUfYvINvcYuNZo3F8F7vzwaXaAVens56oD3bgncnlClXn5BC/s8Y2 +Y8XZnR0NpwhbCRBlMXqZEiaiIjzopf7J8dfFPicig/JkSiLjM7Kt1aQIi/bwLuCv +HOYYR1E6+fIDvBzKNG/sWn5ft4qCGIEoTck1MQS/UAOLvmWAxZ4fEdtxJX6xkAdQ +k5TCD8DiRCRDxOHV8YAYT2uLQ+z+gxNjFNF5bW6/YPXjF8kwG6/VOZFAN6EjgMND +OjTXGCLuoxbYknIFNb7N2XCayMMfOtv20rc53xReeI5hnUxGgdWkp8A37WcrBdrq +bKVnIdHqFpMIufMrrFgpI0dJXciDEJcdIaN2kG5T6SEGBQi1oiaGc7BSXJ7aUS9u +wm+tDfco/NG9DUTiTdIDaxg5eGH2o2haw6aB8oE6u6Nm1TW1BJKTG2XVIFhCemPN +RgVYJ+4NA+sfm4Ojqsmcs0JIWbnPdUCeJzT7++AAQxbjc0qCU5Pp2PW2r0yiBbk4 +k0fa49OzL7tsjksqGghXsCHQVM92XAn1TDunpi5i0a+njZCL1JJ9ByjgNyTmtHFZ +ykiILP9FJzC/96SgnY8yIcRnMMD/lErL2NFrks62yQZO7XIXnytztjxy1AccsDo+ +6TEEZfvlHJvBDiBooC09eGQxzmHTXOLjSPWkQOUlo5h6mkqCC9zdIHlJmWK9fkOi +hL+2CckqMK367V4aD5LamCBV99+AOi7F6oKKMWV9O+o7SsZ0v/bdC5g2+ZcvaYCx +ITAXeQDVerUMJR+aHlQai4CRhE0RS6e0F3rHeE+reeSwH7qZ//dGQ1XE+e/J25jR +kl6igCpWBqQ/7IPPeP4A4pMbvObor/LFHY33vFxl8IpoCPjauE9tExeuV41UC54a +3zCTcTo5M59VMuL8Fnv+LPJ4NFJVXR6er5FEDAV+FwnJYH/QrHGG6U9SR7e8rFJ6 +PdlWlVYflNTuDGb5HuNBimv5je4VSElkJ83Ptk4BEFMql6lGYZmhXsBCbk/5Fvpg +ekeqq9Xp/8jkKXe6kg9GBeKaMUEB656yFdwYUQC4Poszks+EH4LO3qzXho7moyBG +dGRfY6+3xGHC88gKZMk1IgZdlhJsCkw1mQF6/ECuJOud+nZfS8qT7vplyH0qtkVH +cynrb7kdSUTJmB4fLQs9GIZg2vT1WRL46sYTPz6C+N9/8bkzjrisaPXhUJpFn0h6 +sjGOVbUC5MSyaQQLP35HsxRV7wOF3lUX4QRQuTnz02qCZ/eMDVXwX6wWromMISEu +HL2KA5iDDR+K5bhWL0xzIxPDyGiCeqGERdSO+R6qA6uIZQkTWvhHmx6ox2XqRrVE +HK5hQKqT5pvgUKjEGEJ4OFkq+CtzoiCILWPIjkEgAoHJsKPnfdOchRkQVuf6zR3u +PMG6RSIUDRZ8vJR3/nKBMTIXmHjDhmfJ5bGeQeuoA6q5e2XpTrMDsTVW77etgFgE +CL3dVE2ekCrgSyLhCP/wRQooQllsVd9VUtqPMQewDBC+QnndPbNAfBo83RRCe1Jo +hv6Oge97SHKQpOMqIB3cf9oLCPcEo7N+Fy6GwILoaqgXMVhXIhfrN1GWEiuP9f3L +piGakRqkFVO9aGCIxkuExdTGuc7Kr4F5uWbJ1r4I/39MaJjH5wvd3NDvdA8fR5hu +VRiGMaktTXWFfS6Y1uM4xcZJfIR+ApOu7GCymypAJphLe27tXVWXJqibBciba/UB +IRkH9Wb2o4TtFhpt0P/me7gpIvYeRGoPo2ZZxqsFHQ7dFOcpgCbKJzM5MTZv3kwr +KMpw7ONnnP90gdyDKTAHORMXV5uyE+tj11Pv0zRb+4LHMrAYJOV/acysCgxWpN6X +NutHJkjbfTOjfXVcO6z8DRDuJLI9c1xkoccERTPgrUJ22JHswKinkRWbJPVPdOJX +EgINNup5WIIWKNHDNGVUDACaA8WkrCSe+JRKu7ghbF97te3e5GMO3+/Qq0RtS3HI +NF9C/dpo+HmoVNKb/HSIBtdDxzv+5uIw2fVbykW3GBBnwaPvw99blpeRyLVVxkEk +S8STpRXYhC8kK1Gju0LYWLaxv/qrBXx5Z6vVEKJB1sdQwSd5vlaalulfJz56E5Hi +BxN/ZMPvPPFB7Ao8NftuLtfstgqsM0vX0D3sNpFqcNxsVFdfgxQFiO23zuPVnYNo +zU3vHMvNPZp4NR7RRqcTVEQHNHRmAgIEOg/m4lNAa5vm9dLwC/Fpoe1MBgxZ7gwb +pj8QJwMEraSUs1Frzv7OQcnTkYh4y+jwIFGyAX/DMDOb86FeHX+UU9VflEb6htZy +RQwzh9k0jEIfL6LzP8i67OXz6OS1Q3+5O1+XagUgsrhPQTh0fhM9E/0JRMe4flvM +Kc69PPQFyzh67Ox9laomR2KKt84aktJmVld3Zzmn1ld8hAGIrzQuy6O/ABVizZYN +weR00UVlArZGqAMQIsXq96ltDgNwtQyGuVUhGvD9nR1T0WC9mnZqrtVXqUNpVVYE +AIKdiS59ZzNd5Ijm0thK7O0hohfqd4KA2LKxUiYehtwgqNuP41qNkfzMq0egD0qV +ydxJkluJvYrpCbH6mZgg/n09Y3dk+2pr1vWnipNCj3SnWEDnkmxrkE3uGRM3aUjz +AXkptPkz5iujGHDM47Kma0Ef44nKhWYi4D9nrIcWJHHG3fcLPi0ElHHh/wpMe4sm +w5o8iueCEnCv2a4bqyAaVUmFzLzHugoUvHkQ+W9twomiJzVM4ExIvcHcGwCYNrgJ +G4h6LdNnHTqXCFffGsfTEvvGHvWrilwuX1r+YlOxBcMye51G/PhRG/KiRrxPVLB3 +Ppb5xYYxZ2IW3yFuaxD5ONEHVGVgQmb9F83pRqveRitGtYVYznOlCshxdgXFSTlZ +6jjp/NbYFjQXDG8Ria831LLfFUdFoL+Ud+1RDK2XkLpKpU5I4l9VuGgjIfSl/o89 +8+ynnAZ0vxIcE7YRQ5RLVxFD2/yJq5dvP3bDJQGKcKDxvtAJ8FPkoCQAaR83IF5G +Ax72hq/LoW2/rIN9y5BpMByy8x8ANj9bIyconNhU8C1u23VsPoO/H4njVjNuJahm +trnP0IcYQ+yZPeBZ72Oz4e3/2u6O0yHAgIuo6u2tujWn2AHjONRWOUi3uQFThZhP +aBnE83K6mSegi+frFreqY0H/AM0zvz/fmduZCzUUU8VKy0FFepWMgBJXzXqAu3AH +gBhL+wAneO/0Y7oSoO36rDkTBGXzn2oLrh9JUdnI37Bz8sVundac+Fe5rcc3A3Tj +FVn1nEdlpFhSEcDgAx5/gVLFXzDFY1CJ3QLiNVRS3u3vFesoFFHYLyww+gwsG0RH +Jycu5lIu2SLI54uKKuEjSGR23oDeSgt6Y9hxiMiWSnBk6b32x3q03RW8b6aMGyyv +XHdyAq8GsE+jVV3RgicsFjxUVXBdH11GPsEAW1Ff8Iv7zV95kONnE+g6Xh/WlZrs +cFwL7UQWDVYQVmUSrL86V94tQZd2SaEuSaIxrLmHfRRBNYBXLAZQjzXAZCiUtywT +pJ8EluszQnfIPFDiHo8Qt6uwQ7MP6emcwX7HNsqkH0lWQIdcBml95rPTFcP/xPhu +4KRCa9j+KEE5hRkvhbHw7p+1ie3hzQTWxCDIt3OAIMCZ0Z9eP7GL/YRFiIMm8p2k +iik0jYENKRX4V+kCmuwNuM6VE11cGSZwDX052Wtxt4BNaJOXOGf7sjF0sIqcXqSb +FlZN2YQafK25BJkK+0YlJ3pORw0UbwyMuG24w7HdizS+ypZnuZdwYCq6euSiuSDf +GvV4uArK5++uwAoW1Lg07cnV+ZGRAVJYKtjIB8gN0b/6A2FCFCjgdR2butG1hsAm +10bAblb0fq5XeK9dOlWQHVvq93XiHvBD5HInrJMI0N8seLGL/7OjD2Z5Bs2fAiD0 +dja4FWSvqp7PhH9TTdJJIxdo6YIadI6fn8rBl1HcjdckqjWmamwb9L4dMdVGic6M +murcA72ktSnnha+hW/azzlqxMSTzizZuOLHa9+pJAGKYzGwF1esf11a4LgCrQa71 +uKwFoMEmKUBScyqCTHlQ9ySai9CKQQUAgOlUUBAacwhqLWVmPqlLOUXSqFsweYt8 +Vya2CMlYHgvVbTVjCbOwjEjYBkMn4ZIVpel9SA+0jH6MWIoY+kfYaRMuuGmP76mG +9HkJTE34qQSVAz1KeKcKyOc1zgO0LxivanTsKFlBPVPvYNTE2noXGyoL3fR04mh5 +rRybJ0MWF+isw+JVN2Uw9zkZT3Lq+wFDkGGTaiBBNw4o3vTX9mYbRxgLJ77kyDEw +YpVeQOeKHWo7oEw/MRs87SeOzqBrwDpbrucowchEeyPrIesl2w7JzgNyaF8wPUCP +rlagAD/PeIy18gmY5FKDp7aWHsjAwQWEaBzxAP36bv0UlyaJqbjf7Wj8Oj41BJgG +vBZdBCD4zhOnSCwCwJL2qFFySYa5D60yw6nIdxOfu10Eett4Ldgrp0ORRfh530Ci +mmCr25uIAgHD3ringKAnj4L8XohhFgjArHs6K1y4HPN/odj+UJgMTeckZOW8hUmu +sYoM4pkU67AYgZsAJ29U5fVhrU6NuRTnR/FyyD5GVfsEkWBDCeQcrkXYRGJ22wt4 +aYNGiqsgA2A7d+3Z2/wv+uD/0/BBRhsywo2QPItEa5BOn0p+AvMKvSOpPjIxuDfk +0v4XiZPCrNrqp/H7uZJNbWElVjxVt5RSwnf0Hfe23iOq27D5NpKOspElQumjOnYS +1h1gU4G+7k9c0EQUSrKIhUOUxhZQE5X/ZegT0chsjDl6E2wOUZaJh2Ft9tuxibro +Y/LCdOtmOKBpnfLC9kzumKp0gS0SwN4c0gwjwjjv1XcbuCIRDm+9Q0F4JCogpgsV +vWEyErEEE2czHFzzHUrFw4yh8nwVGIlKMnRVhasB/HiI4y5wf7yUDeJKwldYAjKG +bvJjRSdgY16cwILW2nNbcCjEgnKZbaCrPgww7w3Ql9rIUYuuHIdisZK+bWP7ywi0 +o81JMQXIxcOAhMvd6pZKodsf78M7oA60oDO5pWnWvSMHQ9Sugmrba7+tZT5uN8os +86XGmIGE/RvX+WcDU53oRoo1diUQKs69sVNH4IB/KfsX3Wcz0f27OfFvgA9AKanZ +TgBcSRyD+G9G9TeA5NP5YGEIzwQTNEhsUXnc29NuOLRe4nQYVwHrcX6Lz8Q2fw0x +GgaLwgEjjGp3i087a97Hyrm+ca4Ysk/fJgLe0crAaPRVwSXh/01jP5t9+RzKX/zb +POG1ILMVAi9+P05mtzOXPAcjMZbw0uqS8nSv02UIzUR+hDSV9Y89KXzwHBUtLLIS +RaAm+93TrHZYaZVwqzWKOS/dt4ATs3WIsKdtj15d3NyofaF2Z2M/R+TyF6jLE1Oe +GwR++cW/FVBjg8DB0TgdEGlyK78PpG5+9ohHtlBPHpqS7FOJD5srCmPMaM/giwZq +n5dSrcajKAynvoA1E/J7388j83EmDDZ29+C2tvAKG/lMvSUVyXJb5tMQYiqdESJi +konGYsPusV0yfTpqEMpBWzwDwjj++KtdFtGPe0YlQ7RzHENdkLLkdj2MePFwRx9v +KtkXqfSd7qaN249ADVAwzKWm6+dvLGc0Cvs2/Lki0auN9XKpaSh8jnTlIs7Em5FJ +Q84svRBRPOlmff9XZ6Ph74O5B1+3Ud8KbAWbu3vkt11qAmFEUHm8kBxY0jYYj0aC +VV6U9eoG+y/jeuGPmoYQk3Li/zeag8SNDFEgaqPWaMrBaNI8GGG4VfBYPrirXRSp +ztQma57tbw8UrNM5HpK20CPabCFcR0wz/CXJhVrWGDckK1RQINCwHb7jRBCNZocz +LNVHFRIlLjbcE5PcECJz9IqH0q1L9xiNwcTd2SJrvIcl0jp+qkqqVi6w93q6a2C4 +EVxrrUso4vUQ5fQ6VEkcP+c02VEFf44cscd9drTsxg9lqtK+JQybyrV1Zu33+0yz +Q/UZ1t9UKHs80/oRL0rbwGUsGVFUtWr+E4QyCiHm7cV9QZ/ew68YHCVenz1g2dA8 +40Yy/0CoA1mgrc0YpMZZNztSlcQMC56lTHG5EHrxQ5UULrXc2ka3C6mvgOLNwrv2 +kyPLPtICgWEw1GeU8jIsj17nc6toWP6r3JLPWEudVFcvpG9objQeUgAv/bGGcpRg +E1ia01IA4X5W0YxUIn2p9UABFQRsuygKe2VMaCxrB8NZX3xUjh7O81sxX4u749ul +XVCZjBjvHCOc4Z56pShFyGCjndacOeM20jfr74nLiaXLLGmx/gcF0diCTamzWXNL +y4jm3eJmTOYBkyFaUbRpNaPwMwAvbNuv5YwMeikiNRiQbTtdR74t5J0n9oHcyhzl +HlvQqrfRBVengpWe86WO19/eJ/GuBKuQoEHTLVi4OCr15Tq/j6CQuwtUc5YWFvW6 +iSpGBluqS8EpC4PdNbEU/9xZ0c+B4c0pMv5wZJuescCaCyuFUZlmAMXHdqJQZcG1 +XrHsBjLczOBnjShpTeys/4e4ojzurILcN27ueK9CtZhStE/9Wl8MZVb75M0ie2qh +t09vty8RHMfbre/43hprHWgqtkQFADTSaS+YcBxC5ld02WiK0+bxNiVUO8mXBwUY +NMuPN0YZTUQlwsp+8KMRGMfmdnrOp4QtAIxwpeA0OO7/4gtb35oToOD4PubSLFZh +BlM8jvALZiKGAgGQeEeKTnXuB8mzPoPqpGPRK97QNya9GSn1xN19Rb5WDrCayeQD +UQ+JtWq3SkvwrGwIRIAUyNPMcMQ82mZUG//35N5r+MNKYtiFbRxh/UCAA1hHbaMe +tipBfbBJMsnoh/KSulM2RdiAIxtD6QM1nfWSJnQyF770Ommym8sLWSjoLwZfcUet +s/rN+40RgbLrhI8TNkyVkPnHrHwO+n3aU3HvgemSKgPCnwaie8XDD9cx5jB9754z +pScE8g9yfb24R0oLYSQv458xZhRstWHDEE37uwpeYfFtN7gDZBGm2+tipKlLWwlq +9EvigHA8HCBZwuvoLD+DPgnKJsmV/RQujTP43JHMzUMji1d1wL6aIv+AdZfsem+d +tj3UnbT+b17SDy4/arj7w8UQKv9LRGNkcQPIPqezvP4LzTOVDMrYd9ZcvdqzV40F +pwbHNQzZH1XFaA124g7E2D0JQPYN3NB27TSkVNImuXjWxomQ7HZLIooqFV1bxLoO +3Vk1NUj1ADPtU6cPjAWyj6/lVMo7+WNMI/BqlRxUR2SWNAPbshoHiUD7YKgqSbd4 +aCxjx0qpY4QMkIOjyCN89BuzLUUSg3buTG6KFT92YB5u8BB4ZVmoItlxCwFfwcII +fGvQ/TbZvw1clkla+cLWNko6wRrqkfzAklLYs+xRsKveMQOWHg/NGMQuUzVV1MEP +i88k5UJdXKpmaasEloJxR1M6sqDl1yCGW27nkkv38aFSRiymKS5I7G3KZtqliRkJ +swF8V50tpsgrUrpgF0R7flNXgszhOq3pBYoeI57OocUhR3E3XoAvBmd2ThwyIdrC +7iyugGZPln8C42s/DPByGXWL+t6IA4KMBm8FrjgjsQy5rXD5Cs+BpOScbQ/L+amF +T+ux2KNRKuqVtFxJJ1WnYsoankXv8qZPAGXwedF/wsy9hlcYvUYnlzQ9xOW6gYyr +X424CUxami3mSc4C+fWVKnKhdIs8BDsQdBanBpP2tujRwSeWhPS19jNGPOi2wAv1 +3QfEmqUvXl7MgxksghjtfRE+0hFjl9YBPlZX/Iu1ZVE47TkGNCro5C69fjHlty2H +XzZuhYAhXhSxCApfA0b4YYvHgcXni7P6autD8f+WuLLrbomWTaIzUbH0j36Fj0iC +PxlI1D4Y42uLfeZJ4LnEcmUBJOrAo+LVPfZ4d75lBgxz0wXLnC6v4aQ8yUXWESKJ +2FNUGUjTwZNYDTYO+2lC3CskrwaJ0Z2HYEtrX0uoEZHGaLqetXgij9OKQS24e73g +u5HOl5XJK9YX8SvsvL3P23p5LlrEwuSSHbdvXLz3Tp5BmOUiONRbQoVeIDoAaJDa +zOPyPZpVfKkdMdKiAbNByLmpnley0FV0CXPRi1eTSaLse45q0EwqY4vcFMtmPiyr +oDdfB247rtUDXDm7VyHvYzdqbTeHOVynVx5wRPrmXoAlNp4dMMth3YuZZN8N6nZz +eMF0CswaOsTwoRuQSeYBLq4HRdDq875oVUnnRvvkoxH6s3DXM78OY2jaXpva/mQs +iHzRwoTSgJ9FVCtJI+TqDyN40CHAQqkOwWHylt+wNjT5ZB/mjsX7GdN5SH2mtOt9 +Ta/eE4f9Dya1tUEhVuFHzZU+uyKdjZAhNUXr4XwCneyFU14hhEGUMcpIgeR4K0lV +yj++nx1QO8fMjZ1FSpF6ujzJttRTw58Fn72N4So03leKhbzJx7gJoTkWLhLtDFVl +G5ZgBksI/la1Gzh/9nLU1766chj2uqWMQgsF2YL1fdD+NGxgzRt/YwNhiqcx0NMi +2uo9m9sGA7AaNjCJQ3XOgJEeAeJplPkDl+meIJ8EpOKzzA0R/L4XCRszqgawnXgz +0g2GnGa69dtY2Zirwm1kSMQJ1McIXOMR2T/Uw6Sjrd54ti2aZgdax4WRPpjzudHZ +2dDLUruJ9nBTIbkzzW8V5az08zt8CPXPd5oTTod2M0dQGL5A3mwU11CpNa1Uys7D +XtMOQ3MnvXLFTNiKpPKdTGGzojN4YfgWymNqUZccJP5gAC3kcCWIZKdAC5ay944U +4qEvYJgk7KYDEKrfZRaPQuhE2DciUjzpEtDZYshTJpv2mCGNt1Sv+TdMoKT4l4jO +bzlyULV7M/JrdOu2LVoBL3vGbywIL/QTsfkJxdIBg4mb8OgrEe8ih6QqasNgHK8K +fWqKo86zuLf9QrLM4UyenWCbpMkM5id5LlI3NLp5g35SUxH7ZBrk47vLdD3vYmOE +l03GxCIdYDGEqLmCbUjrWevIWWIc1NnCO6Ql9ydxBJmSZkvhHE+XSyvZrbOuwbg8 +kzUnLeP+SBbB8alf4buQPWBg3pq/Bg1xntIbWUwZfvz/OQobLsKidYUSbIODfHsf +FQ/YzDiJpPQdodyBTAYYafiFlPdGHSQi4JF5SVZUg00GjMmrSa9yaEpg/2m5MHM/ +94L8tDiCu2VWUEJsqaCJA4vxulSmhyvlN1lnKwqssrBMDknq2gQ8RneFD9syWNr0 +tuZYL4+cw4ptLbr1GDmjqAQcAbhT7jc9s2ZDGvUsOXunFZk+8V0MQ6bkcj782CxK +9zGMQ1Mwtw/L+GXHCCATWE7FomCqkR7VLHknHnI9rrkZvUM2srN2Mww+xuXlgXzh +3RPbq8CFR1bVNmhKEMVC9eWtyxbBxc7dTY9BjTq1qynp1ZxSnHAFY+shtWoPV1a3 +AOYtJWj2u9NsZvrwlV+Hp6h6jLXM3FwTCJqfHpvGbLT0izO+0AGsMPjNf8j1Ebx3 +xLyDSsT1XPEvt4aA8lFDBYGckUPlyOJA4ww6oT54NIlpe7cDh1sY7ozTj151bWvL +M3Q/S3CLVrmfuuQE8GvixUijeCTpnwLGBfhCj2dB69rrx1fGvCWmoRcR5Z99E8FG +fcV37GJKlhggpaoAf9Zqz9ozZQe3OOSsL4TFBEnZ+Elg0X9+XkNjoMEbwden0EuL +SzQRmABntQ7DG/Vq9Xs+sD6xNQ8Jpf9ke/9MQUmN+3G6Jh1eyMKZRbOOOEV/Lj05 +xeXdpwUBBerV5oW+h34cicvgJrLkMeDBmr8Ybi4d1DSzN7LUF4oY3JoB8633C81l +dRn4OgWY0xx9R0jIFhmx8fq+lhOipzeF9wjsMkmW7/8q/8AakEzKOaWnX+TN2Ies +BawTaB2j1+pKzwKJb9I9p+nxU88ZgPyTMKSfLZ++Jipfae9Ac0FszldNbGhvlFPV +oil7xfDy5VzgqHYfTlY8+Onapma3L8eOIcJaaqaxxKN2T+QEg7PHr5xoNWaXZQlx +LRDSs09SKFACVke6bgY34W1StDeFD7NXTKxjZT0EZkrFvM++hmU0jhAf5Xe2pdrT +AU9Ead+/QTSbuRMJ/L//nFySgPhBJ9k51oAYiR7ELKR29OK2SvA7uoAr1zi3a1Z6 +TKbIWVRcp+vS/hWnG4+ULCUbe9pWc1Om3AGuNbjYl7xCZRdoyjAWhgAdjZbIFdtn +ZhqcqM3dIR3qn1EstK2gjazkN4659tLkfjktppB5P1EQ2EM3ogJyK9wG1U+4hEmv +JMBzRvJAVDtSyHEKIG2WlaMTJa0wM93z9GNQgy4+7I4raCZWgFHmtQ3Tx59OUdPz +l/dBCgin8wAK0Q6zm5w5yJ6dbxdullM62eqKs3CgOHCfWI4ey4kxnH2cYzlqOKFh +DQp1zOuFGq30yKvUBYec188l6U/1IVZw6YgXg9H6GDaYjIZwjXwkhJBs28zCcn27 +/IZRTQXhbBzeJ5LHIYrhshqTG6pSuHI90cDT4sS8heUiLP08BlGhoRQivJDIZr4B +f/YxB8M5A1r+R4Qc3s+MA4ozYc3ckJEe64+CjHuji4/c6Zm9Zvm5SyhehMbgamf8 +TFShG+K4ZxWbNNTIRkwuoeDsf5nuRu23qAQQfB/s6sT4zK1V+I9yAQXJpRuZhe9Y +c6LFrq8wjz+8/uQ/DC01nSMsrQPEUlKccD39bf+YSXPFz5+KocFihvmILl2D9pWJ +kqNfuYoyiPkta267Ecn2GPPX9YaUETUcqy6bH/lFYLBFpVJsJ2BXNQt2qkxPEQKT +Zf+z4wX8v+2CeFvy3C623ZZ2NRDt/HceDesCTpuC/o8THbeaydKzac5aEw+0Dhrz +NjHlZUpFjXWr1QF7a/32dm5NDMH61j1wsiZdFdbZY4gRI3y9OA7QwdGN9o5x1LHy +Mqyio8wviRTKKRaB7ZuuSV5XwzDt8sLZnlzhrct2LQdsDUmGlI9phvyXVm7nkp9D +mi7LkKEYdq+2W88cIqJtzCCfq1bZ+wUAXBCLJgH9tP8VJoTB7Kci09iIDOhf6DDG +1NGJF9Z1THsuUIx420/PQZj117+PerWS2P24FVCuJMdILhiMjuRpn+QQRnSaXYb9 +mtnQCSZT4CB1tZtWvhwsKIwBKeJRI7S0H76O9vSmTc5amLugX+MM+iMKcJKwOFZ7 +fTjHGHhYHCcBUXj6si+zpHUURXZn+46u6CA5Wwhhdp15zGq//THCxCC7g8hRoyZL +TeUFpEtmqNLgLN3sMrnL/qcoEgSFwaNIrnd4h7IZefUhmt4bnWgKPMHN3AQ8TpE/ +3mtqEKeBiD9zuNyjox8Gn+g+qkI+PVhtS5SUUqLGXDizljzJoxtTGRU+1DMPV97j +LnPJGQJADWJ1exSH2vHYO58rMmUXjjgQlolcJ6HZ1qLsf8aCnHsE2rcVBZBNOkAW +H7THi3dNdKXEJoiW5sJEkZrGApF/XGo9bwPHfC2dboT6V3BE/LHOqS8TpeUt/8XZ +Zeb20BaK8XsYvVb0JaFSmmXQZqx336x41hd3731oUmvrW3gGUjAGhV1Q5oMH5Q8F +T11gpkjIoWE4iJhqBoGu5711Fug+R9tTsATziFTXIKm3hqjFNaIXvzR07YFMP8ys +WIP81CkU3dINMUSLlp4jxjOM0N5jD4g0XiUI6TbSPeTguhDHy4plEY9g80xAfWX3 +WTGkG1Eg2mpfnzRQg1hVTbzLMDm+hZB3rxIRnJOyZaIEC0e48TuY7gieZFXuwbWk +Vv13KvC4iL+/500lsH6ZoM2ht6Xigcm612CWI04StC+y0H2sJRE6GnmcMp4584lv +c4Nx9xT1DF7w9zm+oWwUldJR2OLXcbhEwvWXkYXu+VuZGTSE0HxGiv4RimzBaHCV +5iPEc/uVzrMdkh7yF1n2p7ZIozhwnWhkW3UbQiCSHPMc+y32cEex6GFXr4zuqdch +hlNbOMCSIRfnpqVgz5B66NbMG3AuS5UXnNygFw4ktTj2+B7nEPwTbGLFTOwrPGLH +dDGIe3T6eb26N1IbuF/XL7H2TTMXwAgd141vQ+gy+xAJUnRmMGZnG8H+QLar2Ek2 +Uv9QKTif//z+MgzdPcvFsugGKehMiDpfE9YewVqI4AZrmJHvoCciA+01Y4qipkuP +PnDzhdObskLG2F6+HVd5YYOzKByM/dq+1gjDl3M6SqVxwXRaGWvJO4w3wcUBDLFM ++3PnnA0uDyG+VX1tE/+9cYSViuQkmqpvPYVYnot4ucFJA2QACeUDQ8gtU6vW/Pus +5voXH5A6aSnqzr7ikpAMfagdL6yDOQAsVUhy4ejiLMsYx/Us4cTMCqqv0Sg9Il/8 +EB/zfQZuDU7Ma8J0F6b3G1Z4/0c9ukF0CFDJ3E1d00Sk0WWsjufSkob7HPG4ABVM +qmbH02uTZ8AZPS4IiBA/qeNN62dE6BKU3VeraGv9RB5N2g3qs1i5xHZE0mv9VXl0 +ZL8BcDJyBhFLJx3FuZ79nnHDNVRxcgGCIe4G0OiRjrv6wLwnIsGQLX3bzh2fTdfT +ae6MkMClodm4risODo5XYspfYiwDw7d1iP3L2ajWEf+Co7HXa44hS1X89vJeCmEK +uKyvSeWWY8KoaDx6oPirc9B0QWfOqvci21F2tnyg5iNxKnd0IPkgEjsoTp1UHlIl +Jb2rG9zviitkI31/GHg8W3jdRm3lKK/ZuGqigToevSYJqxxt+q6CaIHzpyNxBdSf +1mvs94qn68PkX++kKm4jVndTPwLxnSmTNxi2cgdADopIIWbKzyzmNjh8sbOiZyRU +FXsMOYIic62LZJzHms/ijy7YrBhwymIlcZD+MVgWw5DRxzBXvMS6P2TaqfXAcJj/ +GAgzxgVBKErZcmsqEE4ZF3zBOs70q9zJjJZrlCcuoZKHhfyxGnLyyiHBSC3QA5pr +zA5QbGxgpv1mtfe3td88BjXZo8g3a9hsOsbeQqqx/GQRuXF2ps+RmXLDxiW0JGu7 +mmbsT9DoRwoEwhtr6nUoxFLbBNM/OMorecvYiNlYc0Mx+aLAiHHu/u2HtJ/OcLsI +SytiQRmJvj4axhAVYYWlO7M7Nrc7Q2lLvLR3QIYvAwg1ZaTp9gAT6+051rRL/OLa +0WZAKhn3qDmya7C/Ia2kGYUtF7D6N21mVgIZqBH7fnMobS1A05c2FiwpFugkSc/O +zOH+A3PSv7H5Ht0aNduAMU65RmzNWnfN9qP59FkhHk3nxHu3WVwFt4zCRryPO7gZ +tX50ncUM/jZdEI0BJdS9neldZ4EO9BrpW7awJudqBfcGd7cwJZCFFOGRsALOt+il +pYJ2wyOsRKH8cI8f2vmdmUyrt6qwZVjWluSN0BZFr5BgRTOwGBWWC3qxbHNJXM/5 +T5TJCYhdOR4YBgyqMrJnciWKdUNkSuJEM00Pbz05hGHwoK5YQIziJlDRbqsr/Kxf +DFuH4R+AcXauLrZrQiDHLSy4oTSRtDU1Yy9YTYbOkxH2/fZkaZGQ7J+Xa9/uFVtw ++grLv9U2BZ2krP48uE7HQvr52Iu5KH2mwUeITYDMtbAYiLmUOUeEUdQ5RQsz4nXb +S/ivRrTIT5/aZRJ/vGy1wDxBzZEx4pyb8gAiCY3khynRy0DUZ04PERqo8sr7a4gr +3fwxReHTLr2K6Qpt5EZYw0BOVbbPHZ4n+Isi3tF/+PbR4/QddLTp5Xv62UfCKiRZ +Zb1WAQvlb+z8PRJD3u04YYFpbugtZGtLZE6U7eTVYZxqM8fZj5qxHN3Rbuy/O4Rt +gEZpw1b/LEf1iVzHOtFpzFCgQpsZ9UkPt2N7OzhnPHap0qZQSImbbiYm1FGjl60e +PdKJU0QbRw2bELxMmxT//8yi6n+e0e3vTXOtGAfEZHD8MUdgH8zW2ELzVlvTJTh/ +E1gE+vuYOzZJEUPBaUa1zLIRcUsiYzYMrAAgFQDFEcLGb/WNhs2wW521pQZrLIIZ ++Ri+snKeJ5eh1ST9Y5a0Ok1123zq36zHxjmu/RbMeqaFKiIvm/wa+fvTf+nhSxkh +19lnurh2oWIg1D151og8sFCxVYGQqwdwl5kIAO9S36mm15vr/KTvwAIHD1bA1+tY +bwXFUrMV5hK2Q/lTuEGfrzhjNfv28T5ojimGe7cK+ZNTcYgl30MZpBvTkmiFlD9J +SdIExmrGPcRD1S3I31vgknLm6V+GQizU18pOc0nZ+PVAKKJhvWGYrJgzzyMLQVG4 ++7+N2J1bQcVhpNc0KBIHNbDyEqUwbV7PteYZMTqIPxL+bV8FF861PWS4Z084koAL +20WGPB3xegV6YkLcKcEQIZvYpI/GIxJJn07YVeYZTmiMLJXyYtuVzEcbX9WKA94g +agOrXYMLX1Exl57szvCnYaqZpbW+bbPI1tteGCCRn9PpL+Rh7oRYLoch7CfH12LL +hFBTBeV0Aq1lOyqEWGuen11/D2JRVbQXRMHN0CCTLSqv7mwJXroQn3okA+PCJC80 +uPFSx38AuXpPZOhMg7yBxn3Cb7KgGKlc4E5tKKVFNAI/BYBc8cZpphRj/I+ypnxY +RXPI0CKBPsi5dTZD3QXyF57P+wfKGqsrOwO21S6k5tw32V1EaETKEdQlGZ65REhL +Iu4JTryom/DBkEC2sOdiKPv/bf9eF2KaB1WSj0xMeWHIBgkdHB8d/uLiLazxIw4p +BkgSJ9JS/lgK82h7BbAfSOrrxt67c/j4Wv7S+it4kkyq/+aTDLLRvVOvLWcKJ4JN +FV6eI6mTK0zIreQ48hCldwH7J5HaK05E2EokQkbbOtSF7XLpeXoTVXzlFnAUJkOP +xW4iZmw4qaWTVJz87Vwh4XMdvrOB2M+rT/C5XyQ1E2YDJ5tcJcP60qwHaktIjqZn +u/2JzcL3opBJ+16f8/pq2tWiwp6PmteU7frh9iKBhnVI2wnv9T4hQgHk4d4aQr5k +G/XDkwDb24AwO9viX2s/TzbojMLHfpvCwwYiYqDtFJzZQXydTf1kPL4j/Vsg97RX +vVUNc0eNhHyzX9f74Ss83d0wLxSutVvm8CyducRZYsQOTewliVnsye99G3qsZk8f +UQ6TrElJGeo9KDPJvLM8vInzkBUbdZ90765jy9zVZEIO6zDlTmcVd+AFnDb/4KdY +09bKgjoxaczvj7nw5M7hHSiGi78SvK7SzXCcyoVCo8Cqrko+Sp5cCIbllxJKg5Ss +rwXaMgct1fqhnsV2HhFONsj3PeUqq+ITeCd9StmoAw8QPwIwmguvB98B29JjVBus +M7Y7xUFhvlO2//oRMav37+qrcLTqwJ8/bTmX5dGa5XoJdCzg0+mUR2q68HauUpcD +/IyYT5HStfr2cSW5FXRjV8TwPtHclhDiksZu6MoKsGyuZsxZk0UKwq/WcbGzW3dR +4xXb/KapSuTmgqjpTNGLUbazMbQskizfK+ezK8hNYaNSsDcLk6omGpyFRo3Hpdy/ +bGdcWoZIQqSldAxMdQQOzBFvHsOFfYYc3G3xZmO4dP4OYOHBGDNX3h7uCyhv53nA +LoXefdZQ0AMogjPfID70uFV+PkE/aS93qGoiHjHMxebq06mGse5tU/sCue4FaH3j +PlSlBHtqOObPr9iUbxcvzVxhOYpLu2ml+s2e9ynMlrq8AU23YOtoFnMgGNz03wff +QoTJRIOgS5vgqDsITOZ823xNWeVkzQEzGrgxs8WF8moo5TshCK0KYAZ5xI2HWRKb +7EwfiajINCW2GmyIpipiBJwiuudl5Gjv0CwO2YtV6MLCwbTLhPv8BadyuAlyyzQc +dKtGqpdRwnBjNNtta+wdGA/LLIRyW1llyGiy99WvJ4In79BP31okRNbeRebtoM3P +YD7/LsOUVj3lzBNGA87XgrTovSIrB6SQ+vdUuS63LqpuTRZMhMChQ+Z7+cUzbVHE +hPHBmZ5Lm462v62YC3X45vW+wGgnRndhc53tZtUrVVoH+g+FXPXG2xAbYd2PZXw9 ++9aAcJVsTgQapwpm8HxMlMeim+vfOMhpuOv3CAmd0cE3HSFQbnVN9QGpxgZCAtXf +1h0PCPeWhWl4Phe248c+T1Ig/hrK1iNtJjmm0A+tfzAic0+FjolvLmoZ7FdE2KCJ +Pd5hJBAecUHrF7qjM6IwbufK+3HyN2VkaTUAwI1R6hxXonpoSsUaDRYqWeDCVeoO +TD0AZf3b2Tjrrp7h5283LofOpR7dEYZNlqAs6t2AWhN9hvGYgHcZPNIYu07OPQUC +sL/Y5W16odDy9r5BABptLiPgxlPUS1CTyr3dYPb4EbZGt7vNe7r6rutTBxATVCj9 +sPfK8Tgjz8NmnjxSEfz+0Nezj8s4tQqHjGTcZ1f/y+QDq+tvZcHEMmNf87hMGkbJ +cRM6yyvu0DlFYHiefOSbzowv0HdBiE53sqWO8izMcVYv/TkxyN/aeHNfjjuodDaI +I4mqMSFYBnCfB+JrLVPUHfA0GbNOJmxh04LQJFaU8ZuA7hYE25UbCsNJ79CaKlMj +gjRXhSVjWpmAI+2uA/w6pg2W4yDSvkbcpffMpJFNGOiZPB1nKMDaNArLxwefpfMP +KeXONQWF6rIrSvYhrhnBXQMnf74I4p2tD38Q76JSSQeFkuIBxCCEPJ92BnqiOWKm +KfoNvZSqcxU2ETD4LCrO+R8LWbIKhue7AecB6+8bsYjRC0tumyOL2J3JRuet4qpU +AQh1ZIJlwlVg976wrY3y7xs8JrZ8Eu/1INrDi+/az07Py6EigN2cPSgY5FuiHqSM +YoVkZoNPaOJUFeLHp+TEl13u99mmaCUHn3L4aG/DkhPtd1Hq8jHTXajE9u9YkmgW +TOczclhSBv8QDjZRbAiaqiZFX6Fuccw6pKuO3DmEOdqKDvAQeOZsWhLlE/ZDlMiZ +n2cxCU/U/TCY7dAHEo/gI45NBlKbURBY5zTvmJfhlpqVekq5YOZ+NeqDrL5TH8q3 +GxtBlZdX2uvYv7XLxeYOT48tNMgxh6pwYEgQ/gpz+RhW7zs24ARzLVm4O0azCq7v +V/C1Wf9MQN7aIAY3MDsTegvXR2l5BIWYh7mO205iviYDsd05Dm94b5Vcbhp5I05P +Qt0EKCsZQZDnQP7U71utiqx9xfbL95Qzy1gF8316mpjNA61oOX4t+Ik6jk41SPyk +QzFfYtzCXAi6l1qELFOmHBGUpdTgEifTkpyy84Wa+IuN/9B0LHTqI1tRnzYCfPdt +qx6MPvAPErRfQ5II5cdm4zroFODggHVKFU1eyvqVyuSYMYHKAYIJbL5B4LWFyGf0 +2YZ0dlSD3e3OzOc8N0E981OBl0NCukCBvucC2oM5h91y6zfMlMEnkhCJ59jBQeQ0 +zdysQAXczYIR2FtaiUlRF5FrPRvtXqNvk9QbW8RSfTjuRQ9x2MWDw5mJbJPQhaqS +OAugCM+OJPI+uCrx/6ge5fXHcAjRIyt5gC5sKuFb8iMFnKIC4wXESvFR9A/VKEV9 +SIkpFA4b6A5pXHLENYv/+uGnar6iS5++TqETbZilDtZzFgSSty2LyFN6U/aIcz67 +Z5jva7tDjJ+PzrZt1thwn9IS4L2beR7GY91WzYlavv1Pf6WwH3PfMNOCB5sKLFNj +TGVnLaar2m8XWGsNCKbYkq1+HSLBjFeP1EKeVSDf4q/Dx32Z4sdQkvBWUM3zjzVY +yutLVERjHdWZtV4bxfGXh2nJ5nEfi/z1ktkg9RDU9AtQEke1bCLhQ7y0dt7cyKZ3 +qFzR4rarF7aIYjm6bPJIYNE6Dqi72jjOv7mzYbs+8B6I+gdWj6asdXcD04xzS6wn +8mVckBgtKUK5TI91ohPm7OibpRbYlzGXm3J4kXlVwnlFe/2C9Ep8FTY4HCPcEqZp +uhOZVFS8Bxl2JaXJU8D+cz/1bdWZ3t378nXEYj0AQNCphFtdGgWBahsH4/0875SY +MBx1+Xv7kl5HWTxla4E/W+2z5iS3Ag3bvxGZ8vEWXdK2rP1VcTRII0cn2OyJBJBR +6Cq/3I8P3mTe9JWldIk8wEEUtgHwpO2coDAzHTLp1cSuvcOfiHdA7CNLLexgQtBE +XNugduvJjiH3pv0N3GBb3HtYcSenDmt7EoWOafhtFnfz/TX9Ju9l/OJAYMP241xC +mHiGZWse9qlv855Qj8Nq9CI2+f4+56IOM7jE3UPbWOMTneHJ+FeAXjPBt6SwlZJA +92rHKUt6KVqvdtI0IE+ZftR5dRAcZrvzj9aHJIXDFuUTh598R7lHCXCJjF61MW9v +P4qHYzCgsd+IfiJ5zUdych7AnfTLk4HCH6txJyCkvMkp+Qz74K9ch1O2HAZmdiq3 +o5Dme0uZ9SDVnvFAJwl5AADDp3e03FRLtb0HKa25D5n7DWBOvFswOICik0znCPdY +lWDGAuIk9AV4QNWUSJtGT1NHDXNZJYFjHAHCnktlB26PNPmt39sg4GzmhylV/Tes ++v/3wL/y8SDr0B0jW2Bq7tMZlu0hLCyfwdKZoYzxzV+iATuqDzXGpGDQvm/tokdS +zpsAXG/4ciD6nU9q6bea4JCXfoLCRWj2eISHF/XkUBGMhDh6H74z2C0z04dgeu9V +HhJ1NljfDdeA3GFC+s/EXosX5TjoMucSNQiTyA3e9Wkas+2LQNbpCxjRz4AaaJZz +lJFekwoayjkCYCFCjFjim9mDs5VTzh98Kwtss1GCOP1VOgoQiao32yIqPFp+f57q +j94DZ/6LRBr6gyx4Zmt0LX4rvljIMeIfGFZnzWwkjsdrg/JswIrPkjBH+CRbXbje +aA38zTsKiw1LuU3zOwPf3lkYRK9Lgq8CLUMHTQJV0qXLdVyDA1yvhF8C1yt7T0Y+ +jPTvxuvsuVCtst9/SEgd5VDQ0Ggzca2l4vbwuFtand/7GiRONM9s6cWuVFudhuY2 +MCb1smGXRRshcmEbrXSsbzyld/0OKrsm4QNM68pMed67rsm+qnfj35MrsPuPVSQz +NUFJqMqjdDHQJ+nwhNrmlYCzn7xVGcRtTRYqyBATZyX0vXDDVJ8Vn9B1AH1owCAe +3qG0ygOXdAb5Jr5EE+JCTM4ODdlZtBaefLgO/ie6wG8go9FFkeZhZovaYtgg1exi +i+/6rPE+MpuUtB+M+HwVAGIUjZJwUZcfPOwoCV6+M1kxIbtKOiBfS0+u5TU+q1Lb +cSMKXa6LzumqUhqy9+4U9syK80NTNdM9C6WZrVOunPp7su5t8e4u1gRbdk+espzr +h72LoFNHNPeHRwyoR4Zax24wJNYFoFEtyM5f2sujSxwVWCswS3j88LwvzUoIAK/q +cfbtgiazojwVI8UQq+pWJ9F48FAfu3zHiXkk+2SejsRyKf1YIURcPmBp0thBUqly +E4P9NcarPL0XVyw9Jr7jxcqpXZlluux6bB3bKWwX/UUVVJMx1YmaTeJYHckCqNrF +I42gCjVAZDtjmOZjTd15lkT7czn5/pFBLlxNd6Pgq0HDYI+709RS2/he6OBcUvif +wCHQ3OqQDVlOCgSoXnL3xF7A8bWC0ajCEurwdZocKKiZ+hK2cH2+BVqm1B96KiOI +LaxxF6eTaVAP4INdQITcZdSGgo0szdXMces46CxL5aPbR6y5Td7rqWRmi0+6fWvi +vMJQqKMTjfSTQXoVI8zpGYvC0InBoLFHleoYBGyv5p/pnxymPXKNw+8CU2jUWQPu +HDD5D8fsysvKZA1GD7LRMkAobMKgyCJEsM6DBs3YewILwBDLj1WWpLDXyMnqGsHj ++c3iFVW2A5Rxi0gEqJj4fbYiPCtUX0jp3+VVGfZlRKVSdVire7JIva2BbBX0rh7i ++QX5iigBnodwWZHzsoS7fJ9+Fs0zrTp86MMokMNMJ/RfTVppUxh6PUdGgVFrFHN1 +ucMdq+NfbQA/9Xxbc/zW2qCUTrftDJyyA8IIHzfxv+HFS/VTUeAdmRwc4+COs0Yo +rCTavkipKDTYAGfmTfhIG7zvIAk22XGdSfyF4o2E28PQ4S6b6yOXBUmdmTitv/Sk +faU2ddz8mhj3IBJYseT4k2ZLa3w5/OIpWTjbmZ/DDKLr3xv0hhdN8aJEzDbsqHub +Kn0B3EgPbWlNZR/QzfZNsgfle93GpIt6CYD/GSVxJx1gqVhqJ2ZiSk5nC+Smc5ET +wWNM0Jbkh5SbQgRLaaOUOMOaZBIi7x3k7tX4mXevBm7AeyI7h7QEEo05emD7hDxL +Zscyj5LF6wFyXZB7xLvs/uexR2amxWJHXaLdsLT4Vx0A1CH3GtaZ1ZbD4lekrlHW +HGvnbrHKYTdWdX5q14zwlBJF8P6SwjQRrvoZiWSoH91blQBbGWwlrttf2U/gMYxZ +9mUfraXBKBEdL65RjNSXd5soSD4WfPKjZ2Yvb3SrcBqbqmG1e44zDkAkJlUn4JdB +miBvZz+WvDVldg9G+148G427p0ssTGHPi/liUfUVBS3WuEyEYLk7BpEo6Oat4Jn8 +WT01Qp3AIbjgygswmQgnatdHJ2kORtezNeAoEoUc/Aw5D3rVa9gmi1GqJD3XwGDw +gS3A6crfcftyS7VWn61l+XN3eqHDTNeEf46SC3XEZ4nx2azrvfhoSIxA2YnVDIEf +bU9jrK9wpnF7oc9sXsqFW1caCosxjRQJRJ8Zw9IIWCSZZFjELbFcW4Bb0868a+9Q +y2rGrPMMK4avh2uh82p56ep9G7t2U6By2LBvDnKLfja2T3If+FfPiS3+kFXi8+/o +WvDVZxkYZSMsV2YOJt7S+U30zmIY3VC3buKRoYiuDHYJoLlvPxsW+8MsmE/ia1Qh +355EO0m6zhmZz+P610zFX4NtY3aM5mU15EEGyt8YtFEGo13qYVkb4eBuuAj6PgFq +vgnX36pbCSZPjBUH1PqmluHWdiJ9ImIT5DUwC7maH4Fq8XKBfZT5aWrtRJk4iBDn +0bCUihk1niUx8030WaX59r17gJv8TSD7Wsz9Jed2Tiqc6hetDtVKfYNimrfT5bl4 +Dzl3L6JapKbN/gfTAP2WAMXcRTFQ6gmQx3EubTFFmPMDZx/dfpEQMTzQrwqAA3Yo +HGY8DMF0fMzTtvW4HOwN9hkbBa/2gvZgHSVh7L3rqEeCm9gjySMtqDosfNiNE9me +tEI2lnGhs8T3q1qGonWxrUaU6phJWX0Y3j5JkngtD/8yqVyhsNjXM9n88+ZzZJno +i1rzpRL3OE6I11cao7sb9jytJkRfpPtcFSVzcToc5Hg8dxBmR/C8xdXNi+9hjIb3 +d5mVsp0pbQ8IIAD0RTUi/lXQH/3nWF+74SaFW0SpZ/pV+WTCZlXCotU7hndkrHNs +GbPSODt1LMN7gKO/v4ZfQS3Cla2RIXpROPBl8tPAIa5fbTEFKZTqZpY26WhqJgML +doghdrjzJgH83wuXWrtoMFd/0I1dnOG6vD5A32S1BvFRn4wpxo70WlZOIL2AaQZP +gh8mRhSeMZfSts3TbO+4Wc2QoTpdWqeLnOWDZl5SfOYuFdUAwKOTvzzHYZnl4Sj8 +Q2dKIY6KV5WVlYGPZScorsNOfPjV9gV6FTnpS+/Hr+lO9bKKcLCW/pT59zjjnk/V +cFTXuqbklkBpeyMV+CbjjbGjsruzTG1RRCEpTRtLcfM0HFhxzd09NueyjkQlySAd +LDYo5XfQ5Wo6KkgFK2FEZSqJCNt3TT8Y0QNHJoTeXv94ynWzW9z+0FcE6rclNyK8 +cHTrdqkVZ6yKhXZZ5BXW9yn8x4M846+rfVYHTfHjfXwXWUPmdMlloDt2GySMZgsx +vAsGQRat9jaw2LyPFedCiR2yQOhomaBzdLCfVGXxoo1a+NhnSNuE3aTz4EeeCyq2 +ig08GUyuVuTn78qfplgmfKo52AS/+tGlQZtJpNvS8lqBX9IG91xmTvkCE+oCyZU2 +qX+nYa2AMBYR6k0juPwBO1vsLphVdm53Xqb7MvSwNtVv+PkZyIUUHwuFsbaqxWAD +YAR/PbNsVXrrQVUvccXkVnyREaz1fa7LM2wU4T16xdUzlhTwmL2MPUECdRJE9twB +tHC+c9ViVmeuwpIoY1q5/oGJECGKJ5e3Pv7d/NZ+VHEfqLhigWKEHzdwK1xlPTWH +40H0aTWetG49LnaViOhl+mUEovE85/4x5cihQJ38qSylN/kHHPAxlTsw4I4k+m0w +tQJgAs3i6a8FWkRi9jUzdxfS9TRznhp445X6ovJqEWHQi8zPxia/vj1YqqSiINIX +8BAOw5MfrRPFPVuGItGsVNj9XucWQG7qplB+5fwuL2oESf/bExSu3FWCT9LDCQ+S +n058jjnp6B5CjCgKyknKzRuNAjf0or1LT4GWq+mVyVA2UU21dYChiZ1r7RQG98oo +yZXgjiuHd6t9Gi5aL3deJZKq1IAsPhfqzAB3HwbE+0J/FiO9wPlHfGEb3TmPvv/9 +Rg926Zxi35fZvINX1s3TOWD5nYnxN2qF4Cuzzx5G4mYM0WZ1ItG97PmGRUDdIDNz +1K1p6l2IhmSxLdlVjK3yAz2lUWZjdPuvDvu5iO2FPW9jmHMqE1M/6zy/3B1b4dnL +Y/DofPGPNQPWL7fCMLaVQ8jeMtNpH5cIMnQzi2mfhaj6stE2TidLLgPuDAtE/ZQO +zOcjdsstg3z3BuKcnmbVCyUW9rHDRKl2zwkhIpDg8qqKa9BDQYOYLvk+5yztfaK3 +2u9t71ZRYeVa5dkO2HwiLSMNi1FVMNYVs8NL4H+hM+WtabkJQ8aIFoIy1BIh9CgZ +ydbUsVpKroBwTkylm2lF+RFiqoashSMkY4lNq/FB0wax14iSvKdOJEU0xbsjp8rh +rHCp7QYAt/gggO5T6dZ2M90tn9+GQLlfXEbXf4T4Rz83aIsWynfGf7RLQE4GfOv0 +hZ9+HBoFCRTFLpJtfukZSXFjSFJva9+qu8Mq9H07K7lwt8RxYSwLTjrfxlta3PyV +3R5QsPaV86ZbjYv0S8QfTEsPaF+YEO1zTXJDHTVQ4zrSSJPEhROebHgF3fdB1yW6 +3E4EcAC+aKapbKhAwwtapxMjfyUzBCfZQ+hMMO4r8UqoKfes5PAtt54jhwDDL27M +zv2gjL9NvgllZOa2xiqpUjCg4Tn38nV1aB+Q8VRO1b3tD1uhk4bhtS0wfkgI0MpS +mQlA0lX1E2qS01PMyczV1+TPVegihNkZo+zA6TLe1sNRufaS1sIhLWA5EqfKMmqz +YTEwvwADtjIgrCBeWbcXMnVDtYWHUcun7iz7SSpJtfivNbCwTEB9YHOGXHgB7D+a +SrwptpW6PrQMcFIS8b6kLqEAGOXjD4Hl6/xBXFof8m6YwUgcceTr2odgnVxlr5qH +Lv9H1JXnYcFcjK7aZtIUgG3IiEBbAPFskZfWuYUzpPwiHJnH1uBitkJuw3ZkaCnj +BAW3bqcTjO+Kh0jqYATSlbQklkGu9+NIsXERIISu7CV1QnO54lseBgHVKFFKPLck +Frvfr0g4xEsMKuybTW4g5mj2dC0UjSbfRyRuecLAXhfbztZsXuGpSQxZQq8iWj+F +x5leBUBlI4wiR+/zaZ20SeUdcKMVzHWvMmNNRe7yT3sqW6nPVZ98GKS63dw3OXn5 +Lc4C96eM8DXlGzLMxhbrXNbPPjuclGXCk9TGEl0MiBQh3aFsCkG5yMt7Ol80vVm2 +xEfPs6Li/M8gofKwXiwsHNSzvXpkfL780ChqcQXXFHKdrQLWJcX7DXQP0V6awwv6 +LwH8lcoguT89KmL36heRzBwXUg1HSit2nwftxn/n/YYkHKmD6ua90cBmn+72o27o +1f7CBAJM3GxztmMJnBq4OoNaDoBPfzNb0d45+1/f+F6Q3gpueIk05im0OX19zyyD +9Jwn43Cx0Fz6E000rGlvRB3QFp2k5SRiBGkbhbd4KJys0eRv7CDJk9/mIRwAIBAt +r2BpHTnXuy6XSnWedet4wkaOezbUCnDFapz+Fk0abkeuWCh6ofIJtHZVNfA6QgxM +D8XMXbefCz8WkyEGiw+Q6C37t7JWXjamJ6PCs7oaAo1KelIqbGVwU+eVguA9I41M +JPl/jk7gCA2Ojku/3kMMzq2uF8im3jEQtYbl34chzWLc+adSgoVCcFktyWIUbkmr +1ANAVAMbznx/bmyci+ch2nciCt/FqyPi2EXHp2VfGdzcK0q31dsoIovXk4dgE8Et +txF0KCRix3SAtzrchxdgtb9d9am0cFSzMLpxdGfcynpMyictK0nRjs19M8t4Wi57 +FpMWrSKtREcoeM9cjFd7q3On0+POK+s0fajpxYUFFfKEAp2CIV3zgxR/xHQOpJG6 +y+iL+bLMotR0kmQSRMJTRXW/JEyvQBt09CrasMWYSJDoZd+u+Pd+iqWKvGPRJB0A +G+Ii6xfhElpEx4Ds8gTEo12vJ5Nkmuk1MchmI1Nj/rrKCW4b/8F2Dv3cMBRSLrhT +Z8Y0nhzBEWRu3vYrjxy4AuYlxzYX5VIs9Yk4cKsB+4MVdt8KfhO7XdBt+kcphr/C +WRJyn1BNaxPCYkwIDjmm9Z/pTYomOMF4q9KJlSKLVbJouY9JeJNv0glXGmE1Juwq +TRB5cIMGkKUNgu9Y/c2E2G/N7Hcc5SbjUhFmx4b7FcEmyDEoAD1f9pDPc0wXxbAj +p+62IQRe3jakbdkBjJWvJapD3V9100SFqHa29x1Y21z6rngmEs9lbuwPgRK99wK9 +WnbOz/Kmhz5ikVLez9kE8YGTGHuTGjS6gFRwM8dRRWtg+kOLnrEPZWn4KUyToab+ +mem4CfDY+qHdoQZ5/Lkw6sz+qMoPAWA/tva6a1N4sOfEcFnNgthIiN6+48GUUrPV +qQEw+HIMFEIFQwHiYzvCcTIMU/tpr2YapC3L/AJUVr+hf3TPEwLupVGR+IG0Fis/ +0b74gwPlBFbDVuaUn6pbWH9zht63r5LkSxMhjZ3nOCno2IlBXfOOEeeb9/pPzS0Y +UadzTAscFjNVyFXBSmAL3pJtZtFT6jMiY2Ecu+NwNeb/f2jf8wSYqQaUo9TzM7Mu +p/pTLvpT+9gHtfwYUM+xbrYhOJea7NJf/2y2WQnEEvHA9HJLPbWUymaDfIBtJ+xm +5S1C1VqGHWGjDcHCBkzE31RXWF+Rm7dt1+iTBNccioQGVT5FtgMSs44PugdSVBE0 +k549iHzL8AIfBrgCtI6R8knuMmcUJjtW4pAPLql1D4O4iBkKa1x75essH+xorN5p +HleFUIi3b8vl+3uSck+1ZP3iieZjcCU26HBmHTToYAiz57byCYb7L9Sx0QzNWzpi +M3j55GJlU2aYKFTa+LG91R+n4mUcqorU9IVOliKFYMiX2k5N+kct6MwtOhQ2fIJC +1fRJEH7WWzvJO6etS3J7ZOGozjCG8efnm4WaPPiStdvoaza9ruJ0J8nuaaI3jvHk +o9eT5axuDtFhGzLY7fNWuCdIKICKRq/1bG2c9BneU8W70uDH7ZuiW3j7iY1jShOA +YEAZtcTUd6ZuEGuEHvkknJkvEm1CUd3LlGWop1V5+e0SgWBixRTt6Je+oF3Vk3rO +FI8cPaLnTmrxjQjoBQ5ZUGsHMsydRNAfNBTJGBYV0BheGwuTNJ5JyKAX04E7W3A2 +IfdRxhtyx+U4ms86xauYbQ3movUte8M4h2Y/z+d4sv4lW6DZj3WPkfCPkemneNzx +9jGV3+JwZWEPuHXfC6/0ik9mvAJa2tkKtjnt0WR9sWQrQlZ+YVSInwuhnQ2jXWdP +OTp8Gduk9nRt+8LhQyqPxFzvGxeGWZ0LOc7DDoenrtcprG5yCcYXhukMknezLffL +sr7IVNyFAvkmytOOirZR6qtkcKY44CUHUm9usffYHBCzHYCUJCQ3gTXeLqXk/AHq +mhNH8iAUWoOu5ERz2Xlv1BmdPIui9kzFVxIB4vTd/xsYG6r6HRZdXTeFau3nooPB +ZangjcCD3R3L+urNvkYqb/7lFofMU6ZNoFrOS/qSXhvuYknCDPQKvbzHQ8H4lyLp +SS2B1vmUEg5VLIMwpRyDNzAm4f7yG5rOTudPzRXvxtmbilMGAEy24MNQH5lBqQCz +IzyYMI+n8varLw/olxBZ73DmOoz8UgA2GjfDBFVfW48ln0d5KxSVjH0cpegXIPI3 +le2V0B7LMkX57nBXrxOObJ1cczvs6RtwHLNHPTSrHWyfo0Ko59334Eic9/WgI70m +6XAQJQX/XRs0AJyUzKvRhyWvY2qkQKenYRVbQ5K8FPeUUM4mZGdjAQIoYMhiGdzt +QK0B4VoUnsDVUdbHIwcDZbIsEcnXqhnx1fs4kPKchZFclqgr9EeAJ7cYNlzHYs1a +NI+N++eEgL1kOjBAGf+PML1xUws71gZ6hhNa+0PZnDUCxD/TbvXJJG1BDUnhW8L/ +o0PT5LI0/BT81SEOeEvYtbVJawuHmjRnzZWsTGT6RLtlYgQAI0H1fMMb2o1zGk8I +SE2UpWcVzMbkZOenL1StGUMHT9adjLNq4IG9tukfOwhyjg6/gd46+dRIhKNWIMjI +mXK4vG7U1TGNapThZzNTfcJ7JkvadD5Pioglbb7F+Y1yBlzXo+04ujxtnjlYA1VB +7RvzqrQvLBL55UnGoE8cZm1VX4PwIq9jQM8Z4Ylud5edsB9vtnMm8XxVMyLF2DE+ +3aZlTS49tmt7SHoT3q1SS99l/tdhwxjlTBLatQkLiZdmhwtf+dh/5XnVqnWgbfmf +EqcZWI40uvdx95yWHS3qNSNDVvZ5drrcJ0BgMz6OKBEMXObuRYNJ8WbHVo2MCqe8 +xMXoQ2ZotLvYdCIhQ6o7+NLjHnWIfP8vvV2rqfF+QAtsL2xUqfmTaSDYDEuneIhG +ntUcIC7P3GuXB2f5p291fYK3YFNbL9Xn7RWKPspAZiHo3AKF+A8eqFtrGpf123TD +kj7f9u04okIuJQXLX1EEgdW901WPLBkrKG196R5CujqZn1ehkPXNnb+0Q99Hvyvi +fBfOHi3YZX9jLgGaW/Gs52qhFCy1qwl/kr06eqQJB2xtzVxuj0TIR/qGdwOg5D14 +kCTMRCjXykD0RfC+vuck91Qu56UEGLWGxAVkS5NBtsasj6UmkPnajhxcSaPorQfw +PcdyZs+ip7MagJsoK7ZlopoWDbfx9A35Xs0yPjX4HQLt8M59Dn8XlQDoJ00kPzcc +RA/uLEskrVe2Pt8R0qbtnecuwdjlyLqIyFgjV4uwlbJ5LcX4JTlpZJQthZAs/RDv +SU0PiAwt+h7bfrXSGIn++FDla52bYd13Wg/0+G0d662GVi2lXrFyj+ic65R0gSEK +MpWV7zDmtmEOVhX2y8cZOXnZuoqnNPPjD+EhweGH8h7QtFy17vq+Hle6O96+aAMK +szr8QC+e1MgouRqO2ZZfEW8vqCBBF7jDEAN/2d4+jUgo6M7/Whj7WI69qZlxp5IO +3IwHLTPC0y4tDdMHglodWIif1uZAi96KTnzLmybEJoeeYNOZ/dzkuwnMJ3VtQtIQ +WWk5rtbCwDeGDf3Dsa61UrX11omNQjkbac1fKrok698cv38BBujyAAbV/+XcdzEQ +XoF24CyPlPfKiWGp/mqMX/5TSRWx+NhG9CnHI6ARX6jak+8HHgH7o/fUA/c2MO7G +N+4rT3M+fw32xqjGfxeiDX4olb0Jpamucy+myQaAU/dZKgOq5XWu+KxcXzjNYlZY +7kLXmypsOOZtmD5dTIx1Pu3UkCmlrXQdx8YfqPUASj3AAvCz0LqKnJ6hLF4zyc+P +a8yHz151TZajIpK3NLXeedVPvtOIgzUHmk3PzpYDy/W5kYR8HKz/W/Pw0UF706II +Y4br1mNLaNoGCJcAFfgh2OAdv+CMEGFn66ccGyboh8qwMclP9sjyStthNW1eZm3Z +JBCMWbZa7S6v45oajmeWuQVHXPUxQUMNx/yNstMTxNjYrXa17zfU2tNTgJqi+l/L +Rk4Br8TQ8wp72NELRFV7YC2m+bR2HpPlqR9upLZxyteOF2KskMw2e7sNXBsA/xhC +Dgph4SDRm1KP3bRW47f/42B6cwOd2HbCACDP2SWSSU+aS92M02s+vbagN0i/Qtrn +aGsdPAHfExhimLw7zFGhi5952B+AvvXj6768gAEwlCqNB075IgLMkGFz8EpnFpXF +xyPNP57Sx0DJpN1yjgQf6Zdb2y93TNR+o7gjkwn1WrZfGrf6Q79ylllw9bs/UMsY +7oeDQQN95qKBeyXLQsFwvlCW0S1crfGdOV3+XVnCgG6gg9QL9i1FBKFUxIL/Ljzx +K2XkH8NP7W6CwbndQ3OKqHL4IJXdUC7lnnZwvu0xKOiHwa6QIrKz+vdYbhkeBzwz +tPqhLBN/fEkADNN0H0EYJzOJczBhkny4zhwkdMGJTRu5zhROH8y+wV3sTvQ4Vp4M +qhQbaX5Nwqohh8cmh/0OzqV3IRdvcS+fH/lYl4aLV0RBP9sAV6+XEIf9rceYfqAZ +LHbvOJ7RzcupNBNr3Mf33+PoBaFKc45j4zsdcQblkjTRkOBwlWRNej6Bd3xnXVG1 +B07E5Kr4aySI+fkHzHsOkusa3bHQ7T55fKgy4hXewN6Usf+hzGiNLhxMlV3c98S4 +R2Rlld1+AV/zTX6n/TV2wNicoMk6ArHpZc1uoXfcpgz4W0mJWJxETcf9jQmbYo6q +iwKSYrSpLJ2ikmVl8ag3TWdROLFs+buzMl1AqCAnpFce8TNfW8cdvKVuxdL729VV +IVj/utoebTOEnwN4kRMJSTbubZv86ULceArLkGhtBOY5ZJq8XBcR6W3ksOCzlEO5 +2Ke+7tlzHv9MoR7Rk8KpcsCQ8rDl8K1sqDcPEnQy5FdheKos4GDbsbyXRGmM0b0U +U5CUlmaDWrLA/RKfD6QrkiNgBgjPYp1Z4Gsv8JJoJfz1X4dl5MoxajVgrmF6S4/Q +RYz3Yw1Z0OHKYvlI5TvtoR4brdrMv41yXv4matWaINt+5pSgSBmNBKCVudO3CWxy +BDU4bQUmoX/UnW+FJaknqRDhSGpZvvWsctMLbKs7uwAfG519uyWXs1c3uBpuqOZf +PVLgZg0yO/tNOI5htoKfnUrpSeaJCBlYyzVcy+pmnoWujGa+k2lvettDYseba0IX +MmNxlFUuvUKmMSU+gVnn7QEQ6CF5LqP+Xkaa9LCDoHTa0/k8QWBMwMEaNJDq1MCN +hlB0cjbVWNWYpvhuYSVyWI10aQl+6wBf0RhVb+QLl80Cd4RHRvRnZgJ6KyKIVsmv +BwQI1M8pHAqeOSbd2aN+LoUmuCQR9+ZHdNWB2+LRVQ1h45CpoHpHtW6sqFE57UjH +Gqc9KKSRIQyExkRZc0bMLT3q97kf1tHdfZSaiwyQeYJ3eJFV5HMXV5KekVF+Jb8f +EO/74ogJlYcwzwvSnLG4/aiec5eOFbHIFGz6NQN1a33/YtfyIrnyXO1pIAVlbEOY +Eq5AsMLtAaaRsYaUq5Fy9jLc7Ua3EcOaGHzEpGo9K6HsdF0PAuTOVmSYVAZTvpVD +Buzv841/j8NEiRkk32L1fTMeh77oHfpZv3yVsnIcCzNDwp5vZ4V4srIJqPFUdHy9 +/7MaPv/kjBqcAcyqzCNDCp/xdegJT2aVz44xfto8ZbG3hL6nN3TAMpyuAyK3N4iv +uiLK7r0FMKsbZr94bpO0JwLtnccaKTXscJeKOSLUIaEXn+jV7Z6IArhAKhg5bgwX +ZgftT43hTmhJSnoSp3bSW/lPQLNhecjUbotcjGWol2jp6ULbKDbm+cbbJLrVi4G6 +q1OKFF+pfxOanviG7TW6Oqw+tWeQhhiWmikmHIqAfKhlMfJALPy905rAD4nbIOwZ +PUM7/dfwGlyhpWljxikuo0rjE6Dgf+f+c7gYjQjzrGEwoAq+uv+R+cGjtinwaQvk +dAlaTDAhM0GnKN/jTjTurgGh41Ki6Xtsy68/1e+nyftqjRochDz+39aApOUEfB6f +g7d0WKzPI8RiOEhLzF/ugJN5PTjNX57yQkiMZ8584kNLU63AHuxN8mzTzHLKAyY3 +od3tPYMiHlzCeRti5I8IFJ1+vRJErddqPAWgHcYY44AUsNKP843eAjUtqgipm6Vj +iJJtS5AlXSgqSMUU5ZtjFArXrCBsNqYN51dPv/4JS6HTkSIuqJ0v5z/34M088bN2 +tKxMexSPRBz4LbF/p4OGq5zAucSXJBgW2qRvRIGc5KubGKNEOHwODTVRumB7VvsT +5nTqWVfwN3bUFNZRPA0gGMS6QUVGFt7x+bGME9AY+D92qEXnaUhJmg87wXQrQl2A +iCUweTMIM26+llROTuRKnYVh29HzDHIq/6F+Wwuz+2JEwGIcYIoPV9ryFaRvaDlT +msi/jP34ijTdLP1E+s9uZlFNJBcXx48xrLev6duac6+Gqcgd2Tk1Xhvf414MF6fa +yevK6A+cYKuuPW3o+2cnpCquv+pbd1NFLpaY2eDyQ1IWXFuvN3pgx60vcO2vRl63 +TW0y4q79j7yHLx0rcT0zF8Utvq3Zikv3ASWWVYpz8YLV68+3J0ANAsui11y1Uoak +XVYYMMgHl88QEe8CJLc7lx3FLsKkj6ueR5n0w6dsWwRnAVZnw32o7FLfAXC1qN0m +N4y/rK50GNwhCjZxN/zqf7TlZcjSFG4ERHvocrdg5eSQ6jX3OlN1EcNce6q3NoDs +57uWx/BKG7Eh5GIEIC99Pi+ogcJ/Qk+nTUJr4UVp2S7wukMIM3C467Di8/oetB3t +eJjPMwOY7kJvwD3QI9Re5XYs6h7wGiAJLVZhuxAdTimyaMWyR20RQDxXjXzcz2A+ +jZ+ee0uYxM9GTokGaDPKxJSBGgp1DZQvcvZt0utPmEHx5bsHpKPv56dZCqv7/Fli +Cx74VK6P1Iu6/jlWBUO3ke43bXFirGaIvMlL40KVV86imxRw3toPN1Xghrj0Zpot +vIYtaExq7WG/vHVeS1+VlhK82OtLLYw9hViJYviik2eTDUfnwkDEtIyAohbNbha/ +fFqscrhKglNhMon9/4ihm7ujBSe1AcKccDyrcM0e8RqBZZzBvBZ4WI6mqcK9HHOf +qpw7ebsa3tBIJtlByyk3bml0CXvjkqMAf6toomYGJ/xKW43jM/F4baWoxpSijKQK +NsVbzo0DBCnm4w60Ab06XzVYKc37Lg7vfkNSiBguNDeoCWJcz+9dOoUaO2Er3JEu +dS/z5Wr/JTDv7O5Khr+9K1trgbSoNr9oOFTyePChKkNI+LAA9uixuzm6ZKfRAxVe +Vx+W0z5OfJFIXKvduVV8i4cBOCK6EVw2keM1v+fsYG0TiDd+ybn0HMN2cIYIESGx +JINEL+Wr1yvi5uDd4drxfJFRBUq5TsuPbGT9nB9hNpUZwxTM+ZKO6LoX7TgK1v4s +b5T/O+Y3w3DREhD5Xapra7lYfKrtCKjix9LrJpKZMY4NpndjEgIFt6PqnRfT7eXg +f440Z05lK+pvBqr84HuvEdstrNAkhg+gk2MgEMA/iuGFvbW4H+04nsdvxvlnOIjQ +OcKSCAAVNgiSWsYGndYvyPU82AQVoN3P93loyurNk3ThD9KW2ksFGZulkkl7ui7H +Xcq/SOy5uIrrscMVwwvWNMjCv+5MZh0Q+eg2Xda9jGMooAN8XZgmUQRyjHISUgLj +gHr4f0qq3nWnlPMT2KykfVQBRaxsAH0lYiuR7KS6kLkoIh42XGXzDofziYXx+HvL +jJbBfc5tUimXxT+J59p/LtM/pGEtgLk5xSoutN8gi41cUru9gbkOL7BaTHrFgvhX +d097KnPOwH1zDKOdPSY7M4sbcssOU5vWut7CwyfwOx+KzoNG9qPXdNGaDJKx9CrI +mkj4/FIk+pAXOz+JAyko3bDiC3oqm/RCH06KmNAVfXrrN7379o6d9YKioTxKyImo +abnbEhpmMjI/eb0yIZzerBwj3ILGS/ICFq1mmsz0gylFeKIn9t65SUaP2CLksYkO +BoDcmkDgXth9MXWcSBjiiiKM7xKoPdr8Q+2XfyumidmBAefqpHbV3G/xLObEPtSp +0khk9mDClqtOH1IdxNwQzf/p6QtKdaHLo9IQw3ApTl/CP0XJifjouaeFK5IP0QWk +LKmDuZwoDw2Y4kPmB1PJSU62lReJqPgPrBVWoOfkqCYRAH04OBhRw+qVzQDPYKcs +ToHdNMhAyZgbjz+WQk0+ojTGMkoZNUSgdp9Efxo8TN69ity5cZqNGrhLfFRpyxMT +XDYgdcgEkEul/TOhrhx6Cu7taXm/CWyIaRAKCNgjXGRfb8Vts1poDTQX7fQp8wzd +a0SPHaBbGw4sno8Danc2SYMnMStviXOwRQXZALd0FzCVIXqzLgkP4IvOnaRCt+Md +rtRxoMJS/Gx10xlxUU6Wel4ONacfjskk07aZ18b82/plSaB630ND57uY5uviRC0A +X7d7dsvhYFvTvdGdKa0iIS7UYIYzOspwpmOD10u3FnUaXaS7HBG/NHkemtwXVKyW +NVPGHnTr1vNz+kumWhGcrSef+48QRguTGuE1pQOyeTgZgHKQFbugsQukovlQNXSh +CzJIrO/IQAUF3sEgQOl9F5cT6/0nLZ7GwLdq6EeL7/feEfTsYz9UArhJmDCc7GCL +acjPRB4Y7DDj35xk1Zg8axSpaN24jDnTnBO7mDcvq6H+CcHGi/ifhZbftJHrhwqY +c6HtpvpjIwOWIGdozStHEkbi9ahBIIPWIlCBz+ZDom+u4YHSYqBpNhVgDNXOAVq8 +PYdnM/prbGRJxlEpz7Kh3vT3U4SPtWmnvEyRy5nq0XkLp8VmwxXNjIN4Mtzb+lCG +5pcBg14FiK869gLdeiNsvueO44TX0jLwHivnzv/HUdDXcGhqJ9L9eNQI7wtGQWtz +jLBuaopbGfD13ASLw09ucimO0FzQgse1mHCJHVSAeoJRz305VHdAz4lh8qlNFnHn +nlbNAxrs25leQ57T2eE7qZmACbAP5urHZcSgh9G2L/R//bKZoXzipeDOxu9R0tXM +KT+ODXnaNDeXnamXeVvtEaseeiq36bV0m3fI+r27144NcFunLFOOu9MrrNp/j28A +W6p+67O78s/GjrijezJlZ9+K4ot4eDCtg9VRC1Jffzh4dIr/8Juvc1aPwXucRncG +iAUM1L3IqSFEgttOB+BP8Mdl9v2I/SvKDd9VoGeGw0PsfbZv7+/Y8hbxyZBu+3nw +FQjNGhlG7Lsoz66JdtuujioYn4XhWOpZjluGfW1Nf6I5ThAqy5gl4gLl4xG4Bt+p +nI1i19ooaSYVk7pJgx0j1Dw4XWydzE+41iOzpSbhIbk9edJt34A1t9yq4/uNeBcU +FevVVTVl8oxBwlV/1qNpOxbJi5zNfxtPJpFKI2QpB2Y94vjlcpH2voOWFPPBKCKO +O2KTBKkk5loZrTTEjBW1/pCxH6nE+t5lBMhbkvryH2d6smcoELVzqWsq/YzGf93v +llyPqWBIjqvmDtJGKVEgn3InOUEXEZQfIudFGbIzpIZQ16N8wmVBzU/dw2wcbas6 +4BZ97JY3WpV3vI1L5WzB52TGheQAZ36pngKLF6Uy3FU+xiADVVtkLtI5fjxt3AOl +3l5Uupm+R/5SYfVKqoGya15t4VETwp8dvOCLSJ5TvsTTfdCB7KvjhcaPPo/s2rcH +NGTiHz1IEDmqGuMC9P0uZzXB0BtNBH8qRWQb1pXeA6ph+uSehweBAslOG+9zDl9j +1unfPT+PkPg2NeK9CLns9BJ8Jl1K8ln843jYqOdOWT8jMjy4+i8GqU/CeqmJR/zv +kOSRbjPuvqXKw1XRIUsmwXks76AYo9q1NS1+RPfxgoUg+jx7NDn6nC1MDMx3UycW +usoA+QYTYnNhTuBf9ZiftraKO28px38HFEmNxHdn98kGgUqsOlTcjetNSjA/KzPv +l1+q81GZED11eeIbJVULsnHX5mTkwq7NJJao9b+8uAPveDLtZUFqPUAY05t0q2Ik +6m4OeBa9Rt7og64XEBvpzJKzakQJzKvzqjsK9VnS0STpwqkbGUMgP8RMvFp2oBx5 +tAUNnwxcPIJcWprXXcbNPL1lhfZO+OKgkLicLP0M1sE0+EzGfy1tF06pQxKcu47z +idQSqJFjy/+fUb7Mx6Ag5FKM/gP9dTkAsJUZOBXV0QoQWBnOteIY8w+N1jxB/frs +10x8+BKDlBgoWFqApacCdGVMJ5yTr0H9HwnJSvsIbB7ECQ9zDzyLXRZmo/ag8kzn +LHLCJNMHwk3sESxWaOqMMI0HmqNpse/3/wAxpo87VwOU8glXhiYXrXSaMAymVbEx +5mjlte93ySqnvKuzyvxt/aykS0ah+ZsNjSf5TkoQGl+poVk3UWV/4TQ59nJzEr0U +axMPu4F+z2s/KJLvSF6xN5emenUSfKlg0BQPLhewBpMnyD9hcr5WGSAgujTj9++t +WlTHvmImgpdXhXPQTIpVNY4lqwW2puLiuUGJgdFnrmmrxpse2psXwydNr9yORd/B +j2RgI86uDvNJ/MPgyl+FTdmUTrMw72Lp7tBhGVTfweSfAE/9p5ZrFgSqa0a5SYkM +kvoxnkK7TAQ0q4c6gPwcOCbnW+PxpFOQpJ1irZUPrC/ZRVnFlxISDi2G5zNZdnCP +K79PmouYcgNCv7SsHq/zADaWF3PRfvTeR502yCP0Y7ns2S/Wx6WcsIaoo8SesDfa +Npn3oUhu+F4xVlLOBBvp/rMi7vzFr+mt5QmxfUQCsMyAsunEpkAqqHyenSVJ3lIL +LYqjip1wFYg6rp8Hz2/8yubbol4nzh+TUiNZqCDSKJMl2UgqKGlJw434Ryc7nbqB +A/1f3yaSPi+WnjBPV6TyW+iHzQL7XktodHacaiCoin7tqkxp1NhfokwUOfamzYWM +f6AEmiW70wSBZfpC27+QayNrDbBuElialvbsKMBuVfs/cmbRlf0VJuZrGpdfez4x +VG8x9GV7xAvsGKRe3RrEOSeGijTeCUMqeI+ViKjRD3u8jXSZRA9yWdza2cxn8YWx +ihLoJRdyAAzs+FdLmWr4b7duMq9UDzgZ1Us4MG40wmRjxGLfvSIDyr8SHpg61s0Z +/ViD6wv3osQ9BPmVmIUEaboXc2kQIC7cHwv8IbjvukJ/70pBIva0SeIUd07N84lc +3qstiSazIfFedUbRR8yzSsh/v8sOFA3fY9CeM7mb77EQ2ZwRlT/l7T1l0FpLhu3o +mDixmAWRt9OaCteVTS3tHJWCsv0RiBpGLtRB9PZXd8rwltjtNZ/TqkhPA2A0C4ew +qQRL8kSbHJ98Nn1JG/WN3/gwd68B7SQ5dX3e97reNKCqXkX/X3rGcql2IXrOwfEu +2O1hq1fNMVlGHsf3cwBadlqubI0Vi0DR0rJlhh0t3SAFpD3iqOmvjxc1yVfxkerg +GZlpb2v+6F/JTvA1sKm8wc9vrMYgu/fxlUTtyNDVMOGfYdZgKJyazM/u5eiXxWzf +qX1UroyzrM/t/pBAMJoYhvsWV3n+rrgMDqPG9Gl0pK+axtr/oNnVu6Y5wDnJHqHC +PMv4zrk72jx9KSfu0E/9+8suNPhxVsNlaq3sMDliR70C0LwE9enMHkw65FNyFxk1 +hM5PwdQZVugwhbJ+VG2elMd5YCdOUn5dH5K+VzXlWeTTN9SuSuDMBe3Jm+rMNh54 +uI/hbWPoNfq0zJHFOHWqGITCwfpNIBav5seEGtw9N8sPdXXvip6OXViRl02wUWHD +mVUgfwsn2ys/x/0bn/bVA7VpYwCBnfzhOa/MzAqlaImnNOnpDC828vEK7CrU+Ir9 +HvlljnRJF4DQjOg13LUkgVoO900RlgXXexLzM3ibdSIcDFpYBWQNFTp00j6FxUzG +2WDLPw7iA26oX9qX0Ib1SDd7Hd6ajvIihe9nM38MNO3I4stJrQgkUYiSl/nOv+es +TLTStGuzpjdRRYUTYYPH3Ub0Dww7iUtfAPQqYv0xSTHjaZ/3HlgwJD42D1d5tuTE +Q7LAeMbIJVFiwZmjkN8g6Doz18z7ugr/iVgwx37E7XLYrHtGBnnLAW0LbTMmCWAQ +B0l1pWAndksHgsTK6M2pqA4NrOOpXJxWp0rcg8Oy6yanI5biIQVtRPCPhJquFflv +HWJ3L2Swy/WWP5OEFA3swlWs0MtVdBB6YSMoP4gurNJ1YAhsplt2T1c7z+JTzgcw +wt73Op15ZF8kXW1cjC7ZdNcWhxcYLh42BEvIaJK5cfhU24RSDMzk5LrKfhifaQW/ +P4LDD6VZ2X4I+Qy6EqWLFpH6CJUA+M+3UQud98QNquCpMvv+/sSmqrPSfWI0dFOc +GUFTAKoIWmGmNc2JsDoNCiSEX4l38Qfk01j7B5OPA8wLhDQg0pNsjOHfmG5Mh4Jd +ftAfDfcKxhjNnF3ReFV3l5CS0je//AvnMF2ff23h+Glyy5hocqNv7SybL/GrzQLt +kcZlWDeyf8hBOpdRAtkQNlLejiebtiYtVk5lM+aYhb4aNz4y0m1r4FVt/w2HDnaw ++556RLnFkv7bmU/UDLAuJ3QiDcEkyKk4XYVfkFcUh31rsxx2j8Vtk4fxkaweYN+0 +Xlf4H8SMdAFUikRwXVT3BOGMtMykF5e5HSH/9qj5nh4FWhcHP00+n/vqd5OUt0F8 +HRS22j8dk0MZck7yU9D96oJ3vGWeSPPi+Xj7BuCSLI5Qrh0SHjmaRnuJQnBC8Qkc +R4hNl2B5+Z7o4z7hHwtSB38T3QzIHE2RiaZEAAWBp64PIuP9JPd9xiOG2s9ytIDe +zu5CWywQWYQnv6D7PXTjzbwgpCgRx8nU6rQO2ZqFpZe6y2BfS7ByidquJY6tQxQ8 +Q34M2RfU18MET75hy0xt0vuctbvPGRsqzDZUcrgAfK08W7kCr+ONeBHoU68MeyUo +7GUGhaMD0nuVIl3hAtHldW+MuV92A6a7Q+9OOM7DGxvq7I6omYn3OYk5yiI95nrM +PUgQ8HWRg6bTM5291xBzxsPdWfeMuZTvbBvNpVlH1Rq0jjra/z6xN9LTrlb9OXjo +BU8dEEm6aJbPH8I1AsRmWyRcm/X0Cx80MW6g8nFlUaZttjoNleZ+PdyO7QAMTdeh +LAI0AGXziOkAZ16aBW+yUGLJXECv/5C/NO623B1YwcIl6RZWU/aX2iOEd1OvT8/G +709CLFHldhfECR8oqTI8d1LwmHyOkBoMZDoOmKM18g4S8pbtCYUXNC8fvnlzxvNr +00AP07xoIwRxJNlJMAo8E8Jd/UngEzob//4zhmjtQfmgrwdixsEmjHat3eJ6F0XB +czoVMH4EuqDbFvBQxmNY/bUiKb4Pbe69c8+izbRFDW032B6ii+Dbupc9PL55wyRs +LreAeErCT22phyrhiBmOicpL2g/7d9rHe1bq0Tr6XMh3j4DBVGAjpK2xIcD3llqw +kTIk8rgqizUfZBWkQ/BSzsh4BLNCniUbrxTPvJfH3voqig4LuqJr8Zf8y+ZY8PS8 +WUrlMYZXo8TYvD9GLdaDXa6uizdkkNqIG7XawIL4kh2GN7Ct2GM6I1Z3bppi1xdH +sLTEq7uz4Vb4wR/pvgaawnYSpR9qlWKaAf+Knz5nZgqXeuYafaejpAiY66+WOuqj +H8FRwPF8bUVY3BXsGOv6I8J656013DVy+OqjteUQzdg4rCvN0Dauz68NjDT+94ER +fsPHRJyjZ16GeZiIBFXYgJEhJhZdLnt3tBqNcKNE03Qdh+sguQK8dTE5gwnoP8RF +X0gW1pipI5WovQtYFFtam5ZqJCr8pclqCxXBc44chI25FuZZ93l8/m5RNpTQpKMo +N2Md6VkkJzGfDPQYJbDIKBXgrNPFTcragHvNSHBwNp9DMFH3ilB6U6dYaIYoSbc7 +z/pDpjsC9W0L3R0EP9jcHGqxJu1jaCSH89HRVgJXLATnreFelJgeOL/EKXfWLkJ5 +nJ1S3z4wNSEO9RzXPGJ9QbYvKTAIs2KzuxLY+w2vReTl1Ksp5YhXvBnwY8N1sCJL +yG5UeXAXV7Se+vZWjj6kutqztfuccYQ9IRgtJfBIXPpbzAw74qX2PUmGBSSP4EMj +G4TecBQv4jT7qLLwODiVyHX4+B8MjLvE8CMnftsR3FlUfDCf+Qq2GutRcLTGmcCF +O1sFNBp/XR5R4M2uxIw9SeynjvCoResOi2ttU8Ecpti2S0blAfyqkvuJp+lM9uq4 +3u5LTChPfjoFgG5NYP1jsxuagbk1w0PPZt7V4Yp7ituEpLB9qehIXsZu0HsOxPyk +mor/nII6i4Ua0e/R/DEsbwrLpPeXtC+5/emlS5hIHOCjUPxY/LMHy6kf/aZ0wv2z +2HRNUxThz1qu7ZSv6LBxBd99JfcDK2nPnZgs60zMaK7w8McqQ4bEbZ1nUndAoTw5 +lWM+Y1zolsBtxUJn4TvUM0/rvfFEbbwgtKCe/H9Z/oA0tE2n6tgt2q9ujivK/IYM +wHZfwFlXwPHjr6YzxcyMn2opq1vZmNr0wD07NiSTMoG93SVwK0UTUPZjr6kNfNdJ +ijrvK/aLDlLeVwxIGtqu4ae+mIEbftVHhuypUeKekqNxFVHMhVIHhhfo2nW7CSeb +5NjboSktmR3Ym+iipBIg+Bl8jybzn/sGB6dNeoib7V56XWtk/+0ZRitLDOeX0Qh2 +S0CtVz6ka5BLANpdrnt/UxkH18f8qXYvy86nH/QEjBPKGYfNr5LY2PSkGpP/AYi8 +jHeSfHHMpPFTa6d7sBQkMb0fmRx0VLYRU4G7RD8tLa5ug1t0OuTmXPPYjQV0X+ek +GLaH0Yeb1dC+GD/68LM4pjCFvORf7kvYebLqXolldRVbdk21aNenvzxUmZFDhJDy +QTjX7htouwjt8/baOeRmcmJ25YtUuMfGbmlMZMkXgGFipmNeg46z4FM16nxqfDn+ +4dpG0DzNb1JqhiIw6jZI9BrIpIDheNaXeIdjV435Ch60pw1hwW2RxQM8oTgpWqis +Ly7s6x3oprreLmvyxiZ1pHY8+RFGptSoYx7FwgcZkMg24AK4ZGZ0iChjIEF3flA5 +eJZ9rHtN3oBvdK4hv84yWlOIwMeufwNH6lQstWsNPaVqI0zS/GcNSn4gngJ7ZcWV +PNkL6Prsus6QN1GpGvKulvhRDD/4mvOLQ9bUxMyvfGolr6Ecf3YNNOaA3LBCumAo +gi62KkpNCD635NYiQxXadJsEN9MA6O8WM/agoSoXGA3hLylJzwVsHbn/TQ4wqLoD +uroCAmU9pHt5/Qr7uTdDhIy4ibct88ar92nvVUsqtLOsmVjrg9u5H1aFF/bopUo3 +88f02RUnByfVF6NdzaMA3gwKewOy26IkG9hFn1+SWDhTMhMzlvrAcdprz9ncypKz +4YNql2YPe/tUIo7DXDWLYnv5sxpZQG/s0VDYCJGi3NDzexJ2DQjzsSREOTfF/aCg +Lp/89D1HphEfjeLpECXdN3VPKbsx0AvCCSMiLulCHnrDojfcYlRkASkvV04xaFEq +aBwtEDLL1JZJN1pSMJ9s1scdO/5FBKvr4Mn2AsZxuMC3V889BVVwAwJeUv+wQsY4 +QvIb8Ui5vNL1oq81UrNIEJ7YyzQ9Cl6uIYhhu7cPrSOSnSftVQBiUNbwPmUwUNgu +FzEReuBielkAxKH7RyYpvR2nly4C8bt6pn4VH+SdEcjsUGb9urIzoYe63tdu8mfd +Fj88coEmM4OPOW3CwwPhT5/WS5M5ODPGB/lz1yInm8yEDcBP/qZBf39nWWDkW8cf +zzJfHP8xZP4Wj94w3uIh3kW1ea/1OepGns111LbqD38jOuw8ZMUsN63P67Zg4NNg +/jYtqvaVyV07Waup9jIPoWOIONoRjmP02AsfpHti3PvbL56aciq5qNtk3ksEU83Y +4m4R+eT3Uf8mLMGf1hHIqXrKulgOKBaOB4du69e24sV6Pa8dQhXRzFzfVPXKHqKB +Yyr1LHmCSfuAZ+Kciw5qe5PbR6ppEodKy7h/5KqDbtqYB1n6ppe2ih+ItvZB2Yf6 +WYgSVq6KcJr2CB3JkUIogj5XDKVJsXkr+LZtwAiCN7oiUOS22T6eEUvYhVj/cIaQ +E3ecoZQiWase5657/216RQ4fHy0qeH+Fy2mZgQd/ukTHx53JAUR/lLZ1rwyphlaT +ymbd8zmvp/ZLR7x4nckYdu44nCc9QfPqsKrsAEW9vMhjhCSgNtjQg5TK8XJwbLKT +IjHyGnShiMgxYIeV7qy4mX7Q13QPEyRCaedPTnzsHNArN50pknEu843PZ6fon2Nc +0xKCX0p73Nv2Ubhb9EHvOjLoT9l4XSqiv8tvQ2gmBzjtGlEcntX8xqnuXlmOX2MQ +fplLuLZYyBo6nJague3YQWMIwWvfdttI6XkJIcsu6u16yNdQNkwnR18y/myCN28J +wJNg0R7oF++uiWOTSZoPBt5Lnv8eKARC7soHxdadioP/x4G6UXnP7FzFIqOXG8se +cTeMnBrChoaFmAaYU4QgR11JyAOvMjeO+Cwc3m0pTh0sxMablI5rjkKpcpNERtuS +ka2huN+BsM6V4QT4MZqylcczEUnkCbZSuhS/lMB+PEsXxwdF7/gch35REH5qRVcF +XbbR81UDAftuvRzIKhbgL1npet1GjPmQJD2p8S5fo0BS9Xwo/YHEf00mpIdzm23N +0JuA7uPAlHN1+jBx/docyJmAaFiuqqfnr5Ib9ytx+MboRRMRdhg3MZnfpNfhFpXB +CfGLbibv+Gsz8qdFOlAK9vh+50q47x7TRhvCBLxzyiBXm55hYgIH7KEDZ3RqhJGd +/Y565VFz0DnJ8W3hCdnx/yrKPoNO8mQBMNK2Dm6NeSHNre3nfVNYPKICr43Bt/Gw +rYP+n6QPFd+KBHTy0UCeoaL4sCtSfUb/wG0D7tlrnq4NdbP826SfJ2S/vFdr6Q6m +w+wjxrNnJtaP7dpgj5/O6P8rRH2QGXp0lJEkIoatzOx+M6KrPWu3cBJgY3nLqGqC +Sglmacy2RokL8lF28edjg/Jk9/FTwBlz2MEUj5CBI/Pr8yVSXUsiP4A415g5SuHO +kHQdodLPFFsPZl0vSzg7813jz9dwTa1Z4L2od5QznJCYfvRfu8WTn85GZEgMkctN +KC9fh/Jw4op4shsaPsTNFizqt6sImVH57TUBbBTq5rzk56+qg8voFoHZN8txREFY +vWC9htAWKmCCrTD0rUl/8AqNqvtpIhoAJRzizk3ct0qLiI7lB6aeQItjb6gKLoPf +JzMtj6cBuZ6dDz59wP8iuFgORUoiogAU+ir2bL2cOFr6fSQ+/O7RbdsHUFcwwKqp +vXG3KaPDJvY8bwWF6F+/j66j5hI1BR1rMQReOXb3XNq6c/fm+H8uYinXvz+86Voj +yHPBFa7HcKHW4i5EL8OqqK4J+IOe/AzyJk6/mFAEr6bAYGi33GbdVpWYA38I+152 +A0QbMLpWBQtL0Z8+YGU8TbCrJqr4NaVoAyhv+dAlrttu6K+b1hIGdsEHrsZv4e37 +FRemoOKeBJ0+d2kIoxKLPU4NNnjlD2OvttWsv+5TgRGIJyZZzWn1G3V8RzlBWug2 +wND0hDv0QRSlgW7iJUVmPAjmLQxFrh58bDKZOvjowHrtIwkJwFd1u8BCU/MtMjsv +VACXZzhe1V4tn5hU/P6ckoKQqNA+BD52TslAC+QFOa1U2RzQFqsbeLZtdHJxsphF +Dn5AGrIH2R58yLuHvv92zZqf9E/yhgorlPPuJeU9Uh2Z6mnhi/kUdcJ0f9jikePq +9MN6C1YgXUU+hJgGMNuz+feLUSNt4Vhby9JlYX2d8McQ/0RVAbl7wRSlNGrcDLP/ +L+zuH2se9kxZ+UZ1KmWNXd8i4HdqiXFz85gUYCKBbvFjueOLpk/EXRCl4tYtOb+T +MpKsQz/hzBrPZKC1lP1kUTHiFOAe1iPNF/l4FwtNxZxoSy2x+X/yibgoFSKFuGed +XtcwM687vln7vFCgxQaD79BSDxFZyyIPQGLC1JK6D2v94c1Um380Ah1+P0sn1nHt +0zRThIT1WaIKHvuUdKa+jTTfSpr8M4GAulWKlsni2gAWiZ1ck3l/e4nCj9Bt8Dtf +kEq5csF5lo2QA5VTASsLk8/rLQeFX7bZu6sgAsUKMzoa3B+kK+0YiAcXNLCvKz2/ +Eu+fKgxeG5gg3K9k8D3KMPaLayk0Dj0WvkntdKnLqI5mJMY/ZDSqlc1Eu5b4bz1l +MYk6xOMMcXkIWyT9ksgeRjGcQ4HiHElJWlBkbUqlRsNrGMIT9tSQUkRSThfEdRJ8 +cbcsCIqOajWlgDy9PkLH8I3k8Uza8UuZ1XvHb8TheHmRuxVGR4Poo3B3rEKI7Aiz +x/bliE0oX452t7Vof0JAhQG9syIx6TUOhKW/eRz1RYAyvgrhoYM02bzPd/rCNdOq +jcSQaACI0NvM7Flx3B24Ry7/6eCpiJjJP6BiPIMyU5n+61OVjWuJIa1X9gIglGNB +czLDQZjALM98z1M/ojik0D3WS4esNL+YausQCg2SwYS2im/bJVkmE9hFsov+kYIZ +65kx7HbDyouvXwc8szNFSPN5x0bHDCsJfrsMQktVeXR+Kt14441hHFSK7bflHod1 +1D/byYYelqCjAME0zM5ohrMelgDK2oYDhMjD//0beMqwdQx21/8daaKDvCSkdqa0 +IVR56R9Brk9+9j/vYBBFLdhLxTk+EeSt9QUnNRdQ/R0H0+Zp3azSFa+mtE4LDIJw +4w0D+tuU7ARTCr0/qEsfcvi7VfNGJjcfyPD0z4QCulKKLxn42QHjv0ToJ0ZSAvrn +IR2TgJCzK7SAHQsFSF93z/Ipl6ER5ALwobFSoLmpgUxIFS1bY+RUi6QJEATXxdSH +ZcOMfghKP2nxsr2+5Y/sIjOn+u2wP4cDiwiVCG3P8rvIoVlEvA9pTD3xkbCqibtm +W6xpe7iZqyuOfY1FCllt4Rmcre1cCrDGJIyheQ7c7xp5KWgGUbP83CMJ3PtmSSz+ +vC6E7zvW25Ipp2Q3xxfCum25Nu6ohwQVVBmPGF0M4ksp8dl22c1rbWMikg7NvTwk +AcqV3ZvYyw1J/LZM+lB5BuOdNARPuw4CbdUbUjTwtS5gDuqoL2khJTGNftyEfGik +6LOw3jKhj4XrTqmY4GAtiVY/800exzWk2QXaLApstKfswTVKCMtT7cBEy/9BWeLq +ErO0awrLNmfzjVCLm/mBZKqW1lIWt1VR+FQEqEiMPeFEnPcc+Ver1keUxhHQ0c8i +MdUKYZcV63M3NeO05XHX20qJ4kFU0IftO8lHxDrb+5dLOmdoJiUZsPoR7zTNlF7K +aU33zfnjEl6SPkd255Y6MNXQCsTNqONowB8YsWE0s64hmlvmEYkjtMsRyEAKQq+h +H1E8mwrWVE99fJefuOVMzjWFdZyJdEvkKyHW/XGubYkJosSx/1p+1dzfmd7Ap4C/ +yxsnQSj5rFGDgxLb8nj4TtqqkjqYNRTC/ePFKgH93YQu+L3jhiIvEKZmiy1aLm5V +WZyANemHtCOXIN/sGOaizt/Mgttpn0mH+H5H3vajr+P3GY2qrGUCqRJJ2BdoK4a5 +kPvC03KA2zjEnwLaPEikLkMGS2gFQwP+mbhee6H8Gu/1Tmpv5Tzs0cTrgtaR6Kek +tzBlM0yQCxeRFGOQZ6/zkkdmy8BM1pa7bhHZmy1OkvtAWiXyecXhEMu6cAPhzG9G +6y1l6+Cc6kMzTPHWrEWhEJx+IbZ4sxURqwHVtEbXl43DLoGQBltUJSXj+i0dIbRc +oaStW/e1kfl+gpRbWnOKzAUG4onUYAUNxsslSUmc2SVncSl7Z5Lg5AcmNiegXH2E +Erd5lt5uB9YDOup9RbsxJWgiJwyEnHj+208giUedKdwtl53nCd3Le887zqsuXAz0 +Xh5q8LedhVNJO/LJ4fhdErtCt727cyGnvNpJqKwp4E6yk4Y3fjDMqnznU14SwiQq +jJFW6FTB0y6p/3x/nEG2yLljIcrsOvAHVNh4PGyjO77m0vQhwiXY+bKPDdEKr/Oz +7Qyl70a538mMWB4VVPrUxd5XSw4/52Iu/znYzLNRjuNkeJWvL+zP5PjeD+7nWNvn +S1UjZfDNdfZV9XWozGER7mflVwEFdS2DADf1k8xNWVg+2tyv+6LFASSDLi3Tduw3 +tUtJEAz11srEHoZxQR/i0hxfOvihzMpExzz2ITG/Fa6OhXfOhPq/+0s+t5jIKqEZ +yQu4+xhWG69ci1bx663FwkH1LsQ/pdPPIuqJ4kgF+ndG8HG8w0uqGg91z/V/p2/B +yc0DLFYXP6Hk03nHmeeBM5bdYKRCnjXb3SXasKLPERI++D6KhlSmKcdN9bgpeHbV +U7y8cPacTe5ynPcCFmvvC82E8+4WL3+cWkYtPg+Y3ILP6YkkT7gRxNz4Gp7ELcZO +2oHuKbbIW+RHxZjpePZ6oy4ETzZ7qYs8issl3/aLN4NVRXcFUn5apvFOJab14dge +BIuIwmY9Tadr1yNywZjCQ4P9dQ3oGVO3LGd4aOA3ED5Zv0sAxyJwU7ElFtaN0cD9 +Jc2Qb49cP8XXAyWAqfsWBPut0iD75GjI7pWA+JS0mTI7fMTCu+gwBWwPq2KwqzD5 +k69pnKaO0mQJH52fLhfUPwPy5lHvkOBtZ7OX6oeZMVlfUV9sfHULb0q6hdXQaRw6 +SxrmriWNQD8ZTJqxJ9nEFEyfX9EfHPVf6VXHzJ080Zwwjt5IhfFQ9p2Xe/EuQi2p +/P6BIS125pohvjKy3t3E6Z2gRc9TzAAcsL1+lBPJW8XNYQIQPqznGZh8kgorr7wL +zt6KSEEKG+hCFxw+Fbj8s9+10FVqNfo3gK8Cw5RdfKVL9h3R9zTCBIoSO9Z+OuEC +kJ4bB2HwnBLBfz60l0wO6zSJvpFrFccWtjZr5LFAmuCcqp/EXHtLi6qoEj7qHIaF +OUjxyTULx7lwmGmGwnYNqbeDLzBn6jlw1WxCCFwW3PS3nq+HVyO2TWwMw7YgoLrN +NdwdLNW3CAq1wAjb6cPmM8LEQIAsXNUWzTImKsjjsaFvXKRd2nh4vo0go7WcrV+X +6c+IeLl4EoVQG9awOX+Y8eIjIXJbIRxQsabSxEaorKV91+o4fYPK+FKv6fMS+ZDM +1FfCzLwDRQqUFpBGs6dmrqJN40z18fq6+IWxJCXkQBcVf/Z40TkLJz7TCnEWfqEa +pXfHb+dDb5yKUJY7++70hPuvaRUprE5FK8DBNXkLAMAiGK+gVUtClCF9YTh4nNDg +Fog3JsasVto1SRh4lKgqjp0oRIT9cAmRIhX90SWkhF1OW6CIutqktU2VWATRlhQu +HhnHRm3WDV6rj/+o5JXVsrCHYKeolAU+UIXSTFol7pd5dwdifHljFF1EdFEwDKAN +3TVcMaTJlTwPqwAw3vz7o1TfU+E6LmUOOY4yzAJV6uu23eEJGtM6KtjNZIRadMwg +1YeyiBGDYnMh/GUMOc59bJxV+7Z8+BMJqgvd/gmcbcn7ZVWC1sN2+ZkHcTWDRDzw +2vkj2Cd7rmW8ZARCmQErAnQBdqKK1/vxvhiA2eiV9TYkwQhJJJkZPbdmzpiRTBcY +j7epC+VDT1WWiCvoOer8sXq/5lXZ/YB5r8ZZn1CLhbTM401Xl5AusUz5auOaknn+ +/7G4vLt09fclQrbozfDG7BdLMj2e6EqWDVMJkfHNVn2ejIqFCqKt1jY5oTtPIyiV +ak/kbi6SxK2f9Qyck5ofoTb4S2nqNxY3+lqFppyvplwrxOgaJHhMo0oN1UuhEsat +ZTyagKpmc9lQIMZ/cDGcVP41qvCCIqUMq9K7204L7+bGbVVVEyvyit3EdkfVaD2L +jmbE0eIO1qRdbvqV3xkYPp3ffvfDYXUVluBAsdu9kR63p3QKegGKZvYtx4F/JD6J +1gCBbu6YWi5NPmJ/RpUb2gT4Nri/88vgXuD5qGWeKJp6qL8X9GU+J2XtMZylYyNz +IlpaK+L4ZUo2i/QRaxovl5zUeuXSga6RO8TL2paskbTlQ1wr4zx5sI4eDJkrczso +rlkS/+WBKHCBoqIm1SOHryKerJK779eNGRnoQ63GnNcnUNXVBl3NSXtrBxlIcmbc +4ouKt/M3N7m9EuJQ1/c5uJ0iuDdZW8XBQ4AOTqqsncCGa25WR6clj0HQ+bTromSC +/9FE9xRT2UyukxPdwGymcNcy/iKa72vWyjefYJhG9Oi3Y+SSUEFi6PFty7Kq9hej +0H0FWX6NM4D9VdLX60Z04lIpSJwQIGVi5eYMUOgbSJdasN/69kv2XKzf/OyFc3d/ +6ss5EiuuHMu9NolPKzHBc0BvBnJXaXJZmRQJgC1dJoflhqjUoewZlUKOrZ/oSJyM +mr3kcp7+OvboQd73QCU65/TL8Zd8ANw31spPqCMtnCjyFgoiyFG7G9je6iXob8M4 +6ak3d8r7jLLit5Si7tIE4QIGCl+DpywSe2ACOa3JiMDTiIlafzsr7PDtVPYrqQNj +JokfHZrwb0kzx83o4/v2oErnQjgbr2fg0sebEKdJ8bAapDqRtl1ySw7obsHDWkZs +WWSkqH1Hyl5UVxNMlv0JKgZw9FZyLR4FpVO7ZSyNFAEe3dz3R3Epr57sCmJXbLvd +2k3ONvhIaB5+zkqv0DlHG0CBiAJ+tao7X7T2qdVc59dbspxcsPMcQRad/nWUSyHv +Il2Y/rMnt8IDhwmGk1fYIye8kvxsfHG0ycfrDEP5D9fY/igk/+5P586/jY7SYIr3 +C5ua02/4dllqggtbl/B+t/DGfZm2JCtmI6mL6e7vuxR0Wsakcmp4h87d/rtDHfud +0ZGXaRSk4iyWEseLpdIZKRetT7THL8/x+D3G6Vc0UWT9+MOajCfg+5w/mQYHb2BA +fr9S38xODEOlSkdB5itpuy/6zLm2G3sgmop9x6pJlWlkmwrO9ELeL+pAH7+j5DhW +f2JbYdBn4xbJp1JST4Es+SFgBKSqZ0fE16CMzNU9dq0q+xxqJ/uFgyJLlwjQpl4A +HDgaBB+zL6s393HQFStjMz/bJjuAKxqmbOZnJS7+vew3rcWY+pCZp6PrUOm/d4Ih +n5y3qg3moDwWWaU2o+qMuZW1cjMX0Gb25P5SOYu5RNSMZsrRIlR/qEsRls1k2+tM +rUMBaQoXFO+/X22pf7G71GTwCx/XMyRN2jeMj0P1FO3nRumGt/xbglm0EcUUy0Si +CzfL9iSI7pFoMBRh8CllZ8MEmmwU6xsd4Xqux2WCRk751y/jdY/APt5YMtQRSqsH +9Ne6V6h24RwNcceHehUv/xtzTOxeEwzhTf9zOqx9VouU4AEZzh9NW6QFHyGNkGsi +/MGQ748XBghuIzpJMdFKTForrGLjGj1W5BzmUjT2E/9GB02pu02aExtdOaCt913W +hm7iAHVbVC76dDPq6mHmJMqYv2RwCBvXdOzrfdOtdKy1Sjy1hKj9+tN+K+XAMGQv +EufVq4NRg8eR1OihFbVn9UqttLC7sxIxDaI37RZ6lpNkmEkotVCi6c8tvRIx3PyX +Z1MuqvV9oGx1Fx4XMdf5cbMhvJNJM7o/CLA2RndKdsXM4kkO8z8iGLXcntg/hBtL +7KrpE/BZSawgBIjP5WWGHbrqli1anM2d5BrXijjwaFsiuwKICbRQRxRysV1xmPqi +Jsa5KG0ZXFw4fqNEqnb20kspWrw9PFpRdFeiTXF3yHJTvbFIEbXDt94YZ9YKBoC3 +09dzvCcGWc7M1v04ibvNyu1Nv8GDYue7d7OQ4FBWCzodC1mYhsw4dQ2Dz/FPnFOw +/aFiNGTs7XWLfoRX43eLcLQ/6i9kqvspalJsDGtt41taE4zV4hSxbm6wLTPbfPnv +hIdgsKZFacP3JgDlMSVfT4jZm5+2ulVEpCxcJ1sf4rMyLDTY2c7aLc/Oo/wmyaal +Lehjku04CDqGVdri9g9ou5PsLLHIjQSQL5WD9XNAHIreERQc47qUr+VXpgNaYvCS +EZLlWxaymUn3j25cYogS6tS1MB6PnUUc2GD4ngtaEBec/LkP/oh64mdmGz7F25aL +6LwqSR0w2frkGTKEVoxmNXYWFpVcDSpppbn+17RLC8pcC4478DAljVL+GlbRFcUj +1nmNvTVKWRfPZUSefY8V73hvXh12cRB2RCZXEIWqeYRK0Tkq/ZejjjEDN65R5Iao +ZfqKYZFhAVNcys1pKpxti9fNWBtzqxmT9RNCY4r1p/b5TdVYX6GIyyzOynw29/Xw +VDHAuGjz20YRvl6KGOdIV2vmYPNa5jlgUaRp9It9GswKmHX93ks6CQ/bYtu+x6dZ +rlF58atNPTsPspXgJYSu7gP7XjI+dYhzP7er4s55RcO4GM4YOZ6xPKqN97zBT6yP +A+4sHDnuu8sB87/2Yk/rWzx4S2Kihf9SKK/C8dVTOoSjJJS/FDiWPxIcW2jr0ior +obrXwf0chj6f3nwvjGfuGmRDkhbAsx8B5T+5liRUqSSsUhG21BBZurfZU+j59bNe +DpM/G4dtGN/YxAwpjX8TkgJ3P1XdbDqGirmfyZjQOIMtb4L9JINJL1wpHKQnoAoE +x2ISHnkxYaMFsvYpbTmro+BkU24/d6YrhiCMpKXieRMlo1q4XcJ2JmCTcyE5bdQB +4Cnq/Rcz8TxxfDnPUOUXrAKQrEd9VJLiXIWa/unAap/y1sul+MnRyNDbUIiO09sd +faRYpR10ePNeE2Zx5p/VEWlHzo32SFyqCSOYPaPlGfXw9l9LxlUzREBEV1Xu4kaL +FbvwYYUQKjQCeB17bVSP2JNRrFpaZNiRrP/16zmq9D0ryiXnH6uE//Y1D2z+6Z/L +gcxFMpj/TyNh20LrZnrW+VC7M70UqH7aHncteitE/Xc5WoBrX4jbEPqUl1tEWnKh +TF6wRzElTPdkeiROfScXDfqFRV9YVywW8Gx25ZcKJaMUgexROPhBO2jpfyodGHQl +dIqAI1RFa0oQkBLlbL20JlsJT9uY4htXGaziBqNC48PIm0tryltaPfknagcEw3Em +D77ucJCfMBqrmjnHoGXcq1v9zJeLQLyaneTYhJlJ5SAE4wTSAmWA01ePTocoslQu +JpeTfstTFlhkbgefntK6vvLAcqUQNCXJcOQKF09B5ke/XUPsHRdfAqzm8A4ZR5tJ +Baw/1J90OE8GUOvAHQzoucZE8DKYtcsBJucdDrf3wKLNJ4XbdBW3a4FYhfd/0Yvw ++cB7Ps8KQI7U05AM4fb2IuuGMUihLNL6dJRFfdEeaIKwOZ7w6MNVclPC10YyS/Wp +Lx79uUbsYNFVCiVtjK/PNDtHP2GR7ETZnHiio1oj9MvXVJibUaYm3sDSrieV79Fv +skHAazdYB+F2GYU3qfW/LFuWoCFum4n+BcTNhn1JJdgna7plYuHie8IzMTA1aIjd +jUBJtHHiefmdDJCUsotUOtg+KMpETahYOZX8PrxaYYHun7T09sFyBnUnHAG3FAWI +w1kuJ08CmNaZQCz1cFEpz9F6YG357cgT3p2VBEmk/nV0x6T2TqW7iAe0Xb/rTGsO +ZYMVRUw/fgdvCSyKDQjSOGpOgI/Trvjk3Cu0iNgTG9W/Fp5gP343uwmtw7BANApb +rtsOq2Uod8jx6I8g1f3bQHlBdmSbsBLcNMkIGYHMuDjOAlaTgqQd3AgRc+nxRVjO +y4xm0EO9Gu1FtizMBEsl4tREGCafTxHh9CzLvCWMFp8gq4JUMKyPs5JKZ9bBO6WU +DAv6h6MbKCvSdnI+z/Hz8GcvCDp5+BWsSauIldohEWvH9ZrwSAs7g+En/M0j8Kel +6lWqx1YEa50pc00i8564Irm/Akq20txOLv8JlRoFIhCQd2IV+YpCoQNwPalQje9D +NZcPyNB+sHHF1X4aGl47T+UScoU7hHv3kD2AstzILilQjc05RaQNjxS991IrxBc6 +FRbxqExeoeaqzATK6cOleZVZSaHtdpYFFiisus5YDNyKc1+M4Q/+scaxOOoZ/nyi +LQQhfiXuYNnnR4iQEuCZ0G1ppC6MLll0sUelBTyolVUK+3/m48jZdmKHwJuwYDID +9xy87eI/27kfRCx3xh5CNhFwZ2kfcJmaw71Krlm1DNvv2WMv8JhObjq5pQscQcgW +ppu0BwG5OuICH+/9xh4WAUHra4hCv77DU2F3zEQ5bR5VONxdgKQ83nzvPe1CYutt +xtLe0ZB5M2o/Y+PdT5D4VZkMcN0qCaOFKPvQaGXw32gxDV3CBGKWOLmZ57luTlvO +8U/amJu8yU9usjBI7aT/FdW4XuNHReCsGN9OIL+m94h0OS+giip3qIWYuD7rzZK1 +N6L67lYqXGkA7fbZn0no4BSYOQmW+YApxO319CAKy5obLxeXkIqeb/PcOKPwo8ui +jR7Qe+uB/a4sjyHFwJclHr1dKwzBgyq5CtkAFd4bPUljAEsEfOlSl4Ciki7QMCFM +D0T5HXRJ1NkBCUXXAdpxe0LVFx6f4jLaiGh0yCMetBzFevognRi5MaphOHEmrWA3 +sU4q+cVZplKlk04y+v3yj/rxDfamb69hI2D8rvTc8PGTbLYkg/PFTTdoH9O0wNG6 +X0wil8gV/Nnm5Ubg64XwZKuHb9e+FhPpilLqQ6ZhBFdHvBGY02VrmwtIcLsLs1aq +ja+UVYEntAfhIpR4iUUwuKLuSOP5PVLTzvXw7xPc111vJC4AXIuaFrD8zVXp/RAc +gkiXhMAhes8XEhaic8UVL3/ayBDoxPpt3whiINBvxvxQSPizdgCNTfxe5DPKorvg +fUmxcXzIZZyU3Ij/QHsgE8vLbhy866dq//AXnvFGgjOgWHG+MQD+Y11mDc8gV5d6 +jeja+FmkpdAPMdbsQzZ04k0I+GQwb1lpibTmA0DSAO7fH4H4hc+oqoAsS7yBCGDO +xUiCsre7ziiivIR0s6YA1lyNLsHOIu3GYqKL4dtmSyVOFP74v8lsTpVo2AP5UtAw +CAnx3eCcic9ILIAKRv5NLfRDfYPAmCruwPvAU++nPcrEpQDd2r8tdUDKGSCBhCoK +YMki9BXYo9Z63ouF3+aUudBM85lUAuy5su2cR/poET/kJXNsjFqHbs3nVa/Vtp/N +tnnK8f5+L3fFzAhVJVB/0IG1wB7gSA6n+hRxqHm4uVxLJFttqTcUOhCe52D9/VV2 +/m1HqAQ5Zk8Pfiw+ln4YLQ8tcONvrOhfEsP+ZwZhvGj+zKyDY3zGGf+z0nkRjelZ +2U1+TCf+KXTjS3CYPsAxFqitLrpc6Ft0K/ouYdNCgP/1RZVcYb12hqjlEJBHsNtW +7yM02DsP7G5Al3lq9AmF/ReKqRuT2usV3OzStoK/SKRI6jxMUFSe1GMH1VE/507F +c3ACI+LI8TZ0+VCWTtB5YFrr8jVqv+p/9eC/2apzhrzodbgu0VgvaXsUD7JJUq0z +vq8BMDANOPMAaTFWc+VLAnMxXbuvSspAPi1rOThvyx64u1R3AU0plNn5KCEZMPl/ +yQG3XzOQRDg7NuLY8gMhQMra0iXQY77kFCcN1PrgUEs4f97mRvQAsJFXtNRxOhKD +QFS1L+D8e5Lg+K+CKeqVsil52H6/dDAVJvDqz6cWZj8tXO0dR55kwGEs06pmBm7K +oR3x8+dzLyRPSMsnkw/zTmBTjUCB/MASQ9UaDtZLj86VGafUjbP1/QW/mDkpmhKK +PRASEd44KG+p6FnMERZDoFChe2tHIUvfbgRrrIIDq+G5ivJo1vqGSRG5ZCPmnkyN +QLlqaaqR3pCzSOCZY3s1CbE7/dLRLwxuDjlK6u+DXG/vQ8Si4BCRKBnyEM/tlv4g +p3Ojn5eCYC1npwYIU1Ob9YljsGQSmrSSW5nRzcbZEoyXpPowm29QdC6eSnxvGMxH +GMoOlf2vC44JCL5xkiOAKRk/YAhsu1rd5KldjJQtQx51UC9qD4tkAgjEfxD8dZsJ +roByxo2c1jn5x9jaUmfp3E4rwN9HtgdB4bTHf9M12nThxCUigd1vW5+iMRbn/183 +QpwafoChpH0SdGGrszNqnL/jZyuzpjpqMgmcFNXL1MWJZkANU6OTqXmagRB0J51l +1CGnDhRjjnVTDNz5hwMzbZw16xwRGuh5cpa0Y7yrRYC8N3auZsYvuI4Wt0AAo9z4 +c4bnS2MeOAJQbz43+UGl/rnA+Ye8p1VYMUdqJw54NWJ/OR8RTQ0Z3TdglhXl38KF +yI2qhVR0oQlsB08mU97IvZ/WEMjQHhsh+mIw15b/XGUfEUomBslWT4sK/41qcxl3 +t/1J8OUL4XT3iERwQhGRylrt8AYtvPUR5AK1ICueyYbtzhDGtyKMuporpi5Auup+ +aTRFwM+N6PI8U/9Ky/FOKOhPiRqEgJ0RXexhMPEbdZM6KrMrgQFAxbHMdjMtdMP3 +4tZaOAEuFbrP4n0t5Ip27FnfUVLAMueHDJD+ehxp3e8N9mdV31Cnk1SWr6Kv5Is/ +tk/jAApEPO/klmK6DT3Xmy8ZOXsuuZLdCC8Rms6LURMPFZ9VXt1zkq8OITgwFTfD +4wU2VIt5u0AW1k2ShgdIyyuOmLlIufkDtCAP71sW8PsfL+ifcjjK8UPTnfVHtJSV +1IbOdHSc3PQCLIM7SVrlD0D7vUp6yRZ8XqnZrpTptIdWs7Mziuo1h8xat8RGRlGf +i7IWXR4OFxshfjXLdFSbHuOx0eAovh41YsbC7dTcgqvO4W05kzue9XOPxOHsC28A +t03J6q2i8bwGb5X9VSY8TaEPxOMPDuGGMaUidoxpWfAvBcsR0X08d/j/0elNFq+k +RlbOcldV90To/NkBRsA3Hp09ujALi2CcWOYKa6bVUfD4GR6u72OlbjiSo9zX3PMC +0uAJPwULH9JSWCVQdG2ukUj0EsdvgICxCZXwylP9fVS33kI+2jZeK/S3AFjczBxQ +EanlBqB9PI7IeBm6DPLuBrZLeRC2+NXLbX4lVbK7+TwVV03+PVnXXFjnTrWg2x34 +EHt7CiAZ70e4rz2zKWV/8kDJJPEurBRvs0QciTBT3MTX/UCXZcyK5HIs1hL5eXPI +CwGnHlwx2I8RBiR22N9yJd+MhZoKFtNZUCs8lMnCU4vwOu9upnHxqJmAjNyxq5Nh +VpyaxzOZCJ1ZoF6+EshlCYXxudQtezTHomK1HVGBh9wVM4GuJTDBu+lNZw9wKbP2 +EgvRLGUOp8aojcmBBLYTieWqwURnDRpIbjtVFLeH1OZLlFr5AiTc7huC3zDwd448 +MS1AY/FHh15/QvrC40+0LKWm7aUZP+XveMKmq7K3Zsbl1ZBmA5w2F2OqzFd67xtZ +Omstzp8LFRomypKlF9Z7DtLYeVL/lCqY1BOtWumvqJ+9XUW6D2BSGKhvMVKVfUxn +/og8uSRqNUIsKbiTHCihm+E/AtY37Cs8VP1LgeigAvAMlexduYn6azYVqBrCKwxs +/Zkb9IvcalhX6CGH5gpqDW2udhi2K86V86Das+y2f4seeeaIpBBX3lof5gXZYwuv +vk9hyNVYo20cqeOmY2Y80AtccW0YwtHQqvoKI8ezTeTjvm6oHegy93uqdqYGJVJF +sjoQNIHoEDplBQO7i92bjU9HM3465pgIdJR8PckiTz2Jhh5hbOoC1XXR1qwtHgq/ +3rGntgDSsmR7FlmXvQECfpNu5O/fTy8VvZPXoM5p5EkWDlAqHY5J9lL9CtMeLgTl +RpnxzdpY7RdhhmaVZEVnRG1VB2KsbZSovTeXCXj/GbybCEM/X1I7rjfbATKkaBB/ +dZsNkqyR62ggieBgrVK97PLugfQdj2CBhBQZS/Cf2t5n1hWvjYKXCvtUJLrv7sEB +AQH8nj559wV093rHyKWncaQtQKRtN4fc0FGRDzgQmP3NdRdP6jKgoQE07h3DHcLo +Rn8IYx+M0tN1+yzTER9mi6aljTBOx4aFdmpZxDzcFE8tiMIyIgFQhUQhQjq5YtPq +Jcz2SgDEDtisNTodxh9FZv6ormjz9GWZmYD/9h2+eE0/wFtf09NFjDL43EAtFF/J +/bswFyptZovy2ZiMnraRpRx8O3FXVaSoT6MmmgyV9RyanLl20tzcP/Uj9mCI67aJ +UFEE/Hn3gNvxzTtRSdVzqDFP3q6Zka6KmTxLzBZk4+uqxRPqm7Am21Fy3CJOb7Ip +pN4jAwHaM4exMTwTmVRJ9GhaBDFDV3FX42iopxv+ZML2s0iJu/QQpGuCfv8aRsU1 +t4GIk96BM00zIo3WSU2auYGG+U1SJMBPAoQKi9CvIFtgR3o6aMlnOOHQxl/LWpJw +wrtoDEFBHQhvzpWLLPRpV7+oZEZkGyWBOR3klGRL3Kd5KDPZC9yNp4CR+3kGohhe +ThpjQgPWCkw+uBEjE6tOnFge5f3pnBcimnQdvAK0b0FUGL3/gUiqB9EcQY3txWKm +cc+v2oceXn+Zd3/tkAApApXsicQdMiVSYhy0f/G6zMJ/+kNAz17NbLOibEWpJryp +hLNN/aVcm4As54KnvlB4HjhTZ5lh4XhdftIBuwvuY8seuCGnVoKOfwFyy7aPGUxN +bjysNJytyulK+vq7YKiMgdnZknA4UHFKJ5PE/ggxTQE/V9GCWoeJfvhXJvjvS6qG ++9C8L0KuUiibJuGOYjtpSOqLrLShO88FSel7cNDvkU046bVUA/A+AG3Vetas8EBF +zMYnJfe0R9/Y3toPkb0rz1QfnRp7EIWhYUkGltsY7y9eA5SBgmp7SXEM60EqahcQ +alEKoEFebhnOUCkracA4wlXBPz5chxz1xli2S0hgoaOj2nI5rdy2tWwI/qAmUa5W +Rr/bPL8JI84mNThHv0pxb3XCuSrXpkxkpnrafKI3JODzbiVlDPFkC0L+cJbKSWMT +tDsya92pjtE2YRNgh3JPOsGoV/UwwFxDz7tcbNMaWxesmmGd9JRKSWXxYsGefZkF +DbXKBut0Aa2Qm1P2APQRk/EwjX8sYq5P4vDf/Y1jcq/xW5/dWMjrWMuTwfhL/MI8 +OyBmy0atV/PzAjZOgvoyFeaZYt6Z1Xf5l4xl9Aq02URxas9LVCD6aKsmIxQ7gEN1 +ZjAQgoGyGaa6a1thlFHgoywuSi8TPs4R2kbCn5XPgAcDLh8FG7FIFauc2PUtYyaG +CKXoQEK43LpRmGRezCOn5KfMxZVq7hSkHGj7KfuIeoXZjsmNFn20DjIdVRMmtNp6 +bz+fTtCkbymZcXRtUzpuMRYCiMGplKZbqvps7wFzK8CbbC/RAdCyEz820ix45RIq +BABGwgspJphci/f5aWUIGUHvnPRMyJ8+Fjw1nraJ3s7n+maozDalJZAhkrSSX7Cc +hPmwnqHcHlzRVshIA7PatM6SuIU68BTyYgH/xOAQTXCnyHWq+bxzHUlUokD8rsbe +unE9hDJLPpXZRQlfZ/eFf4I6KW3dFk0yQYMmXBCg7K99TdHiAm4ujedCiDGIcJIq +KE64CgmsRmHO0yfiuTj9fFfQosGYuiqkM1Dm1pS6sFDSn6ojmAjzbboGC3aqpHtq +reSemD4f2KHUSNDY8JVsaay4gV7ubhzNzBNkEXymBUVQk/e0XFhyOve39vcTewyM +1zb3+hniddtBh+lokQbRAPTHqaNaRr29tILDeRuFmBcUW+SDWXkxd8rf/SEnnRFa +q83+qicO5N2o4v3pikE8QETWg1IsYhjVdFq0tQ3mVgSRrrUy0DuDCzg9HLcyqbFr +BCJ2zc1y0+ADbZ1FhPR46zhFYIHCDI9YPFO3S7dTzUuZsLiny9y215HaS/FbfSJk +YrMPDWRpLUgYwxTavGIj6W/n+gVHG58HZNea4fM+YBM/4gtkEq3Qdu7h5VdYJsk1 +JJno5IcG3We3htnvI7a6pN+Qw9lOcDQ122m2hprYNatqZRmnbHAWlxPGwUjVJpsX +ntPDbxwOn74wwsXMIy3EGZ+8XrCJA1ez/JsBC0jEEjBBRt5qZIfEZunY9wzpJyLf +QA9qAfmTd/8H/rhuouAa3k6QYCqjl/yAjmMo4fT3Xprdvz1nK4r1CYnEBIBghW9L +sM5QWYfeEFRfcI1Vutd8BOGbtszTG0K8Sf3LhiR/e0iua0Fj2aJVZp8tE99HJFV2 +mPDPmq/IIoIaGt5CfM87wRtGM1uqtgsK/gzIl7VclDGRiJI7ooBF4pyHlHTI1+Yr +chcz5xFDKzBnyRqlCYzcnijpZpz2S0/7HMTa+Z5WUo7RMR/E7R91yiBNGNX4M4iT +4reXTnD/zihf4hnQYKZrJdskzPsiFNqZQQlrfWZB8/5kzjDaOC8yMbXqTT/JDynD +UH2UeqmRi0aY/0bS2t62exZV7TkJRJUaAhKCm6CF0l6Lf6XgDgOlz0CKYlDK/4UA +R4ayLCVKlxKFRjdL/uxv94zadOZB2vJy7lajelACg4R90B7oKm7x0tIJauRXHqH8 +DyQvMkWEM7EsS4crvMCMg7AYUnFsl1qBQhpZkwE2fSKRShQZZE0c39Gv4LmtD43g +NRQXw9wW9+gGV917NXiuZqEsArzI06dF6JHszOTHnl18nbKcdzRzB7Iyi7ysUQ3C +Cx/wwVXf03YIsrvI81KlCi0Qd6GMSujKS1Y7PckfGi8FD9GjqmtrW99yRiG/Ln5H +b7/OWgB51leemy6UPow5CR4imJ0Vz77YN0pgLdJWwqUmOWRmuxOdxpCe9QuoZd2t +Rcsn/06YZbLnrFw87hYw5O8h//YGBZYU8S6DFh8A/U5cXxQ2k79mMigTHS7f4uGk +nhEHrBRwxNpu9oCn9pf/zUKqWOqAfuqYTWZVDId4T1VZF5z++3UvMpaEjea+3vvn +87T+77XyQxXFKTTU6svNzp7gs8H63QSUIFozAK2z3A1zygozm2Udjs/KSvfvmxmw +XYI4Iz2/n8IeOz4HPHFYr8UHUU2Ua/yxQ+7UjKtRWfDqGHP9+MmCWpYnM//y2X4M +rxd6NV0slOCgIX2QEsDHFUwO1YA72V+4FBLmzvZEZKIZ0Rk2Pf0MtbNeEGnuRJFy +lWuWK9HykaYO7tCl6i1nHYbBxBXGe8YjJkkslSx4hXw2lFbWEYMlmx2nG3tC1rUq +o3NzhicPxZdKD70ea9K9d3laIVq+hgclCRLTzoyQUw0NiRb/q4FNo3qGpmkpFE+b +ZYRwoKLtfcFGDC4k2fvkDt/CverIaR8wuN7RfNzoii4bMMD5QICpakDxryIT1XkE +kNIBaYhsQPuwo9t73zwxORh5R5P7iGTKoaKv8X//O5Rwn/Xcgr1u2Wdb5/WhDNx+ +lObvNQe+tVbPhli0zU7aaD+g81YTypI1gf0Lw44cTC7D09GLYKJM+pCG6JFYZ7Bt +u2nqyhW/P36VQnXbI9QdPmGMmWc3fmj7CHXsaTLCc4tGlUvA8ZauFnsw3F3ka3cT +ntpiYvLchAzYjuNulAXQ78/WqltAnYc4HsN0u//4uDJ/ES8cFGI4xZNTYvabNziD +UyAqP0uwFuAMFaBYTqzDTgl8U31ftBiz+Q0PIBhBCzj1N50zDCGAzMTIyeB69Czg +eQZZv37HbhlrkqK3KUMLimFZF5CiAJeB5GF6rC+Cck8+BqRuUB39FO3LSYkukfOl +19ZGOgx6KMaZixA/GAopeVv3MG4Kwa8wYj42Q46b7TgVTYxIGDMc66cuXfbnQLHE +CD0g7gBvVKfTSBpMxNbhf0c5QArP4yl4gmUSRWda7HynBEjUsU65LUhdnHFj7T8y +0QhNM2a1Sut+KWcMbEOPslnxJ3YMj+M6PIdxC7U47RDe9VtVSqCTeMOnt6DLdl8a +ruTHgKbGqmGruoqnyKgaiwHPWpUQqk3kbjs/o20K4fkaBhFgkVlT48x45rhPhGg8 +AjIqIUNBg9zTYnNlWwAk3Bq/J1YtdKnDq47JjSTODTE5Se/URPtN3CorDWH6NQLT +2J8uHvs4ou+L0CJaff584Pyc7p+7vIwwqO+uS+D/Y6yA91v3ZTmTadARPv8qS0Ni +oMph0aBMs/sAWto1k9rsxs2LOTwvJEQss/C0JKjrMYCn+rFBPpGHQ2OLhCsQ0TAR +dujbXtSDrvH1siMKz/5Cdao6ZKqysFn/6/ugoqsZqmapyZ8WJe7KVGzOPAoyWgI/ +U+GiILEwY6Bh/EESRNLuyjJAjFk6CFSdQ47OG83Z2EvJCuEEo96jLU8HSkKmiX/R +7uzJA0lNvZQnjfWwDZZLQFzjXHFIihG/RIDmlDsQQI6Ud7I8Z9KDavokso2bh1Dj +A2jlWddJLnzid0VC2NlbGOqOUKStNh+Iz7lv+zrQrjonsaaO84QNSA3yrbNpB/Ri +lfkpVtWDzZAxPtl+ulH3uEAVwShg8LL6klg7B36USnj+WTroGiKzTP8ESi0Vha1+ +8N0NLeSZlcg9M5LRMkxlEIQa3cpTlK+1MfGsFh1Ye3CDCRM+0FERuLlnhgQm5xbN +AmNHNaIoNERNsVuNcaipKFEmC4MP65yklgHoQttD61V6o2fV37OReLxlRBgiibXo +VNQbV6z9TkZIq8yVKTq3NGnQER4R98LP8YtoVntiZO4Gz9lXlTGr875LQ2rn2V+R +2Z/0vsoWSmkGdV6Uek084klg/V33Fwl30VkBZw/Tt6ID3wrLMh+5iMMfiKHgS6kE +68HwKGJg2l2yNswmxkQabjgIRPzJ5RAOFzk961M5DKst8sXb+EWWfRdPwbRFBgNN +7xJghhDHRC6PaikBgEvOvSMmombhatdnjUCUzlirpUMxY3yqRvqQuOicBAFwiNl7 +Kcaj4zyL8j6D2HCcJC6KJTiVoB01e1VwaeiCrfU9K3EpmQz+66qWTdFtWb+3Aa1O +iVYRCga9kxLrT6hlES7Jhlx4w8eLqLikaNtEas5kA10zFRMZ2OdhPidLRg6DDYDX +BYrPFEwDXV5xqn0p84dkWbH8FGdWfylA5dzRf3SGhR7Zb5YLH9TIgJQx+gywtWQq +5ga1UV8afFkPHPmeSdJiGyocVoEeLOVe8q6lQoKwwX62r24tPeNQ6pXE0tWskTIV +aUO/3H/hx5QWsWAjkEmfHr4UMHOeHf2I8w/0a6xGxFHGHauZc5BTNi0Z1gKBHKJV +xyc3GvsvRKX8SiCN5OwO9PYRwdg/BXZbYoWYY++nsTDyPXXlY36F1TiQ8ALXjAv1 +s0DD99wsBMEqvgumuDLuctMvqcdlO/2e4j+Fu2ZXvDZa3MBo/c/PUUUOhyT1sPWF +ALdwagNJDIs21wz97o1u8uaTycMR8ds7nUyJhsqlZ1v8Zklk3IZPuDfDxTjNIfqH +UCkCyedwMyWfSd8FM/NHpPls3zdWn5jNq4stw8SMO19pooAUiZO+20pUukQVDssH +uSpo0riUUl5WZLTAa2BffcDkaHuLLW+Leidv2XyoLd2LI/9GEHaTeweh45jxRvsX +VOBKtg66D9H2nerhUmZFaH766O/EEEG2wodGWREjeidLgok0PC+5D0NjoMjBSCwd +hOntkZxLZSaX1ULl9+bKLGue9tkMWNfgzNqUzOBhH4O/7bDiBRjmimiLEJCWUchy +5s7fa4AJGXHaZgqCZev/j2wCXeNbuHMa/b9XtSPI9fBju+4SJWn/ah8ORmFprGas +mWQyT+q7czKU1EpBtP/uHrAnsUR5Go2oANAdFCfm56kq8/kNJyqYeqLbdDpLoBhf +rNKhH6XgOVGr9C4ODNuGgU3DC4he4uhCDWiLAE0OJw+dTWQhbAbzT8g7MS2jzTc7 +3SQ8EJyXtg/dpc1wNYQfonh7UpPxFcjQAFwSO9vWm0ucbbBvpcSqPauAc5k7neGa +0smpW6u6tcVaW8nrNg0l+H/afQSXe5Iv4//Y0ISKE0BOKRjp1ekVFkwESKcy2HIf +lt7FsM9Pt/2UhBE0XPTDK7Nns5Rp+81QPTGh3U6KpNZC/DLzVvLHoCiNG7Q86Fuy +oLtbI6KkDwib3JXgqelzfQvI57CfO8vLbR2FLFFpxQe2YrG3E2XfUwFXB02/qwkL +1iT4baPco6lxrEbxISK3jj90hhBaDCg6iav0s4c0BgHxM3lti4oRNDtUnd1e+0fC +QLnxdYoaYlXZ3kt0lColQy2Sqp0qc5ZoUBMVywFUAAAOa6KuX3NVqf4eJQqqKxym +O+vdsEoXKe74C/HPADyAV9GgI6udeR9Uf1BmOe0AcPagwY9XQUQHy/sXp0IxGmQn +WQbE31WEPalzqWnxfvI1gTMxZc+f0b6iJTWNYCCGNMi0cNgVRQHzDMXEsYd4Bkvj +U+mjiQZ0UlKtTkde2XtE2tLgn1qyUPqd+ZpMiv8zdVYggF4XmIzzJTWVNFoFy8aP +xESwSaEdm/61PNhkv0i23h72OhH7S+CFlmS5s8xE3y9AQUi+aA2BBc/OSQJwkbGx +lpzakvTWEgRvw2r1UHY8JM+j443C+XFGH2aXYiBIP+UXd6aPNM7ZLHrTzGnAsbYL +pKrlO41/af2tJHoajl4nDzM5V+GdWkI7TxpC/wG5nEu1S2TWfd8gRYPb1eNgejDn +7YJuvEnXDKn5eMQ2oSYzAuk6LY2RmvmcNpyTjC3vACPKdfXzVYBe/kKObgHohiZ1 +BiBRRtnrh2jBUdXJ1tivBZ5lawT8Cr3Ulhj1ViGBQBTL0xDXK+JKVrZ27gHvcWUp +/NQqfvEGeM//zk41adqSDHTqUKy+jHxHokoa07lIGhqqTP74rKE10VqNH3rCmLGH +anIRJPe4AyOARu8fxyR7xXhxjf65HKweAYaj4gezPP5pLfDNEcj3x4f+Vjbmfpj5 +VHdxJmoPTHVct+xOB4UlAjUfq9QwjLcOAL2d2FeerbzCbIGaMTOL95+5chmhpt48 +43ANTxmosZY8JoUhoInS2XzSzqA0UucmE1ff4Zk4iARsM8lH6AclJYMvbeDyFE1q +8MNF2CDZdkLgVwti5B9p8atMPKzqvGGsWecgrOQEeyFpN7H6XYw9mgQMptdYLrFD +pVpapJF6iKh6hA2CVrqApTgqCDkjEKMPXdJ0WFfn+6lJT0DGkDsHGhyomosbnek3 +2B58oNl20eyDaHTtNnzmHqBf77BBplPaoDxXW0THmNoJPs16cG+eVu77oX078F2t +NflsDlJuq+8gjpJ/G9yPnb+ieOkBjodxDx+eyJHcOu+gMLQjiekov6JE4h9ELPMe +YqGClwow0GowsU6xenpQQlTerioTOxepfVJHRqfLZw7hoovS61EQdlodw1DYbuVe +ZL0Z+nqGd6R0+ePvz/Ujge9aXUmxYT3MavOWQNvdfQRDf40AeyF4S/x+A2ra3SS+ +lWQjQZ8DMJPR1MwGuqT3rlNhxMaVR0V8WlusWeEoj1GRLaPAsmLuQ2kMDVtlJYXH +G1v2EgghUtHL77j1sQbpSjNx65mji0QVO+2p6gBjghHmZkYVsSBxcu2o48I2N0on +9S8PIfzDiKRBKiMWPL4Ewr0/hYBkm3p/teje+iK/NLqdZKM26gb7/z6ptTT/DHXB +VGEAYjGtqgXPKzO6GN6s7P0zBbqi3HtT90a2T+WmjoT59ERw+IbVZ8jJv7seHOQm +kF3Zsvm+GYgec6T6xnmRUR+0D0QU3zmicOjF75CYnQnEl6exqksaGB35pFghDSLW +ANSljq8kz+PZOhEyIZQENPQQSP0qMSE0ZygqGKFEXgVNNiGEHnYETOlZ4TSykVJn +8zTy8wGvBSHjEiAM0+Wjevm8vQVinTS/Rb3vXjLIIVlJYd7RQXrttvCg5t55TXxS +V0Z+5DgWOymognAQcSofVYP96B+Dd67bsVQxlmo1MB4y/BJEkx3fQTtzZWX2hn0g +4/0zpHFBtrypizQv9fwyLFbF6lhXvJzvHxkxoc+Pd75gRSd2Rbt8+GOeqYKVxa1C +ChqJHq0DMRIa+2lDaf17HVPGpm4pwIjQldBEOGnpNqqikuCQMX9ltR76Brz4RaGI +Io+5H9ZQMh+bj0VwRQkBCMH6QA2Q9BdKg4Dc6eSOA1BVCIqOC64qnWU2XcQ5j1nE +IBdDc1ZYdMsqC0LhEiMnnMQ1epvUzqUySvrBcLEZCgtAw3KcySykjxpKLi3Znf6o +80Dn+YdeBVMv5W2w9vqM8jKVn+zhZEevjjAwE/ja8AuQ0LPppa19cBexpkEWsNPQ +mtKHAGwIT4c30wK+YRGS8U9gqE8ZG2tzkXU3dd/4BhKlWGX646L+3tvFownP2Wwy +BiM9zfvqWq09WSa9EirSv10x4yNrhXaY0BBiXsLcY0AkjK8CI9/6YF935HxqsXLs +TMONtrruYWj3nX6zoByUKQWVeODLCq/H016E3QDAzGOXMaiYmpYDeXetk7KdhHpI +l0wEGtNL2cuIpzVvjxWhrGEZzkvrta8fqC5lzaLH1aqhobA20izrJFEaFW2sTq7k +/WoZsuSWEUfas3XsCgTMlMaSh065dQyJkmoqkMvqvRShWyfFp0O5wSL9rD0xjAx7 +DR0e0XYCsabZZYGQGpruHqXvAMCVdBhMAs530oEzyJukDdG8uwLvv41/cxlCrRjY +TqZmkbNj0CCpPzpmn8f98qdH8C3VTQyPZIhIGk8900nHTYs6LjxHLfz8m79FVUQ/ +FUxSzvkoqXKm02V8UYdn2oWBfHI4FSvIXADjZBZOO0shxGK8Nxuqy77jDeAYlhG3 +8AF3PQO3etGOYsQaCPfeiY2f8/rCJF09ORTwL4/q7vPgw3GZXNV8a14DUupkVa/h +6wbJDXywfID3ASsA6F+6p2wzdGd8lYnx8UxtRA5eFSXooak4zyrxC7dHHK3dLN+7 +MvPHuQPbKkrvE5xjDzYFvUh8IVUnVyxtx1SuAVGuQrUs7Rj68midZTqIXlb6RKGw +FlhDrbORIzDGZFnHGrP4C7UGXhJDfp9l6+W3uQHHwWkkFlO/bjpf1yHYYnYVJGjR +uOQ3Te/jlz5C9/TRUl1+WXK72bEtKH0trC5lZJq/UqiPVwcFUdeTipOMX7JoLWqc +TZwRb5DY5Ue6nNEijAjCOxMrch0KG7FhkTtr+4kjPEaVszfsk+JVQRdUTvhbVyZr +CaSX1XgXCVapiPUr6ftrgX9N3iGMb+7WuGChwmQqsUYntPM56DsTAkrCgVFzrv41 +D5g68LO3ky14/phIZATtUB1wwh3Yu8ZDhBIMizDuUxo7IK9u1fQOfOMlNOSjpCOM +J9dyQBDB6VjII6AZMVTEeYQZfmrTOBf5YYJeE/7pqOCKTbVr33dqF5hNM67S7pm5 +2DW20LM8KVOlJatkZ/VGJx2y+HRUOgHMQgfP+43PlZLdSKibURPhBk7wBGCcxfCw +oeXEBB40syPPp/ViLsz2odb6WJgqiVAfmY2DjIr9ZqfcBOnep5/B/xDQ7PUJ1vEE +fS4Q4n1dPBuWEcOeuEZR1zn2FAH9hq+UarfzjTBYlNR5ORN9X0S+jJe+ed0CIlvR +vT+I8NUqm5hZNHzrPaakSE/ty/9RHfKHFudw2uilr94VLPwC+M44nfpzyE2NylsC +stKHPuDsYmm7lZyHJEIp9LpRCK1DcbZOVnh/Susz1TQS7OAhsmv5eA003myZn614 +EXmMCg+qbOLVYolf7hwvFimyv8Pea3I+zWEOqAt8S4OuLbhGDqvbhpcT5jKYqDvb +MNWHhXvqefXwUFs4nXIOe39gcmiXgdYIAC8JQJae6xhI5PN5yIyS0pSg2tyT5He/ +8l17ufEG+962S4nw6eW1u5bFVIceZbofUf6UbpLM9lpVRcyvF8l4rvgjWReOKj+C +Rzaa0+NB2/u+513Eje69m5azrFe6CWruJR4wx6NazRfmLZcxS3nxDB2Fscr6pG3F +I80p8wSDg4ZojOvjkuVimHP+KpLbyxtdJo7Ne9Aeju8qaMRI6tQOtwBwzVoMTU4c +l8jQHpkfPPhqFsLUg3HA/LnvOKODDmVG+V4EbPCJQwz1Ccm6tjciQBXgA/bRZZyh +6zLXCGb7SUBSspZCzfa21lHZ/yWteptmlvsjyMZDY0Glvb70gPtwSPFjYhH7M4xM +DmrIUmgm62Iz0FdXz70cJSHhvYAy87WzbWecKumn3IrwEo2J/tVE/YWZcmlFMpjz +dJCsmsZ4grXfi4UE+L/IN8lm79Kic2tkGJmNm1EFV8XTVC8LO7Dh32Ni+0PmB3Kf +DU9+UxBMpS5HlKL6UMYhLE+iEwEHX0zui7EbrDHpoc+9f2xl4MaDIBCw8X7XQ2c6 +3uT60vY5N6HjhAIZ6Gvx2nwft1oD8302LoHMTTUR9vw8JbOL0vXBNffD8Uy4Dbo4 +ZZJFk2Anpt9Iytl+7vBFFHPd+uEc3juJfPJyNe0HzBv/VTgfYf25as07ChpFfjLz +E4hW+raqS+ySl/Wb+rxxG2t+2HJ1LyfhclP6p/QQWMwZA4BLj8h8pYKjIylgmn6S +6qbGW22E/ZqixoeUpIXFh4/FOnpv621+56JZ3VRAbH+ikdAkGiTzyYAfyt2nFbIK +ae/fe/4cOomkinLGfAeceTuZutUZRZU/grphAmQ2G41dth2bRbVEnZc7YjRqIbOh +tVzcCGWLU4K6oGfWs/KmEzx8JPfHYnHB/NZI4vNqoUMxsAd7LD3r0AESCMZkK8M3 +ZeQr7mHXGrWHZa96mQ2CIqOq2BLjnX3rzI3iykyFaj7xRPx7uTkt1mCg3/G0Nj6z +3Lvtwqlf/N+YHjyUxtqVBIYLkcWsUPDandiANRgtU/e38EjaiHwsQvZqFhnZre2N +4Iz5606r/t+lbSK+X6uU8mDG01R1nXZYeKj2PRLQcxjjYRNftzUKr1b3krGUgKf2 +xdLOPasbvBY2LkITw8Rd7OjiNz+sqtSfv8mNUmMkJM7ty4LB9xqCzivanffBDTwC +DDvBTWv0FEcymqfivxiLtDRfbsb51ucJ1hmveax4CKxFL6GGZ4dYO6X99wuK8emG +wM5zg0A0HmqpO8AjqYS2/5qxHraeJFL+kyM6MbBP4CYPge6OY4QoB4M5FxaM6P/8 +Bgaiqgj1BmxZpKa4GUbOSe0OituUfn5aLg68h0OkcDZowz0Yi5D5sUkqVR1r1DHb +8M8GSGD13RntkTh66uDjrL8RuPoMCHNfYXGs8bS7Ksu9Kee2ZtQkztSFzQE6sLTH +W7RINCoD4WS9/L3k6cvNJ/XxiZjG2jUvptiGGjE3DNAvDKxnStxmZA7ScvJ6AA0O +ULy5O/sjcoxwJsOOWAOysIjJDFEj+SwMDqCYQzWl9HTVgpv0qhOQ7kTtB/qsCmHz +yMX3goI19+ko82rOybtOFdi7V+Uw8zsdaPAfMB/nk1XQo8B6lbAg2gmrbe3aJi2v +GBxMBPgorfp5+Y0kDEekQzBkH/t4/wqp6CgtvSYwPM2rOlvlHH5WsQFm332iWl4U +PlPxqAVSj4U79HwxPztkLZHkpEcF7nO3YEto0hLPxRt89Q4gdzvNu76nv7w1Utq0 +RjJlSTT54e9YtZ7vZvuu9Ia0Xj3BKq+VP7fKaMOunGnPJXSBjhb2KzJLswh2FUPJ +Kl2gDWYlOkdvIphQMcmqIt3vLlnyafqKDSJWoz1mrVL9nQ81EDex/HSpwroxIesw +Xf+F4QKlSFFoPxBOpPhvHxdHIgtHFV1uE7af6ghTiW6agvQ1r3WuCPCef4qJWKnv +ribChstQlTNuy6s3H2ktnPhoLhXIXO0ZdijPxEOBROniH92pBF805yirLQVgJKVf +I92vNzw70jOSUHZssVYL9I325yzjYRaxEJklRat5+LQPVyO8VmvH37aVDeMJVr1e +1yf0T95xlISSVA3vW3RTpJXe1xzIHPX+q3kHymkimlC79iwSwR2OnxdAVAFml4Wm +uFgOqTsIow5l8TtxUI4dqKEhZ3guviliJAbb1xql8Isb+NStZh7Yy4H7Kfkjy2lS +JmRug1BfWyMJ0HYLJeV3LQ9tYn+YCN6LJmboWkwc1e3mOZ8dVHHRQaORNegNbV/Y +vNEjRANia2QfLRNwLe1Ez1IGbygKjSS+UrZqfT5+s1fiiM5ZS0+7B7vz9sZE/wLS +ifaywgms7od34tY8jAjn5yUnDs/yXLZjJrFXpQa4a4KbIDpVCFzG8piPLZPsX0Jz +EG5YV2vO/biN+86rRX0PJtLXNQ4U66AOlDdDmgPAu+es56SfZVyBK2QCPXWzb2Oo +XjFU7ATyovcy5p1OaDcqgZ6PN7nS+WvKPgdrKFT2mrVQBZPiybDpIO7BvXhN8G8w +csBu9m5jGTwQIT7TdeGdCHZu/23z0vjFlYrbHgDi5rj/2L6lmfVYT1bZjBARk5qZ ++AbHcAKJaS7hPXHIJBeyqlFvp9sM6jUUqMwGma5PC/ldvCYe9UIdK42PMF5pF6Ay +4h96X54vwKWz49AjDyzI8IC16rdpwRO2GBuNTJtgifqOwFISvxLkI1Sj9Gk2Or7H +5dQV3mCFR/KXutx3Hl1xcG6rUaBlB9JufodAfePC5GYk9kFxP+E19xoxp7kWGgFE +w6iiMwzE8jJG5KGh6XQDWpeSZODZqxQM4g/L9Toi8NRyuyq+7kOTIX5to68WOxFy +Pyytc47r+JRFsYeTQtMvN0Qs/m/uibg5cQL7y0t1UFwR2U1k+5hFbtMOFMUGWAM6 +ssgtDo2/NWzf/3AMbABIwdkPxgp885gTMaqG8+3VakD0yRk6b6CfGVvPR4kVSJA+ +lbkhN1ws30gE1lwkSCvSY7QCwjn4tJ4J6pvxh7OJ0UulVQAYpGdQ+kOGLAV0UYnQ +7Xk0GHj5JaEgp4btd9h8UiF7sUZBO17n74uFrIsHRIS3u4pYeAwKJSjEtwjhzP00 +zNleOLxo/Tkn12eA4/KILMFB9Gp/spx1tEN93d2bKfuZGsloX9xn/XG4qm+YukPr +9sZ93Wa0kV2UGi5rH3oxGcfCidNwoMthVahJNqyUoESyhlCS7j2LgmCLrd3dTq/I +tRZrMls9nCYUv3Sx1BMnBiYy2+EJDJ5gj2NH4SICk9GtVZNajbCshI+R+rg7pP6U +gV/Vi6PutiQqNYRMjS0kfImkzkGO6c0YlbvOFBmJRCyjBWGA5mahokBcsz7kxvPL +QJXbrusiU497pNUjWsPXSqKHQUB1aBRQwjS0jRcCgT3w1nBuwrdZcPvPCXb+hMiv +kSyX/ZAnTHIFfjz72sKoCs8ALLs9L/2qdY9kCZa+jKof5RnOxVpIQXQIeg9HADuV +1Zxo2FGfmpM7CXuDF4I098jQU4I9BkOughaqgLdIXW9EDESbGeA9HvelnEBZnhN0 +W/wz46o6vzx4frtzmR+08tpJqyajkiAcmQAFd2CxHVp816FZOtIXMmv1Ad9ZRg+K +bfVKJeh86HRKCWzTaXIAU7jaiXIt22PB0BKvYTLEMHQ0r88ebKWdB0bckd05WQTh +30/+IkvTkEhhFySL/zLdvUnWXAgcSZOukCcsU0fzU0DSd56dFDOyPlvW0iZFFE4o +rHph/es2qLU4sUBAHbGYH/6EKYv9AxbE78ujzEpO7U4iC/xfHgWfdIwR6PLeR171 +xxQhVHAT7i08fWv689xEEoQbupIZ8/SegLcddMf56xi1dv29jbNc0jfBcPGj3AKD +q1fHenhKPlr5y9uDGO+1uZt2QF7W5OFGg00hx3vtfRJG1WYS1OuCl4lcTswqvbHC +FrUWSrdqkGJENN5BlJDFxbGtzUqZ6CSkrk/6Rv0mnEV6LlLGfj6pFvdNsWBgaiUn +fDwXu/SWWtSCAnmnTIadzgwMWKhSt2bPtYQNXMUdIWedR1tdcczJjbMWAGuA5QAg +4XXLGewgYCfNji4b4auqFwS4xtd2Mo+nuR92gKBqKd2esCdxFKXIWFdeE0fm2V9m +fUSBeneitMrGR/3gaxvqfIrhdBBDeYfuEXbxRUuBB8tMfwwtsn9ITPA4EVyPG99p +DUWrz+CnfUUeDbV7UlWS/cWxT7T9NHB9/Ec6W8smUNlP4eTzPMSPgzHkXTBlBcnr +pMW9wunmqKVJOOwgTL/LYA8uOt2Q2XYQLLpC9ts81+qLIabg1jN81TDkeD+jMen/ +1LiB/Myo++KSQ8HLepIjCYGVmsKg/lGmFJw9xwhpKlG/Ube0rrMNS8IJoPZEvktk +mF7Xa1GHSdMcf7mMw2Xy/8tkWoXkTDmZZKsQ3ypwMXmxIaKMoCbz1LXzZp81yGto +OvdvfX/3VTWwPQ3n5+q2zsxDuynW9Bv6PNkQtTsJ+xcHWaYzIkvmsJ23sOr7fzhO +ZqRCOqTwv6zO3Mrjo/1SA7TKhP63NlyTfZjVcMRJM4ZIC6It0TiMCunsd9oJGURu +2Bqkyyj/k0gKzrJF79/PK7yVcuOPus6WBxrLcv3NL/HQno1vxUg3c5bUGA0cPSGu +yxodq+nBWHxtbR4tp116Spr6oWmC2xi0rlke2/man4FyO/2JMJsSINRSz0n5Y5CZ +rll7+yuNzX1PjJ3wwE8Bf0lABaAsIl+q2TqAMHZ4gEHqx0MDC5ObJ4LmpaYPLa1w +e6i3vHxgz4fPTYK7XySV/HgxYlUXVXhpdfpEgDfpdZunkgtgsk9m7S0VYHZUkeHf +JCh/fsEJj3RIwFNydZROcretmq+tSSmtMGFtSFe20vwXHLqmff927gyxBdhTPesX +CBOFO/z7lIoC0pixesQt1Agr3S4qh2IB3RLSPRVzWKOT+Zywhyxf17yUOb9SwpnK +IA6n9urYhhO5yIWmeuOXjEUC2g5HP+PBwa+wEhghXOG1SDr4Ji6Y4YzKY6ZwJbMS +dmI1EGoBIqOONUOPyYNs575D1mueXiWJuNT2LsQh+W6wc3lmp3V8GhJ3MAKwXnvG +/FXsblU2+J0r+KX7d6hsuZTUUmaw1rXR7EsHTAOW2cDhf1tsnU+q+VL6JKPd0600 +47u5IQggo3lLLHt5tEPOOQL0UYyPiRn2qV4n27qJxDlF5Hqv2m8zJYq9lX8yjhY1 +wvitnVzCfsdEAMhlIXT6ZiM4D93wQ8u+XI1wTI0MonzXo739AuNdrJrhvfGS/Dcr +GGMchn+BIkfsEmNjLFH2irgQiLTLlS+v/JvX0Eg/IpZbcJkA9UO43gIMaOPwsPhi +D+/W5Tklbf+9EJdrI6YmVvSQJoJbd/yZktCoKTuEnNtmOvnAehHRQIvMP3bkgRvy +5UfaVv7PoU7MGPRs+j/6RPMEP4FSKFf61M4Zn4mLDjxBHkfscJDPvhdp+1OZTyMS +r+etkAw/VA+U7cYydWRFbo7HpL7bknJk2RCynIzdQ38ualThsRaRzqbLr5IbIDeO +oY0fuffLB/jDbzrk1gK89/p3ujHJRM+V30fN2ql2SlE8R+a7QoIVNvHO0GIK0LP5 +ZK21x+DzsSiebXTr76OkTJ6w6osTKaFbg5sNzXtk8HFob+p5+rQnqU250JV+2pFq +n+cDBcRZV2RaQocepfqPZzVo8hynkn0On97EkAoDrFPNrbPvREv5678wgBU829wE +3fOXFZU4meuBj739b3VSfY6H31rMEF8h1quWwWfWNduuKKYZUmWIIxzdiSkvqR2x +bgVmUfU84qofV7Z/FuSr49iwlTOIJavDzfd5MhJaJwQp7ZO4ItFMCNPGAGHMfWz5 +s3xzhUy9zlehKU30CY5BoiEQEBFc93z96X78Fdi+krXK/U94fOOZsDtz+P1m8SKD +cTjpMyu5bh192Syk+qbvxbon46fQpNge4qARBHTpOsTdlrd51qDNp6JBhjz066Zf +XDAYgF13OGfc2Qye+swVqti5sSEO1lUy1pQfXmzsux6UrBrZx4Il+vcq2XX4D3ce +I+V7kYspgqx0bRjGaGbE8l3DwBorFPWBN3gAPcCIBFkE/nN8PlKKMKTaaAR4YApd +S22B/f5Y5V2e1WwX3JidwG+aX+NDYienYt2cSQBEullCTeLZsv+J931W2LWzrYIY +CB1NC+H6iIOF8hJX9M3bY7XBCbdRaI/Z4bOoIZr992pT4wpCfzbSIwe0QC+kXT83 +sdq2mlUL7lpjAYhKnV5LGificNQgR+Eaah0cffxZje5zeEfr4kYggIT+DBMi665R +zTd87YdRtWNkRfQqmRvHgtwoqgeLTg0qZMyzIy+3dt2rNc7RMNmNhTgzrZMSHvkX +mLLf3c3Gik07FWdaufwZ85oevVEd0pwGA/P0Rmr3VZILGoR5xfRSS4v8NhM2AAmv +lkv9kLaQejWPtmHjc0m/Mhxpz2+oaVFX6I3l3QbK42krdwWJA5DJ21A55bqFsP6S +9wNoU2IJqjL+8sXuikXbl6JyWpADVB4b50SS4e1LZlKWS+Jx+OSgk8o0EixSeSXD +Z6YFFyYx5mHhgXVBTUMASz4zjgxTEOsA608/iFCx3ERJsi/DDqsKxzUAedk5Irs3 +038ZG1pZdXn6Jxs+nAo04W2QVL2SDCn4S31nVQFoAkBDLUdKBWB0oFbBHtjAQ8Kc +BkFb2NqEARGUU6xhW1Y7+4SUCU/aRci8t/8EOHPn5ocx6OfxeSLuVUOmpmfuA058 +jUe9oA5DRzLD0MdC8+DaA57+UzZloDN46RV6ZeP0PvFMBZx44X/Fu2T8J/RY4wFn +P+oK6NByRnkWk599n0ZCsaH8fMwjnbQU9O2OYXBoFpcAzIuNoPmNhoecO6l6XFxC +S7GRmaCww2DZsL4rFZHh32lATJsc+l4ppk6/CWQ0Bgf5pGvuYt2garbNdSjFaa55 +yy9MRjwxIBgeJn/Hb3+v6x3XgFdaZEtJhM3DyFJoWfvePuwonCDGNwzgEYR3y3RE +F2ZrwseAau27Vl/BQdlZuhJm/nX3rkYA0i3iGyfr+NgpLN4SLfzn4OwhjDWmnfh0 +qKTg2Wd4anIOAKAqQ9CImuNJ220aDhdai4baKKLwmGPShe86vp/UnDbfpklmJ8dG +ynlwPbNKBibk59samwvBVXz+w1HrTTlLxJmJmmQxGO35Hs6BXt0aJAT5BRbuTFSS +L4pXPvEkOe5s4Btv6Eh4ctPCLwGWu3RrYlgAxcDyptciUo9iemHbN7R4ECdsDhVe +E/vZ2kxSrTxzX9nGBu05iOUY4w3K4xcfGztl7VYyob6UEfrAam80imIjpmcN9mZg +bE4OKNfJrrtgUqIeND4IlqHoJhXKX/0YQ8Y3nNlXn/0uZq2osoZuPIt/KFzUwN7h +cFh9gEix+2QLzjzkIx0/8e7Q3pZkEA+axEhyXbZlYkpnhWujZoZajMIRLxjCLL+A +/bvoYFjxE1e/Y19cvefSbLjpxM3QVqV8LjY/nZl6+U2L734OjtVkEMxx3q+iD0pt +TSxkUmD64QzxkTOXomaGK6Bk2eksZ39VGoOcmG5wfmx+s2SSl/rK3214sUaubgtw +nVOIKbRTv/JxW7Wr5yYzadhupFmHR48ghjgso/0qXArzjnxbN4ZcYA3ywe8DxeFF +Dim5OA7JfSRf9cFoMF45FCGV1/ESbzW2k0f6vgdh6jodzQW272FEHjex06rRzmAC +/uVtD2X2G0IPZnMu+EAxisyD/i1LlSVH7Xsb5evM17QGUjyW6D0t2PCnlGw2kagL +wetHtGDYrZuVMRLFc5JbDVyHSDR4BMuNTONdVdR4Z9hKo570Dss6o3Iq/DoM0d6C +XyXegbLLJkWaU2Iklvwav7ggkLoX91NBGCH1IIPIk8tFnG+poY69Nwx121hnOOFY +T1wasJGz+vYKEnG8KwipEG20X5Qb00by30txVLwCDmxFEJcFlDwBiXbkQgZFGP0F +MnWJkGq0SdzzU/DdocRfMqWKwsiNM70B/rABGYClvsJ0zndyltXMNQCXEYVBHTTr +OWmP9EfyqpFRcslfLRst1fqefYYFEp38gj9yaGpXXwBKpV5YB0lxkMQMdwKWbLLY +Hi5kFEuo0+pR6bI9bdT/XRVs3S9fI53jeBu5zYq2fEtfMgstSkLJk+iwQVKVQrMi +nSsN55kAVUUCwhkF15xmDDKFExF2oYy/8mZIVLCzDNjE7+cLe3ddjhzUeVCBnCju +Q1PWHKG/IKT784a/w4xLWhzeZvCjdlDPc2+KATTR2D4AXA0+E+r2CBx+QGeiB1wi +0T0oKgqQI/fRCYsrSM7E1BeTQaOwjwq+L4jjvVrNXHQRaVd3NP0P/tzw0ysW+Cs+ +L9G/muJ7VGHv41Y8MeMnEk4GmY3kNeWBALS8ip/aJIrvc3TMdy1YKfOKbmJjQ3Mu +4rPKf582av+md/T4zp7mzgQBwYEvBqZb3Kmdffy/O4Ffuiaz5/w7R7Yoxay+3kNh +uTt54OAT246XWkDg19uPE4ksj0BkyzDzat63bcITnmF6UdsM3Hvc/CPKDrStKoZP +sJaHDARioFfulIr/uhoc1AKREr9f+zpxg9s3NmZbCsR2CHLmas1tyoVOOscP2DLn +6NL+zevSAelSf7bEff9YmGwk3DtUSKmwWedVAuKQ2x1JLuoyi/biQpoSsIcp1sCN +Lp5tWC9rPL6ORAyiA1VzS2gbFj4MKzlMHpta0vR+Ggyvuh8huuiZEiRH/qs9J6lw +saQXYewRlYBUAjSNQHXUNUtnncMDaiFT7Ga54icol+KNha7LMEfl95lqEEHRFZcV +Er61ju++jZFu2yPMTZrjCMiZXMqlVT2d18wJ0gz61M3H5hqCWkyNOw5V3DolDEJ8 +TPTZQetfiHSIjlIJ78SGt5lzhB2+PkUhCQ7lfREodsBIAXl2mrNTqLlSetktoO9X +Vox19AJTKnqfPvvHlbt5IOFx+xmecpnLKf/lOnae7TAiTzyGry6kLKQr+FH5JVgs +a5663PMIZZXiZmPFSEGq2zg9nECKh+aHXqz5dhwDsS/DrNUCDqmF8GVwVjqwMjUQ +UIz5Kas2d0u/O+fM1i9H13xnmjbgaAIz6R+9qD0n0JgBwAxa6uLJgICZU9VHAba3 +XnqoWs0emHKbh8d5o/XgVmJPRWhPB7s4wsfQeGt/78HLXJlBdwvLS4Cwn463ablA +6Rx6uz9lqUKTMk2dvvfVhWeOY9/yXcDcmUVnzuXDuo6fJrNfpHlPn6ClUSSmOa83 +PSTzzaoGGG+A/srxE8vQXjR1+BRSRiO4nMWTXH2UDeZwgkDjtViSvTm4QjtpPdGu +JZgpOAKLF+YHbCgQsFHmtBjBOFQ/e3vDtN8QhGldbjnr05XNjdjVg7C039Xsgfve +GyL/32BjDjixmzr9EkTR9rcz7VZkZ15xcBqFlUDyfU0TQAc6kv/zXCaPD/TnHGdQ +uFXfGXIbfeYyNrrQrRpo94ECmgu3q7oQoddxED0h5Q1BktT3/eZRbsEmOvOCwXwL +AD2q/PK+Y07ybnvvlcHjKtYgoL07dKp/9MQh2iXCwGAZJoe0baOIFHz6I07pPN2l +TnFuB8eXkt7VENFEffEWGx23oZCLqjQb1y4HBWyzwqHMUtmN2uvuCCYsxmrB94oq +POgKUt6o7I/NcOAhFcai6yyQxIfRRBfhWa5ELhH3ERGLrFxzLUdzeoXJWNn9v6x8 +WaW+9yxstYpeaWhNQUE1YxUSuk4ONQNHHEz5a54j4H33uy2JWnHJRbtgeZigRdSn +w0Sm5Yh0MERpf9DP6vb3ySWarVmLiMHMRUaGDzhQgMUjiPzLWuIw8AWTPCfuqZai +DEdjWvJLYSc2hUf7Cr0QJAhU79H4u6TQQwk1Jau02koNlkd5WNEzSEEUukiDK0hB +OVYy+dh6ckvLDr6LpbxvuQhwQGfGiwg8yOLoRUXhc5AzYmRnbGi3TqKvdGYDc2Il ++x26Dds4g/PQdaQC2TOJFmnCjmcta2pZxjmyVsbSZ4HHYTRYcCtDw7HkVNTopnCV +fsaZNrrW4M/4+UNRJ8ZBDxGFD2XT8Z4nyzhvrbwHakqyzqk3p3Q5vGuv0oLVfVKv +P0oxbmHVmfDtRzzNsfDQBsyl8zILJ7HsTX9VbhMIIzrU+FAWvc50S+wLXBJpDJeG +j2SQStB0+qeCKdWcjiq/CqZN9q+serRlVAYRpUyc1/TwoSLHPje5s47BCHLIslNQ +LqXFzMPktEYxJkBGdwSbr2278wmlNfMlIdhnWYlaSj1Mz6qej0Mhgjq7GQemP5Kj +PyC0zJJdoI6roecPVzdO6LwtMI9prJcqEs/I9P3Dq8pZDeieRKLJp73ELSI7k+dn +l64YaT4I2E0uHDyqyL+nbco7VwsjbeATilAVInqn0eVyPTsI2SNchfBhopgs7gQL +1A9G2/BUpPw7Bv1FkxEz7u2POHSokQutKHbhdd5sVwWWtiuuUSnJYTVo5p6+8DdW +bwcvnoe218Xm/100Y+9t9kouMleY34PFxNrZ1C79lH0CwII3++b1UeZ1OFoQZV3F +irGZmsbvYaFTek2x76T8tzIOXNJP9dXnA7M99VRlrpLAumlCHzSqa1VKJokJRMnm +sfIuV1wOHradMXUHfgm88nmxv+BFXNrZawW4TUjInba0HKwTcGtiPHkr6R3DUZGy +WpYDoEfGx2lY6iuhVi8oiu7T0llOJKAg8rLiPiPNhZiFiqbXF2uTt+5gFHTz4Yxv +bCU/uh1a9v+MhmMq3HY8sXblp7dcTOLeGKKJRIY2He9UxHbTGKAareEAOjF23cp3 +RjXztH6No520KMuCSMlOANhAq6s33S4YwXj2MGESDUuOupxCNwd1v3Lwtj5mTOzb +H/Z/U9qgQTBOjOnUX2qED7sjzTQB4vMgr01Sy1TLyge+dNeEKb5aSUS1ebFp3FwL +OKGMcVs6quWN54C1KRXL1gXxF78lAP55Ox66U6jG/SJoFdggjzz6a1ncP3mumH52 +NHeEDYwi26AfpPF5LgmUxdp4tBCXOm/X294qTLjvKHpglhAXkevQdO09aO1r22Ls +uwlmqCn2YV7/IXBO7aaztF86UA/pF5w3G81YU0XTkiHuTMjc56Evf/FCTXYseLoY +ihb2A657Rqq3IhlwpYkLBEHY4sSAjaubXs8D4J41uIa+yegL6h9RjvtoB97UzsKu +xN/rtn99Vx0VXb3tS2a6fdvAW8w9J7BG6D4KPLf3QML1LCqxSK2JCAbhoOs87vm+ +sDWYd/gbBiCcwq/7dzwZboGe1up5/JeiQ2as8Y2L6ZoYKJsoS29dMpiooRXZXC7G +la9T18iapHfiU2HjCDOiGuP/YCVBANsKvgtRjFAgW0aMKJ32MwfVtez2bVWu8DBC +600a2ByKSNXCrKDOiL6gPDL9I9Djb1PCxEJknx8O1aCM92m356UF/XiVMbX3dWcw +0iuxbZpqHhU/Y+hE78vtTP337hytJXl3IddLqEfpKjM4ZcbD4W+vDSuAB9DkeX1s +p6y5bPxgkPwQj7PBGeEhxy5RDDo7tqM+I/wEZdGR4cD0fV01x/BMDAG+1OOP8frH +yI33jz7Nzs7VOH6D89fAOYgvxb0jZIzAskuzG9emFpgGZwvxfQKVKbR7kP2M+pHb +Z1baDuadQbMRyqDbpbPnO4td/0Hf/v24fHjOlqzSjLF7WVhvaJahwYhZAmb8yQtj +1cXW/PCzBFPGdooXBE9iBHNSsSN70Ufh9Wle+pVpo/0nEadWTVD6hEc8KJCzpteR +Il+U5aJ/2fdj4lF1v89RfWOFwqcu1c3qJ8VtCz5ybImxRs4/QODIMFv0I3OGddci +ivsc/nqstiow4CNX0j1+VhDbG6u/0OKCuST2tFbmyCIFBAU2hWx1CGlJD6DpgzxI +AWm1lduyXCsDZx3/aDGMRPMOlFSnJe29Qba1Q5apibqy3AWxk+TUpEYJDjHK0UMQ +bax1QZip91GTna9MPKhX5jdC/I5QhKNdYKvJKTV56AZz6W9U/yR5ME/CI2ZK0xvi +euQoTU81jEp9jYcLnJILwvuKYZ+MQa8wKblyzxtqBh+jModvFB715lInnqwcsxvZ +HLJYpvYd9luxSPoeD1HHD+dVyjQ7GFlm419oO0IQgisVcRm6iDRKWxWJG9onw+LH +4NEqQwslOXDM3Cc4UbSwECm4KXeNnMmhX8mNYa/g45k3NMRNHYOzMAg2q1m/69Bo +teAA3FVDksGtP2TyyfOD4dYPXjAHTt9dtSzWf6U2Mb6c+8QioOlXq4+GdGW2Q4+0 +5c+CtZ9cx5Z9aV59WskblVRpyyjr07Eqpb22ANFhDSTvQn9o5D2HiTKcke1p5X8q +Bx1s70H7K4SeHK4JTmsClD/9h8pS21rvIffrkD5V+Y7rQvWY0grEsN+W8zpwUVaC +LSpJzUsNmWfdslms3r6tiud/w3R1+EFC9oXHCb4xM9GdAnMqtjH/IerGPE50iOww +Qd771WIpQheh+0wIbPpch/kYsDmxI0OW+EYyema2z9N7kt/M1jIuOv6z3W2wCOVp +b3+Yk/BqU4e6bVso21e33wlR4cmurxH2PHvNw/8cqLNmoGhdDAxYFocrl600PCF+ +xyGaKmxRLdT1POV6jF/S8Ybnx4vPuGWvJNzFmhHupc1KrnKu27yjdg3K5CNocTwF +SBK7qpwJni0q/EYU9D1RxRFTNJxFA35WE4uhdEswjJvWFKiWqUSJZ9thyPcISg/d +4IlD+jQXrqyRes8ipvj8hpItSwR55vlwHzE9n4i48P0Q2Vddef1XfHyImbjd5XDy +SWypFJFCdqtWHNSTXBIOHC5vZZy6pwJvFOJgP9rHFjmxkYlWDxyMNag+qiUyKelg +Wc+vNIMFq+iP+/l8q9QHrLrC9KglBaBGIGHm7kq+XyGwW3k+ttPFRiuH3gpjmLDd +FUTpmy/D/OdMGEW44eJb3X1F3EYjTpVgt7IopqkaAKpcwtnrMkfMm+9NVK1qt3fs +1jLNk1lA/EPhpA7guLMvpSMkBRHvhaS+MwJGpXcRdTJ/KhaJJD57oD52hqI7AjDp +4OaIjws5eMvqOr2S/h36n6yDKuv8ng/UeGIwpovWpdVlo3p/UlYBD5417C7q29GX +7J1aUMqo/MCR3XYzG7c6MxhmGHX5lpxCYHaqhjk6IYYDxFtMPjG23z5S/VrmZzpG +jWQxqdWIq1fKZmHfrvjoGKMMrWole0tFNfkFX64a2SA6+BeUbvJzcKRmf4uTsN4N +xgGF8eYnCPrTNE40AK1x0I8QQxzIaThogi6YoWPivoWTATTyp+iEDAlh+76OmxqA +f1s7/RYYtdLbZI9+xMPE18GqS5vp1R4aWq1AArpch6I+qMVhap7pYYmqnwFp4M9v +XPM5OuuzA5gfDDuYghphtReFHrIFiS/G9QJboxg8hp3wXZ8xXNPb5Qf5Lf16CZL6 +Y570a1s0fYioTGFhEiK32uqip1wsEjsayChbSiy2FI8yjtJwStjyTmGJ3aAge1f2 +3v4STExm/nZUEMUuW3zoYiMVm01DThXl+UOP0ZdXX/gyrcbohnJFztwfaKHga0Vp +fcFvm/iaph1IneD3FNNwOvIGHuzbNnfz0E1xmZDF7NkyZw+etlSoaIq+YSuYrx4v +4ppsqFUHuFNnCtNkA+GDfGb9XGlfSazp3Rmkopbj68chVy9+mJOPpI3fOQZuEhHB +UO+EXzcI+9T+erJHWNqiGvLpxwT7dYl4rNyGygVYGexIJRi+5BBmXQUvptm2de1P +xLE5pNdFM9lwVSvpa3nCnh5GrAdYsg8uSkIEznFIovPqIwHv7+Ftcg5UJpJ7XSSD +lWxoSPcs6CGbQ2Rt2LqV4U0KO5pLl740JJ11oW2G1L0zV6hmWTlwblHho5kSxE0e +/z4/ocepKSYVrr9cOXYvurfFYs/eQpzk918jb8mVQXygCFo5hjPgQNNWkaVAIAsD +tksSj+0Ualo29IIonyYBuK6GadHZxBMQUZoxjumSptlkPSB89WaABWCGJJM06ZWT +sDRVkzPM/xlcMfvEUNGsENjbHMBxwwVnDfDvm+8zXbJZIrFmMF5hmrKYz4aeechp +YgpB45GTVoo2BIvhw4o/KYfZm4hWglGJy0lVxZX6dpOwEU2jkX0kUF588vgKrmX0 +VwYesd3cn8ONTWCk/Z5a3MIF68RSCRkzRtAfAMGWACyFw4fHNBVhhuyBmbyRD6aJ +NSha6/1WT5BBtEkEdjvUDeS1a82KiupzPtPGN1bQq73j1XGyQ8IYMvIwvMmKvFVa +mYjiZEJzbQ9NPDA4SPSMUpKbV4/QhF6xg5voanqcMcOzdQUAI7k2lEZ0TdSkrW6U +Ig4QctUQcSg8rmpmZWaNN+uPWlftUGvd4DXNdRlo4jYCuvvTkWvY3Wem04gbn71T +JkTpnvizip4A8TCibB95POouwarWvZr8abPTI60MG42bgVmLGXVHVihUbGG1ZOrX +lSAF6eX7I8NqssRmg0g1FaDKsN5XKS9nSUBjw/oN6LLbgPhmqtyrgejj/EnQCA52 +s2j0eKxLt1GEhVcponHWKQ/wxL23k6WNRCerDUsYqCpy8a91dsp0KgKihgdAdWHt +W9MlYwsnxOKRmGaCIlJpm7pGW9ejDwL6GtjP19CLa5tG0lijPa7Y01F2hIS4p7uc ++Ljjq9Tk7PLFn496//biUQny/kHWZeeGWkX3PgVpL01NV12ekstChYQpZ6Kbv6CT +nXPFpQkDsou2cXwA1Upj7hKIbvn4WStmWVzb+crc7hilmzoTU6an/5AJWl1zu2G9 +w2HH6uipI3XOtcmaC0xTpb0KdL4UOBynDrkU+FF84RrZ2gni/RRWrVdxp89rMcJQ +kGU1Ph5ye914O/SLo/2XEoIbFjZzW+AiTpaO0APLyY/jtJSfJDH7xvMDY4j8S7p2 +oeIGTz9mhz0YhX5RsUoj0P9j0KsuCfBqhF4sxfcxJhYz0E2qtGOknd4RJlkuK2gk +tpU69IuULV9h20bBiqhefL9BUfcYhg0ar7P4hYJV/0xyiskYSCNfzTJaRM5OsA7X +1J+x9hIVeV7vEyIlaWM2pAQj+wBVtYz1cgYV1RE4tF5fDwjW/l/JRVvzMx1HgnVs +Mp28IoZ3d2WDuDjb501jIpRxfoRHwhijChxYTN2tqjPR04M1XG/XlLjpBbRSqBzW +jM9qwgMW4hMqgV4l4FnUu1F38wbD9J11yzdhNHBSiK9gUrQmepibjM0aBSpWG5Dw +zRtRo3oQpl4fb2yDUWUF/Jv40IywjWoH2eqptuWhOT6uBCguhJ7opZEQvKUQJ3ne +bnkzraUTPOzz1woq/qmx7WEBJwlBGHbiv5pLnujoIOYJUbpK4zcVnywaqqdRaV86 +wlcX5s+znmZW8YGMOocYYrNZEVBpQO7bIuuzozF7Us7F5i8XIZYMALjFnZBiAD5g +DEw/Xot3GQyIF9zY+coU8zZryPffFmkxGe6X4YsMSbI3UVMhPSCP20lkukJI0H9n +6yqLAaQDJz6f+Nmt/YlpsCKVi8fmLYuQr5kdyyDbNvGuet0Mj6rYOHOY6uhVzWjn +cu1oeY1R/YWMQEmHDLEsbYyuE/s0Z6Z3M2Npgq+JW83kYQeg8VKfw8CaWpKZ9qAz +L7ihaRC9iUVVd2rfXNU3u3RdmoHmL8mQ3gPR86520cMP1lT2/ABdVATESWNS1HZ0 +cMMqPFuw2SLXMiv7Kq+vnsIkSUnfOJ5+TBPtDfL962u5wVuNG3KqsyGatU1Lz/V8 +JS/1oI2M2Amf1iJWLOHecLLHYNmu2X0ZbDCl7pCXMgRM/cWJIndjjd+bCnU5ZMqg +m9eIivq3cJ3Fb998OxZTKOth9jQBt1RRJPICB50IlHtJY/ht0guOHyVTVD5AhFwT +eR9GsUO7h7Hn0H0SkYCn+MRwSq8cgJeJaQHkXO4aImP3tdCI5MybSHBGVDnsMMH4 +tGWmGz+sfRcCLej+EmqExMALSMYTi59J6JxjKdiL4ZCi3UxvMQNDKC+skhfWTIOJ +MPq5Oxr2aXxbgD1SrO7AInqpRKTJ8A5h9hb7IUT7E8Tf6X6ZGBbVs924VdDhl5tL +AHFNdu8DHqE3dGnwKrjd+74d69GMCZBiGCjreu5iuHGeBBFdF5N6VEQinngy1OmV +N1S7BFOc7ojUYBBLRB/G3I057/M3Do5qLpiUtEFs+pOD7cTkI+LxNu0SUkaO/0B8 +n6PCWDLYgtUDAy3/S04eJ7od11wSICPhIq7ahJ9ynY2ewx7jrWJpj8kChly3xIhX +/VR+ZYR6jXD9iauoTlEgTpeBiQ8xNSCX5Ry36CsRjbk9u/UVa5zofg1YlHmB3Xsw +fVYmtDDP6ITYINwIH9tU4Kl2YynCTQjj4YU7Et2rehwkR3rt+CbygSZ/brUcpzHQ +xnuXfvLIKA88h2vAYqx6fO8BWlpgv8+nnbfs05zSKpXVLImR3GDw7MAVX8zHo1GZ +OCl1wHqEh6Mf4pt6ymTGWy/b4ykJ5Kk9QWNZSNe/XJQWYgjgBIlHuK6CpxU1tjg2 +kU59ZEVDsLWbw+Dwe/hcDMtfnYNyHzdKKDvQ9KTIt+yWokZbw1YKllBrxAM/Kwlu +B2YJh8d3IKfVdFtqmXz+Jpzdt2SFlGeNgJUMJPfsZmhE9SbqTrI3fHIv/AMB73VG +1gVhSXEOTiG9hbudR3T9w9VHedftmFcCf4ifYd5T2vk64vSLpnTlVKHPiNvkWENF +R4y6ftWL1UqHO2IdXbGHnQXTaZLoCfJBufOvT9Wj3qKbLDvQ2eT3w70wQh+v6yJZ +7uF0uPBP97Ge0XV68C9YIE/JN0PG8Wy0fjVGbaNosvEqJYAsYt61FIN6muAcq2UC +bwa1Ap1fIcUAeGV4g1YsltVsVbdU5ULHd6LwrIB8cLTYfL7nskwmSXUXArxCp6EV +OJ/DjErLNDxyQkWhqyWHzK/m7R3ELF1pD9S0zBLEhBNgxiahzlKbgLTQGdN2t4Rr +sYd4Us4J1Lt5zlc1+mU7zkeiMH8+UVXL6oQQdSz5VUkh7uwcK+btMo11GJtGAwwK +VRVHrdSWbv3H7h4UZ0UlSRatPeNqQeQrUcsDGL2/QBkkdhJ9a+GA9AvAQme3oZXu +Dx7TisR++FHkGQCLm5ErVShZ4nVCOONeGQQYk/I/OlYvpWQpsFpGduz4kC8FYP6B +x97YvH/mhQ2oQY2AIW3hldQbIdJLDWyWpSVwHKda6i4fo9fix57IUqaxqIiw9J/y +6KT2+eacf9dozOvBB8ZNWlZNXWr4S1Gm62V7v9/JEqYAamwaDcKDbohm55m7n2re +ByjaIF0krLQtK7iN/mTcXHL8OZTOgVPBUdURc6bZltaf/E/H1K/qYUnsY6wHl3ky +uhdbcTc4acRQj1NhOezPX4zbcWsfWuwvP2EBGenzLyG9pMrb7mOzWdXrLauCk3xx +x2yr2KWLMfHHjO/IRJFz+5mMdAIfpvhgMRbFanVBpCaKcfDPJNBN1oeyK11udigW +Wt6+MsHBFjVx5IqPOf6EG9BIIcYfrk3guPkBlnRypKAxMdsQqrUUfJ15m1nIpfCp +Top/tz/68VcsNAIcr6ClD9xN8agqPm7gwhDRXHayOHIQdWZQS0k3oIQxVHYFVKEg +5bkd26Y3NZPHjpMlilyvvhNW/kR8I7Aid7FFyzSrVI8s84zZL9QftAE+LMjFWqeD +j1Kg+0qjLQGndlzfQ7f3uyEn8fKt3vEoihI//cfGRJ648iOp+ir4SX2BaGEkqiJ+ +/zAQbSiK46dBAj/kF1W447qePlrfIlZOZ6WANwbfBqTR+COZxi53Ae5A2NfNjaZb +ObmZa6uzVm45MPcC/nWErrZR5uQOsGuXAk1ChHfPh9hWo8oDkY9hnhQwX7ebWwe9 +yPF60AiWUaTBXpW1Lkn6r4m3xMj2SvgAUX0KFF3GzJZogFG8c+nJRDgMpi0GUhU6 +284edc/QY9b7A2nViweXZJ6DCvvJ7eR8ODC0bKOiCEVAx5IomWpmQl4eg0crwnVW +0sZxDgochtx1EtzrGJkXaLUMw21VwachRnQm0NQtsDEImjdykCK0KeuBcv0LyWKc +2tM4f+DUxe5Q7j4ReZbpA2biJ5hLexXRUdeftwZabXXY3k77cJb0P9UzZW+YZZAd +fSHgDmQ/qP8dFRVjXYI2vXwKEtKmzafEtDlZhBt/nQvyIsmLnGHG9D6YtAwGqH2l +g3kTpLYS2YWga9qVV2rHTklUOC/8GnZi+2yk1wH3Kg3OxRs38qWNcumSgnjhJ2dz +T0FAgCLgxlGvd45tONLOkzvcRfk3vfKVpJ9tDaCq6xN2s8QcPt8yc97m4pA5Ko43 +72Pw6+Dd89iO0v+J/vZexlnSOsOR+8/xaNsiZyP8Gc9uU4TFPg24szTI0nM96try +8N1SIIQjW5SMDHsp9JA9tNv6Q/kCg9cY7LbNwU9lzxg8SoN8JqB8qOQ8BixdFIIK +pd6/xsE48aoOqsCsv5TEcxqD27wpkMlzy2EvNmN6XnKNK/N6zw382jiDAPw17R9a +d63TguYRQPzA1qdEzyzqq4X19lnOTHoYgC3EWJtgMil+s7+yE/DBgvmVUMmHAsvL +uBz4Q1eP6I53OzErx5Y9MaDhXxAyLEJ/yw5iPo94dlgaAnsmC5XgFbtnVczzQPTU +I3H5wDjhwfrb86j2lC+zmrUmaGxv8e0hhYBqi99JUsmGR+16tyNtx2JSW9nc+xN8 +1x0Mx1F9Z/WT3FAe9CHHd+8zTQ57B0Mlv3GyKEWr3EIvvR6op8oVXU8R9QbRe/co +w++QU+7FRc0hEKd8vpfHT2AXBQPOOIDtdN5/VFCJGxVYQwG5vxtLjJo925UjpfZ3 +xJNK8BfC076D1CW7yAUzGOYA6hhGxulNJvu+qtYWmoZCqw6U3I40HsbdmaG8iF5X +gcFCEKwgtVXwsYgSV5u149BrnA94OOGqZaZJWLA1jvpDLTDHxunKPVBfVwgsk6Pd +RYGFsxvhw9Dt7e6I5tHKoo6OIqAWFadUxbxEhYoQdTOZP8/rfjvVGR06uhGlZLuB +rX5qTREDzBgLPvsNnzip8xsZdk3VuxJnWjb1qOh5D9PXVeJdSbgfzrsSoEoUiWAY +Vdu2/6QqMKDgIHxnI7+UVri+cnV4VDhQL9EYug4V7bsiPz3YlL3WHxNmMhU3ASIX +/nF84JxGPJPS+ZCx1EF0aX/eY5M/WwltTEvMP3Zik+GW976da5Ql/PAKiQggVie7 +COOmgsKMeWuvKnQ/mxGHukmk2VN45pW8njKJNXa/k+EPqlEBcgM+uQ9xD8WuNvvj ++qXLH/JTeFHlkrYbRxAGgxlPWXe9DPhncu9UzhdOWYB8YqLcH1O6JVVr7U8ib4iQ +GYLAfa9pE6sp3n9AJ5Pc+kPmjUE+jj2Ga44trtAVsRmhLf4PSlCv8TGLfmpoXE9F +/Cu4eKuVh4KXYNYXBoGVYtWsfBtXJPM1j7HjQmAvHAHNlyw8G/SH29JYxXE7wLes +x/Tlq22MRKyeVCBd5a81QK1bIS5PueRGZV5W4Cni6KfbMKX/S3UABLUnqj31g3UL +LmSgbho9pdqrc+jneNyQ20K/qd6viGcsNvar5l7Qr1nzIR3h/z8IMB6orcyI2rKO +h3uq5zq/sFlVJPgIMx3n5wvO0aiO2uWvTIfoU6dLZV6fMES7QIKUYUvivTtScsxB +IjZlhHjaq4Z7uncEvkR8weWHmrh3HEV0IUvxin3IKOfxDzeTOOv3kcK1lRKKXucn +ClH/Hom15MFwXpTEXYPsIuwQD6cROaS8byYSzJ11uxC95eO0poMvzTf7rUMY2x9Z +/MpuR+Ticq6NEOvkFv6ddmN72V+eGF2BdE9NAj4tc9J35dSUOPoEfp61e2C1dmer +LeK5ycd7+iL6ueS48qYGzUQqvDXjiCIxQqX8+MXAtqPlfiTs4NAsXv1lOz86n960 +JYd2CaIxqVGk9MpjLtVKU8Sz8ppsBRsc1ETs/XxozUklUng1hxwT/hhWNGFWPjAY +drTmT1XgIhrbZHlrDm5tvgzDICPR472yMMv/9SVb2onzYRomHUQgk5FoVNoFFSFo +QPiHPrhv+ldSp37hoRADa2dv4Can3024CGFYHY5h48tOGixS90fp79A+W+iQCnro +VrjRr+PAjn7xnQsfScER5lL/5Hv8zmD859ZEdOTI052UReSKBkSiqsQCLbCmLonL +2kv+6i0nyl/ZbSbQLD0K0e6UhvI+V/HjlS60zawtTgUVsbYJXkp3MtQGoHu42GS5 +N40Czrc0h1VG7SH3QsqetsXWT4keMJFNiizHhxgjaSheA1sSzcjLzhCT1h92tCL0 +su/rN+HN9wtk01Y3FnErcUXs+/l5ghp9aW9WBqVXV/yyeFM/egkKC+Yop6ejmpA1 +czpq/r/lT7c/c/La4dkWlWPqyguXCgsFt2EmixNneooMynIt2yKWSVOrfCaoutfi +WGMuF0Mt+bmEb3/ATLf28v/LrxcxzI0zNdA9re1ypvwZwaeBr/SteT9FqUOA1neM +WTxDa+gaTLepBzai/iRXcg8ycqNYawnn8fVAMCAVDk445GDCWCDTX7o5KLW7wJ3T +o0pgzRNJkipVisvLR02jhRduJ1iKodC1fDAqt0goB+QCdipMUw0BzUTkXdyWQvk6 +fLYPYzjHxsnShXXFFO4EIq5uv044iBdFyVDhlYfTpu/Miumc5NjXsuXNZvOUJgNq +va0nIZre7j0n3Fu3zXnho4Wd3hfkM7s33LQhph+K8Zr9WlXDRg7BytNBeMGZB04K +dzqHE0RZUTpMOXY7hpg9lEtkllwILAHf0dIQjNCTvjlR4i9tlEaY6H1tKFcUKzAK +DuIGjSNxV0eH3jic5H1mhGlcVaU+QPJyR5hY4QgpI6KGgGDdrsh3K8FzuCbEarog +g43e0pjh2V/dMoYm4HUiFrImo0GWOmsaleRnfZyg5OJMw/+DZvCC3C51b2HSxyus +A0xYbqdpvBpPIXqkeLLg0pxiU2YEgCmbdqKqJ1/FsenPhXDOryk7p8WxA5XzTdzG +/lMCGji00dWx8t4nT8bvQLcVCuQlwLRTLK+cFxyUGTOrvZM3ZMbt0ZPnuU6zAX7K +qpbL8qzzRd/YqkZys7Nm223UA9f5/L71kJkFcGs2fP/uM+37Fq25Sd2VsMiz2HNj +/8cK8cJbxKY+HNFE3PgaJgEtAD7WeRn/FlCUmIdvsk47WevE/HALFNBdBCXiMbqI +bzOjpPwDXqypgMhS7Q7boYDZQKKDbc0HKzTsD4/7UftMilS5VLNcSSqL5GJs95gV +h3e+b3CqQVfnPoF9EoSvBi8Q1UvRpIVf+6ZOz7lhR8aD8KkGAY0Wl0QeQIjWQvmz +0Se7DPd2apEI2r5vYhlgGNiDn6lyfc1oXlLCwLPrvhG3jVDc8Zz17DX4awmmSAe+ +JoR8ORX6qwQIQOiI/uwjl2AUI/RAiBY4+rOUj3weZwIT0hmRrDdV2l5LLiDYY95V +OfWvST03bnXpYLSgUD5U1V3bDMgi4sPuTQ+IvO3PixQdbbX56RL3XChOpbOA32nJ +QsEOeEYXeyXWZLhGv5Qn1Ih0XzqEiKNXnK2zgXjUriWIZxoCihPT5hEifFigkLzQ +nEGDfbnogtw7C0BDWeMX9yzAdAI1PR0DAg0NUuE807xxvNju1EyYq9FpXPupY2Fl +3BTXNG+DIvKY/rgs3KJwuAAo5VyQ+cGOmXwCIpl+bLPaMPfJZVAk2oX/6GF3x0z1 +Rg0Vf9OEkzvqBXJQdIAAJ8mtDpgfH7WRLmixXKRG3FT9zf5dswuxg9PCnoJdjbnY +x1fZTYUK9npSpbF7OMwqsOAYr3MxF5Z97r8HXQbKh8chpaegjyY/jfne5S5jySEJ +XlacmX+0otMDWdEMGA5syX3j5jJtgg3EVb1DKUKi14Euz5vJ0+bNnt2cr1oLIgB5 +kX+NNLNsj0zF1iFbuhItnpb8A7IdyFLxpiGvr21EClaH5jA4rWVOJWAWyqLu2vxa +Q/iTWkB9ElL2uV+ZE+KiR24CxpFxAtY4KUPvfzQJl3JIjnQbJFCxyDS2glIFWPaG +wpsWvM+VhH6ft2GfD4c0JMrmz6mYjqFSqqN3rXuE86xU9joQfcM++xbv2oHu6ieE +DtjIAvp1oB77pXTveOeQ0fB8Qm11AMbsMF5jpzkas9QawQL140kgZnuHc1Ga0HcM +NGMFKo1jshshRZZv4EsdmHFoVao384sQjD9W5m2XAFtzyRYJq6ApATvA20xDovfq +4W+Qlq+aAelF/AeLLQLlacUE0ufGCyIbLj81y7MXANamgd3o9uxj4YeK2h1zseet +YR5mxFpzwkR8P8KyXO82qFhvP2RdeK9NH1TA1MaUPbQCHoG+4oIBw0Sz86BWHzXG +/9LtP0qlmCiUV5rpv48X15oGo1Bo/saUNbl3zz14V8JZ7dCWTCefjUCEDRGVpYUR +fuXeTgNBQaS0DdwcaTVkqiemz3EccqctkWbBiXMcWcMZA40pbjXT7nguxrI7NPzb +6dm8K1eltFG8SxsbEgPhMJV2cjmc4PXmUPzzrFYCedkqNQRV+9lZubbhK7MysHKW +wWQ3A0RJDia3DAWLJ1ZHhowU44nB0WtWpNdEkgW9Dw5FGkzANmRVD1R7QOpr3rTx +nDFUvuCUod7SzXO7L0WprB75dDaDm8r6azY0/rdo/Lreac/DCaPtFvK93JXscI4G ++ZGjYOQgba3fqLcCI6ETWxFm9vgcMn89yQRrb1BVr/6W/nZBMEusPrIXvxE/wFWI +rYu5/1I84QchRNxUHQyFmrq4ug+T6vlOIsVJ3n8Q9uaHghpMChq25Pnh04E2NRvM +OQ7fRhLkSyUkgG/bT9/ZUFdrrswMO4xfiJrT93vrfiggJYwjrziLApGu97LPdG0x +IC1VpV+CHf6cx/XnERNxBvYrjsgoJ/yMSfiU5LOhXPaNoHutWMb1+bOvU/364MWv +ZQJHbLmRGLrLDaSZeNmsYESJhan/rc7eXkwjk94o4eDN71gyaQYLGrL0d6Xa76yU +WkHkEEMQbsjqxBeWCyNTglumzoMJ+HLgCSGktlNEIP0lRJulqHqEyFk/GS/3o3BS +P7tib10e0gxNyfLhv20VTARAdslTrdIOFHt3BYN8rXxmrVNgJVk3XqXCDjUmcHPA +m2SoywZdQ/dNA2RpX2cxWhezLHtp3jl4D1Fex3qRa9T0DRgyI6sgfi8uR8h6Ouhn +K6f5uXocVUHpg+gJFVrrok0bFWHD3svBRdAv+L6Mi2CuJlRvdtezOWwHoJTSya20 +o+YLX/AyfcAiYzLNm0ErFkVTApOTE4SnFYTplQs014PrmOEXBAmXISzZU/P3btnR +52eNFAtppHIDSjdSjFUZCJ2bYqfft58XW1GZfNq2o0cilziQzpMLqsKTd7wmxniS +EE7fX63zK8XgU6AWvNW005HGt5lVhi8/kf5PuFajYqgl/cf98TuII4EMpmdK5tX0 +AXGfLbapHJsoItCb3RY+Nc2U+Evozbq4HrC2O/06eX1yI0Pbs+DM4sSKg+61Xo5b +Cq4OpbZPjdzQ57iOPrtLTumnm7AU6SNGWzYTOGQwfdmmfRx2cAbaS1PY7YdYQ3Wt +HTXj5Yq1GzFCX6sQD4MnOFQYxqxcyE3u+bnJF2+UAzC0/B0aRNXttSVv+6cdsyKs +FpLkI+TXYU/1FXUf4pbTiGYC62QbYcoNtJ/HN/pMuIRJejyllY3HcXF1CeQRUVsE +wPLDu3FkxaQeLqqb6szm/SLBE/G+2mRM7/2xGz1tOvQh+4F5vbAjBDKngnVxGypE +Fm0cUVdOBZt/sLKcfskyWmxiWGt1EKUPdoKFNn20SyhVV5+BW8IK8cSFxYBKxLYI +8iBZ1ndY6EjafrsUuyADNW8yTygms0rr2HLJAbNIWuZqIv3OHgwBDdmnGFNSgVHl +8oyUT1f6HgFMd/64n4rtuC0W5KUKepVa5Hhk1Zl5ausupqNKpKHIbBsSr88kZ0Ni +DI0F7Ci+vki8T/Yj25StgeSp0anT9fbU1nJQ3F2lK3rcCEG59zboQ1Ocpsvv2Hx8 +IZc/rTImzUYwwi7mVNCT97u2ITMGVc7hNcOkz6gqjszsO7hHWCUhWVMBZl7Tx1w9 +VDXHNzU/vDFMsbhu7eeZijNh3rHmS0evVu6SRW4kbAz8Go7W3g7kW8U+g9eBR4dU +wQSqIlUnnAj3ERgpJIbFfvlyJtU641OH+u+4F5QdruNWmEiTfV0r06nYzQLzGeE4 +HJmqrCdGNoPY/slAlo/k9ldtLgHX8tgja6bs9Aws0RxPU1klrqMDhrVqTAE7DuZm +nuWvTaM+ukMaQloKd7i7MeeMqUHTjVhT+gEYNMte9JZFZ8UrtTtu3t8wPvM+fD5z +4PCHs2MZBZ5KMJkEOFtG6K4Fpme7AlCXFzMYhPeKT1eVMSELRQha1k0ckGEGEhGQ +PK8Qm1lWB+4d+CSZtFSaTcG2QePxY4+yt0N0pUPazZWbBr7MTF//jzuYVV4cAQcI +RPFz0Xi6cPWeeTjjNqCY8A39O/pWzDPhxlo27zCg2FhuSI/oEYrcxz/B+2Nltml5 +beWyNnjkOvwfC5Tk1V85gkFPN/MJt7JZcJMbJjiCBsWS3+i714RYakhkeH2aoa74 +ywIR4fhROAukG8GoFrttcHJeqOoWbMfDtKMs3z6dbghzQ7xSyT4/mZ6zcULQXehI +dadlVT7AKy9/dSByJporftG8e6r5U2atblcEVSBZ0VGBMfph/0K53ihoURat7hTX +Q9bADeahS9LW2fOxJnRktY1UUZTNHawf/LZDG2cZfM4C24YdgTSMCMpsC2ivrH/Z +6i6YJmlvMN+TX31fmRDH82w5nhgbIO5F+cvBUSGLqWAVZypjsVxMewOHO3TS/krc +JWjwcSn2eHJfj3M5jsrXtg6yy4JruFoCzK3z+ndKgrXbAx9xrZJopRxsM316i+Ao +sJf9BJ0x/7vTPB79/HFbnlfZfOaLFR60mK/2E4ELRAF3F3kk3VLKpouW0GB9ru6T +IE+KVyiUKa+t/1ygW1mzhtm53sZE3kbrs8HWvdplD3PmLgtDn+/QIeJ013gyQxlm +pcVt9ks1o7HLYXWrcibwLwVsPU17PvCeobH/rMoPyuA+TF5juM6QNAY6aAsunFYq +bdSQiD4pvIlkkBFYRcg51HE37czYfZuAKs1rG7e7VbBrWtyKSvKWW6XiyiQaNQSt +DB6OgWSCD5eopeYxbtjA+icDMbyJQyBic2m7AIxg6wRsFXZ7sp6rGYOvGvi/5suq +70YeQIXiwbJxgc/AdHgPjII6KiMW0IsuuruMfpHzIgx9lMh/CS9DddHPGVBD1eA+ +QauPXq/RagI5B0OsUqo/Sclo5jQaPKG8MW0lo1FsNd3j/1hAUZx4ZCP2ZXUykcQ3 +8EgpzVFsoUDax8cjL8XJJBgjethggcjjHcH0K3K5FFgVYqDhXqn8aTS/uSbo7Onq +2h+SO6u78xxDm0B/70Fx1J+ImeR3pbyYS+4iYzFNARKfiz66+Cibi01C9bMUZhdc +Q0N42iozSNsdHSZmgDxNMLdaxKUjU2O2YZi/lr0D2egXp7R84rgv7boHjz1QefD/ +MKmrE3mcIoUvWiYSuENZEcBmfVi/CWQTponSDzkc3hqBUuK/KlKxrexByVtnFk2i +6uJaAChqKXUrNeB7BA2mwkSIK6B81FYkYFwUtLJ54HFBdnzTIYrkhP6fh2sbPs4N +UaeWQN71tp4jRKkRPVNGQPFukbCi57kphdSbvw50UxmrZw36Zsfc9WkeWiB3R4qa +QGH2KcKTUDd+HWm5kRbKcv+SBnjj0OTkW59apfzpKbyrMhgldhz0OfVgzSkjLwKN +1bfQ0LpZclytqrb35r1hNBTyLuSPJWI3PjnNINPkKu2hht7zK9gcA/+Xu1lTmcQX +/A1D0FASssYofiBGPBDbC8DlqK7qGuOBC5fPlhnxe+KLW1DGvxC0mIAQvKLoKiCX +8MkM1mrzaY0R0QZfHbH+hP9IWWtVqVRfXCowE89p8mHaAH/jyPDx2Q8x1puWfj+1 +4oKytPRRSDMci9Sr2M24/Bw5D3OqtYrxxGVdYVALV7yWB2BY+CujmYOpM3FW6JOO +GnUoeY3yzRJn19mfVFUberRTofq/chzEVbCILeYavbqFWexjXnb4D7GlLkpD9Bi7 +a2iQDki5N9gI0P3Z+tFWZF1Hox9rxAqc0Oyvt3nHDdotYUFFhHFKmDNkUWH6iO2g +7VPWy6+THpycqV675OVIurLkrhl2g4C8m7MsCkDIvK9eUqFhgkXy4XWb3Iz9LZ4a +A+n2A8PAyeV4msu2di+eG0hUbPOehK6hF79dBMoZo+DG2O8R+JVdF0Cz558LzaV5 +NFmDCiU2lCpV5TZ8FNrz6Me9YN+iAQ/URtk0s/L66WWkt6DXRaihHVUo53cCF5Ok +K21+fgB3rTUosh8x0C9k9nVIBOSYFUlPq+P8rDfGM26l/rkX/2S0dHBiSI1tx7sk +KnRD+MzrYV4lcbPmLc3naZOBemzcV9JQh+2DbaMMlYTty+KrbSLKAzngK9kW6vfB +NMhMIJXLPGWjOkJMzu1NOygSS5ioyJypu9EZpmEV9//Z6LRoP4n3Yf/JeYjn0qRJ +6rCtdimzBOKgxTaBEXgaZUZ8ohxVj2FYeNdq7BJIY06i2A39PQzefJnN9u7eKqQU +lIrHnwn/qMTWNPUoTl0dp5+fXHbDXceOSpnfM+A0XoevQH7pFBT6Xwkhb7v41cS7 +EqdQLRwV5a3sgP20vu9jVoTN8t4qtZygtJVWPzlk4m3tursQOutehioDomZUl05B +yCSMfwAiMpxB3CUBUDNDmy484V+H8OAg6onuoK1x05+QvA7XwYEJS1gEvpDM4n1J +jtOfzjguIk1CqZSpbzmLYq804xz7BJWS68K3zjfjqcePDQgu1L0NjhCFIlWu9YiJ +ANOCZjJsi0NnHEtNnoyGkFDGmn8kG54ZS2FkMUSYO9St3+svqtmVvRju8O++zIsQ +FmbttR+GDWSU/16VV68xOx6eyC8UCjAXC1cJiIS4jchJe8GNMtIryyTmnD+Y5AU0 +f55UKsPRx5FSt7EC6x7tKldQjbpPZcMCXufWo2Dfcd+1glGjTDl5kT79tvjg4vc/ +HCZCnj3GBFXPk2mHwBCMy0oLUTkFGJNtZI6ocovs2fCqSEjw+26Be1okMrC2v7jg +ei3y1iKmFpn+Rhh0y9ypCPfv+ROJIkQxrjhLEQuw5lNnGZYTOMK1/kg25aDHOnEo +kjKcfOPqor1+NWU9RXP8Mz59yaEA6rGfPimq84Au8P8EbCc+VOG6GS8zeBVEjVyo +N3pVFxq5PNiMZvXnMsqDum3le9blebn4u0KQzQkc3IIFEFVKm0Z3Lxoj87tFjG3b +ffMqOsbPUyhuQ541cc9xGLnfql1vVv8r2EsY++PEEKbcrOQcg6Hiu3BmRcdlDiGq +rRl+Vrgun7t/bfGpq2vD8RSWuvfh55OC/d1FUwh4FKOSaXjbzCG8VWj43E0j8hRL +B16lgCuwK9i9Knw/WZuGTjcYd5VsOHCJCaoVbDB/l9ub54tWKS9spFMGypMzYsNr +pbxnCIz8BAX1HpWPN3vhiiCjtqo+nOV4A2IKGQgQkV7dVrxrjxCBHmK02N2IPSeT +XmxBas8h3rx9I8el8R05E7wDr+WHlHCOkhz6LEhYT/yY0Dr4+k//vh7e5ZrifDY4 +un1/UMIGb0oerpLL+CgaYk7AVX1jcYyUP2x3G+EJbbHm+SkwDNL2I5g8+Hob/+sY +XrAoWZzqNAuNEnZILnPbFR/3A/euelT6ko0bq6TWDQzPXwDDJcVqxAIidiaZ+C9R +MEmn1XFHLNStrqY9VL4rDsWnEmYkZy+WLN/DLkqhcYXjyTE9niJk576jvboRaIHl +L9w1r3dJFJynsbJO3P1rtB7qTPy3NlBLSInCA+G5sgRC4g18cu7ixD2jhgF/e2n+ +so4t+Gu9Wh3kxjglCbUV8H3l16dFsqmnDMnQqlzAMWbbwBE7UkY5lbzEjbT5ZkZ2 ++s1GU8wKTp7zUEJG9HmvzIZqIq+/wQZOie9mNi4pJUjNcs58srLWVL/clvhLYAS1 +5jjGItFDuJVLKOKCkENpYNXTmMnVEOYumZHCUipglaeYZwfatDuG9b/3VRapy3lD +fkbCJvDJpkwfjQexhoC3QrMYFMZCQd/08Nnn/z9VyiUlinbXriTEZbjOKdsg4557 +iazzYU3n7gk9mXs5TLyKGEoxNj+kKF17kw5Lrc5Dic7YX/1DpvDWjl++a6lNb+/L +ZLUJ831VzdFPsj7HM5DRjWgMqJ/NMX8ieYqZHw0YG2nm9Gee5zvG3XlmkPkICivG +mqbSxNPCgRqMMRI4qruTiHx2oRWS4EL5pEebZY8VOYedbXpc8KaEduM82cv7SpOa +1PO9PY/PxsewbynjVe3mFjNkUu5vyCOxVYKMt9fOCYy6gAZGOevzR5kOyR4DhKfj +Qdy68eqrLwUIZax5//TNaawYN12yCt9D5J231VZiEVxFOD/9PToeDuEjFD0DCCA2 +Jm/njNOFZC3rDgbV8lo0yC/B93ADUxpxw9FDPs/+DANz8WS/+dIbXcAgztxS+7kj +Vig38fToo/iHIKVe8u7jOOOl3cJS5iQ+28b8eHMNP3YYLTn5gbt2nek05KcGYwLb +JK2dpL34LvEWL60HBlV366hiROw6AJp709Dblkjk51ZRrTTN1ian091MsRAWiaCL +vV73XaUdBjABd8H1CH1Pc+x+Nbj7jSFST9n1fLwVMALIy1ahO841/+KwAUprkRFP +zC1hHPTW0HLCDPYf7lLpUkHbqGwEQPtrQxtCVpNuYihatWIVQqSWOqtWjrI08+eC +y+6d8jROXbtTZ7iLFxheF4iCSmOSQ0wP26y93wH3CdoRlVw1/pU7CxL+jwOflcrP +/EnvpR0oesyVGCf+1jggabQI9hWNfWs6WSRAXgKyhJVXOvEeR7uTeoAIEBxL6Gow +q5N/GsFSDEV8cFgMPiqnJ4Q3tJwqOawkvirUp8wBHArUJh//TtqWaScazeY884s4 +o6fK5cEBEGVRVc4rAB39FkQAup/LRNY+oIGlaXGKanMFomgpDamn1vnh0F1ddJta +QW3WemQMxw1Rc864uvE64B3S4uZCCx5zL3Jgl4eAdkaCp+/Ab9aRzFa1ElVnNQVG +y7yVzaoTMPB0A+zgEeB4Ims0HuqR2HdjQiF6zLLN7v6BVzfYcwnNcWcr4maFIEfb +FzcqdhEysnD48VbA/Wo4pOkh6mXogbFGbDyCTor5/xeaUsDXeXFPEx8UhnEDDj1L +sPyAqfop2YHQwZf3mzEYucaYMIGALOAUCBZ78GUeDXm9K/jvnRptYbt6g8l3N0sC +aKN3qo99EQ5cLO9gi3Ky93jUOuEyj8v1TCNkrifPizwHvwEczaK411NWrWPmFrQG +Lb5D0QVPoEUtdcGYpRRHyb9dT4LDumcuU/J9nDWGiVh3sfVJU2mIrBcGhiZ7EQmD +JUq+NzrfxrZo+TjnoS//NQyh6iwLvWCLZoTO9qOyPrg2dwHoEz+wYiOPugjXNFkp +eumJbm+NRrjjBXN9nYyjdHzuMf2wP5/ElwaUDMDBnXP6B36kZvDRxyNeQ0lkLnt1 +IlsptiW127utg47Q5zKFMtOU8ykhZT66BlUvqY43kwO6fCFvVfjfHo4FyDUmKYfm +Yt5Gf51m2u3oB2WXGz0G/tYAbYnG6sVcGCqq+mDy6ukdY/suk9a+EltBxTXL9o6k +qIzpYMNJYEuO+ekpNMvFMxD3LJm/eGalWJPWqvi95SSN01a+/udywYhbQP05QKzV +kPm7ia3uq+u0wa3H+CbLjVD35sylnREK5QlOSVBYGJLg3aI+a4LSLQsYOSMZ+ehh +W50w/xSz30vVcM2L0txlzA1DfNYrj0NVUeeqlKlw6EdItLl3RIw6ylk27yzvpaIn +GbG7XEp9PRCxjc5KoI0/ttyDijO1mlF8dTrF6Hev4SHKZifdlsmyIs/3skha1B74 +XXrMTAuOa6JjqacxGcv1j3/Gi400v6ql9Narp5y8bflEgnXzAyZP0gIOEG/gUXPz +aXSGJPhiaX1w6CdrsEh07Gzxl4hJowrFieDhO9mUVFfimZKQdetPWZ8D/OUduHPz +9iWXAOsVjfUuzNveq2CGrEG1xz1P98y/Oox7lxHFYydODLT7wPjHKz0Ov50BiIBP +taYg6bKdBriBhcbsasfEi+xRxCy4du9Hk8Wois6zPo4/g5o7ZgCVpc73RLUyu4Oc +3p/6TkamOZcnwYtUeO7e1T/j/KADYmGNOTkfMCa0dTI1QvLTTA1dmI7oVdVI7n8p +J/bf98zRxXpKqXxlu2vbot1agyJZP7+WPbFVkU/xkp/Mx0DSRDch1GMNfYvHahaH +zZOV0PNnksvmLlhuKH4VVXfmfot153FcW8jyp2q/cbJz01OXiTWSp4EZrUh6OVk+ +gQe5w4gfq/STxFgIJ6oddVFb4m/cAPx0c+iB0rbHoEhRYnXDLw75/2KB4H2sGAY6 +JrTw3QuMWtOwvHpf8+rhXE/3mRlgxVe6nnUcLer3yKG5ota64QiAD2+iDxdee979 +FpFSssIO1WBnSmxQi6iKUy7PG4w7PKHoyt3cQLKU7pWP/9DesfB5W3iXktSzvRk1 +sOR6MzMJ3VAkV5RDrk5iNUWkSaiJL913EiqGSANaf4Gdyd4Q+4hbnjpOJF1roN/2 +oDGCzKoNcDJ/WtD+fXbppWme4yVCPGrLXMlC82yi6jgIljCELSozlA+rSzpHOKaI +qHEv0bbX4ub5nI3g6osHsji/PZHJ7hxN7cndDh5SN3y1Xtbpo5hJ0pm/YjK37slV +ySraK7g3O4H24KdRIzXTWmkSM03w59X7X5+2TD+WQ5VqMmYbdRr/WZ7o0zILaNo6 +g4x8b30Vdp5GoTVJtf5RT4fO6LcnICoQrWmEmeRFey4apYetXhIqGUTNak1ipod1 +6AnKLCkw/yXDahFd1ipI+PamzGsWitloYbOBDw2AISxzG671FpWW4jHZleoulx01 +NW/Ut+TzxLPmp61/nE7FdqL4RCnyknvRginlxgH9q9tLFjD1m7KCV8qp3SAfRVF8 +E3WOJopEAoFpg7QmyROxmwOJ3QvT6Wvub2uDxa0VSTR+jZvoEOssKdleBZ65suuZ +j0+ps3zjpECQ/IrHIELaZlOKZlGWy7F6unu0mUlZ9g0Ijw0J79Q6JYd0ISyolwM/ +5GXw4sG9FWTlPwMK4UJqdyewJ8wiRc2x1Sd8T7D3FoUIQrHtdJCDWRJ3+khXOrMj +Soh/ufqwm67FH/WEjT1vUC/ipprvTOv8ntovPGgzJ5kzVwrolRVAt1IVYU4oIG+4 +WcH+tXXGIf9pCIutDWrOhiq1QjgsrHl62MamGL4woJx28QUvqGp54PBG2axNwNDu +FpNNcvZ3u7fpwKgrH4nz3+bPcVGdeR5k3ERmlgKWyal6jn8iovMcuw4VXiR/EB3k +I2lNQ57Vdh62AGJxUTInBKmTsrXtMNs2w46ia6hPRygyUa56sB2wABj+1iI9LqNF +eFz9JvmOE8m/u2vJQK/GNWF8fFZn9dd3otnx9oXtr2atTWDJ/LMTDaDt3GuQcZWj +pAsJCktURZQD92x7thFrbOVJvQJYwYwFQ+Z1eTbez8Fteh+GivdwU0N1p0iL8dCY +kXhvNS/hTdkt4e07UmUWPoCHRSZ8CCpsaM3Gy9YKVfHk6XagwzvP1MC8ZNFOvtAg +gzSRikXr2fJGtid+0CoPrIQTZy8TEo0IztuVD7/eTadpqbWmU735CaUyt1Tg4n1/ +s6i0MpSCkYDXvBvrklxzNpAirhnkeHjEQFbyCyatT13I+qX7Ya+cS+N/koOiDwsa +hWodlrpIS8z7vZZbCG/tDZ4u36a6B7WCfJJtSold/zdt6avblF4Um7lqUgjvIvf0 +ZYAlSojvtnsjlS5MOeq/LR63+KIrpI7/QPq4H4q3lqqTBohdEe34vuDfWkc1NspL +6K6+VfDwU4aDxlN2q2HFYYClxtEAK5fz9s5tRMkIEnwVBkx2kWEoCCfdIZe/cfs9 +O5n7TRWUPHnUkNzwpt19dyOaHAYXHW61JImqevYb6wrtzZC+AiRnG1ea7KO4KKDQ +7/evZDVQbEd8OQTDECRdOC8OQ9FtK2gzCnedAAq98rXzQB22cMLKODHmhd/s7jw6 +Yx8vUMs7c+813gc+W/Y9CCRK77fEcWhrCR56wNm3zeWLpyTjTU4Nsx60RfzhWJOv +Hy/BJwfqN0hEIiVLmUgAdHZBQVDQfzGkIRZEF2afTzZluKAUL3gjQYLBzB+1XM3r +o84ro1gpKEqpGQRgShECccXjajubievp/ObGrX1Gob2HJLCYtCql4zn24HiuJPzj +Do3PDJCHqx1MGoSTr1lVZ8u30cK0ha5w1NK9tI4heWInKt0SYi6m3p4POVhuALvj +VkY5zCpTJRtpkAgYQ4JKXc8DIeQ6MfOB4+GYHmiFIa3Rn+VMMiUZ/d99dIIZnQmP +3BF+JYZ1mN2XV4pkvhDGu2b8wDvrWsITgyr1VOxchC7nIUAlQt47QNMpuepyEo2w +fWzWaftyfQlFOwNXezpYDd6BC9HNY3vcIWPvWBAve6aOodfmDJDRF3wxK4YdKx+4 +4budBLcD4m5Erv339H5iT+Ul9eV6bOVFGiWJvVXjIQKBZOgfdLgcF5aYLin2hMjf +YhLtvyHEgbMHkglU6I0xNLmVYtIqnTVa43o7zc5aryXwlroXrVMR9Dg1ZT/LhgCj +QUB7Jy1ooK3/BS7T/9z7AYDVs4ifoRWDm/5Eg5wwaX4jLSGlYYVEP0htDRnS+wra +A+urgXecQs8Mcw6u2e7wM6jZJZYaiCh0odYhPkPPMcElV+rp/37RAcdAuIh4iCYX +DsiUU4yfOkOAkbceo2TynVCZ9IeBsfJMI4j55O6TwAMTX1GLgG35rtpYJ7X11kR/ +bCwKVeYoYDIpKAzwCga9z/t2g+mAcKeD/KiuipNDqqm1qNzAjpjc1fN9Dd6Iqzkc +c1CI9ue3tJFXPfLMK/NNFL0x0Pqcpvx12VVdYZRkMrr36nLQ8d3tCOZmBv/5D4dj +cTNIrBXB9aEUYrQqI1XqRdpSQbLyZXYHWab53hHdpgFUy0FgnWa5gzAeoyC8CoqT +jLyZawFMV2qJh9Da9YEQRk3YZV3u0S0UoP+3XVtajoZgNIeAwKvnyI5oTWvE/Ixa +ojWnE2CGUPVZ/9xcRk1QopUSd6vWp8IBWmGDpkQmRb97+5IsohUAEIQZKjHtjJ8P +OMceIW2dnMsCM/TMHkZ5Cp93kEw0kRhhFFIU+A1rF59DIEQfTh2tx78D0qd1iNTL +O2r/VKqmIcIvdTeUhIL2g3K1kcv8onCltvzw8ouIKwajPkXvTaeLZoIk6DkWeWg0 +X9yHdZ4ZhOW4xXqmoZAxo67sfCaz9fVZKFBnBh37qJyDo9vdHpkwrnXI8RXbjaRd +iuUHRWLJYVCC9HPP18OcGn2I4zfz46tFjSfYRGyEBvn35aZao97uwi3mm3yD3MaF +8kjdwNHBCj9xJnvXQwKDPZM8OpSQvwK+FfTVOQGuZMhPczH91rKjmGM3eCJ73obC +5pjX/YYjNfk9fDUYgrUHod8xrrDyvgG0O57kMy50DbULBR20fKE6rtOqG6/I4rmc +LseOy8CqYuXojbAhVdhARoIoilG9iYKxcMCe6NmkFAz4VeDNUyJYQfG8iDJMwaJy +OdZvMY9DZz02Tf1W+3qoXzjcO+AHphrlLLBS7SMJ/fvTAwdow7d+RxmLSZFNOmjg +yXhfXTF1xdJW2pxCqqWHVRxTvvhlN858gIG2pwZIyhYVOL6CpUr8wZL0eygEQxcV +gUOhg3Fq4Shy9SbqTeH72lS/nN+Sni/UcHtLLEZEYKqxGhazgayiS6TeNKQweTjy +H7L8VUeQc44hjoydZBdpcfelyFZChqrLA0Uw1gifDm7o4QbxBTs0t69YV4zBt2Ve +zzQwWSFIvYZH548MdTCuMI0MElmu8p+vopg/ZOlFU08/P0/RE9yMHqGLdq7jE2fS +eOCDXQUCflNgyCf5sG+wvHJNAFd00s3Mh4Cx1AuveQ1RtHyien9692fAgamfzYTd +ZqFEejTDjAd4dALUgl7qX/tOGP/yGssM4/bUTmIFGYqpjNiLW3XOxv3Q7t0fNA5F +lW1EcZERrWrYMTugOdjEFyNqGsji6aZA5Qz7COyCv4EtSj0s7Npfwvxs64Ixhk5D +uLZBFmacSkKW6fHd6tY9I48oJyGFGqe4WgG23TZWGDwbJE+ei8j0vbBwVz+/QjCI +hTVUsoCxD1YsywfVq6tHADm0ewsK++ahFZDTbxPTXd3nzZDnd7MhCG74rj4UGWxN +yrbTYtIotwgcgrxyMi6k1TNtE6WJdc1btXPTJWQueHCZuv0cFMgQ/v0luD6zay1l +5YDygENiYLutpW9qlvl8yzjhg5dX48JJYPShRS9IaXfkoPs7vG9vsBep93+DxV1S +XKlDbovwqp4KYYpO6TAGr4knv+6CG9JFJEBypQoVfCoX8V5c91JIwmPX5p0JIz3V ++tRrXgDPV92P4ORdCUao6LV9ndFRem/gcKxjG8wGk2vBHWqoSeDuAtM7WFP82fjo +rgwrGgFMk9nztMHvFuotiWMum1AAgpJtnyt6oNnwcc9WKrlCp/vH6e6rJCwxCRgL +/zT2zsvN8U+mNfmKtXDKs1uCbML6vmepbH9WDmPBwetlnArBL3VWBOsaYxODAGnq +GwVJTCU9HNtj0F4M866Uj6Lsif1YOqhW8ri+mN+3sYx3C331HYkOVxKN4LipwhqW +QMJz9dD+DjQkTFF4GHPuyWi9UUSeRBRiQzF6TuKPGMbr6V4I2u5UmcUIu58kpOkJ +qZC20gDcvt5eYKT5MXut+0GxZXVHSOp0P5c3eNknlJF//+GyIivMRyjbc+pozev5 +ZxazMXy1fgMl38gpEUoXmowOdBRMIt9cFzD9xrpganU/u48Itksvf9/9RZKxI3Ng +Jxyzsl4SHbwPbL3JX/ixkTizs3AeLtHvdSKaoR7IcVQM4oz2BQlOOkkoHtqte91j +ri3yn62zfWiHNqaemaMM6TXRIegnCsLmye3UWu254eovn14KasClrazHPbvJ0woZ +Zx2cRZuu5phmVfhMJmt8rkjZBoKuoI40rwpEVf2KfG5E1ZKbG6sD157O/Mw8ic6a +ZEyIaQ8OrgbEe/E/xKjNQvePwdhOX9mpEysp5ASi3rn/aaDBcF0Tlka9KQ2zdC6v +d7t9WFiZ8NQ8c3Ax2i5gwuWxUsKma+CkQeCyxIXX3VJojBQGz+aeVg3hXxsFl1QX +V9HC9X1XjHDr1ZKrHPnZuvYFsys/Wfag+yEgQIgN14X5nAascAFz7nOPDVXryQYf +KJZX5T3d8rxqmU2qvQF8tyel7LobcFh2n5EhJldIvmirOiYB0dhsT/wg2HMzLh3y +39gdREvwuiflXnA847djjspxn4b8Mhdw7rL0+IzXah0S05aOuOPvNIjACBOli6C4 +zQODYvQVMt5HVcyNV+hHyQPBUmRfNygMRzG8X8fWHv2c5l+lnf4HYtgDTG60UApo +I/VDvqDBKiwwWqYLVQOWO7fA1pH3W15/B2sWrLwEb6Ke+3XSz79nrwfiEcFstRcf +Ele/TF2W5C9+1v0IO8JbjhYQZcy+p9sP/CD4Zt5QsGvsl4R1Gk/M8G54HJIgSbYq +eMzsUotuR2u7DqzVmuu+daBg4T4uQS77PdV/vEgn1Xggz6ELDiNG/2/4K4oAt0e0 +XHVudTrO+dLAhrHpa3Rany9ivWz1eZy5Cegr+E0kXu00h4wv9DzCIYagXbMGXJQF +kHAXOI2vWW7yP+1Mof4tlQP+EP3q33RVOLa9rxpocH4j1H/KRbRqL5A/uTLxjJ5U +hFCy2n+FrQ0uCmXSwA+rLO3DpLKeQUvrpAkR45+8MwH//D5plZugFQAocTHzdEa3 +1hSNfBvOhV5c+9PWnKHkyAOrBaWHM9tTdU+FE/aAtdExjfobYVYswuHlj6xLdOkO +6vRwy0U+KB7qF7Svl6bKJIeQ2LObEzMDMvIgY0PTRAgUmngWewN4cLaYRrzUam+D +deEEEHGEGGDXZnkmtcuqdGS3Bc0sCvsvFUWokQZAGJY/GEKpvZqEJnqFKGovyWpl +v/OPHkNj4W8+Vp6SJdETfiANzMWUhT1sSyzFgU4M4n7z59foNjGW2UhM/gIa4sku +RTjDXxdgl3KNcHwb4ASO+k70jIEl3GHYq64UXR9+MOn4WJyIKdhdGyOxYlkLfvra +SCjCiqJ/7xyCjg1P6chd+RLLeZOraDzRST61/7O6aEa/Utui0UY3jzLbX+fhNa/U ++qaQ+zS0wyghW+fsFM37TGjV9BaFnJ6XdlO8BjKAui+sNEYLg53ABYWujadIw/Z7 +xhadPZPTfAnY0GzuavdOxVviAbEmZmg2kxqwKFjWFmEimBtu7XirD3g62Pof5NqZ +GdGESDIyk4DGVWkn71zFW426g9IZXq+4bFYyGVJu8T9mK02hY3PuYZ7fWC1OFv9S +sdml4L+XSYB3+PZ3OHwMbYkRyErWsS+Nj0QlScnkh4mYKX6+h4eNjWdP8QEOr26n ++Z2PrRgDpNU6daF8JTYaXyBesJHPVvNpDPB0id8Ywt1wRDqMNmG/qbJfzOnBtMPs +vcPf2OokQqN8cSzo/D7agSiVHEDaS9LSexHBl5apApzASYaJufyv+hCC4ZbVZTdl +RS+MMUTkZBh9o65Z7o240v0ZaNUM98xFO1F5l0wW5qqzMCz4mBRH7RysrIP9efJB +SEtriyhU6oGllEFcxRTCxUY5B3swiNoFecPJf01VG+L8pr53Z80RKR3PDLDJ72NA +N4k4e2EqJ+2pOh2TQR9wwGEGQWYxY5f4KiXPY/IIcncWvU7UYzdgLNoYGJgcoM75 +Tc5dqRL7AQw198QEeGNVQRoisFyu4sJbQWqjPJ26KdsBWqgNXfCjb5ilJrAmwtud +GsiLmskmgJ1GhkDAJYbCUMNhbeNxLz+2GnZ2sIdxofSIQGRl+U1T8ZbQ+tpygn6t +JNRAqMidWY9ARhUOUR+zE424KJ7Lqb3F6Qe/mwPAbfsVf6d9IHgZzymnzbnGpLwc +h0FMLH7po6QZGq71sOOUq6gsiGCUJEP2SwlB2ZLaODqvV3ZNBFwRTmeedK53/yDL +KVv4CwMPjf18+z7oFrBsEOC7DWYTiEB7w3HsDUoRh5cqJj16Y6fyVF+lp6TukKbb +aW+Avpu1M/00stmWs2MsPnNlcN66dkZn1zCiQbz9G0N/g9/WoH5Ng8b9Yi2vaNV1 +G/a2Y/jEH1qwbGHBT/AquxyVKoWFj+HpBMjEVCV7DGMSkUP8CJrDLoq97fEcFCrf +2xDPLLhEIukLbhHen/5Iex4Vfqfd1PV0ovTJXwkCY+vZE+PcBP941MDmGInFUIJF +CKE9lNWWjwOaQVRbwEdCkI67mLY25DJMHDBVdCbsswJy6LagcopDJ3huHHHCozrI +zrQnEdNvsnEXP0U6z7xJsHEqpwj1CJtpkRxXMGUHoRPDq5ZVwppU5iSKZAVxe+rL +hHZhMda4FHG43MLZigGcWwwX1dnD9s8GWUcVeUvBm63qhQD/w5cUzrRzdzuDJjzY +0gTfk9ATQ4klaXhdmc49K1hF5I+4i+M0JQbkRHy/E+r8BpifiGmpYEmHpEwiQxfE +w0eo5arA9UdI7pCi9Xhjo7JjdyXayZ4PQoBirqlK+5J+KyWPb/vdqyIq81+QixzN +H1CeRHXOZjq/Rmc2IxBfa2Yiw8+bd3TRZZKqfkY7FPKazs1ahywUD5MF7sVfAtdB +//eZTnWTKVRBNzaUVHPwd2QbkP/z4EPy+J6mljnlP8fGuVvp9/7M8kmY9k+g0hOW +AOIgfOsAjsa61Z8fIk2ypNlguP0Wl4BBW1kICu/RbXRIRpquDkTAxLhdLJGz6C4u +EHNAw+FwY0HVxE3KXEDkTG9R66wyjbpc0wf8X2O+1UiVKV+//KsbD5HhKne9aSs/ +cek0nNvQzyyAfSi5e7ZAvg5fM9+ez0vj+vmcGQ8eftW6IJQwfRO0PP7IFba6qA2Y +E25+bITvnmGv2ZrppRnjbdjjAGCbqjErvdPFzEsxzylpcnbF82x99pMEyT2wP/DR +MGukq90AKJl3BpcJcN7cKpROiDIde9/G5erkUfmq3BP3VwLUHYWU40NgvWeWFk5Z +dqht4DXSq/5VNRhP670ly7UOQdwpJ7maAqzIMdTtWJCLm5E7AkZTsk6ysVBKr5Hu +dFta8yK2jVThP6qqZsWLvzlvfngposR3uR9KrXKE2iMptk14XFr/2GLiIXgyXMoV +cHBHeWoQgBaC/lzmhtD7InPqPwXOc7jrEbZp6jMNP/5x9tVzRiCffoPZgoPtHPCT +wyYJ0WnDYZNTGlKiEXIDiVeab34dgVwnUGu5duHJjzP3nw2nDApkZIDK7qxh7D0z +yiMiDv6A7q9yNzfW2+Wfw90gVSF0hCvuCqvH7UgrM9LgpmCp2BeARDx1WOpve6Mz +qC0fk8aBKsAuthQLQpkqxUCIkWYlKkxsMC/rIfciWmTTkeIIYALUnWpuX4oSYuuv +C0nOQHrFaQE2L7XLZ5AABRF12RUd1iNfHC1qwfsDa8JSNobSWOUTFq8ee6iDGo7Q +hULKibHXYM+C/6PwFNa3zE89fNqFdvaxov5+dXE6voGWsMFThr+ursKos0m8XGsz +abpH8Eyi8dbhQ6zqq+JWq/5csCz/KF5PaGBjErPdX0JQIqSHA6bU7U3Xb2K6tcc+ +ADzAm8dzIVODLZCt5J89mAc77NR2Ghc+9KFT0+KcPb6apIfDuXOzPrOuWro4LIS/ +MTOq/Vw0asuIv21pE86jx5NLUcS/uu9EY1T2O55Cl6ZkCJqOu+bXhgNwpVQw2E8b +8uMrxDlXTtrIW/F5Qm8sOFoZtZMme321HJvMBaT+yj7RRg2TlDgayJKeQWYNiNrO +xwW+2kGWW4vVKS/vWjdkG1VRbCp5AEO0z3WM7FVgyxLRLlfe3ST9/40AFNJmIqZk +M/Th/sc+kAFo9lMhQ1sOnnkhjyRc7cUbvhcejMBDwAqG6ha3vmyLyTKTMJWfDN0k +4m9/GvApaPPtS/oxBjQTuSJlC4gRciGh1y0Qv0CBeHqOKW2Wdj2oRwYK9RjZR/qj +WYoUX+67+uqk3+/3pkOXAG68UK9ugRduUG5C3qweF1NQ63mGOd6ZgBcB35+RzD37 +GzAvi+U1Ws/SX7/5vb6OSYq603kZo1UQPywJCE8BW74Q/imjtM2tvi7XNgUL0FYa +Ce1NAVqeZkE9CRaHrg/K7TQJGmRigqQuoj+3rBVuDX+3fw/76f4GgXh4wwHpj91y +XW71Sol8mP07rRuToVYAaTd4aG4YzGOhfxJWTV8o5wMirkhK7PUdsJ4az5KcXdLL +E34EpoahoSoNkY31DQirt5xTeHa8MSlhDsAlieqjUaqQ1rD9SphXvb1zisWUD/9u +WcEjP+Y+/kjr80FGzeul6duO+0I1pbGFPwfDFiapPGt2fZVZbGucVNSyXt2mtdlR +21QipiuZLOBph58ixk1N5hOmCeN11R+FNKaHc3zwy3o8Wpv49DNlIhYIZFDxeyNz +ZqMzpsUhp4O8IyYoqv/0GuLWzeAZh7l5OYIJSOBKzXDDmMrL9jU1sx4bEfMh5M+a +Lw2ZqwjZhpIiuUjGEF0DTXPWZiDLTUtAVVhzhe9DzKrhu0u8qhYX28iYqpRkgkoI +gxYZvw5OYQhfLKcwd5EBejnPuWjQ8aelHTJmmdM/gtopRxheYUsP72hC56D7cdD7 +xM/uaxuIoDTt3AseUiktnWycSEg0ugrx+4K2/cg1jOWLKt/Zj2Tu66B8s+Fi3WOm +hpcPgQNQzjA2k71D2ohRs2fctqLeAT5tYUq762xOod+v73AzDBMxE852T9oJyGGn +JJoHcm9TAXdneCmo5eSjHKnU7xXNEIiCoTSPOWSFVcWGixqO+6Cy7lwvqheB0ByL +biTiY1eHxffjuRFndBtBAbSXJNN3JROtqbn1cg6aNs0y4+7/qojGZbFzNDbzpA0B +j2+zverYRKeWVdxp5y9HDSZaliT0tidhu0PR8q+lO7N1DAf8hvbxkWNL5KFlgP6y +TP/Lqvckhc53EBJlQYy+nH2Ne97ahJITxsAzTNOovpoxtc6dg4HPNfFOYAeqS7gG +2IWWnHc2fg/mB9Vppi+lx2bfxTGEn1sJw9hQCSY+sY+9xzgIGXiI0yenRuLONzb/ +zB7tG3AuU5pzo2EsyMfdx7LfO9iaKArwMi/41AZJiYaPFN7o22LIi7KxZcWgAPFT +40GF7/RN3CaxWaUrdAqq2uSgCX9Qjy0gskpNubSvdCElD0Pnl3kcN0o1urWiyPrD +qdmB1Q2VKFVRgivqx+WUrWebKwFIMhmbtZ50nEAs3XaAsd8ewZ3dq9Fa+zEA9sZq +3mjLngxbHtw9CFIe4/eo7ZkSA/Ap5jTazQONai54qjGJ5O4FBa1xYsryDjt0ZvXq +x9xllksAdBmpHB9gup7U7aPGI9ae7p7OWT6OJXxsKN9M9Lk64703MwbLGeR+L+oD +aaJ+3QDz+zxgbuM9wYdRNHElqcSQdlCRBmFCG35PwhWo1JNQFOZ5TkcUGOQ1nwXO +EbbS9h4tQMh0TsREeN8bb3lR0UUULdcKktZ7kp9BXbqwAlrdqUVt6fjHl7emwwLp +u3uapQyQ3r1wwyE6HpQRApRaR4mQS377nw9LS280IH60bsJWll1EO/u8oJKQ+vbf +20dFIO2AlE9v0qZPX2jzN+2O1seF/rx91o6tW6XdrVEZPo5mogu82ETuzg9fpEbU +LsXLa1RXHMheRx999N4q5ubB3wCPm69030VOwzg1f3YcSvffYEL8uCJOPlgkZz9c +7RwxWg7Gpfl5NT/Xumcxjbl8+T6KJcs4ncdntrFJdb2JikKBlbaXgggdprY0JAPU +G8jYWM3PL0udfWRcseJV26sRk3uNriJda4PcBu653am1spe5b9+Q89s1JsF4GKMU +YgzDofeSLN2nE5g+gUrpkKqiiZa+pTc/wQzSMgDtxfcla2qKmPrOaiZ73ya+7kNJ +dF/FfucOciUnKkpWbSdN+TueCNRDH0R+PNhairyWZ8QHIHh4eSYP3fxu7dS6XF01 +HXWeyk8vZFmBegJREhaAaSTGsZ2PG6te+bGF9xCQ66AgVBe5Dz0mOMwj0IdTO0uN +9mCkF7xiM1fBLvmM3vnVwCt4OiUm4pWVagqqCzJpszzjPoyZ0V02iR+tp4NkpW9P +mKm1sp/fNd43EMeup6tX8p8i4l4L8hNU3+aXR77LPFMuVku4nSq74LSaqG7/0wfk +RlFD+6yaPskvOz+JzH0ypuy8a1XcV7HcRgm64+Rer4Q8lps9FCa8VwNXterhiQHC +cnDkHW4ANVtljJQ11UUKNpFnXzrhdcaWe70h3uZgkQDdUDkQkOdYFmBLskkdaOcJ +bCPzBTx4PEQIk6GuOs4SjOyx+/jeY+XBmyBpoBdYarQ6eql4JushZV8GeDDIs4ku +MTsMQpq3l+oGvqahkP0eH1I0JfqdEdBVnK38X9hIk2kW900oRE9MmsEzjTaxS7IA +N09O9BPks3coPmhbmApsmk5hxyMnpatGiIczCj8S3nEtG1VvucwmYzpddMtc9VNj +elY9XeClBdQuEiqY9W1EFYf3QbXegitGkSU3pVhfnPP9gD1QZ/HU/d6yA9EcorNJ +Jm3AmlzSqBz9CMNwuMNUTjnb/YRFWC4MG1mHwmDs02XWnuOtPHi9XFHcsqSkRE/C +xQ0rD44M2hDFdRwYLDFpTZRE4/0CLDDoYkBa92RiD5hGsFl203Q1tVSB1Xr8H6v4 +TVR7ONExxJokB5fE7DmAvw3kdqnviiV4QM0Kxqvycy1y50yV8HeEHYDI1a2YUr+0 +WscY2ZQi48iTF9m94GgbVVQCQuvmNF6PTetvkT/DdnsUw7cNJ4nlKeu6dnX0FIme ++kQ4P7OKShPhMoAH7Ja8OTUZueUAgjZbkP/HzVXJzSP9hwilN/WwzPWtvKQypD+F +tsqcwPXtsQ84heibH4ZODPlPqvKvujPIHnBi73om0yjJI+PFVIlbhiFUJiY8O9cY +iv5XqSqE+X8eNEYSu/CP5yszHU5zxuq63fer9MNRQ+nMf3528rXMCgmlqlOJpk5F +3q4DkqIXJOF/oFzRwQ5Gmp9b4ZqdXaUK2et0/hLVAZUHJXSSC8Sakl70zyeRY2Pj +Skw8QkkfdeVmthcxMFL9VYglUmEWvnQpL7LezDZcMdpCL8zyfNfv4lkQ7KCokqKu +0YcOkHsLe54CM/a+rC1CVs/pfj8i9/j8kq0LYEf/EUArY6vy41BpYK1UuTLaoNmo +dvcYbqHlSJcblVEE61vbtxn2OiTjR7YTmQujQfvDg5mQGkpVjBt6fx+vNv58EAXT +/BRPR2m/mPdmusRZZxAI67j4RUfMudlS0QKX1Nn/CLU5Zt5zlMyUpM36BTa72leD +pR1uw2de9eaRizDOOYrqcaV/EHAt2py1Lchc6zmRkR89K+KHHE0jMo4PtLWREsA1 +1/bUnF4XLGAZc9qbyjts1sCD+hsIQh21/neG0Zf3hSQGIDgJ9FR2xU95pUoL60u3 +TL4zFHaOXvg0HzmqGRLR92oxla0qKr1+ru+r0t3xCWYK8ytQtbfCe4tFMq99k81z +jl/iHu7dLtHailye7af5ovbzf57YdPgBoTb49L5w12bRj4vu/ExgwnP/S4kbjQtw +35gnqSd8LnN23gETBWvGtANX8kk4IXHNcq4/5+X0ihpAkKmXlRsket1q2txkrBa1 +lkzEKlhbPqjfTht7JDd6U5Sn6SFa5dD2nljhqlH4Kp/gzmfaNgBnk4YM13MkfTce +zb+J3tn55cBnYlNV7+qkxgrB+mRkcA9ly3WgQ01vYpxEGgNxBKk3S2Df0uJqkRdr +GF4S9TNNteGmfPkGU2Syom1nimByGUQeffb8qmm+JhH6QtckC5/tPePUuNqHC4RS +UTDHAqd8Bvr7Ku8OaNavGREwQeK8eCyj0uV6ZaroZuXl+eqz5CFzT+aRpMBsZjyR +2wNkxhpjpCaPY89OIKW81N31k9rW67c+V6rYwEt/h545ISl6RsRylFtWfDq/SeET +3LKec6ldpyonQv1/0DLyC1a5BC4l50CPLbgDzs5ZotIOEesms3UHq+ftjVi4tKfs +Sfk/n/TpjoCmAiFkOBtkc7Lhqdcsd3eNaBGRg6D2tT/hmukixJDkJ3trux8TnomO +1NcswIb2XNFgVPBvlKFpc/zXC6XL4KK0Wth9JRa7O7be9G903chlS7X9mt2Wc8qq +40Fey7MAisq8fv0caR8TB+U7q4uL5A5SLq6a+J+emdT9NHj2EAsKBcyDAXb9L553 +KJYpcYIHpRfJw4NvyR6R4ebJZW9t3h7ZM1D5pq8TaMYvWqb9RSp/jD7GSrVsR6xh +WUPVsTVZ7YvyALLHeZvRliX0HzY0D4SvGVyllxEV2iTnbHeU6AfvrD0fRrfeinBU +TfdVqgisjSnK/6KpLdPRY481IVqp1RT4PGUUVGrpmiUvcjRZZpJnE5unQOK1CNeb +CIsCgVYCgf9hL5lPGuNQ6jwi1Xo94PaDJiAsCTSwdV2Iiop3CU2RvEJyq1QJB9gi +JR4UB/vI9E4IVfUyUoM+IG8+MUA9O1jlqyFloJMeBNvmXjWl/hzDindkBqHGvhXo +zI7HjPcMSmfonuOtYTZ7GNG18M2Fe1fHoBKUl8HS0WEXkn/Uc65v1XvyZj1UyeFB +opSNbmp9JKNYKvXLG5iYmDFoWVVAcN8mokS+qm9TqMmzYrxukNDAMQY90Vtg0Ab/ +nEZqQcDgmQ+5VdLJSQAoHzqHAkEI7naMcqCfftFeezE9/xEsHcEa8WGSzlUsbuuK +vLe2mM3brvHYjr9syU4E9rZcFPoVd5FPtvfeRiA4+iJks6N15hOBit3NbatJbhZQ +XUoTEikeOmiFWNBjbqxpekzqkcCrf4hjQtnICB20Xjy6E6FVvCYd5/gE0+s5L8Dv +0mmWxR0J7BdzG2bmbPVm556hC7I7I5iJP5QFQ5hUBlPbuLBa/uGegz0k54b15VSv +aM9m2xOXdUszG8T1FdyvDshDIP7fpXwPiDq4z3ynqZuIh0k3mCRpqeIhiyD9xjV4 +LmWz/0VdrCfqczL75mo8jDG3tMmXxLjbY9bjvuVIyENsax3MPXLpnUzhqpY2/PPP +Y+023BCiGIu44sYIMTXBYOfMKLnAxeHISp2Kn8SkfjPGoqI5PM9tcbBGMOYo/gYw +Aosocka0lEUe9J1Dzv8FBGDFZBPPKbflr5B4UcpezP281WmhAB8YWaDUBCWgx+nS +JZPkeIQ/rPcT/jtTQ2Xr9Rln2m9L06YT1MCAnpEdYZ/mhucihsvBe8/XUOEHYISj +KrAa6gj6+J467kEtLwN0wBhWFfH0MlI/bRwe0OO0WN0k9JMXYkMJVxivykYyB9Qn +m3kAqYRfQ92wExZhMWwdpT3/MYsAoHHgg24ceaXwOUufbCbHn1bwH7tJQDKjo4XG +txLl/ZmZFBhnEa2INNrBw6BkAF2nFHYSzF4mHH94+c0qUMhOwIDthAgqjQ8ZjioG +KyjZeMEEN6Sicugr//A2SWzK9JSe+53TIUapNqBeIgiLUwj24cVaQCkXCIIrUmy9 +dnTkUr7rwt/fHzky9R/gvW2O3oZIEFDtqbWMmgdRxj3/AgcXTARvEetEuUkKz9mh +/5ZAO/LdOBWcVTuKl4qBRzTnOnwGGZBfnc5yP7fMFEuzZ6wyvmK0cgMjuvl0eqV6 +KuL0LwfHT4+GQvqIyz8JIajgkWXAe6Ni86Y8c9fb4gU/ZZetWhls30LpFDgHnlkq +91rwCByk4YfsgBzG7wgrrSEunHmCS3GpAyTvUG/R/bPkS9LBVbiLuhxl3uQUZ7S5 +YPUnlcoXhYz2LjHXM9JY7DOt11JnyjXdhOrL2FqcvAAWcQ7H0i2YUIAPmxryKfE3 +dQHd14Yy9KsmjyqxF0cdnQ7pfhVTCWdRaqtHnccUQtCiQkaUtq4Epyb3mX+wUslN +VG8Hrv1S2VVg3WGN89BRi5BOwG6O8o9jtogGGOvaq+kNlDF83+T5227FvNwQ7dhy +3a63rQBHaXKOLG/c6mK3XJs64LtfN8j/+upzFdfEkS0Xx53kj1rPy5Ola9agE9IU +dxatcqVhXbJya0ofPk4vV2dDwRfJRo2rhZ3o0yA2O6LeLfcxcuv+EjcOzHpevsGp +Qb+92kJunFIae8z6CcpLXYT0mOnRRZnWA6viFN1DXFyFPjrQhvJOHdnx55+pWKow +h1MQM57GNWrNm7L6pPE+xg13Yfel4WjiHA50jEl2AC7YXMPAzJ4oiosq7mtiFmjG +BAQ+Mf2NaqiUc7ZHXx3byFsZ4PauXC/SWIUSKuE1INRM8bEfLwpi+S3IY3xBFsys +fIOQ1jpnQz72zCSoyxl043sBRx5aWIGTHJbLznYaXxs8Bc7L6sW0IHFN3u8td1r8 +IiO7R8LwGQ2REeNn3gpmmmr7JhRM/Kz6YBUMm0vzyWFoP3KTBHibFCpOFteak0a6 +yuFAc3TlV7pZTWoWbjJU8xth3GMCR7TyI5AB6JHIlI25JBWewtZ/VZ7IYjJ1LRvY +E02xpQex8xzdJcxTi1NLGFHwr1bA8vwqHWO/5xfkbRGCeuXmT58zNFvqy/I/lPCi +lVNXWUFT0Eb0vjpe4BygMLE8XGJZvVikV0P/v0wpnb3VSXLGiXoNm8O/yeaemfAg +k/2I8xJPtC0LYWTUdTPercQ5Q+iAUmi56d1oTT025McfBQh4+5BoiLvkwxDil9iT +gKsrd7er5TdRwNWZQaClpHgmCmsQBYf/2pqg3lyb7srZz5gpEQr0P/0M0/BSZxo6 +d2n0EciGAQyRv6H2/9XayJ11BN/KqT4gQ0zxQsYyvJTbGtqVNiPnV9LDG7Xlhp0A +LAJbO8bHDLFnA7ik/3h5EXJucB6U4ZkOOx6Dk2Odi8gOlUe3rHWvmxOXgcIXtFww +LnTsgRF+0RngaGdAOu3KlDa5RLfMqvUmTrcFw0srTkX+0Fr6ps2AKTTt/4sN1sVN +RX7ehLyAZjbX+lekr3/rCUWlJXazB5NOAx7e3YXFenewWoHgUIpWqnoclP1c2mZJ +ywIACvkpxr3tG5ranRhRI19CjBsP8w0EYwdJYzizcSPkhmBvdmt1q/ZrzvmpgHmv +f88Y1eUPalb0jfoGpW82LD1SSJCf4Yu+9t7ybslrEcnY5G52R4q5dr0svXMSBnLE +n7bYUYzaN93AUgi2d1yZd64c8d3QD3QLbfzEO9neEqqclA+mNWLXHVt3Ex9/m6/s +59YaaDSmc8gS5AsvEErzRqe2b3A/l3CtP6EQuM6QuWHoLrE+CAK+JvMM7jRuTKSr +XWuA6IDtlz3ablTQTiSt95bdpJY5kAr3tiR4ctMpx+d8kSu37JAVRuGhrjWxTCgS +jgyqDW2xPqrhgw43fxLvNjNpZJmFwkCuizNlwBUm8OtcqizaJ52gpEyAFMefsQIV +R9qHX5i4sQl9vuygkjOo5LY7HxLUk2EDO81bRL+Nq00HajsbNuGL5A7OfcbjouS6 +9WN4dQnlfjLHwGo+AqpTBK2oAnPR6U5qJX+c45ipeq3BO99pgGPEIOTJERcyBm7C +zQ1ycGExWS5wqHEX8ZOEf5Z9IDRggAbQXBucjZdnmoPZeW83o5mF9acbTOxEMHw3 +4D4ljbnT6Q6woSmyTECQbIgx5UgkhgthgW7dGlnjOHg7lqVFnBtX5rDUJxOuvWVR +GaSqgqi6UN7pgtfqVjJMsfQ6iUl36RGm0zMYrG+Yoeu//hs9fHbCneR6pKcgChI3 +IAZbAC0siAfLG1rI/jm3jfzvxDjMgRwKthRZaQCy3SC5IVfG9/D9cJ11znoASF48 +wmhG8uXr7CfLZuEIGQV2Pj5vOAjedrnWjc+5GhpLepyIri+nBHz3Anwe2wrVHluv +DJSf5iDY7i3gvb5zaoYhLFaD0oaexInH4pV+Eq2MvEPWNxQfhu9dyCoPLOegCrbi +766iFFS4MultgUT0bBD1gHrRdD29+Q4Tz45rNH/gnfLiIXPjoDZBTs9vrtzf0VMD +G0IMVW7VYKVjSLF4hs5kb/3XQr3/hU4ycvKoMmEkRZ/YVb/Mx4LsHqaZyXS3XP7h +wApKciwZYC68WH+Cc07isgEmU5uwFYic/DmZpuu5ipZ7olJa7maooPloUL014PNQ +JNJKGzvbI+14omuOkt7hOO0NqpFobf5b8a7okYoVxFVi1OZ6JzhPYVY/pViTWMb9 +zLlJ2+eGvsJe3yMrxx+0+0X2i1+J7RacucZVcA0zx591eGKC3KUecd4EGpa2KXYQ +7vwkWh9oVIMZNwfR/x+8BUSNfIixURRvs0Q4vqK+viHJeU7SPNNt9jHzAna2Y6jO +dHyofEtJqEqjS60XUytBJEWbUruCKQhXwNyrv44Yt/dCLPYrquPVfRmeH/Qu/+rA +NlITX4B/fJTNrZ8Jsc48AC0bnH0N+eXZyeaIYPS0p4pbl9wOQwVq0hQaflmUftrr +EX2WxdxDIdev2OJSyU6AFwd0AO6ySixsLKW4xYLpopNg5Pg6x1+Xqv5+6/1HTwV1 +vUPpNK6HWSCv5EGBSJ7ClN1prEWpOIjO/MEq3Oq6//vONF3N+6SDFt0i3RJEB2mg +zY1vlT6q912P/pNn0VzujK1E/GLiZN75pQtFS0pj6pWAD0VqSzhMnjETs/xA9scy +LbQUZTSUIax56s2772b4Ntex3CdXBJ43z2uzc2SRvAMaZaIYPS8Q1fCaKnuFmB46 +mVOCY9+WyEYkSs3+kUTkN3YeKA9f6BSxtXP0vCbGOzWDXlSRt1vgXi0y4DxnDW5A +y4X1iKOh+/zHAgo93KTyN1iXupj/3Lm6qt6IQ+lCh3ZrHVgrTK3iU0WFj5H49zDX +YVCkGbOLS5EfCjEXvZMnY1Xiezx8trH81yZ4eJ2Iuo/HqTRnMvTyh3u6Jbnqenfj +hkL9cXtPg1Gro6FCaktUux5KR5bY0Z5+DecJdRA65gDvv1lQwR8b3BIG4ytWS33/ +qerEtbmzmk+7r5HnjsUD7hO69rkhogbhHy122L2JffVY6AF8lalhAdC2+oby8LJs +5WCyveunEose3XO/WBzEYqdtu6kEPXbSH2/fpZ5IpD0HWFXHonmiOPWZr4xaFugY +Ql9rvIDIhEjOszuZjrbfv0eiii4NuJqSS1i3kSOPnmdcE7kESK7EaBFEJ4yLzsUf +F53VqLxb7CcfQ0LKcrpgVduPvU81FmEdw2afvlh/quPV002da61WFES67ev0c+2w +Cr6bJRQj+Z5ytpXBtm3zxy07mt0jvZzTolOkfVbzEQxoz+D3DnuUYyK9G0WMfjtJ +nzRCa2hIWocutCVFADvTSSFkGAfgG6AdAHnSNYsyEe27Py3VETle6ba+xoQ1u/uA +/cAeF7a8erw0euJjDdBDWlZouwC+1N1BXhvwEtbP2rPYAQyLI0IbBElrrC78keF4 +dlnylk2sbd3+jXUBdCY/oq+AEsV+YwEhSpl7SEo6n8DjGjgItBkqbmSS19BQrXVA +Sv5QjiaS7lGJqvXE2hvRVUwLXJlBOROVMPCR93Z/Lts7J9NP87AapEacZfIZd4V9 +rlmOp2FBvHZykT6nkEv0VwHrdStec97uAu5R353a2ht8UwGrtv48Q9/w7X1TDvO8 +d934L6UVhvBUZMn2PnPivrt2WTusUh+4UEE78oB+kdqVhkrjJTLCXm2l5TB83Reu +n47/BfRC0g4bFZeow1nkk3PQcEok1g9ZbBUBTPGBT6Yg+94SyZilT2+AO/mQb9qI +y+AkiU4XrmpPb2V/EyHUN3GQDjm1SWUrg2RU2geYcFAgDW+Ob10X28eypkU+00po +RveUlXWJgaUgimnE1W2S2FXw59/S3fKimL8JBt6ak4LoVNNXYbQCSFOgj5HLDYAl +PKLL6k+RyxwfaIq1Sedvt9Nh5IDqv+ip5uqOBQCMKhxsy8BMoXSUzNuoBOhyG7kp +ZTITsYnCQ/pZiBdsGp+clpVLl4qyieANi1FGaQm9I3EyrDgieoLROy31NgMCsL1t +bed8JW22nHUTSHB0nEQvS5ITwzaFSzbju7SSx9CWJsyOTolFqByU7CfjwG/c+GDR +s6gQZthPi2jJvijh3N/HwmtD+ZtC3aRHXkoCl8TTA83JE5OKT4XmHeVtrUkvNxbm +UA19f1aCQS1VAUB6mWAACfLyzlQRInHQPGftN5k4QUDqlMONOQqp/TwTPbY9iF41 +8NwqUyqDvnHgLfeK/hDAyLtt3D6rK+vSiISUXQalvlabMADlUc3TBWlQoKLdfbw9 +31orRJhBtB1um1IqHqWh/RPDJE2um9neFsmseePMSFUr+9FcgwxXK6CwDMdq8nKq +4a6zTCMpYqS+VgXSjXe+1KCR8xi0rk2hB3VkdBvpN+JN1T/hax5WTo73h5aUKkf1 +0TOqpNNMToufUnWc+rWlgqCnju61JbUqonwi4OCiqylJRMlyRkgd5PA9JqpFW/pk +gtRGaPYAMTaUjsayA2PUaWtFIYbNSpS3HyF/jMI53grtt1Yg6/va76vUFajHn7Pj +RxvBZ/XmsUucqRBkwMrm/NtGzopAUTbTHOoCTzsP407dUVFIh3S2n6N4+5IKJyjt +PH+r3asjOuI2ShN2IZD4hk8c0ugscim9jrAhjas5rAWRdxz5fy8/uRiv9as+JTCx +TuWTNXqwcKGDx9BR5kPRbCcWeM0rBBQU98zqZYhzutN6SLbv8cVLSHoMySVJXhdm +Pj4074LElpSuJbG+KRxJ6QdQ7qRDvN6MeHAzAdfvU0wMBa1yTwQ82W1lq8dYTruy +dsjbDKPdpj0hnC1gMMK+wV6507/A+bvBvSH1EcGpqxE470ozzAs0xgcCPgw439al +sDRJ+2tlzKlm0Rut3EOfzw1EhH0rQF69+UCN6Zx9NEIOoK7n2ZvE8h3XuiWDSE/M +HH87YjRijTSwZumjS0CI3OV2Kb6WFdw1O2z40QQCCiJbJTu5ySXdnSENFgDbYxz2 +4Qf8xAjccFzn9Ye4RJbJGqBPo+8CowCBcscfNdJeeVDUPGG9aFpFMkZmZvuOqnsc +vIcBcGmCWjDC6NuyZET+4pHy9w9/QsTiKeyN15XCULvXWqUInEeonYlzaTg/ha8D +q+xevpJaian77Gkp6mt6+shQN1RlPC4Pkt5QVjdRh7WIO6KfBUaS9gnTZZDrtxrv +gTu09FhqOPfN+KxA3lOLccR2L0XVxsncbsavtIJ5/5UUsD34SDECh22QBZBrKrsh +nx0xz8WfJttyA63yafWFf3u7dZtgqww0avXe/UMeI7CMcT0eLqEcFpELeJtsnPmS +JciNvJQz0qAHO4tDRSPvjcJKUut6ynmWaIor7lg9a1u9s5RuP1wDG/+h9hnH0P4w +bF8FnekiGFQM3K/Ai5C6yTBRDtxJlpnY/0YsBs0PgPjI0dPKKx3/2Qlzki8N9MVR +5Npv8naxkhD57Oc/oU9tUXkHdBlMiECq5A6ADUBG/pJxowI+7kgVm05/68tbIplx +iwxICTubrp8RTCVKOMYUBV5nZAb633lc7LKJ0KfPV5pgZJPqS+d4G7rABYez2Y+G +I76lhRapkd0tb842VxjRBUiuD6i9dntr6tv/Q3XhFS5Stt5GE4ozu4qYsCEoZLlN +sf/UkVSxVcpir/tjaTV+WkAwRzGdqKc+DjVS14l9Togx+VoKbTb51VYgB5nBAJUN +AvNwzVJG62cXVoKAIuwxs5Ryw5KTE6m/3zesyyGyx3TQ0S2oS5h2itnKdginJvme +VMZrrVFUOO4qH5LelB3iDLk4/9rxUwq2I56sHG36vpHtGYAEVX1jJlds/cVxzXzO +45JZFQHEkxfks4ymxWgcZ8Phs1vNOE1TvimIR+6TNXrSkcDf9Z74Y/xpp7k9LcRB +dr55Dc+MAgTsPUTn48fpVH6eBM2WjVN2PCJ1c41jEwe3UhlNzmTPlgdnf+4ZmljW +OjcG8RANZb2AOXuPWNOlBDKpHEsHMGbCharYbXM9EhPHfqLdEdbj5ag152X5KpH3 +gEPXnBR4Y9wHtsOFiF3SbNDOPc3kA7bI3epz37HdXlYSdaCUBHY+Mc6aTjKwVXTS +x+KRqTSu2j6uWbBRJN/dnwlLL4l4RjAodnIjr4jAwPORJK9QjOL5ADEiSqXGVvE5 +ddpnMqo13cE/RxQRC37HU3yjsOVgxgVPbEX6iUO1c1uEdAz/QtYEJ76Dze+6b7PL +sCQUaieMFV75b55wxqxDIB8okb7LeJk4AqPNmVuIzcgvrmkoxfUMGbBna763mY0F +Xmdk7x0hNwwrOs8ZnTL0m1clR5hS/YlG44aXpKrfXKjbUDuxN0qHc8fESPzteQTV +9LjESqFs7B/T21gY0mQtgwPibFrkaF5mjQXZU8MYo7QGtpoQAW6MK32aoCdAOF3K +u4XbEOxdyY6gBCWZ/dED25GreZmekX7C39DX4LELWVEpMzk1kaGpNeGlU80KjR0N +giCe4h2K7ZeTDRj+yAIiVBNnZ4AClkWG2TTjRsdyyUqTon0GZ4nN0VQXbmX8omnA +5Ds/1JRnqPC57lQCcbB+OaguNiPFeSXKnGGJQx9mbDQk+irygPCTD15igA3x4gGn +rPt3baTMpldCsSpnMvNQWOs6PyWqmCY4QWWQtJcfypsc/EqBSB0vSAU+4qaH108E +bQgzLfz7SqlPNG+il21/ELbjsn2znRi9rugTaXgyhHjzwe947pHaPLjcHlwlbq2u +fpQwFEsfdtMP5VQdgIi7xAsc6b5UK3pWiqZu1dFnr4cC71iyUGR+C72Y9rPYt6tW +YWwu/FPhInthbHZx6K0sth/VA1It7Yp1M9D234codvGUeU3ikx4fYpj8SgK+qCDP +KHXb5J/V7Y9fjNnrsX721/00zdaU4aM3r8dsijE/x7msMB8jDsG7hui9KXTe0BGW +n2WpaeETwBYdu10i8K2Vp09G9+r7gU853O7qQCOpKN5hmTRXbCqnJWjmzRawnQn1 ++dQOXkPqDiTOq+jzj/7FEL9LcTC+cEev6kSxgVRIjhqi8g/7pzL0yowR4TG7pRNp +7F2FW6AC9F5OJbDHJD/PTeG8i8/NdDa0tUdE0iFzJXjN/NAk0gs2t08Hrk4Xhuwt +gi+mkGAAvKntmARmwmpOzL4GDydWAU/k7mWLqWRlSH3LMXu/4tF/DwLbx8ukPIlD +JC24BXwsEIPxhsYuh2OCvKmSHDp4shlc5vuv9A66cZXTfNbSn4XZOSmDD05Y61Rx +MGyueRCSCoziAzBvNmQGxnWMBsU4TbQGOgURbBmVdtUiTJsx8Z3ycQyW6jqOQLna +IJ+hWuGLCFoprcIs4oTeKM+o8Qan+O0n6r6pJCaw83aMaPHxFIGOJeNiYn8MDIuC +UJmkPLhVsmK8B7NcA9wbO4H/4PfXmA7gv+YNn+YUc/pAIku0us4YuhU0Rb1T3emK +s3Z0fZMVTFhOGvE9bI/OjvulHb4ZXEhX3ObaVHGFO7l7KtxQfmzHJMerZhwsHVeO +HorTldiSa4qC8e9LEZNsmF0i8Q8xHrfR+qiADjTZuczPXT7Yu3Ceiu6qkV9NoDg1 +ojP1r0qKlDq1Qt/D2zhu7j/hvIyXVSjW4Xy/cJ1+01V1J58tP64gqCWiGeDonrMl +nMhNO4C6cxXyoc6Q+BFkto8W1i5kXtaF9WT41mENUdAA2S+VUcQaM61cuwDLMO2n +32VvmoXZtZp6GBOPmpw49XWPdDEbtE/UulluP18y/HtUgmTCowwJKN0UfKJGfrOt +Vacm+1JvyVWm1hUXsdwyh3yL/osGIitrOuL5cqUz5PgWbE9FUM+4sMw7exuB+pGv +QP/bKtcxQ1DCEciW/GnRWyhmij6JXKAZaekQRvDL9Q2xLot9xk2+dRIXt7FoWos4 +dTQeMicoaOX/1qBYR+j271BDLlMbNDVwQLfm0fwVzC4cTATW3PkiO7tblfANkjaq +N2h/8aDjbd7/j0ZtSpQ+6ReFJ4NkNCqK8JGp/42p1qAUqUN+acS8PW7Wbdv1TmQV +vAVOoFY6Mt2KXuRt+cOfq6MR1gbvmAFI+7M+pTxq/g6+jAPboUC8iDMTrAIDX9/n +phH0p5JGc+/XbttUvdYEf3e1ishHogWnVlfdFjTp1bIqroXPtyenNLLZkk0p3bZG +NVbOeK3jEOmlQ5H8Ng+W+8DPZhm9jO8NZu3xu4XsvDvXDbxMorcCiObfuaVaelNm +nR8lozlnLvECe2wl8SmQULNfVhpq6yfnaY46ZmNGXdEBdHm1G8NpQAf4jzLmMi1A +a0ZWF8Q5iWsNB2sZA6jl8JepgWwFzGSFvoWyc33D/CIRQpX19WMOXq34mJHE9hiI +vL0C4vqg4OvGFXx+7vbYz9fLEAGp0wePsgL19elRnGdWS5Gw2StFsrYyxs6frjsW +uF4cMj9vdMVUFjp+DiM2l0Mu31ZUWKHAI11ev1eOKLzDhb/UNbk/eAQ+gdKsc2Dl +/KVtpjsPSjttZW2SPzu7lHY5w4kRZV/Dfz2dHuurq48IcJvH22uUs2Srxe/0xdT6 +8ZK7wAY7/wUitt6Iq0MhxA9XXgPtoD1PaYxOQcsPkxc067Bf8lZoq4y7H3fxwU7K +IX5J4pAaz7v5uLtUZOrLn4CRdVhs+Rm3H8zPNHfDefkosZt55VXXTtEPFbAVh9VZ +bsVi1i6szsewoSsbNbpTY5My6kl+x1v32TxnNonHXlPL1vrZm58RlnyXJXQtuAxD +OJH6x9OZoajk1mqHDqCu4tGNIQHIE9XjNEn1AfaXsEfm/lFUebMoB7apR5x85GLF +tGaBxbfzBytf0hbkRVbgpHEmdiIIsU5hzNyyIfxzrkkiJt4gcavjSlwdE359QAcB +yk9+kP6ZM22WMjTLOebZmNPfUqNHJD6TKfa2ve55It3sdgREWAMeCSZiITmXWure +X/nNCc5Jzx9b/04sg4Q2vczD5KDZtFGdTlkykLWARREB+LP7jr8RrPaRYxIFvlkb +pfkQD9oH2GPIfmq3rlJICBY6gGpm3whRLnORl5SB+OCYEU+nNrWR69pzw0P7tCxF +CdJFg3CbWUBtPaNn4ssSlXSDik1HChrgUAwO7SCwXtXhVz0xrxiXvpMOlBuNgu5z +Old937f9bRMX93q1NXSvSeLkiCQMKJUw73JTyKVhZmlgQWpG/K/nW2edYSgnJjJ8 +6l4OYw9WREFKs3PIYpJ4Vf7+1gsccXq1ZJZ5ckQKFfk4nyt9gd5TJqHKORmSmCIy +tGhfdD2Cz2ntS3FlJ6cG5YMquCf7JJfHCdhZzj1Bg4zwN249zE5X2daGXRYnB9sW +T0I6Inw5nHuUyCQE755bBu6RXgW0FCcDR9+LSrcbuXLqQLsbpOhIyD2yWdg3QWsr +zSNor4TEAE2nE6x3jYzLKb+D8eqIMy+0zEFNN/YiC/+43N8mumVivTO+fOioHVz2 +BgrJFgwAEZkFBviS5iswFPFpbbG5YZ0cng7FCFNrt579Pu6FoQl7Dgdh+THFjQ5b +wIotDYw+nUFP3nOYVpiwAVRDn7HZBf7ZcVKaXOVjngMy8pPeWGemxmB8/c2iTR2W +jYPsM3DavWAs5410EUnKDEcHqIoJPA1Uv59+LBOv/GdFph060WozGcTWpgLLwRut +zhK/mlid7vglVHMBal+frQukkt7ldIYwnxpSbLS2hscA20m5nCQ5xq7oBdky2Fpm +fuYtrcraBCfBzhAd9eD6N2ySdr9BEAfQ9Mm6u+TrSniUOnwnTO7MOQj/qsDHNSs/ +qMZww+fyOj0XZ2drSpL6+NKc4a70vL2r3JZoncJjAZp5/tM0Ss/8RzUr8NcibTzK +4jdxpuat/FeZYvaV+2v6Vw38p/pPkPfwkMkPdygjkT543kXjWHtXTZKBEFUwTDo4 +1gmGakZ/vh4+K9emy3Oc8DOyNH4uHgZAprJNeNN1B/mBwaz1XLZW/8Hw+dCuc+b7 +zZp9kWXOfyLZQV8vpRN/SGiv68E9iCloWzBUbrehkSiDuM39OJMjvfTA6nRyFaAJ +iGnT0gv7TQ7aQpzruPRRw3kYov0utbHPGkRhgDu2IQvOd3V1hsvHVlLWE3hVHk/V +neSpSVCTbXf5L0oOr6vD/VsuI+DZ5vwO4YiyZ2xKrSp0+PLnuIkhRJWLULZ7TWuq +lVetH75xVp4kTMswfkBe6mNWCYHUpOVhLj6Gl+kVpBF0PZUKxjsAs0kUn8AWGzEp +k/ZKJ3lfmIJAoKMCbiFeja1qGS7CotTxv+IDYlDdTYOq6A5HFSkcQ8ocgcEqMhGo +Zsrb28UQBQDf10c9+IU3G/T+GRFrQLkZ5xt5y9k0yV913YjeRlAyTWB2EHiSvk9Z +Yrj8CnPCH8fGJMwM4EyAbgwXb86HWuqCBgyGvFxR6KntyQ8vmv7aVjkZgmOWfQLB +87z29dE1P1RSxhEF1UUOVc+rAP40bt9wqwRKgOfzriZCoCDqzt/AM1fNaGdmjQIc +cBG9IbT72g0SvTL5zIxLvB1svOC12HmxMzR/9tgATXWjqh8tjhfph5MHMJ4z05aM +6GTEVmxejh/OK42l/G87H4QYLZ+pEfgGnWMeet7M5vWY1R3U503ypPd8CgkjjKAd +aRgF+sKW5D3NUweGdQCVQPv6jfoiixor1GqgQEO6oUqCqr3374OpDhhWSkqWwmSP +xFE9yOCTQ0gySwWpMhJyNZp59MQqxoAf04lLW40QZ8Y34bgm0sNxhm1uzUDQ4uOp +NxwqL2widddnWPlhJRnWmja8ixmZRcoaTbGUgUMIHDJwdxeyXYE9B74geIUAnA1c +83c8elGOKIZSCfST9lWS6ltWEA6inBGExwHPgGvXHom+kMn8XAAc/AD3evndv56l +PCWR5t6q+LLkhUcibIvMV+85zkvkXBTyo4hiqpeM4WG28jx+XEaF7HLaE6lqvFfE +JONViq9DSW2oBoLwxvPNWiLc7MGZWa+X0vmJ9sQ0M7WrULP2MBV7O7tJNG1Jvlcs +X0L9twv4ywYIfwJ4ev2XBM3Hs91wPKHHrpfUDaYJv9G8oKSi+koL+vdAQh9Ce6wt +f61lQnuOEV5aaARo3XK8aGvGTn2b+LI9qYkaZvRsc2sKZzgv4yBZCnb9AYQGY+pD +PlREtTpq3kTzxlT06fOdTWdt17wkmw9knw85c+3eozfy2TrBvjavPrzoxoVX5BSt +52X74F1hgkWuBpyIyx07oK0L5PgJYoZuBsp4Zdwie2G8SRNaJhjknUVThP6zilYk +vZ1ZAJgRkekdHPQj04TqrTlCzcWVaViQ3yUKeZBr3PbLy4g7z7XWz3BTaerrKRzG +56YjvP6EehHwHrfkpa9cbLmoQJpZMz+60Figi984Np9OAwnveQwDoztuAf8eK0jj +cRx3B+eJG8a+pjGlZhomLgRP6N6IM1ceCu1GkfdO+wLRSIsJZCpjDhiARuEOS712 +ZI0H48qZ2wEO9NgEXTAYes3QTlXNOIfjPDXYeCd6nsioamWV1obGCk5wdrwwSTKD +sFgA4kENJP5hLpS5fvbiyTwc39mW7MCwHIF+R7kv4QswcP2a2EfSfXu6Zf2itTxY +4YyAo6RvUG0up1K9m02oB6wJ0I2epMllTuw1GXkcY+fg8o51kFKOS4phTenVuIpo +IFVzTw0QCOpcIV+ZgEBjJHC8uvmh8vMWLaaWuTbCFzGwa5RdNALREOuV7Uo32EWp +oOG70BtBx+uj/Tq7sQpbWJb2RKWCRDjZ6tBxxLYVq3j9rNrw1xXaa8jsmeMNVi0t +C/ULjJZwFA+NDHEO7B+/WQkOZVaSfDvRkerDBnC4tZe2MF/S1bEMwfSjEEN89Ucs +TrnNhPovZBanfW6lRlgLdS1sYyZXdfLy+yIJLyG+O2Pwq7vR0S4s5oBzJfeXv3+b +vG47DHUYDZqVLIumgimqlXrK+Dl3GgZhSaeW5h+Czy+NZWubA33POA0Hao3DzztP +P0jaTshZyieaCV7zsrosxozyOh/3V9RqZiOVhBSTLQRWL5xH/aHm3tXgb9DWY02x +RSZfEF3SoPh/9pYHuhaAGcXtxxd13bl2RtOBYl3lphOO9nkS3Fnfk8jT9nHHugMJ +OgrvQyrwIs4ZbhsrEUpInnMACMTaUkBikI/9NG5lSXbRKl7JOYesnya7tJ+T90k5 +W3muitdTFWoCH5LzS2+oxWSxt3225v6QVVP9MSCyvy0Nlbo0OTQ0+V+h/mvTnp9+ +V/owHBx6Aw+wS0+K6wqt7GYDg2/OJLewhcFpBUIjpo7ZzTj7faKphyMZgMVHXPef +G+8gVees6pf+WSZ5JPO+pKznKGlUAbl4N0EbyIR6zfr9AMfTLEjsJe/1vAb0X9gB +8M6+Orc/IJzc8/Vz92nvTLc3UYmMv+MiLqd+ufSjmG+nchZknNzBu5Q1xgjJ03T3 +D9XU2PUEkQS8ERVZccYJCUCmWG1w1BYwBNk5+yeYhwsbWhjFo0CywJdVosTkEnfN +YV4g6wXTVrHFT/NXJGOJ/spO7Y0YcxWPH0kkHcScvVNgRRgYqbL8zhYPSr4PRxBv +oACQzyzOdYK4b7jcJ5sIGc9GA4oXmQPp9H36PSDCg6ygocGEzQ8ADNwj0dn3bnTJ +Jv66XpbilvGlXUWqgOuNja9fnP0MmQWfor5XHidEAkwfMzGPMoA6JFCr6FwR52Ou +rLqt3viRRcZ2Bdw2EeIlPVYt5PC8988BgSSDfEEy51Ns5NoHkJYDH1RMJC9Y97Xm +3eKVvku3UvFodxcAwsH2Pg88d5RoQml4T2zkINFpJBs8/Lr9zwYhpou/7/kHXq0e +wz7GMPmvmUuSZ+BiampdR11WwftP5IMnCOOHDQnp+7K5iv8bjgyh4g60KllgkOe4 +jBMUDuRIJjfifoJphVbKwJbVG6kpXi0uuADnErjOmbOQeHbSXdkB3zCh2YwbJEye +wW4Xb2jdt3kfDYUcWKawugCEMpiRwOTs9nU8bmpTmVI3ooIEjrsBGzz+em7/f3ly +Zx7eYcpUs0/Xvh69683aLIB8K3v+m/f5q3QGx/dZmqrP17qOuOonEmS2bWra9+ed +XyVEpu2N4z8YPtZ3HWfh8tACiPVfc2KarH6StIxwMGs+4W0kvr8wL1ifqgMcbI/Q +BRptYQs8RQRvZe/xziF8aioRACZ99g79QqHi16s9lzjAPqmcDEiB3cc0j/J4zUee +1KulsSuBK8daBAXDk54GgQ9AjFdstt09uSBw1FOZ2fBGzyVX/RlW70UXfHX0FsE0 +hfbrgmo7YpWW+HYOvIiQxsB79ZysGCfi9oOVUFeNqmK94VIrNeq8Y0t6nCl6h6ns +gB3AfNhCTeTnUPpIC9PcSfczKkcMNlvaIiKUuuC0I2ccEeE3MDpCvWXSmuJcEG6u +mxyc4eSR7WBQd584JduHJSqzToJJcusyDZISNu2YfqsMeX0bXlrWz4llSetVspGz +w0fesdGwr4UTZtqJTOMGoEtOVvaeQqaVaeufw/k87Q0KL41PW4mEN4FfTgNaS4+R +Kmu6WDppeIyBF5QOMkbgTxLVTnwlUgwxIWYRhChF+DGb4FOhMvmw500gghwJ4Q2e +YEUxre6iCJMKNerMmesMsaYj9mE6gRWkdl0lZIYTXNjkHNEdGVmZ8ilY4hIe8Pd7 +8uHFHzXvcBkzG8rtTHRYQIlCD1dGAxrKuS5NoD20Sd/3sUc5IKJNFylSrS5KHbGs +mPvHoro38SUkmkbjjz6PAu8s5wfbd/un8dejl5u7HaQWo8QcpZQAQWbmifxnhi6+ +H2u8NPohq7D+4gUGMaR8R1zhLbJgaoWr+PqNTLMCMAJfDYs1Jg2dQqA6G/K70VjQ +/Ooi2XT7ZEIt8YY/OUnFm5yLSZVA07ZUOF1gDhCWa7JdxQaYxE4lLKc7VtaqtYIK +e+COy0oFDI2ASS7i7ZWYRov8ej3nju39qIOccg7PZhb8dEefkNfwV0Ml/UH2ELWz +iZW+n5vkaOlS7lHkPrmnNapuJ5Xgx5R2o3pIn/KT53oeio83zkjaosesP+wAV5Bw +V5wsWFdcM2A078471v8/7UAly6t9cGQTBJPRPObV0RurMulDtE79UoAFk4FAPj8i +XGdoT/RVAlIq63R7rM3w8vVqgxP1d0IoaUOkd3qBXSph5B6ZZWGXqjIi/dvIkLL1 +eqWl5GRNrgxz2G4fFZIURiCVn7zsvCEbqcXMSKT1J6vCoI7YiKJwjvGWEiPNLYtW +vYo5gJutUfq24lrIRsVsht+vp46LdSICLl+QrGWi7y6H0GObvUw4+iMCYw2SZEjH +ITZE/XQZRo0gQnVaIbMZLoY2N8RHfBSvQx5bvbGxZCPIz3LiXE5/hUton3425Owd +egDMmeFNYrZDceggJxLaD2JBrO/8VmCAaho7YEGq7ur4M6/lDbIGD4idIrPfCoyS +KIdVIcXdnjc0I5S4w5JAMvvhQ+Kr7nMobiy5zKndIX2a0lbXBJiLoC/98oohInXm +14u1dHUwmZ4TeDOZM2FG53QIToXktmTHfWrmEDcpqo5pFMnUckYEPotfLvs0JlUj +Xi9v270WmniUIRAuJQYdbhVzBP2lDTwYDWB6EKZApMcEdhyYiiQl5SRdSy73rIKM +sdmagcbZaXDG8n6gBTBofg5qLlClypEUxiIVq2PCl/ta2mGL/cfIROEYjIBEfQ+D +dAhyJLYhnepJV6OiCP9x/d5uLxrs805JST6XC9fuoz/QxxQiEouKGzdy53LBP/lc +LdZ5D1klrg+JnpH3iAUn83LzgD1q5fQk7onP6uv/iRHIglkL4uEEKHXCr4dQFQqz +tb1O6Vcjgd8kOg1OTMhMFoYW7jElKg3Lk3OymzGruhXBOkFCF9AaJqPUwo+gLdwk +dM8dleTkTyevRCquwWTnZOhJWVFI5ADw2IjewsrfUBoTjDAA8qN5ZfreJZfH1JF5 +rfpjZ7gbAwQ8On0egd2gwgYhuHm5E+zUUL6e+chUrEXB2pw3VOk9ZPEl5Me4EOFk +xq+mbrgUlcy7iSmzl4UJvxY8fGCi7rUrjWXFeg5YzPWarxqcXA3wIHjXcAx7DGuY +xVa3PVpKvBjme1Ou+lLENUa2s0husl0T+sWAT6k5DgFm6WtlIiMXkFT5xET3OD/S +tCeD4x25dE3TfHXjqz3tnJ6y5MUK466zZHVrVY/1+eSufCIgisen0xhtJmtS5wBG +9ALJsQxeCIICbwdJS7gYExrotzrttgGJWo1MPnrpuyFYgZN1z3w9bkBgQaujk/Rm +MZ02nKPm2YENHHxXBk86TihLxZSp37Bg6KW+5p6uEroBEd/OZ55atLfcBvi0r4vH +IB1uwLyL8NIjnW9sW1MnCI9o1ST0FQ0nKtSURTwe/bbzVNKR40JL6BlJcAKai6O3 +gf0w4eByBBNp0j5N7ygtKYTluKF/FRz8ricaHHoYoRGrna9hTA+H1CYR2nNr6seb +LM3Sy5R7zdXS2cfoiBzCS46m4udgyGHqmt9TOuwnrWJ51IhTxakM6fdHO48Qza1E +joInxb+zkDgjYkEH07WHsgcMvl0cXFnJ0Fpyeu810T4B1VfLgGtJCwb6eKd6xY17 +VjXJQlxQekbFXyMbqg7CIqh5XNNeMvUPKy3XcWSJItpV4Nbwr+dIY0yPsDWTyW2j +mtvDmpoqEWBUKqI1pv+xH+i8VTKyo5t7IYH1pkTJya7rl1pVnnN5xPaGZz6MMSBO +CoqArlDsLsL/mtpwkB2r9xg3Fr3rFcr/pRjWxzDhdCiPYS83ByzF2I0kQMvk7fsS +K7AsZ6IuZSbR63UbBcXauriJCRMUhljHMPx/ewNcUmnBL9VtzXp+ZIxpWo06XcOv +Xh1ryVLeEaSronf/ilYDcLTDD13Lz/Q0nyMO50pCH3wGBfhdmoXKx+/uSiumfPNI +FsszzZyZxhP8PqdwPa5OH66DbTDnQV2NMUgRY+AWweo6NyT86+FFn8mxUGfyD8V3 +PBCCOi1OChYeNb/tkD8QXQTANS4U/2fILwu9FjzkRQVdYX+j+y5IskdmSSKop0Fg +Kok3Ucal/LDn36yuVUNCm8Y4TeaVGN2rZUgf6eNcWP+HcoPfIwbzURREEzMPx7Kt +BvgDSpYxHgKSEM1U3/bLIUHHJuBNLPJdf7tHbMxQLI6zYbDXLmwRbN9yoXTZRrau +IrHD0QoUfeVoQ3VExD+rZJtYTxsBkgR+xrN69tMwe4iUxUNDZtlr9iaVUeXnxfDS +X3MQJCpeuCqOgU2xy51/fuIlnjdzwFW4TzPsp1nNgNOG7fQJDdCnKanVCuH40plY +WsgzTwtRftVIHMUKoiJ6uV4wkKjQP47LX57hwZC96gnxX+jhpvxTEAYHDIcAXH0x +gXPt0M5QFFMj/eyGOz7AE4250Pu0AgZNcpZDfqQwDnUi81U8FLEbPnjtbFNEjgEB +3sQ6O4G6K0v9VpJ1dozN/fLn+s2GixCXZuL7M7ugkULkTGwL7yHGoBw+W+iI1V9m +WY/prMrtKwXVHiWxDVjYQjKUYmlI5NuROGTmWIPVv9ZCi3RNBTRetT7X96XbLKzN +tWPLrbYBSsOESSvXLWGobz9zmPGBZDSGyTGyQN26vCUamkk4tFzkUzOEE1Y8nnQr +DCio8RgOGspTcPl32AF8Omj/3mGF9/pWvWwoCuQeJ/tu0Heyes4pADrigWLgZiL7 +Gnt/GVrCyDrPBvX18XjbO8Z0KlqVP4usxPjc/rMdzDHgm/UVkyhbOORZ8spSN8MJ +Lo65j1FvPb9f3UbfSYqFvIXbZv2Eqd4q8BUDVgFNozNWe+u63EtIQ5JDL3kmEcXi +dO9htwtlEQrVRCSNWJTyYk88odhrIG44AImIVpadjr1yBb3yu7KoUC4HuIn6DOr8 +gyN1sHx7uewsewjahgZTqNI4VaOUXyQ6arnNI/9UX4rhez4N29a4JR+2Krx8XSgE +IxVIUThh4btMmMM+9xZuxHZWSvHoB3bETXLSI+Uoy9P1/QAgE1Ib19a1ksekSPva +mvnvjW7U0jDpYcF9fFN5Lo+IrKRVItVhSUVPXearLjEChDBhUZl8ElUS88gVBXFV +OLj+6fd8fGNN+7YVCzbA8sPJLn4BZssI0e0LJs3g3eQJnniHfME48w5Dq30VKRP5 +wHojPHexAJsZY/yX3O7h4O3fAGSQk01lgkeCdwsKSjJMSzIoMUFVEprXG2W0eCJc +9lLA2ddPEfs1TtF5gLIoTXdn75IMJZex/TLpVYWDrQJkSj90iRmKmDgxEmwxsaH9 +JB3J2YmSWwpT1VVjEhfgbt0tb08ElxICINM42noC93tvNBlQ4i4DUlhr7ALMSqKV +O7f3Oolun9Zr7pLcCEHLUCKu9BY2FS/Dtn2nRUWWR1hlSBcJ4jZbO0RrMWcyLkmi +bBTc7JbT5MkFQLo5W2C0G7T61yO33MtpOfotn7C3st9O3ZUoMmNmOp0KRAI57ARv +BgycvijwkiJbl3x0Cl5ssV2b9Z1ObAHbIUG4dUCtB7vHdXdYnN81wrfoojakvJWb +zmNp+EpUGtYp69t3ex3WJDic0TL0JEWBOqcq0v3V4ahkC/RxrxV0B5+nL1nCOo6T +LuHT2xCXVNKYC3Yu6w3PQtzogaZSOWglzcQznAG2iMST1zH2ixy8/zKxDxpN8LHE +FqKukuwZ6DEPWhaV50XPS6k39SJJzCgs0jtT/UPkuOQDb6ShrghdYKSAKxZvrcXm +DS3wvG7jqxByCoOdtpSQg2WB8EAsSMeaNE67pTscNUPxRsv0EKtV98PYz3u9NQt2 +lpCZmRWMV00NQUcMwEEsEs4hNHkLZeZ/U3xaKqsSFailkpaF10Bj4fEvvynFjULc +dXZWuNGAWZnfIoo3xoWfuk0ryg94Zi/DL26/rRSwuQjvwZMh7Zx9EQ+SRTeKEupt +tnIq0tc3nPHRYLcmQSogcr5RNNAx4VEibMCuJqG0WKzE9OFcAgdJjJ/uSjNkJFqJ +lYj+g5OOhvz3M9KcsnFAjs0klOYSJ2FnlVAnsS+ZAicX62OSNBJ5YVnUvQqwRutC +1aH4CQ/zugOpEEcwS9Mp9qh7+psB+ZCRcXNqmnNs8plhr+JvtR1Oc67xPgNHedIC +lpQBqZxEJkrWWz1VJUZwb1wbXTfLs+3SUsg9NzpW4aZHw7FmKr6HtvuGg5zRC7Et +Xqq9zvaKkZDD+NyLjiO1RGrYTXbceh91fzGGyWmByCEPBW8NfykXdy4aGefxOyjg +zhrJJVKp0auQg+aei3k637L8eiI5ALpmQhgwIvAoevg/g/s27CV4hBasFs3dEXM7 +8OasQlL22WzC3p9tUk9dfPTu385oY1+1elAssXPqjGzUew6NbMO2DEb8oMBnpoLU +v9TbjV8Fs+j4RvNLWufGVtxmSiH/38AdlZcxg0KORbgFJ7Ex4jTkco0p5vjrZlm+ +wdCFO3cP2gXgYmiBPjZbli01Dk8qJD/8qcZNAk/OvDCBr++HS5dF/NQtA4Bfe9Wl +ESvsiZRkkxBEG7+YyUVsobdnmbS1/owAQ74OkEvdscJ6LcbTYLhrW6zRTTXe/Bnb +DAsc5BnW2E0Xc/h6GDn76r7D3AKTFS9VrZCdA8vtTvvDoOvCPIPxJF/PtmZN1OMB +PbWs0doM8BBEEeyoigwUe4QlnS2GNj835QeiXJNJrAQZVg0P8Zi6LhFD+HhEOsb9 +f12pLYAJqV784W9ZJx6A0QLy9K5w/+zY9du/H51I27VlIebw15EOqqf5OpLazRko +cz+SMRq9KLEoE/fMniCU5kSIUDtZ8VmaSEgaKwfUNMmf+kM9PEgXUY6zqADrtSQd +oTdo+d8k5/gBTZgXcZnhV56exn9vnHahUPlkAuRAzCoy2fi7aeXgITeKg1F59itB +FplLpb6su9v/YZE3nS47YAeup5LIsW6YMTi0PHamK+yNs0mGCU8IzfTACZ6KCk5k +VV7CIXbE2KgQ1zXfJQYtE14/FK4D7quH3o1D9/+x3St6Bxwx22J413Acp3bzQN3u +yBQVXouJ/Tn+y7nTTtHsCOBSflydfYpFlAN4qhd2zOIO+eNeXoM2LQpYS2g99FZG +exmw5doULx/3OwiI0OY4M+bmGqKaw2yOUT9aSWPAc+oysE+PujxFyWq2/5IiD0VX +LvrvaA/MFBlgoEVwsfwrCw+oDynoLjS4HOiJXVY2SMB5ISFFy1GN2GTxK9LorUR7 +p0xjLAEBGgn9YYvyRleIZt4sHIrjahiZ9IfniPT+9pRSymRVV6oxnmBtDY9Qtiss +TExoRqNiok6De7KGs5CHZOjaz7F4Ufexye4SYW+SXJ9994aDGL4LVDFrPUBs+yLJ +8foW8+NHBNbaRfLycZI/kVFVdMzxcZkLNH8+aPtp72ncPLAH89TQJtQ1cRbG2XvA +z5cMXpQQVbX/OtNUci5FjBG91TpY8CO1mG+vcpEvDpIG+uw0L5gu4Z/cOscDFqPR +2Vxa+l84z4qHDL6n0hRFkduY/4gdqnN6nAAzocO7RJnnlQmlv37obV5hELhKnlf9 ++25j5myFQGc5EvS0BbRzQ/qczFSWTWjnDp6Bu62F5hW/WRcouRMN8nkkWbVYmKuv +bOMSyzmMEBYm3FpeH3GsVsQ1dVncWqi7hWc6cCU1+Yc50txBY7LcmFbg7Ui6b+rO ++2vWpZnZvw4mDb3lHF/1d4q20mErr1wWlijM4I2jYsbFZUW7ErBkgP1ECDdtQOTt +DL4OBZdApfIUoogHb0aCxRsWV3OaHKoP6HIivpoVlMT4TMUeSCuvi/jl6OHWEFOW +bBGF1Kt2k5arC/260UWeNuTJdHkAl+R++EibBkJoPKgPmB2izuJqkW2JSbIrDYYN +N8AowN2zB7O9yACYnOxmdHP3b1eTTB3SJshFCGqOvdM4UX2adOelAiRXl70JvfJx +uQKKal4GSkxa7sbhCSsV63uv7mdrmktNy0v5P9VResT2afQDDcmJRb45uZu1hUIL +r5Q9fSNPm7LUfXTMY8A7yvIzgF3RvuPDKcPUSb06xO5vUjheUUS9EApufC7+I1fP +lOTmUeFMyHbTrNfuv6w3XjJgeIpmj7eoumdCgFbLiK6pAS/WKGPxGcixvkHZBfX5 +1u85Fxxz8fUBph0N+9YkES3Am6dJc0q7tZuCaZZA7To/iR6vUK+lH0b8811xkFUu +lM0ONzUVDYy62g25mk0Bu0Sa0uP1V2k3i8Gl/E3WtD8o0yt7KRbyoFmQX7hXN4ho +YFxmQVUZh/WuFPJGGu6bZnq/BexYG5j2mhISeeQHnSSnZJB4KC/8gLiK3LCC6WNh +CVihVRlnPQyPsCJwfan0IOcLaPeWYnEAl4WlkVCR6HnD2aRUqWvybh/7Hj9ztnuS ++PLaEoI/o7ipRbLD6tUMmn6waXobeYMNY0Gz+MLilPFAgOpnHMIf8pSkxYa0WOfN +VQRzZY5f2U3GRM1qLnTA+OhNd0Se5ZDIuF5O+ovPSdfuelzQucxNwGXSZWh6WvMF +HrK8q4aLF+UtxoNPHhfugXhrohS6EvmwbNpc8MTT7zn3yXnu0PxXPZURLhKh2m+D +w1rXW/vAVcPCBvD1qrRR8fxBLNYbh6NqVrOnCX7DspgvnI3a0OM6zVD3OyolOzWL +IrG0GrqFpd61ITgz7vKJsC6gkWsQ/SFyViY2Yj90KjLcpoP1htphnt8hHqh7NxAM +bfOf1a7HOTwKUOj8PyAOpLfW+TCazTNB6n3ZL76O884Z5G/Ziwl8tlx1rpBDE5CN +x8ec/hs406e/FkhTu1K27n4Eunm3KbiX6t2WbpjQwJerIz9DEtfJYjOxB1t4W+vC +HkH5UHXnX9NMgHxx9tmImud9xSalaHaBNMLS2qb8B1urFPv9UK4f5bl/C9x8geSd +w8EFCgwmV7MLjhrT6NyXNmHG/jxMmp3ypdaSvVBqUubMpW90m7ijbYdjDiQz0k2r +P1oy3AT8ST08BqxPcwx2F6UcnwSK3zHdFKAUElg36C0vokY17NOVUv/PzdhUKpqW +NJzYB5ixaPaLXw6+wZ1Pu7VTWP7kKhz2MQUFZhXxDn+RJLDHxIfoMKziegikRsNa +Cn4m4FyzFjKcmupPhJfcZFOnBDpkuWahFIRMhiM1yNkyQB4ysZqixxQUbxHVOGxj +CaL1eE/1zYsnELzjL/Kf3/RiJyKEfN3zxMFBq62ZqzAwZK3qMda0Vg9vDnYaax4M +dZcwYK9dH5cuB3osZblXaXj8Csadzh8lsS4Blpg9nF+Q9rlFvhkk1kBmO3PNvRSM +ixmYMKl77rYbAjc4u2hUiZ+CyT35sMHy0heUxQX4/Y6Fnot6DP7MEkQaxmaJO1KB +LyZK80yP6fS5unluUwabmcncQ5cY/yAhbmqZ9AyL4JdGE5iM+pqJOu3NU1yw9OtP +QxcVFlNS1bqiW0QmlWHE+1OYgaWzyDq85XNXCqcEx2+Tn4Fl5KT8jYd+UjNacts+ +8N+F2hYiGzCKxTFlZXPup+0priCUqLIYMpLK5ULlhL/kM8qDu9whXF2R6MtSzWES +0DM4UWOCHsilTZn2yK8CgPzPzabVfeVGJQAtxni24xYuRJ6M3SO2g8j0s/A6eq6+ +LdqlWEXnhqLWBScVEcr5/ZgEyn83tUK35ra2XmJ6mxb57cXEHQKnt3qiZVxegkKI +Gk4humD3EePJiGLq2yVHZonRGH3lok4G8gwU85kjNtfqw1s2b7mzbSSCdNnVKiRz +q7spaG1erUCZ7RKpepGj22sXNSf2gdZltobWRMyqsA638QDzkOXR86ZLaIq5Il/l +oRhwHrN8Is1QXRGSXKYY3aZoqw67WUxv2SODdBgWyT2lJuXqToaa+W8IyRUdi0o7 ++QHcy6v7HuaXHPx5eXf1Hbk/s2RAcskyHFPngrNLgRavOp+IlQsc0NqIsJr9+cKt +likPWNuIrccjfeOZ9S/NZVyqX9FxJAHEkku4bTsHLezTVtTf5pI9VqBZuHLUlhGh +kfAZyssNKQrSy1dhNe5a0vBBpS68JiHA6VDEBcfjkelf2Ycg17by6SZtyHMRp40G +k8LNG+EblNxVR6jBFNQOMf8v2RWteWvUsnD54C9bJqIkpWYYyqoLyQqVKaitnYHZ +NV1qz8C4ChXW0U6YKKRXj8PFP6isSPkL5EQB1U4fGORmgBJi4mSdRuCEh1/nfkm3 +rT7Tcxp3S656rz1ujN0u7gBFShkj+rMnbCDlum7lU2Mv8MN0ACue/rIh8J+wXzgE +y+LQU16ZjBQR4ftN17tdi4AZcHMuU6GsybNtyvAK1eFmq2SylEh6rTdtQAj+wFW7 +Vu2Lp46KLTo7B/Cpct+S/cxfX33H7pVwen642az3g0Dg+Mn51hKgr3HEQNVH1ybV +roIsbaGL45+yZmZShODtY0/TBTA8pUNQBYyjf9g+ZGSbkCQAnTLOoLByoEMMWIJP +jEE/9a4ximTtlWZzUGVxrotamsZ3fu4u6mx0vEHgsO+Gy0le52y13kVsFro57Ii0 +uNHhS6bMCg9rdTbq25qYy93IkQhi3mGMFdaMafPH/oXrQB2EliZKu3hb19YUj9IT +Pxq/lMBL/JuEsrsGnK8x0tUYxM1HQQKfQ3Whrw1UdcSQSKAHpDm9q05NARJp1YUf +MbRbaeq/tTxb5BNeJ4JYQDSyQV43ztBZz2pjYZfoSCSsa35GMzw2hwey5lwoAt1e +vP8KKyVgiBiDJt+KiBmWNxJkeQ/o214IZSlRVa2Cwvd8TAr1qUoCvzBL0CsAL0ei +1xC9g4S1MICMioBEQGx1S/Ko5aeJITVbkbY6XHE9sz5pXZXBiuEutND9enlXJvk0 +HKEUYbN3WDa6xQoA8V5IHs/VozFNsfNbeK83Ib11lwinX7h7mLsphEYbX9FJcxJW +Xn29/ChQ+0jJ3YAsAonVlIlZ/UgpA3Agigu/RCRI+OTLlf2vFpSbqafx1JZH8enK +/Qeyr5oI5v4+hyKyEhNkD9ArQ2k82V4vSvVMCoel2d7FcNg9QNV+msoUC3genGVw +szaZUB/i1HuS/Q9I6ObqNYIFI6fXLZwZbTJGn6I1n3rDQH3QFsjE8dX4uuSqJ+Ou +iin3C0URVRFWE6icRYu9QvgVLTlrfT6Ld6ljiw9nqwAhd2MgfEswipokIwDM4rdN +VLk4uKm4o2BeGS2RFaJu2HS7Mg9k3Y9zDV61rBVSAAPRcHVGWiy6HN77ewzPcZ7H +snIeM03NfGFMDL70rvebnuagTe6DZEjIMegVAXFCPnMtvW1g5kuPm+XNkl52Mk9L +yuEmpyh+AVIw4IZGLFp6cpgNGv2UqXAAwKQ7U6Acs+n3ZQrAx9MVVuG/QfYF3wlJ ++zFAgUpQeex7rGvCZAJo0XJXfxTpoI8mhBHKBdinpnaAIous1Ej6DxrbULaiUnN1 +DqKHh+beVEa0m4XLRWXHavGvAVYNYx75PB0y/+3fIzaW3Bqw1z9fN1ZQcT++xkBx +mJrks2KU31c0rmUYbA3321pPMHG31Uw80Qw8zhfXuSyZgTpAHStU5b3eShobRBTF +FQRJ2lZ5n4jqTsjRyhUDwEHHgsnUY9aNhQQ2aucmXYTJzezpUOBuEj4Y88/Ni57b +jZ182Rq9vBpjBjyKm9lnOKh6FO7+Hor0acOGhnN5rZoS3n0/RPQHHQvBogsBP3+P +ehxYoMVesg9Fm4efdIPkI1KbNRi0ZZZJMUrNtZo+scl6LuKdtb7m9XyXRHUc3jso +khND1S883f3xWEDYTQIcPbOVtwUPEqfXKQgyHPRpYhvbSyIz42Z2EV2t+XLX+0Aa +TVw2Es0cPAOQu3FFtswH2HSrsDSaef5vmDVSZ1SW31I2cwlYRYON/0bC07mCuoOF +Nycin7sNbb5lsvI1WFr0vd0fbbxRdF2z8bTdxM8rkA0zndiCs3JojnmUSZQ0Jvok +E4MeeGMDH081cO1KD5WJNy+yBrlMyr42tqz9sxUad7UNvkXUYRXmLwE3FDFt2FSN +KMG5TVTDUQTrmQHf216upjtv3kcAZag0dh5CkVDT3Y8Tj3mxH7nPKzwMEpQxBQcF +6hHsppCGhtj2iqH/qoScrQLE4Qh42OXX4Dax6KtuqVQzbPjvx+2LX8h9aMs5O9jH +aokDJkSq8bzevNJBOze4WSDrjmOr8Zm/E5hVzlBFBT3IpbTqHNhRzevngcvDKCVV +Gc8BnCB16OORj1bAD6aiDwKtgv2reV/5eMNyI1t4V6Y0hfrIY7I8F4E0fCDWXgMd +lPWZrcCavRyYXItND+AzA1dTB8g5EdnWAmRz7oz+JmyaE2eDsT0KBVkPO1NJl0kZ +QBx5NrWr4GaVVb4XATcWPd77K5xJismZI9CdeDMcRHOpkrMEzl7PxRuiKR0vCchU +an6HWN9E69cJlK9T3XWyHp8OalaP+NFXJIBi73MJR7g9Bchubq+0dsBXjqVWH2Fw +8CzZxlTnm68OvgIbWO/wYGCc2cB34rcQXyXZW1QJnopA4rKvrmb/w5Gp8Pup3AEI +Hj0MCiSYe5YAq3ybEU+xjMqynq2gL+3e9E+ysIOyzc+e/XlOuQYUxYenysVf5phG +H5hpqkfC7CKS0Gx00dStElSGufUTHzH/tEtazT4yC/VHhQXhEKiy/NdfLQUEqOnF +ewiEjNG/ayhGwjmzvCSfmg9fSzXCosV6cXV9m/2jurvU9HvTj5Ceal9Y95KjozjZ +Jn/vThd29gm5Vb/MavXF1vm3RtXs2OdBzk+tLo7ECszpeyazr2UWGceROukNaA0p +guGDn6kVcwtGrssoX8OnE8W8V1I/Rhq5Ct0ygr06zxexRY31sdfEvarho7HBo5I/ +kWcBpDNhaQyWbI58U4nrLzgdvg05OYLNO1Knu06Zi1bcLwID8ONVawOuWXEhrfTJ +qh3vnYKcQVsDLvW147L4FmvplzXumz69SKZUxBkBHfj2c2z2Ku8KQBuohJoJYD0R +sO65Dmi39xfb1iAi+RaXFAKZM7GARSIWel1PfS/QWxhG2My9ga15rhw//G5s/HcC +xBJNSOb+p46/ekhYh5EjfX20it6eL8UKTqaS/eYK76RtVcH6+ZkyXrThXMGg2tVF +UdsgR/2v+pFWjuq03qWwi9fNN80SadrEtwP6V6ftok7afdJ++qFA76KE7PXimD7g +unGeBPsGCXoq/llipSMDpt4jNIN08bAO835EigUnqKb6U/Hp+GU8WN2ke+7Kekr1 +tUOCvSWbP6eVQpgHId+J+K8pLi0xSv7jHvnxkTYc7NZvRWyeq1XZ0bIiTLetOJDz +y+/aEfQr2OjtipNfj4YUnkvZOE6PdmwyzO+Dm+SLi+E6DVD79Vn7L8nPghAYEHdT +pe2QqRO7EQvDaUPd2Rcj2Dbz4WLGF7cACcZSXHiRydHlukRRvUqUeykUrGs9wVP5 +uey1EKwwXEvAYGDs+b6kGyMjxtV5brPmWWRZdHV2j0T03VA1mQ4UOddXs8mi1nmK +Ysq7lHzWZqmT05ys4WZwVM1GDs7YnB5PG43gLUY/N62LlnLGcRaZkISCMe19G1Vg +oVEascnU9CT0card8BJPbm4xVRVBJmDMybPP9JygFSVBShTXT3gF19bXaCPrF/qj +OmyxHyrGacqmPhpDpZDehFXw14ebBNbieN7KO3AOmY5Cxxf874DqKzcwKZC0siZm +nnCeFYHgwBWH+Bu/s1a6ROUwrS8Nu5uzZUIpCK/FvJVWWJKUmSwyhA3DjuugqCLr +JzzMRTgx89UlnFee697l0ysLna4nh2jxpADdO/yBq/9+F3LPIu2gEEHTKRon3njV +XkI75zZ7hT9TvTWM3XXuGz1sfyDfb1us5yPuxxMH8WECYj0PyYVxaFJrtXaKXTbF +9zhRArD+kEE9MslaODugdho/Y7yEZNhuxzocLKRyC47H7wO7KYzLJdqQQlBQeXdd +YQ1XLpA0Bbu6BAOULtFpyzswT8GS7u1vlbZ0UCHv8JQnOfRZbPTT2zSxeR7ItjPD +7a9eqz/2OofPjbuGX8FGUA/b8qiNM6SuHjiuq/zGeGPN1R3Tcxfl+NWcthRr9d5F +MYqTYsTqUG0U/+w+L0z5nOyJSr8olfSxdbmrP+4BpO8IKpUgO3ANEdX0k8ZHNPxJ +ur3/hqaFv9hmpVceo9XtkvaIwOub8M3XhwPjBGml7MvLmso5qerE/IL4uumQmYSS +lGBIlC7JgySmbQ9pTyHH2ihXL0bAidM+XdZU0Qe0T8VTUqgKoBMEScRqpWVG6jcs +mNbVU0Olk44nKSZ10nwHruvzZsmTfaNhxyX1NZY8HBfDmHEk0nq0rkVDPFIZnbRi +9sKT3PggZrux1sJZ4QjaUDjmlVmatwjq3ZPEgPVr12LR4AFERD76niwKx20WKE9O +XPIxLBtZf/fANaJdZ35gKiV1Dn0TrgK4BMz2ha0vuEPxKu7/kBDh7GGj/YLJmRaD +B+LRDBczL8UmKTGjukEF1Vrl5ZBWv3kTM6/Muz7EzUSMEt0CEEvYBMyktDn7ILIU +y3s5MtywDQ+gPonGf5LwUtCF3uWwY3j8qrA5T5GwNZM8IINEvMyeIJS8fYE/hscP +ryNCC6+b0AhxYlvDdYGKpeh4RP9UFmC5+EbI01jaI/hxOb+rvEKWUPyOadfHYOVO +/6M0/juhSUuqlv+g80JDSiq8sr2E5xtUOujGF6iYOq+cCRUp1KRmYA5scyzDulYV +/fiSWNx9bqy5vtI8kJBQkQXJuPqkqSJIMq+W/B1Zr7qwxpsP18TFFy+ucIZXD6Yq +kRUNkpkhqPSzcpki57XlXKIZkxRYYbRGCOdlbgY7ncU+HTXOhcQI6HPpfxwFaF6/ +lxURwXcypLtstXIEvXyrUYJdMhluOQRHOSqi7iZvyBnhvQrprnP1rR/F3Y00ozVW +2eQsy2/35+mIfngblqeWf16gdLAeHulr7Y/vFzRpor25kew6J8z3ukta8FRSPXk6 +JswQvMX2PhfB+pk3EkWai/iABoGYQ3YR8CTyKzje2muYgV7LLXO6lTEsShH4A0OF +hOJ8CQeroqRJmi9fxL4DfmfW+ueCcUJ5rTNXiBDYZhzox07WDQwFDN2muLngjshw +w6HRgGbzU09k6GUPTZHbqbV798RtOL4/QRXcnd0MN1xzkqzIZHkVqMba8/WD7+lG +/ZaIAPZcIZqQfLIOoiMi6QhTX5xJimE+kx/pT5WBBX6kSOKgHkQEPe9Gr4nRqQLy +0yUPla0tAn7pNnabfPfdStA6dlXKu85pruZZd0s+IdBU7oI7HqHGIJbWAPb1jIW/ +EojEwkdG14Cwlp6kjbjMb909uOJL4lYM59pkNHprMwvAq5yhlCA+QbBv/SMlDZly +haXG5kQbeJzoQ6hAamp2yDVRHnfbeZ3kyh/ro0wk7BW8KSFpderRlJKQOJY7f1Ib +CiwJg8cm/3yYnIZ5FFt8ZVU5mEwxwvwxXAAUZFB+ud/FZmXZ0rgX/pp6cEc7fg+E +lU+szv8zBg8MficbniFq6WB2uUsiQ2SmEKqFNpoQ/7xKHmg6/OvvzVBNCUff3wXS +a+/oQq0nz9w9TuihMBz8ectkNRvuZ0XOFfpt4GXa7roRlIf3e+SNLHJYTgIITcLE +uEhs3HNFbHvY1JDN1RPeEL03jiRIpNH1U3VhmYC68urm68SlAn/527b8uyX3FO5d +87mU/kid2jt9h/KaeFJOkdvrWTO98rMlkBNUiTWWTF4QUOstNOEBhNkAejbYZlke +vVsS/CegV5s9sq3qLt6qluIBYKSzy0ojOFrrwys+ihYEg5j+3rnAs4PhTgIBplB3 +YAVvCkP9B8e0g9Qtcew9QesgpD6MlKOzyTSsdrnscsxUq4AGBhO3FroJHLcu6ddq +QT90iEIz+I5ag3kKVM6mQsemDMp3bYyQx2Lmg62MLD04PwDIHmwAzTU3spjQtiP+ +kNRNdTlSvhQicVLQPWPXM2cxRAszD8BzatuH6orZjlQC3KaX5AjQ0T7TK2q4Iuq8 +5Kn88QgbDuNExt7anmzf3H14tcUHSOs8FTcL1hRZP86d2Ii4YyW+9y369HdkVcV7 +9KBAt61ixUvy1zu90N2tVlOP4OGDMYKY9pqiD1chC8Hp3bJ5+CspY161evDozZ9G +W/Yag6Jcd8VGvGC8xY/90htoHnjamE5I9Nsha9gEjjx3UFhE1jA/69tWhRxcATfR +/4gSbcSBJv0OnKnlU+6YEc59VUvgJnB3pd0iYLOo0rI1mE6ZVQVk4Qh6eHjMY3mq +1vqfPOkQ/QM13XoNcFyARb2MIJ+DwcGke/R3lqGygIdkU8SmKE3odEYcuAG3h9dF +jxwfnQ/OmWm7F7h0HypPPynlZHv7R5Bhy1/INBeCVA9O/+n7hFfEiwrmvBe4NdlH +wm3d8p3cL1TtML/9SwhmdwvXbAkYSEF8OjHsQuXbgEiaBoGvD8Q8Fg5MWQFH8ZoK +YbcKuWCxbTmd2AGAiQ1/8PuUNkRQPHVQQps5u1OwZy0UbvXmdGcadGLRSwED/r0L +VXoXc2m6W+1EkuW//NH8dadyKqH+3GJFZJib7vHO8jX/YFfe/5YNB3+cOjfQM2Ft +uxMMzecnEpV51nMrv7iZpylRw7oQyfTB8Nmm1UafpQEAOZvSowLmfX5OB+PHBh1J +OPFIA9LrVVndnlXzcwJuSeet76NOCAh2omfGOEfpq+effV2JHOFbA4zf9FcJpOAW +lFJ1viMvw7+wzWXN7+tmzz6Uxzsi0C7PNN3kwryiqutqdYgmC90M01C0V/9brFb/ +vTFZLBwrbtXGci7I4MBJtKenB/wYzTPxcrifL/lCGdbX0EtgSXe0C8fO1yu58Nfg +50aU+xXwzsSH+XpP2cML43oYYp64cCL2PuUj06Rite/wb1Gtnst8ZZm9UNThVvxb +A7V6tIppSi7bAJ4qjzKrkcuuklVoRyUPwFbBPh/PICDwvE6TP6J6Cr6m/WGcniI7 +H+lpg6OGcIOddLs2GBO6+zl5ndScuuL+utnXsryAZLSaodLYIwRoqm3d+mnPqZEH +1nf3CkwJO3wJX5PS/oJdfawQOiyL3yso0Q8I291tHy+2loX5kEU5uTRIT+wplegP +eRRl1kR60q4L1rRKtyX2XG9apNnAcoEjrDgVnBO1efi7lpWV9dSW8uuBb7Kjyo8w +cuGd7TV7Zu/6xX83cERtNgJRLC2smWvRl2BL4pLh3+9+aFqxSqDLxkqmicT/EhXN +EUJI+kkzN6YqzhMC7g3MWkbHrK80pw87IORXkxWLfyFB9xKb+cOQkLfk9e04xKyu +1qYUk9DVLFg9+h3474l7LcZWe9rL3ifBCris0G8VuBlWmWHEweZKwLbaW6lCqJZx +RNpgxhY8B2ZTc+Tirr2D/TTeTLGpdrkkz9UU5CiGe0ppWEN6FX6k9S3HwBVRFhHv +JjHofv+9dj6WhBRbM7Fzhxg4IKFhNQ6wLMfZ8Z/QVjH5ei7i6Z/Ur6K9fvCRinrC +Mytv6zN0NQQFn578QqOIQ8b7mZIVTeQfvAIY/3wEzenCIXGVMq4q9jw+nYWPPwQ+ +j0Te24FM5Typ1UdyQskj3yZY5YbOo14A1VYrg2z/A3oPvplvpqxJGxe3JDNFHNBL +BWGw3CwrDmhCaCBQofkPKE5CGHJDRrwKnSFGxZqXXx6FfDVrs/qSF32+1yVl7DkX +G+8Y3XELKcAbXk94wG9zo0p+n4BA8fZf1S5sazSZAlr2aZaDscMb25+fGK697Axg +u2bbrmu70uR70ETVfOdl2R1v7EnW3ctCyU2eU8wPX1R1cEx5ndIgFKu7oTstTWEJ +/3+cVLE2srh1dRjiyKwGfJapjSr4/eYncdiR7LiQBJ7VZ7iYfReopOoKeGZbusUE +JUYZju7GM0FpOmQTiHPyEw9YmM+ddqQHKXTrLr8Sdyx6axwoEWppio1yJdsJhLI1 +SumyM7CqC2IVKxZ3QQz4OfNYuiTlnajHVu7uWeZFXJb7hrxNa/8LeGfr+/m2tRHK +J87Brpn8fVrO6OYdi2y67X45inO3tnGB2b5+/jzDiKakuQ5XrNxUWULcy6mtEvcP +rsZO8pQYSy5WM0L8/oL7hAtfJXXmJlDNqXTmWEH8wGLIucVvgMCWhmfC2z6UIYDe +nDvGDMjZT4w1GaUWcpV4k8sAQ+3GhTWK+2jsFOGPUxWJpqfBkC9Aq2E5yS1GsDoL +rav0QwwQ4tsZIig0cm4NH5OOeja36bOOAf0ABDp9PoI91H9Fw0N6X+cdvM/84TnN +j1gCDRMjJvCsv0JBCKSm4AQwOy+NuAduNoXHVrJRsRIGMi82khyOFSn92NKQftYh +IP7m9cux5XCq9DFeeXDTFj3bRWZv7Bm4m+iRNGMtxsvwREDUZEEMeVqU6vlw6j0N +LLiW2ZHqIdDfXmqRytgX08qE/31gRpHfbttcH0tYmX/qxM6HKCmhyvZIuynt17F4 +6tOAHnYFFEra/txz4TdLbB6vesqXzHlv+ZoDozXyJgH2fC4hyDOsal2BAwp0rxzr +b4YMv3ahsw5Is6zqScWnHp/7ZqNzIxKUqaPD3OdJz+6Xg/8kvUCZiMI8LpxpfV5i +J5KDnYLENCHuanPZWm8ZEv4qj5pHnNy4ZstloJdr6UO0ZniOEQRjlYEsIhN4boCS +sinCu+v5v+JuKhSVh0Eh5hT8s0OgdvvxajTkZHT0H3f1cF6lzTt5Os3a1w83uCag +xCikKbFWajX1Qyt9k/FOF2ZmK4HqOHad/wQtaI+fZ++/0/DGm+btHaygssiW/oI7 +eNcRX86xMj25Uk7gxpbvxA9L4HMnUKpOTGLtVQhkMFaQtmiOTMb4zz6EYfAnQD8+ +zBLK/0m+2FZRGgqnl4lXRv6gpiya+pb4QRHF6KjfHwCXkfR3ffpTveRHBE9aIVck +6ioPGYZROrWQIFuaGPGb9VO5J4maVYxWHbSho9WWYBWD1Qz/rud+qmOYSad7aqd6 +DY76JMFR5kIzaokE9OYTE4dbwPPi8zUaqLbqQYRU9yI18n8mfeSL/gXTo+Yjgw0h +dMU+xPjBo0vdsIQNIWkZNStReqg/YRn2IIL7XWwj2M3Qk8FFiLvh5PrG37A6vLC4 +NUU7Aq4HENZ+YgBnYRBL8dCcd0Dy+Qi86hd9VPJkCP6j8dUHPz0NIEtffNZ/RitX +QHCbDm25snoR85B7HUXRUm3PudZDTo8qj/IG1PbA/FRCrZM4LB8IP6fpI6toIr1J +cqKFjLv3k385PZ6WDxT/2prvrEB/4z20Xx16h6Z/LbEWL1Aj5UpigCHJFE1TOjlE +xHAzoJHxUnKvtNz68QpVmzhXQ8Id8cpT70UEMRLsyoH0g6Jax0wdH1hQ4uIC/vFf +NNVi/XLWzu6dyblxYyJ4kEr3RS/yobbB5Z939e5/s09+xJ1YfCZiMgXZYeWO+Bfo +1aRL9D58fkJzC35AlS9KvfdvE4u9qjq4NVoXEBjIosJpTs50sFWhX8gdcVT4rz3x +ArDlmGD0JdFEiafqwF+tL0x6il9NlOOUTfn6sxechQU/Dry9RZSQie7kzauxayQf +BU8HbZMZe+by/6xFdFO+92SjrKqs/Y1vqKQviY6t56Z1qfPtY816SvKuIi4/KXSW +DEUmPQv9Nfc4Y8dkqnvJjxZQynMKZHSWWgeStwSwA2GMazJ9dV2egacps2YVexWF +kThf/Q5xOzFVPncbsxLj4tTiVgsV2MCKP6WqOBxN4Qa9mN0uPUqlzs88N0HkOXdM +3CjnnzQT+zJ6SNPItvqqGOWp/NYRLNf0OkiH8PKMPnL0trJKcPQv5KTNmJsvTUPc +P+Dw++5IuIfDveCvLgBdb2oiI7jZ39hzHK4EWJ0PBZTsw+yXQvtIxEPRk9ahDi/3 +StgGHC5lDPCwC4+74wYer2dq/zM37ckYrgGcH4LJA6RJQHxm1lB9Np33/TkVrWVH +Vfp1jeZTn/Omho9i8H95EhiLQlsUj4Ws4uQCOnBHXVX5rJRNzYp2A3zlwWQkhQdm +ihiq3DUC1LR7RGyXd34/BXLJOicpj9EiJRKK3YMnVag+S6tkyzoMCpBb/0G3jGqx +xaEss5hnEy19nBGfihtjP+0q9Ty7gFHp0NXqlcl1cgZSSV5PUaSXezSJp/tPPI6+ +YJvyi4s8OzfLIQOOP5zCpPxJyCVSnXfWcW4iv7+NiYpbAV0MELkebdiYRTfTjihe +k7dM2m2FCu1FMPQhC4MNO7R/4CInlAifzCGwvR4bh/b+5/wptBy5uSHmKjl06AW+ +bDrrDy5VwT6hyHzB7JligNAO+9tlCYPUXdpD7VjLO0EQ5t/HJ8gyxYF5HUlGx6St +wcUPeNJQTequidqW5qKoQtNkiZgRhvNIq/kUkIkbg9TyuH+raAAhru9UvgIq76w7 +TV9VYDUh/fS0jHTtTR2AMzGw9PYcrdNUOcoXAGgi+1Atus3Ll2caQCinK9OQPTyN +rUZr7ro7h4AlGYTKbgpRhfQsVYydwVBErNZVP6JFRXcJ6egi0N7q9osgiXlTecYN +yoghL9I9gPzdrMxXc4JNFmuR5QL1jhvV5+Z7JwsR0DwZ7PQfoOa1I1+gafA5thFh +erYz0uaoVp0pMgQa/kCaWWeqwyb9K2t/y6ZGogyGuX7IIigCIKm7ve7CCdcsGJk7 +R1i3wvP6a/U3TUw6MQZ09tCMdobIkheVuPGpB7fwgqkFjnO1bGk0MfAuAP5n2l9B +d1HMD4CsQu1R6VhjKsMGaxG7K/rx3o9daenLwYbnZWyLaU65VXn0NFArahYdaamS +lStF5xCPdeWIRzwbz+U6jt3Xwlo/CmFoZntqy7Ku20ux8zF/fH0469Ejd6jBY9YJ +ONsHF1NLIgyxU5rO7sjf7LkAkGPLg4psQsnImeFWBQRYQAyMXYj6DES1cV2M9Tjn +TjCsq9exr8+2f1Q38nI618Lsu9O5KtFzD9R7f+ZMwLSYcis9+kArNaystfwXARmj +q3vuG0NbqASB/Z4/RDvrBwQxR7X2j37f6Hp1uu8uoNDcUM0Je6CHwfRUXHLg+QEj +7iT+xxOQg/lhSZYXRtT5CZJg4mm9HK9QRmprHnMXVspV3lmIL0tb8q6opcAjqhTJ +mIIM0C2sbp3Q3+u2uTKk+bz6ZuZF3Cpft3Udr6jdhwXnWmBdpgiAwadIobmEJT1L +ROutE3OXVuOfopo0xoyia8eSNnYzeAo13OeQGm9nkRbY6CnUCDABXDDaA+K1SvGr +ZOGr4NA9eazF9fNqTk3PDGixS3rGbwqgIcQewvOu2gwJ1fobauJZR8lwe+S2xyiB +g7+Yuch2W5xQVAjPl3+I9JUyg1YwGyt8fZd0XDBYDMdqmtW9eNyb7NwUV2eJXqZf +JxVPm9hRfrZ5+pyUXcO5TWwXlGb/HRmhe4MzNe72FQqzOLEX/3YU2t3qhdFWsTtE +H/DMqs0lXLxZ1y03dH5Gi1ZpMg5EOsc77h4JqNcbcIco7Adqhgd5ubxsfgHDyyIT +W/DtkQho+7Rp9Wrsw0XVsCCX+xGV9Gq8Y35jEY2llF/Gz8rpZEdzrltOEQTY5eqS +kAht6iF2+AbEtRt+qJsH/mBUwX09tvmRRguYVX+PKKxkhgYKRRUL2y7WCZJ8x7J0 +R2JTJkM6mGbWFP3vs9ijnmFA13JFag9KcLnQua5x1xPUcg7ZDdcBlOxmQ4VcOC/t +YdnUqZX/qOudlDXxf9/VH32gQ6wx+citsfKgaZBpw8oYLRQJElYBu24dBnViezJa +gucNjqPmM4v9Rt6CqK3zVD3OXLnaEarzK+go6ZXL289VRXCyiC7MbE3Ba5kUW4g4 +X5+gLA6QVJvBElwKYAMOQo40amnQU7wwSWTR3m/m68LiVTOonT9PFY/K+agFqBxr +N4OvhyhEUkNXebskHPZtb1KwFdn7uL1wYV1KeeyG6Lus60/ilpFrxr4JMKeFRwzt +qVugaMZsfVZ/ZtPxpEuYUtl7vnWpr0LaZm2Kp7M8V5i1wp3aiQQCI4kf8BqU29WK +ULavBpgHQKzhJHXZEsuzs5jHkGwA15FMHyWgjaqqwHMON53BEl93n7VW4prN6Lno +hTVKdvSGbTyytVr5h8knXxlG5EFd+xOhfZboFPCNAU70bA9iyWPKQFk6IlsxopAr +gZVL/+WaFqtSCBcNr+xYSnl7jMRUHhAkEkPBnBpjoQHmNkZ5qeYJ/h6NBTcYMUHl +tJzRoS8AxJKOkFJ1XR9IITE9ee8Cj2n+AfMygyKThcNVVaH7TJQv79J7nDdkAmQS +YnLxLs1MaeiSwU7f9A4XHuPDTW23z3ez4Fz6tcWQuqN2QGojf4MyXPDlUiwQrkGo +JFdLE6DgkH4vK2YfV9r5aoyzZtsbBqZHM0aj8Vi7sMSjLVL+zZmprrhe9T86bfdC +EAL+7iQg6z7CHd+KOJgZFdhAjPd6wHj+/liFC87fqfcT3kt+IkeqKBoxTUgmmPHI +ybGkuIc1ScDs+46/0PHAqesDxAMUaFVfsSybpFW4oAH2eUBnAQfoNCMevH0Rb0dn +PLuWoKNA2pyBDLbSO4L8T5rneCFgoeZAoq1H1S0x3CyNxjRgz15u4lX+iHx6PHPC +pHx5oNNbJbnE/PmYDB3hmrOe4A8hWqtgnj2KlWkd+RYHuEvSN4sxbkyi5wMj53fE +besl+cHri29o+E4Ej5/KHXsKo2B30W2oDH2MWB+ya1kJbUjhDvfVu7dZI6NjUw16 +bfj58vM/eCYFZmHItyG6YmEBlKpFw8JqoobZvfag6Cn8zRUwqzKdZmxxrDAIhPYw +5DtXEh1DlgpgTzSP194K57cMfmFmUsvOnASVr+K6v2OEnm1jP/+5t5Yh/EKQNjbs +sUU+IiUNj1uDDEYlebcEDV4qqpzEkTe1wgVcjhrCfjWyu2btPRRzmUj68J4q0tYm +cwB554Wak/aegHxwQuKxdbyF/jcp3FJZBnY1El0we6cbRius4Fqx6Nn/qlPO+yPU +NCRxNVKVlZ8Wf5587wrxcbKowho865hhuQoIe4ACBKVz04OakQ4yadFeUbCOyGhE +0sqJakpGYS7OO0NZzHIX67qkpdgpA8+YJS4xW56slSXp8HLJUKWIr8V2uskAF7wP +5+4Tuz6pXUeOP4wjBQSCK00g8TMnK9KgKpRxrzdW9UCvgs4l1UdyBqaFfX3Ctttq +8PVDDmiAxv5uUbQU7+ntf6N0ia82ZvqGwUggThApPbudNDntdz98GOtEVkxInhT2 +oL4Ib98exkyggNlmuIzwTluMSk+N0NNQRzNaocMGu5Vht71dXseZlK7DJSI/njvl +diYqmnyt/vWHgleLjeNk9ftyLVhCcNIoRUdzSSfEuPvu44LdbMcLOlvWsbfPbZkh +pH3lOnk0Bvr3K9jL9RRb0uLnkxMngcF406oilanlPVA+t4CCIzRxYeDN5lUBsYXY +AQ8L9GuRk8cm+52XmlW71E8RDd0WPEly0VqoevrINV9ash2fXHsA5BKVK4vdE7Qf +o7NSx9aGrBR+36C/hLa1ZCLSW4ITGeyY2V4FdEo4Ob57ICHIW5NoWDKflSgPFLj3 +qwXZCuph/81zM8yYtNeRiZCYcxV7frm63PfVTQE9WxWwwWnJOi09tGmA0xSpPVy2 +jnkX3w1hNXjLDJ6PKlrxcG/8C8QQ+KLHH0JRy9CyFsf+Th4lV+vsBBsTcNWCXtpZ +PizJ647goP9mDZ9tO7+D+Gcy+1keoulQmovZ0s6qWQXYT5yVrF27gq1by6G2MQRv +fN6mh/791GTcBGGUUfyJaFi4pxYftzksomL6R3JYwtBvWEuRkqrs1h9hWeqs0Krd +HmtcJjplSi+0ZDDF/AjJuSCHfXe81vVjx8nsOyjATzA9CP8JYFHrlNxoFprKpHek +0USxWTHQwFIrMZhUkt6yYzWf63iHqWnhPeZed4yeWqSGu2sxvaTR8oj3bIh21J9H +ztZnggDdea/lV9F3V8Mg0QaZEE3AleHYOn1m1lh0qhMgk6XbRvLG8vY9rK3gqhPD +zGCQkovRZfmdOT4/WMdR1qQbti6FC4nMRK7/oNHgWvMJ4kaiUWBPIRNeNLh7ffn9 +ZhPUHA3I2mXai2wShb3a00kYVNhC1Ltofrh5cLt99IqqFZ0wG63bOkM/eIF105cz +cOLSA8XYDvLM5y3TYGtPxzG+Hkq0dpG936tNk26Effqw1IYZd2vQiIMpPuTJe7Ma +6KS52oUxEJGvDmEZ6eDc4Xox0EgXS/z6dZ3flElY6ptOjWkX98naPHR+wPGFKWb4 +by8GvQ2Ffxfz076VUrmYlGzmJuQDqRT3YHhjFTTKG0MOAcmsfxnkt6n9nAmql18d +GqnZ2LvoMGmZPJMA1EV4AEZWf2PHn8AJYZM21A7invgSI2keW24hu6C3MS5OEenf +4Ogibo158yIl8WAY2G2OePqpla+CiuppqMFJD5rx4GQwB4t6tFJNutRuyP+coEh0 +DfBLNg/4bMq/N1Eegec8++UNb1265K2HPIU9R2F7nBktohvCJsNbtqxJbxmZ1tTY +lxc5Df6f3mPvSAV6g52HXUJQsXnrymqSC8QjfD9udlrC3hnAZmwt8Xyw6ERoqSbV +8PncVUc7Slz2Zb2i5hSwnD06HBKiCzYheOHwb4jE5KAv12E6S8DFxnIfzvmCa1M8 +Ldsi1adNSEvUoHppqNpJaX76osbN+R1Odt5QJA5apCugN6qz9vS3iaXbAL7G9mHT +ukzdjmPdhwGyTOPo/onrtqetU5oOOiL6RUg0V4S0Gtj5oNmos7Aio5NlxKy6bITd +eT0UXoRsu/w9C4FlCWzIKKttXNvwCgK9y4mi3Z1I6jclyw13EqIuN06sE14+cr+L +XZ599CYO5kYJaxPf4sUuf4JUkWF7jGrr3qDbDl/wNlzMf1GINXTU2b55iYDkF0zg +/9tQC2YH35nZTZPKvyuXZa/bEPeDdOoGzu5JYdXSI1gIu9reB5PjL9n+9KBL+qwG +bfpc/kk8BmU5jzMOyrC5lYS3QtG5VenF0b7K9jadX4ZIVszLqeBFcAhd2CpqBR71 +uc2WRk5JxILnuQc15QR6e1TOb0mWsIW9AwOGeeJT3JAKwm5cO3vTjPPXr54zwPvG +mUVnBV95w+VwYk5eB40k2sD2P/bWjuk+dG+L4Bm8S1rRquH8Vny78ysKPy++VwFQ +l8IULM7xhE9XWGAGHJ46BMUzR6t/J4esx7sUJDVMlybr65nPQ/nLqusq8hEZO8WJ +tD498+ybr3zdEqcBP27X95EMyCPcmTHXSB1hSOzy7JjbfwcDZV6QRUQvrzM6GpFz +WmK+I1QRc3xKHlkJzAY18T0ph+oK8vDxATxYLL5O4LBOr6rZibOkZ5oMhBfhO0tK +KZd5MirsHLNyp2hmgD9LwuFN2+Hfo6ljP1Jz7y7v5y9BiJnFBAIoxQrug0zGuE3p +5uPoZG0R58HFrBVbQjCjkuYJOk8JLUNZN87sC/6ydvGURobjByPjLLHbLLHjombY +yKt7Dle1FCDlcoYs8eeTSVLsEYtFDgYPls56YDUWxofiFuaEEIAO18emkyFXt+4R +gcimcE99BpmDihUwvAxaXFCAiEOQ8d/JR9YaHgaUo754NggejJtItjOpzPT7oG7S +FB9LYHD0Gb7FPAd9MC0MXjouk92Geh/pY43vSFMWtAocboZkf/Zi1yd20e/0GfHS +4Hyp3gLG5ty4VAY1ExrJsEZlhjn7vSB63W9C9JjKgpNa/z2J4N2DE/UJHyxht6Rn +HSd/txRaD26l1JulvyOY/U7Q+nl5RHr/5ucn+xagmylGafwLI8+U1N97GBKPPke9 +yFG1N26VwVZJGKVfOUYdIpqw6EFgiubZp3VAOtoz8AlT2XbaG3pC1xDlDh2LJBkl +BqIiuwoL7EMbheAyIih6D7VX3LYmr548SGQix+Hp0x0hc0ycRWUFWOPl47Awfm4c +1+tayiQ/mVRuaITQsuJU1+oxZokmV5EpEq8ZXZdGRG2ipRrnf4Ed6HXAh42tK8qo +ZjiY3OgtDfj7o8OYeDZnjKPBQ47ycaCsVS6oU6V4Z6l3xwoTKLqN2r0gKOaYvdgo +iYIjyuUSDo1QN85JUJVWaznw7qzuG7Mjhjw6EamC8qyRv1PNSIWMVmsJH4TXdqGT +EGIp7HozlQ3dth8Gk79neIOgsYOvjIYE/M06e3IlXMjSgRK5nmQYgBliYgya3L2a +/SQKAjDi/9AVWjMgVptIqWXKjvWO0cb1ZFQRdKAJRg54zOyGJJlxlYWzhSzp2dE3 +FhX1DNZl2XjZNJ31rZzp741h7o7KFp1bQI6eyDRWUFC4c65muewnfXZgfHQqRypt +SOj/vpA414DMsVPSSFjxTYAaHY4Z9tICv+dBdRbDtPTCMJrsMcfwvsmJdzGejvpL +cbPYDLp9p8GMsKdP6hGPtqDiDrZyYEloGbx+oqErqgGwsl4btsZheX4v4RQqTFDF +ro0FxIfBfQXhYw5nvWPzi5I+nbsb8Zc8M5JgX3+mvi+KrlEATxS4YCDXmoaVzrK4 +Q/iJL7q7mNH+fMYRm5p8TiccQ2UjQT135jxd9W3sMH3BOrcznOyn42Pf3FKo35Cf +BuzOlSf5RDAYYeLB7WZZWhl99xxbna1K4uWeQIYkyB6oELO52SPWnsxajzJQPwzJ +wUwwBOTJricn49BFQNOgSuuxnDnFlW8AybDVCMp248XBheFePaos+5Q3h0deZlXS +AnlPxLYRTm8L9jFHHqGFx3B99xAjXtHmDqcT0R8xb2sgaFM0oOsN2X3cEvbQufnO +4LyXh7lT/yEGXxvpf5AWrnbP3Jpc6DwjFv2rqT9t7OdrzWo1/+fV71aU0WvpUkEK +F30jSO7g/lrepT50S9DQiWCVj65TOT+Iupjo4ZxzRDZR/DEdGVdtEDGCjc6B88tQ +Dm+DcQ3haWUzzmqbPyJjJ2PEbvhw+glMF335n6zxc3GqAzl85nGW0PnDrxtrw98T ++I3vUUAGK6neFz8pLCwpcFYyGJ9AHIY2M0RAoIl3qcOVOE5S7SpVdw/HGU97VLv5 +oXeNNN92gHmp+TAMV3fLoaMsNb4+aub6PJG1e6lM9JFwlDLMVUgw3x2kuPJAhEkb +iTuy6Y69q6vjON89UGOQdtBy/ceHTDhY4LQf5MQkMoCVs2JLY5A8o4La6lIOlTBp +ZqkJPOoRNC4Wezu3XLyS2CfnF54fQX7jIU0tWMNDQS/KuMLnguhdViAmV49FaCHJ +9VlaDXXSbMV3SZyydgX5TY6nUTG0xnW11PhEJ4xxUC+uD3Q5xJ43Ic4kugRjPCAb +AeVlVWf3RZCvIWszYMum8Cfz2L6RI0NW8L/43+3570QKnoB7J8DE7U2eMxTmDlVj +oYO50E901Dx52zMF/RFoWqYki1EcQWSEvxExEtxLF2qFTuusP24tPpMDtlTda6D1 +4kdrjxNwVQfmizvA52qEP2aLQZQvYYWa2ZeRIEEEkd6vgCtqor0WptxHr6RtuEhk +IkGK4Lyi/+e6/sk7nYCt9TQw6dvYA0pP7FnrYHEN6bpBrYsIZQPtyiIgeBIzlbfg +4FWzrVgxkLdz4rolXgu+jgSDVU1oS4idUZ6jwfB0LscRPiTgM1a34HZ8twv2tWuG +kkpprrhd9NSfFds0OQ2fCTcpA6g/a5JDR5NpuXcIvAUc8zvTEUtxQ8KxpsYZNzy9 +P0fQEEgWhKVfJoalRixUt7mXTubZF1UDs0R2f1P6oN/vhoffv9DwC0xU2/EpiMRi +wAKtCfkNOc7hZRuz3Dp4/BkRy55xxD6AtECpKJE3xt+h7i5jmpael/hw7ibEuOfL +bNQ9E+0I86OaeayPD/+po7PI7nTD+HhUJVRUeKtbGGbP8PRog6Gb2sTAdztCwr8N +DrkMQUQFXww78u5kptcNJa/maRB2fRGdHeZfQYy/xxe8fuj/VWzSQnFOIbcqnK+R +C3m/1yDXEgJ/13vKoltkA8XE75OTwtRdL+x1OKfPBtw8p/ND5F01NI/iaT3cpxGS +wbRuWPsy0uHY8csKaTWARtlkVEuP9C+Y/IpXaKCqfYNCGkaY4hBikGAxZT1frY8F +RHNgASPD8Ooobh9PaELUDdnwoj+VOYWejbMtF2O6K1QI+EPkMu4y6QRiz0CPL6iR +648EN2OG486BTiW+jiqcuwqdQYZW4OeoSYoPYvTOWjIYxasJ4ejjKiVfE204E/3e +MLI2pEVPdzTUI4nDgiIDYwq7V9aRjdACPg+xWpFiYIgJyzqoHrDGW5J7+7tChAj7 +P/44LGzCDZbtJs7jdSayzthX14pXr1+5GslXlEMaHxHMelTWBPE1v6TBpezwnjm7 +raXZWhuVUKJwthdhN1jbuKWGAowutD7SNGJZxwm2MvYNHlnNwqiU3NBioUydGzao +e991E+IaCq6pn9NuV7m9SIijnANX0SzaZa7CEjlYs/DI0X0iEECYWxO2lLZd8Zsp +y6BWkfm/ZAyVQU/+HJDCUbDK77zd1Hmvw9AME1pjKQ8YAMn68oE2SHjFQRHgIPMD +MYetrKgJWlzhg/PqWd/QaEn0vwHgBfPuy+hVp+7vFDP/0HleragqP220DpIvsMOz +IdcrnhzumTrDZnly6VK4SQXTT6cLhk773PzFtRs3Zkb4llL8cacY9PZ7zMvo2Fqn +q+bkXI3Pv6mFaeKdzQzLOOQW1SI+mG7Y/wCGNGTDX3oT7A9gg+SKhCJhh9ugG0bD +FCuhtIlsctgBfrXNcqrGP6GhGnma4j1x3DFqSqcGcptteqHszGq430Fje9xR6x0v +03xAtBfV2DouhyA5SNUkiITT+lkJLfn4XmUAyTMbud/erzfCtx5ZDCCXiUCYUOvl +DpJumv/BYXgGw/vprDRAZi5bXuItTahWgYrq0gZfSdOkc72+/WPCn1VWTj/eXqgV +K/ErnHVim34UviAHawNg/EQ/EX8W09JkLmAYdcRim3Mz7f0kah3UPVkHWY32ZO4e +Pguarsa1/mhsMrkng2rrgFanqtBy84oqpGtcW/9QgBwNeZKUZBzCBYA1Xw8B5Sgy +yiATzyXO7qBKyj28CU8dLdXi0N8RXXTuAwU8xz0xmw1jrGjPZyXJ+U8RNIDZOT0T +iyMLlsMD1dH1ipa1B4oiLC3GYVvETnpK9HLz56bdzv43zTSemdTcTDLSTtr3sgf3 +47LHkF/XOjBP2kUtFNz4/c0bbT3YH/7m8fjDwiB4NiEkj0OHggNQrkseLh3JP//M +fBaB6b61WkIaJ9efKMUQBc/RM99CUcpkUwirnW0XHBGxbzCXtEYPBlQ9sHr0IbBy +0F6gWSUSGRgqvJvVwEMHtSCWSmFLOaSVSwo+2jjckG4sSGv6nXSfamWCue3WkFX3 +8lcq1P5fE14qvOp1tqkQ3cvpMl24OOdt9RX4h4lVz/gSR0UnRToEzOnXcU7TaRpr +8xhMHesJbdiL4un6ItSXqoRUGsf9DokAGlTcmRUPsxsWZhnJTsTEFcE/09e15yFX +sIbQF4GAUYQMju5DGSnQ7hQC2+oK8BtykzeGnRagYwNNLvD29u2HE8BwN6yFfm12 +NG+zP9xIVV03Lqn5X5b1XN5vqdqA6VmtLqoRYfg5b75yBm83YFpF3Fq7MPZqtlUg +SKHIRR7RBBhlITdgsPUKM3fAuvHTVf+rWXgwwDXeTSrucA8V4MngdpyePct0GPp1 +NNbk20MzZJMAfz8c7c7ACRk4aaO7w3h55zo4f6VBp8hMaPP0S8ERU5txTthHvDnp +KBXx+t32Obi8pUVHBqnHIe9UVt0vBmjoMz+azh+RcKFLeIL+/osoZ5LXr20eMUf4 +StWZOX0iFHvfLdKTiwGKVWCF0oTd0qQMv2IwS02i2+IhaJfhyWNlywM9/l/psBSv +f2VHyyAWHCD7dwiB1mEyBfwYPaNaI7eMk0Xzw89xbR7oKsAG9I8MviOvounXzpM9 +NqQVJbpDz4x367VQM02FiVdXvw7xr90BqRcvIvMcE6QouoNwDl38JsQ7zLpZGrvX +t8NBVjrIJDCptdRKYFPSdgOc1JzuQORERjS1tEYfVsmAtCwMkfYaxV2A6oqzQm7w +tSE/0IdEASd1gxq1AVygP1Qj+Be0osE66IDYieqpoUOW/TL3PS0Szb3jjcQ+52NO +bkY/4V41EJQmtwUWNYidhrgZZy1GLyNlUzilThY9xML2nwY1gabi7mNSWsZ5K5w1 +HK2ab1i2w0DvBc7INn/uGMfpLZYp2MXRZ90lGajXcAuobfrrJmix8Dt45B9yaQUX +t0Enj5tr1hf47VkswUkLPxy9Y5ylS5qQZ8HJiwcHsf3cN3W/uMEdPV4mdR9fGarT +heyrOWlyerC1rr4Uz4Gklcg8M+xaZf6iUaANDhRzNgRcqJs6LDnktPOfEbgmdUxd +YgNKMMxXUD1X6+OY+2B+KADtz0LNmW/XHNZAo6Qg5Nv6qwWhtXVPHOvEe+JY3zi1 +D8xvMwKrQ1iQxGZL9LwWdzniXlk+Iq65Bi1hKk7V/IV1y2ZstXOtOO3esIPx6BEB +WeaOhTDaETTLg+ZIP4Ux8LmKtRatGVkE1HXPUus4UfOvIvcuMyLkvHHnLMJaYOGg ++K/9bi0+IHn2PVvUJgpsOx0cl0m2ZAd5BTPGNcU6/LFDU0vV36jleAz1swY2NJuU +htylj5tuwAjr/RCnazPMZRcLlMgIWdI+DbwLgOl0aFYTNj6eWgAZqlNndJBdcypf +/zlICiTg3pN/VzwozkV2xNHzf+w0UC5VJOr8l1IGl1xqASXlhCX2Nv4pb+u9tA5e +82ZwtGpdBy0mfjPvEVd9RPPfh/KaKTXfTahSmHemYKe6RFJmOWWZ7qSFIrkmiANX +CBnLseOxkauJunxLRjR1tjtzQfsDrhFz2mjekNUqr5J0YYMdhUb7H0J48BD5EDB7 +9C/SzArCw2ywX2kQvKHw6SMw5gcpQ9vjntfgWf1iLcagChlBAIrPn4XpMn1/bIqu +pENm+53VgLaQPknYE5NShfXPV6y3IVpajx3h2DTxSLPzo2mHKAao8ptFU8vySdb2 +1wXnat8kaHl0VQfB4ZXroE2ppwIMC6l9FjY9Amr9mlc7UgewxRyboNmeGcI0tc7H +usiUhRcSH+bKZuI+SsqgvYZIy9sk/0vmtvbXbJ6h43jYMfThqzzTZgfOArGaVdlS +XXj6Qq64OxZSV8lDrm3H2ds/kl6qaae8X2TtfhXFPPvM/utGQ2r3PDVlqWplrt+C +VC+/EfyzPbdgbnW+p2dYuFTAeHjQhj5r5eWWF5Lxe2ywrG0Dt8GhJVAC/F7x/6lh +GnjLuP9gi354jruaMXqFXenyNcu8nLqllWaK1iQ9fG8kNxCygewXwqsFR/v7a4Uw +X6c8x/M6nTY0ICK17DZADfVcIDe30wL0hqOp+y2AZcHgAT2iBNG9FQHgy0iW+uR+ +AA5ZpUthZuvz70MQjknK8a8BnGUw+8Hzr6LUXiin0L51BuuT/wVD92IzFGa7ejUe +i812e5KKwMYgpFdDjmi4vkkd/zL5VviVvlUwQph+inXjbsvngk2JjCCS/b6ry4Gd +fFukH9kUvDeUrPHRuqZqQzTnHp2zLkzJrP1wiQzsVBxBB6wGxkfyPuzo0Qj4zqMc +qyS2O8bB40S8KFem7eutrIVsn0KcFajrFzKR3hbKFUuwSTmffWg5J3m58EONBqDz +mmbKAw4F5rsgUOItogMRyDbcLZ27ylDr591cTo5wLF8r/cVntrc4920vH1YqOvNG +1TFFdMzZu75nJAb/bzMRO9zx0MxHvgZ4/QFPIcTUIgdrT+9vFocNy0YG5O2mdQ4p +qfrMvMW3M3NzwUeWm9CCzt7hzk2+m7sBAdfHKEC8D/90DlSLaHTHp2yYw4bjQTx7 +ZBixBflVJXgy4OocZlkQiL9JGdFGo+zveZ8qmD8jkewqSogyZHvTu0WyPF/qGX93 +c7RvtMDmuVAX/yuQdrotxIml2tyf4QsnYJeZbW+R3GRTty2nfacFp3MFHpgGFC+I +uwqQqJUe58vuxqDSnf3FW7ny/BzADnlo+pp0K+1PyJ8PG8iN+8Tiq5vyaND+bzmB +B0lV0O4oeYn5JPez7J6h2GHUt6G/P2htMRR0cHYPdQfyAdafyP7sT+UjQem8oI7K +s4tvi7g+i573DrV4I5Ax5DW5E2W+iGquV8MVSD7Acxei2+yxLo6k087IeHHXCNaY +E8NzWnFpaZ8Usy10fKeqe8gLIgI8EDc6nWV5KjqSP+NP/JlOSq9BseH4FdSdeIGM +d+11KRW3ELE9m17Dl7b60t2jidnZzkzrPtAIw9+9SjDTVE+NRcvxup/G6LLJvXWy +0fUwyzxIDfDypB8y7m3OStQ/pJrd20Dypvxv9YI7DSdexa+bkeFdSUHxFVp2ZF7w +C6PwNH5umhP9bromnAmbpOV/73F3/3GRJ/lRcRX9X7AqC7X2j7kIKbtovuzd2jom +OJiW6FQAukagOC4YM85Yv09RP2oCy6qw/EdO2YTiJLSaYiuKwlkRSzaqZqXVrSxd +o2kqdO6634MGRPh21Pk2Pf64DLIMJirWKZwER6V73RfJCR5YYWXNX+jOu33tLg6T +QqTwuiWkqMXSPifLgs2h9cyaGbNV/NRZFyL/JqtFp4okEapPFDVpozmk6V3oNOj9 +pZ23ZomRrzWuQoLk0pe2msOjrYmMl/YDiqBww2XxMTMfsiGBuzKF8Ih3o6A5Y878 +m2zkUJjh+i37synqHxQAfY7/fFf0hGpymFywUk3H1GoDrcp0Jjvz4wcK6JzJG0EZ +IAXioWLu23WvPfVJ1Vde++CLXdfbrLk5zWAo7qakyRCP0AeJvNi1T5GYIo1F4co8 +cjSKtx8AmgPtt5OmSnA3zPUWH5xWyxaomp/k6eaDZUHsHqGXBvumvn1H6MzIz6gB +sBH34mBjSPGEy1VI4S5/kYmob6KsnYYAWNKxHQ5lxPOmzo06zd8T5KA4PWhWrC5p +5LiprpKoLhfg3NJ5xX5uCq9Jf3M3vLxq4QoxrbaQt546/g0LDCuIsdh7nRiWhZMx +gc+JZ9ygLSemLu2NNQHMts2Yiagbh/9S5NoFTQC/isBFKQG0Mg5ConV2LSgzQA9i +68Lmk1yiYUoV0sE2lypppgG9ZZU8kWf1WaPEO+G5pos9lAM8QvFP3I2H+S+o+NOz +VvGYxL1JKUDOJFHoCh8qfUlqODsdZN2ZYuj4nhP2karM9Y+Vacbe4H8fvKZ8du7o +WhBhPHFhSfL/iyvz5Ha31ALc2KuQ93uXGYRxVwZiFeU3tVfLZ4ROaKth9C6SZ93C +632mZcenA91rfstFLRkGhQWL26XhXYWiiQdGclmE75Drb8y8NnF93D6j5MH/wK5h +XLz7iBuCUIxsnafltPBViLcO+RI5EY3CazG13ULbt5ZyFOLVIOpDk1zxNAZ8DYgH +zTS+1c9uhMBcH4+6UQSHh9KlilF02Cou+wZJoAlz4uKpEFsG1yynBsT52xW39mm5 +8PPsWvluOgXjDLxO05KpKFYmyfN6cloA96gQGyLh6gWdZ4aw3h/J1JtkDWM64r/0 +lBHjK5OIRweY3l5UUDZd4AIPZWQpCk/CFJCA7V9pove45VdsUzsumX0WKH/kjedp +peDbLRBMkcP0UnalyQTYwQfTF0qzZxTBOOtDMt+9EHCAAhuRDWqfj4Xr3pGCvvoT +/lG87j4I+izsU/nkk0Jd0oKrOXd1Bs/psJ/E9mf6G2bdfOAlfPSXWOWFGNEDUzme +fnTtR0ww7ZhMf69O2dgz47X7wcSc16uCzXCTv+ZLwRKTvkqObiSuWBdp/ZYXg7ko +rkatPDT+8vODBFQvVZF08z5SkXH2xRNpqtwkvK7vvmC1UPy+/FpEI/TRHGJgd128 +0ZI+WeCsWXiMm/BxqxRCbWxuqTJ4+4jQQGc6436kQJpGdgIbFpH3/KXc/Qb405Bm +4XPXUFF3j+rCa00En0KNEqC9mOeevXWyfKJJzUC+JeRCFr96uj1+pv/QdYNkUBuo ++baClOSQQ+Q5pPP9RR70ONVmYLCGcw460Sbv/J6R6n+SJgCDu/x97Z7QBPtWI2sq +WCKPbN7JMffikmHfFqgP5VNDZVg6khjX9RwEu6VsVop16guBKxDjtpuMkQicsgtI +yFWmHXzMIQBgqGNbSzH0PJc7WTquyKEYwmnSBthXLBG1VRK0jDejMYMt5Ynr6bOQ +3W/g9GBcWD8T6QzS28O4C8aDj/yrspxBwSRvSE3Tg3TtZqCnEUn2l9uOKfS/Lupl +zcHF5VCNvJHxHhDwlVydGjae8f1eK7OB69iMkao+B+tvOGmIgFztSCD9h8/V/nw1 +bQSW9SXUYE8HDOLfIj6HZHtq1zGG89EPm+gyetfyKrEkp2i+RDfrzkrYwQc38Ufm +Yi3Lwv5fsI6AgfqRbrC9ph72CS4zS/ddjps5o/G93iq0i4SPtvvb1siDdsV2wkL8 +xQxJJE9D3TXOs6kgHDR49FoiG+6Qa3Oe1Dqj/6IN8RBdibYhy69Vc67/rqIGYrj/ +eUPzxclg8Okzb2lII/MWf4OUmQqoPUFwCq1/F4WJvnqlPJS/cJzoxQJscdL7GmeN +UoVBjdJd4NSS8RNeNdaP18JXrD4XOcVhmhwNxzBOpGyIYlN77uV1p/fyzr68riPu +89977eitdTvsWgnWxJ9oVATkk6zGWGC4KVeNKV0KDbAL44deasCYu+OL0ZaNPsED +u78WCy3kCKTFnb+7uwFE9WcGw1gz7YdEoXzW7Y2dKVsgv4xt/epkFmTLY5t9SW41 +grOj3oNr+v3VdHWFAaL4fyypShcqOT+zW6tScjetNRWuQXFs+UhwvhyHMnXpmAHV +xLRP03lq4Hm6yvCEB9ZvLQbxa7+EwBJopRT+V/lvZY2Taa1aKSVd4IQ8zMRR1s++ +tKkj+9mO/Tbp8PDoy9TO3CtuEcrgNgVr25mWVoEPmnvVM7j5OoAq1zW0nw2Cw4mG +tw/OmqrTFM8FM0sI4mmcQO9DbX6WKHeNHUL6uD8uJqvzxG0sdq6+PS3Wsw/Qtj3e +QhsfSkMVfTZ7nbqVQYabKIaLLrYmwR9oNUCAxAYIyJnU5jTg3ppUiKSyvgfYeCvi +WY7JjiWhURbKFW6xJQgeLn6P8z3pbqbIppwwQ8sCNw1R/dpoVnH2SKw99gt4wfyp +M2zeSz1TrLAGYF5n2geZiJugzMSxcREbW92brZN9YZWeS5KLMqGYZAAIKzYJ5aK/ +xqm22S5G7ELlVvo4w1QCaVSHSlS95zbWBCa17pzaHBqwlLSM8fiaFTwr4WO1KjzO +oHyd3nywxtEUswDDNEedzaOrgV9qVbcVhThOqX6rp4HXYBbrrnxJhkUEgClBjUO7 +IW4m6BtaP/MuEylhDC9cuY0nDxNZtVomKQ4EfhGHAn/Ns7+tLucc5bbr66RaqR+C +EQuSWFj7ARpYJyRpFU9E7iRBPibAFpbhF3nJ6CLaoQBMnTsSFTyQ1FYkgniMFttQ +9E3KA5oaFKy6KTsfatoJ59jpw7ngD6s6ifwyKeDKzAzhARemspbSPX99tuNp4U+a +o66QvDKGvM3BxKiKGlowZAZop+vYG1CgU2k0t7q6i7acoiJmepEpfRBaqK1qDjVC +LGDD5aW/ybexNbipKC9LEvl+4i0vxRU9e369STgQemOJ9eu1z3KiQTCx/D1oFj9X ++ywiCY2cGi6mbHRqWPOg4ZJAtp8eqM/ZEd88zY0QAd2+kvwwwbBxWna6btwX3rWW +iHdcCprwVmMRUY64SwAU1ch4SRlO5HrB9pY747bCdWakNFD2ccjJuMY7YBFlI/QH +SKD0pMR6ozjOd66gnoxLCeNypdA2tCcTCvWZbZPYQZoT7F1j8Oi2MR4aWaStDMLM +Vdm6c12zkFDuBdyZwJ0X/YzYm/Pi6zJ7VmgABAuWo4VYo+vCy8aYZSmxNLGL0/sW +r2NXtOsWfpEW21P36IpKPx80Sn4MwvI168Lx3iICRdSLZ3p4Ze60N9fqvXlR3EgR +JaREBENKJ+nAptx5yZayKodP54u2ax8M/vPXe4u8G3mrWDVjs1Hi8qx4/lPPDHvk +90VYZ04Z4jTz1+B7U8gfvUr9lvxYQ/tZ9SclRwE41IunpJtnDrIDmFCEpgwzk1fv +jw8h2eTFPA52fOrDi0yePWzmfa0Q9M1BkiI/oMvYJLju56Wzfyp/00JF/G2hXvFG +9SKyR6YUiD17sWJLms3uqrlsDcHTNwD55zhtLLsT+55U6p3x2bpnxxwQelvCeogp +s5YQr7+z1tT8M73f3CLJcvZnaQFysn3KJ7k5e606EqCQSvGZ/Q4nSt4yTNkEQsu0 +DCDClavBOxxqyG299WXCd5I41XHPRe/FYpRXQYl/huJC5iXv5ZTqTJjO/BzVBbG2 +LcCVSOW+AdE7jyIU4zrJmSbIci/m1C64bXiNOoNWJyO0AEcwQ3QFZz6MbyGlUJW9 +nfhzhTf0tTeefz0j9hWY7xrsQy6CKG3rEVr5gxxmGFeCGUOc9kY8uNgHvksamTkD +uHEf/F/bBJpZ5Ik1Z62fJVZJLLu8HZkARJ48SdgJdz65oYxD5FBXA7uCAwlV6hQG +TyxywTD5/pJqyfy341GMaCivWPZ1kUFWs9spWctATau+xO1KehIxPjplOGEZUTCF ++mQ/c2BV8zYtua7iKYBO6Leu6sin4DrsrlTGFAJlIciTVcgCSyyWwNy0Agmk5Ovq +lrN2dsriah2+/H1eEwHdq3z582s3Dz7s+587IuELeIyiV0fsqolkqCBRXpvF2ivK +v93CUo484iqngadysiPpDjcXJtXmE33WhFFyfgBGJchghAMZwCg5FSJFsaIKw/gW +PTooOts585165Tq5EsNDslBuEh/tOvrGbHFHqiuLYeeFtLMJempB9z0nBSkAUVV0 +D86b2xyrYWeGw8VS7idE5R1duzWGS/tKXaD9ypPVxGbid1UMUgndmyi4+a2Kn2LP +YFoif7UXNblnH9tpry2jJC7EFsLzEIt+RfQzduBJTqYRus82seTaEgQ6KvbFyIKI +M7eu1lWg709EVfDc31YUhqV/fj7o8SBr3gzpTXZOSRZ3unW+nzo9BuDU7Q3a8QXC ++s4MHYKlkZunUD9ft+BFvD4sS8BqlVjNP9DJKQmMlmDq+5Kz3Jf7mLFvALQtRNsI +IH5AMUgl4wuOD6HqW17AIigR6ILAdruUBJ0d/ibsq7gdpjwkXA15YrLcqnb7NB+c +Ux23H/VnRTK1FzwFZrWoz6PTVWOHeIxu9VlhURfDC4QNltNrxfXxphIXlOp6eTA4 +0dM0EthaZ2Yh2jCcPrplXVKNvMddgoB0dPoJetPRODkhv6rMs5zZhQjmxXYLVIHj +uyZFxKuUvy7pAFQYQH6IoAZQgxPRNaSfW4zZdaLJVADzRxpfTm+gVnSrk8Jvhez/ +zAWsAz2ldAae+PYIn4mk6Bcdjtm9JkL/Bk1Oi5F8+Fk2cmO9qly/JzOLq13bzE2u +J9Kf5ksl7iSFq7CgkVvSJf/xuJzFPxXDe5KmxKXzojWRsXwWCIz5jcHGwn59+pY6 +34bRhAXI6F0b37cBYHHRFUpkLddBGjw4xkJr1sPzU7UFgn4qcyu6SoI+Be7W0rfw +NDatYm6JFBkozwR7aWN56zzBelQTo2li3CY1FwsJY7RcjCmH+cMSEmSCwbNexk/U +uDNH1dAL3kYEMkNtgAsBP0zRVLCkujIm13bAuzW/5qar7hpadLICXsptG33wFxZq +2XeFIZh+5bm1uMqRxxTGLqnuyJ0u5bh73w6Q0uY8q7VaDNLja75q5l9FvDdIa0By +CuujAwd/WKh/SoBcwsFVI4OQHLccsz2HqZ0nKUUtT/76aFN1aRjFoJWmuubg5WuF +Dbi62KDovy8Lh5L/F4xu1ttICiuehQRFr8qCkiqX1YkUv6T3vZ/YJ6rjQGqZVKPn +HyxEwKJ8rd08B9nVaWgLbFQsx4HW/4WkG9DbRlt7P4FxNtZ5FUhi4mDSZDbeQzFJ +SS9dC/PpkFSocd2KZZEUE+srrB01ZYe03dhJq8V/sCCe6V0FIozbz6fWe4OXCNXn +cGdeZP2FWFOB2xaIeWHdQQ4ryH1yI9DgqOXBxg2YeTYpVF0X/zrTw7CDMddxj5+/ +XUEaeNpTFyl5qw2RZN0AZ8bzJbxxbwu9Ka8/GrC6QjG8UImUJMVaOHNqIqhKav8V +3pI/tobZl6oWZggwHxRwMEIokwas3geHhZfP8S2gJfHiauW/4CM7XyNcwq/FH2yb +sxD29R0uGSXLEAJQ5ZCazeCGftMSC7UJW3OpXdtf1YZlgHfNp9L01RfQPvuJ8L7k +m/6zDGomDT7yttszz6FcPYje/BzlyY+CfNEJEPEq3s+yNruKaf/FFSqq9tCXdHg5 +IE2bbtzEeA8X9wFgbmwmJYRR8KBifWHLxJpGjcS6tNfD7MAYgWTAA74rxbHt1dg5 +6F65JvmbbTEPNkL9IVkUO6V6//kiSt7GxUnMZHDkM70MZ6tbrqBnjpwgvrdL13dV +ySP6mGPBnufGKOTcYAZ7YJgJBLLP+ahL6spuhq+Ym8IPL2Zzc3dT2yk2XRivYeuC +gpo5q1Obvpyi0ktsxMg88JotHrb58KkByPIc0vt3ygxcdRWRR1Y4p0blABd1Dszy +3VUIMjiPPZY1nAy+ifGppDy4dUd/qBS8XCTpGd4CNOgGhsdfQY1Y5Fqw4nmdGfho +cAA+BN4ftq5A12IvAdvmSt81GbZs+GIw91DbFDdvfB7+Yg1y6Z97akOkW7JwlyzI +RjnJTc6RQ4fcMM4IxUutr+eg69xgRuYf1xiIWWIibWYgSsxScQ05A01jXOaeXnbr +tZg+aXLpy8T++R7cEAqmtEeWjnLig2pwHoL8WH6wfwIPBWWMLZXdVKpwqEivL+Yl +6eZ/BGDBNXZZI/cB7TICHIjhh3HtxhiDN3c7bFtnH+i6CPOQBxb92O/lACo6UXb9 +STdxeZMqx+JsJFGLZmM9JgFFSOKp3oxR3pBxL9xNxo+ePw+UcrOkL1X40VWNw6At +z1sPp9HMPRO+BesE6inJQLvfN0/ahtwSEfjPZxkeVlnTEKAd5AmIqBWotObhW++R +Od/FTfrfKyLOyNICQCUCzR6Iw20dqRyZwNc4vmnwh/AmGVOTv8ex8349W0nlLUeh +kr7kkRmNCpuUbiNmir/P253qrBYwxoRBRJdlSZbr7rUfxCzmKNNoBBchL9HE9QqL +cgj6eudPEDUa1uPK7iJPQ4ydllY4lFzVYWNUhl1wbf1PLh7B+s2vFxJX7cBEPVjK +pW/zh9CF7Z7a9LA1FrK0RCsGWlY5xEFxeCv983nKDS5yzbRVQaVYrkUuQQ5vyotj +MrQnUGBcZ+FMZCYgCqFAtutk/OBJzpezvzydYuiFdTn4tfyzcsvcj9l14//SkERP +FI54rdedGyMS2n+l7n2F6vhNepQeFKtfuWmDG3aSLOF33LDXcRyb/slXsm66vZKd +wjz4rNYrql3oBLlpW3gEKsVg6EmBoca1lwlZC2xu06rnzK9Y53wylYmA6LQ/ZBtd +FwPouGvC2AZHKAMyCaeEAhvaf5ouivIH+bVqhzP3A116LAi8gCIS1eAnKXf6TzVE +9cZKLJd30viURIND/2HyvYDt93x7ItQHcBuVoKQDmiBsHFsz2no4fqt7hgwsbD+z +cTYmjoOMprBNNHJ1wY0lI7Su6094EyiuEqCcmClEM3oJjyCnpHqodW4o9GG/VB4/ +kRlgpubLcsVYImD8IZmIjRjOMFGugF/2rdeKgMd8XBHNPWwa06UzgkL4gvxQyi4m +jAIEdhpBRi6wcl60ZiD1A9N+g2tdBA9Nf3Y+bxn112e/fktF5+27Va0sqdwrc61/ +MTnGC5TMC/OxYhnXy8XLVQ10LUorYkcGxMlCu01Pzfo8ErV3Kwrr3r2+uKl+6K7M +Xxp2/vAwf+rJFgowEb60vZ6ViuuXEqr/lhuGKmGBZGt/Kx+P2Y1ALBcLhpWPJ4ns +NSN9jJVX9iye6LcXZfQw35Au5TNGKcjJF2VNOsEP5hOM2/fh5LyUxoxleVB9VLf/ +UR73lbdGxYImQ4+ikJmErBQFlSgLfypG+NRsUEbnFERj0NtE3JSuhZYxSG+YpSM8 +PT/chr9MaigueHcsFLPkqvubMV8frq1jQgIM/sESFez9m7P1I5W7k71SOpCsDBiN +IOBu8E+Rs8MJMfdqXfeqW4zheyofnhBG3bBne02kxneX4fRY3fty54MaADJM6yBl +Z46smXyxp2jaq6lLr/+CoOkritAuzxD35960YrOeMccKhY6wLvNbnEpIUSx4LJNh +neTVtvriwQcdcmt9N8bynEDFJgZ+a4gB9VL+iHden8XTb+KKW7stszSCIwWvq/PS +JRBxaAlJ2mP/tGLWAZMuFv07ZHBRxwI/PUe6wPgnAUPvckg2X12zlw4A6ZQk/6YL +6gNwgFWOUc5VwKEyZF2g9d8DRRgpL1Jx8hh5dYuakYx9F03q/lb6FBIbjzJhQepy +q90fRrMGLbT9RSdcEUXZ00kNAfOKybdTf7SnKayOC0aNBpzyLKdlJ3At2lct6WfN +j5aDQSLXlxTNMKbVAyd7qvOF0kDV75yu3RsorDzLSRFdCs7hoU8vIHEQ/Us3yJdF +u29I+wHs2TMIwSci/4V8p4cJ/6q/zASPF5HHyOIKrPI7puF/ROdTJUnAW50v1rb4 +SuV7zuAcZdvemrxZ5ABDI+wWa9x8eUHcjBN7F9OOCTFMTeoFl/nqiJaH6Zbv7Dtb +ayiJMNy42F2It48Vdds1TVCVcTMSyxmJ+Wq6z6GllM63OhGiyHnHIHeIWpgNTmXf +/Wjh94uXCrn3+JCTWSrvm3PzFVZ7WXviYf9iDXGF5RBOKJsnXMDC65C/GggzF208 +3BiSrXDr90xZXpWj8psTRUCWWMT1v9FxWNikPZPECi8bitVhH5+1xtIYxcPda/r5 +IbJPJn4nrdeu0NwpavksgnT2RYxS5e9ag7HrRG9nxNuHpw+rasOaKZatg93IAlz+ +WaUYa1Yu5IKaQ00dDQ8GoseZ8lzoNVDRJD43p+7wZRmW/2LtYcUksgGmLffY1TfL +qkpYuoyPBnC69HwXcjaPLW6zQAfe3LUlFK/PvFXGSmtMY8h1iG01lhzzZtfMfQIh +Lecqb1CZDGPmXCgfVltpMk0TRMHroDMcgU849FJR7CAoyZAKuRi0dIgY+pr0hbU7 +dPL1Y75FgmuO5oCRH6C4NnJvPtewkHGXWlb1VLHLhCf5ngavWOMwSzyxQEV+xRi8 +WqqleFb7eyQ2hTZB86Wp85yAIqul36c751IGos8Ps2POpQEK8FzqHV1VxK6CxBJe +1HMX+zasaka77bu/Rx7dbkOfp5o6FEw7uEcrnG0ppue9Ogd9LdQxdNVvPYHjN+QG +Qp98QDot4zTbj/YuqE6vPykd29bolVntlIcE6VBzfooFwTvHCdAhlOqxDyUuAtuM +fIALVWzP9b6JbYNF5/wvsdvDFEBB0jZK6EEskiczn4ZM7ASE8JbuW8k2sa7ZsLnL +IgvrwEP4jxkGL5Wzjq+ziEdL1GRZgk8+1NSomEA5hroc/KR/CU+hRmHe3evQ7tSz +kuJdHOgHqU+55n9Z8nUini4dteOfuzyN4kSILQPSVceyEvHqP40z6bKzOf056Zub +7Sam7FgfI2v03dYQvOaWcrSxntDyLkqr1CnrV8LhLZpl1eo8l/eFCEQVtvleaZJp +m3JmnhkZ9EYvcm3w1eNz9xXiKLbQn/6Le4z4KNRMoQammQR/3PECEAhIfzYHOZHt +3iS0HV47eAqKr5cyhXKmGU2eM8HBx4QyOnXbyvlLwpc1BNgLzPD2vQvUduamPqOS +F/UH3JWwvVbHh1l9iS7xj3aj2QMF/rjBXjtC/kBOWrSlN+xoQDSZPed+QfP27K8K +cCrk2GqCYjd48o5913UG9rSekOgdOzBXpouhp3bg4+Pp++jRZSSIjKf4dMV0bdPV +renZ15unHgS7US4CRMCqnaxyhWsUCOYuBb2mNw0aOrVWD3NeF44Krs7mvNHs9nTS +IkE6J612IpZp1vwhRYJHDn23YSzowig44Wd1pQ2NkzjeBinyXaHGJHDZSL1Imwe/ +JZ0F1Hgsd6NGO8qtC/ulpoUv41X5LaaYMu11BW6a06fGjSiPBxmvArFE6sIU4Gqw +Mbj+dqoU62XiRzKKv25r4clmxKfK5lIkAbkapK1jQjm7OYQMPw8WhowS5YIj2lJ5 +0+BoDBfyBTAXvoXCD9YUWKIDnugzzjF5yX4ZZdromhrDGVu3wUYwxwFJqVLp+oDH +dKjDF2PrTl3oIoUhq7IG5HeeaEMFqZgbIoivP3Uw8tAWAJckMrRqoMomIjJO3AXm +mJvgCkKErwX6ycLr/XvxHAf0LXC49Qoskh3RXIOWzGrqy29h6/aMsFySvp/14JeY +/zrHWZ5HpoPxb64SkP8ARe/sc+UVReP4/4CqIrZ2htLwoMNY3WtKuWyre4UkagbC +Ur4zFQakrWtbTwhaR8ms/5aLO6G2J4IdP/l3qhH6mYK28Xgv71J25PFNZcjO+3hS ++iwJtnJXXKc74VpunjxGl4Tve5YsRaE+5rGt5LuoI6EMvuqh9xXgwczd/qf6fvaL +d8NmunBohw4MzcF5jHoqUsidVczIV1wgrEGdB92Pp5oFM9R0VxHR1cuMZRy8IPHr +bUJpivKy20jOk4RZClm+x6v7d+/q5mYoeyHQhXhZNcQfsXeYHRYM+QLiQTh8RxJK +5CIxy46NIWsiHtcK0xMXyc/sN5YZngRyS3pU9GSmj1I5ckUp6ckovxD7b7R0hp29 +K8Ly9IcF2IYunwpXZT7d+pZNRwVEmNgNwhJhNR+fXZ4X5QAIjYncOfND5L/fmP08 +G6VYl7rNLaWTEVSjsStPjPw6DC4fMVCi8StVLcI0y67ucJg+gMNh+7uR9opmbsQl +Fgd2JmkP2cB+Kxojn8lmXjmda4oSGsxS2D9ov1po/S08cxUhaXPqyrFEfbG1ygeV +0efOvyHBRTmtcoZKGjDpWcxEcoKxlULKor1Q4qlPZQ0EbuMl+bZ5IarLY2SrFVbm +lJqblSltPCRbbyF1XwWMsdO57zatiqURINxtcdNN8wKVSH3HKQYCP0RD3tK85Ue6 +ymQ6TBHj3xcceGr7AXzar03lRR2GRva28WUVsfiPdNk+aystCdPxT95ERQM/2v3d +JwQNoSUtSd3870zAqJKm4RQQtS9PGpzbSWPZnpyByqWyYjFvUwned/0Uu3qIsSF9 +K0vw57/K0Q9JKmT9K1hEibnxltjx8NiIstIMeDPoyfVeA/SgFbd1KMLZH6Javr5S +mWsDY5HNS1ctl2y0lLsPN48vv2IWwuAWEfZbuZY1e9zfDZtLcpLmNdxCHO7cF45L +2pBvNjFxfViQOCQ4kUM3Cy+p+26PUbKZYbt5LNgvS3g7nX5vVnJtOnPgxXGwlii6 +lio/UdoLrTUWGoBftMOVvXo4RJ/q+93JPBrlUCsJ1j5BkByK18JILTDKvd/fXJ8q +3PTbMtonaenoh+UxbNyTqDajp/pQHjO2n7mnqJwmSrSI6PxtddkYnMbWlZvxVOSU +vYprK7pMaE7O0ZCyvtEBPigPLzDO38dSlBJUrZeLGPJKiTnPIY7qkHBNDkzR5n41 +6PSrIC3P3r7M8mlX348PZ2Xe42Yv5zy7tShp7J+K3nrDSrslGk7jRyMxM6JqgmLt +ejICiLNoqWikLHSMKtlRHfFYNIHnJfaOO96lWx+g0uji+ElPx4Uoyv9eL9L7wmhW +Ja0/El7bEq5H/U7hLtCkl3rEDROFyk5troMgW7ZuP1+5sCXmmlipnRHjsM+yixQH +H1yZ9lhbF/aX/AhSZmy7QVjRFTdxi9lhVPjlB0/itj+shvgkQNQI/vphqftfGlHb +ZBdN31xtKy4oveYYOws2Sp+Zrp7NJX8heJAUsXV6wT4Hp4eUo+bG6F+URc6QCfhH +m5Gm5fVqqt507uW1JIvOuy8Q7zKPfKK3AAL6u4CvCAvXr4hUM7fRIEWFmBHrJ5nr +KnL7IleTtkMeGu6Sm8LN+Vdcn0OcFzWAL7hg0BL8TepKvdL3iqOEt5OM8snEY3Bq +yPqSkIWvX9OP83wWUtL9BbKnlUmSibjvu6/4crrXgQwWcVvI880Vcz3YoIuwrFmc +c5YOVlPoisTngJdtyqprc8EKpcMQUOKN7WRDDCv8sjQ1id+pM6PkIZoFGoSgWKij +35IfLBBf3O3HcYGV7IQNPmRMcSWEYCAcCTDpgUp6mQTmKN1UDdIkreHbTf3922qc +Ha0oYDD3u323aj+o270xLDcItokOGGuSg3LjqVw+CfH4in/99F1M83+kmFl/bLoc +CtIsE9o9EIYWfnvnJ4AwXSikFZPhnIievRGh9FaDnK53j6uBL6Ln4pmIG8PWL5ro +dTKI3QTn9n4Uq3tpuvqBJXXq1PysYV6rfpmlJHd3Gfgqb+yyYZJAQdafSO/0hFw0 +0qC+AOwwzkLa6KRH+A2HGNdVQ8vMHikz+cnZgT6XB4tlWB0X+sI3SVntufPqkPGK +0JIlf/WhI6/0+R+T47Bwtj95daX7dfZD5Y9tV8e52SZdWd2ivRCmatQvJtIwgZdM +9QHNAKuVKzaduh8KzOTPAqlr3kxEwLgVplY1nuG8AD6wI5Aoyr+fZEPHMawG9t8e +rdMynylmfDUO0b3cty1dLxAFhdd8aMcq8aSeSQZQm8vizk90Tw/LQo/kkVyoD4hH +QxvVJJaTfjSQRNh9rX81tWp38w7KPG7xHEy/mf+TiB69NJOVwk4r/OOUDAkuvzRR +xMXnyvgbffQ8G0xR6nLYBIafCN0nH8sWa3K6Yprph0e8UpNsz6knlwfkouP9JvhG +ojpcghbv9SkiG+YkMPpWk/byQNIYHzdePeCxN/KMHETKXKNKlcpSsltwyvwAKAD+ +EE0Pve/oiA6jJi0jTLdTHzeMfVu6OOfpQjpJb21KA1WnK+2+4qiRUHreKRDMi+n+ +BiRmEmcDQSfhqTxceEtfuwuRcftUboTII5zLugHOu+oAeoSAKcdPIW6+mxIBJzUQ +xH4axGP9P40tYdkUTao9r7kkTiYSPj0XR/51uwXec/TQsLL3pVdH5I6LGB649xqL +c/dU7g49oUA1JmsmRIaiVhlwNSF7Bd3/1FPFuvDkclmIG8KuiyZYpjy0eEUiRDMC +tnmLOEZBoxVmR727oIWCjtrHDD7xWhEEfAXFqKLBafkeXCvT9rF9GNjmcpBPvjed +BQSLPYLe4THodmenjXn1P8nG6SYNZiKGCt4qZrcf/KWMLAdpo4i9OV9HrZhyfDhP +2WinMB1Cuv4OPOs0ZXxjaQyBpI71WgbxDkuLEPjQgO4neLGQlU+ovBAaAHx/zKc4 ++YzEECJJ06+LR3g6NNeNKLiKMqUa7UJTmaMaB7OvNjAa8pea9T3+5/G4MCMw6fxz +pQOq0HedWHx0f6R00Pd4Q73gEL1cJZfb1Fue0u6VG1GMJc/Wbj/pA9MW5pGJQj32 +ubx7neoJhECX6WuIJbkYfnaOglQT2GViQR/Rn4bV5uGNX+7fzlAIUkoJWYJSyLLr +ie8RCSS9K5V5d1sfW8864Em7b5n9xHz29XdvdTedEeFveHDKFf8KcFmHapXEsqWc +7NRtMGYBPYO+2V0bbLSO3DFAJnhEs7UCMvKO+wF4E/4YcObZO70tqOHxUXRQMLRG +OPsQMjiZKFUkWkKkJQxb0x7K5wNEOUd2LEU88Zpzi3ltVZq6g6zgOQ3HXfOLUyDN +u0kEXTCpQNkCg3FK8W7gAyi0WjIEtG+FmqxNppCtmaulAIUCoDfXL4FnXkjkP9hz +IN6vTCM44/qHiXbTe0bnby0GIwK0tIzDYOMcuapMmQFfzbT692wvkc3MwUvR9pE4 +S8nZC2Aq2/ho4getau5u6o1Er+r0xVAacpHbwse+3bXnQdWgDzdI2GgkqHR+lfkP +XimSyzTx6Q63MQfBXI2LIZLc2mRgFC4OVYs8cGgzMG8yeXOYndNaMeOea34qI+lf +Mw9l6lvoKtj09bTsSq7hwmQTuCeuKVdVc6kLX6UFnfIoMbjsttL7fTT+pGuEYQYU +/eu/C28vFKZVlY+D6asQM2BT5Z+MU0yZqiKarY2YGc9lUpSKAgQivm6Y+ZUYfIq1 +Wr0CB6RRG5SZrDLMRM7vDdJ+fB/0vqrw/8+9fjFMmKRwNPxWKDuaJKO22HDtiK2k +NtvKwmKuRnH7/+QMffpednJ0TfZA2qNk4EsHmRAvm5imn1UdW3sJVQIzHZBehIrp +eW1CgIizvj6YNTxdtGq8JzB0p0W7jnHvaSE/NUPU2WbcgkjwSSdRbSbxBBKDKBXY +f3uiZ5ouDXyi5KsGhiuva827o61g2/wrJ/bMQg1241VCmhZqFTl3gkaCv0iV7XaM +bh2WTfiysrEkY0BcxSNr/HHsOzZ3p8T5NrT3C6bMnbYirb5z6Ucd4Yf06j5z6wqu +Ez7D+4GpMZoU0a/a8w2RmVjy4VlWyBwqrRA7YWwp/HmOPzmPnbeMPDS8tCNfrCOU +of7eWPwfc+4SGixuy30LNjd0kVgHG1nsvXd6hGyl/x//1u+dhacT3FWzrgTawOjD +6yd8/TBGG6pDpCpUNAYHUjR9jJgxyKzTdSj9hzTa7Kz8zv9XGP84qOuvqexKZ3+G +OxDdG+xNIX+5S83Vll8LGp+a95Tm+d2QuZILZyaLNpv5exK0pjsduqR1GBudlI6H +jjuJMsQljZaJb8aldD2TM4VFvgXoQdghK5oU4dvF1kKYtoRYNm9Xeenro9OZnQBx +X9sgeIovkT7zNv7wjkEKOnGXb5+zmyKf6mBUAbK0OCE6RhAC2VBHEcadOdo6fGr+ +Yp1DwOKzKaREpoHo0AVoB6wQURfmX9VS0glohqRo4NzS+nfhOm7s57oUo8MjTHbE +Aa93rxcX6k6YZTvay3oCp64I/tKkQW1tllSZmV1LFd21kU0azD5GKausGUmi+GoL +cbsOP+rl0MS45HAM/indqkS0dkkw45B9y1ArR/vxM6p+7bNDkt58EQCvccI7kGV3 +2meRA9NrDzlUaML9pGx6y1vCLkzEhWAzmBjmPWuSBLvJhR9z+Cs4nalp5JiDLNoh +peoj7KYp4aCe41xIRtj/38VIoe0rVNFcKB2LTOjXgIDbnLq9F5Db87tg5jx0qqpy +6ZqUnEvI/ZLoZCIk0szcOhQg6Y0kgE5EB4Coa5JSSYayYSKMziqXoNJd6IXTvAqe +8DebS9n8XeAk2XE2K0h7mG2+Zq69+Ol5LFdgZr7+5aYX13H0VUqcUrByO1exEFEV +VTgNxC78GDV2fKrmta0jGoC52te/A3AJNLXDd8vKKi3i3YUarhcEB8cufU2owMQT +Yyhp6IiIIDfAV0oO3GWE/0skgNHFsjzs/IMA/tnGcMmdyDjM/MBBAl5WbBLofO3v +u4Q4q3BMlTQJQ10qAmZBUl39LbbdKB2ttBFtIuBkWfI3MwZGqdIX1xlBKVKtlLmD +8hg2Q0nO89CZsjI78K7dVur2+hwA1jaf4IUFVM5PYy7kQpXKU5/hTqRDMoUdp/ME ++O343B0D+yOu3Rn3Ej94rCj3+u7TTxg7V2b+TPfcdBQ3pFzqvPw9ODbO5BDjeAsy +St4/UUw8Iz1uyI5C0Q0oDEq0gBRX5aLvQH9C35e09JpBd1ShzgsiiZC17J4PvmSa +o4cKLXKiKAazPZXM604e9lfKsXhmMwR+ZH7jVBnwypefvoEVra+S30JDccikg50O +xCarJXVXnppae9OmOdIlwJYkLwn3zCJuGvWe60JvYLuBZqpWDRF+f7h+tch5NARG +VPxv8TlTZle+/0ooIXeQ5gjNadR+LCcirQjNyU4/+jhmHXMg/oGPkdh9y/KQEfHY +LQpdh617LLOtPj+ZXjHC9tOMsndfvGXW/bHBiRRJw3HhqM8+YrtTIv2D89v/JRAI +nR9mPD8JuG8cu9fVAlX3QtNoETLGIPwFh0bdHaiwULIx4acLUDFqlFuqzWc0ieih +lY/qM+wIAYfgOVP0BP95mGp1x4BlQY72LrqSFIxk5R+nXUwEhptMhsjPtMnpGa1Y +aF7xe1WyAo1vdi6EwPLDNwRBPDwOsg5q5WL7tObudEAQR1Hc7LBivxBO65PFhZND +En2LX0teZK7SMWN7qfXxuuiDyP9pdp2q02hSeNVbzYGzr9DC1G4xWisNpdV2Pbdw +3tl+KF2DKVs/Rl7Ua5SKQ0bIIdlykFexVz8Pzqnm4+Lk4pN8hknvhO+oVlwruJI7 +GwdGrV3Y+dxsdwr5xH72ZEbQOGJIlKBGghbohJtlZC0eK2GLi2OwHqVvOVDBYaGO +sGHTFhYjQEJPpt/fCH8Vkeoo2z5pPECqJdHyR9btLK4E72fhmsQyGAq7G85T6xDg +u8GLbAm5cUj7RRjadLi+0lke1+xNvjIOOMIcT6l3pnQ/QDKMYNKTy/cV1OxHDDlg +3Ua3YnWv2gCT11pq78b1GncqtR7XetYfMbg9oZ+KA2ceOQi+exs/qyPvB8wsvh33 +TmNgdAj7XE30gC8atoXkgNHcEX0pSOqpeAr1U2GdYpCz8y8oOsgEiPREDznQiVY0 +GF1hodPPijCWQ605o/VEQZSeQ0z9MY/6Q/9jcFHX78i/I6a0itK/smQAr7uTj7Ta +dCZL6VRlpwDvPEUCV3QjbrT1xqk77JdAwbye3Z+lpjc7YjFa82BTwMtJliNWeiwi +vQG3EWiAsKMuctGnQTXg2cSbOJ9JbTw+pKy9HaruAth1k9GqDC6/s+C/pGDArjHJ +imhuaSx/KmCMhvTe/lDBgk87u3CuJ8YPJFCeit/YfsTVRBr2SdIp3bt0v95oGUfk +Jt5P9V8VR3pFmvpRNykcVjNdXYimR6PS1y8fhCqnz6eZ2eqQ6whCa7f0Gts1u1bj +6s3Ah/B+rKkkXBanhFUjl/Z2qOgQvPyhdh+1EHNUVImFW1RbtcuNJxlrdqdBYX1G +HHHtzMZzjbpL+lH6cPxsgaX2V6xvD7TEdcI6C5y1O4GGaRlz8jOPURLlgteYBHz+ +5KFPL0NSaJCceJvgix6RhaIY2KY2/cQ4+tzudonlaBZF8PiZhN317XobGks/GoM1 +r8u4HU1EZqPLvwztuRcWlx9kNY/YJk9mlDl3NKFfR/2K3R+r7rskW0vczwIwAyd4 +umF6ryVULjpQ1scZ/CmgWvDa6L3au4RmRezvKjlOZyhANY0JpbdRmQAdNlZIsGJH +hY1MMRAygC7x9UNHaskeD8w3xVZdMQI48zk6aEsaJjFBoyJnJZGiUtxqCCOuXAdm +wBxtsFalUeUgWMEi4Eodh1o45SoTZVYaE+3O2J5V+mtGXkB5Gc3l27f2fMAk1fnG +68pwL3D6AqrEszyqP9rKRIZ7nZTZpd25WKqQeiKoiXRQRkO9beFtCTZgSJEKPPU2 +T3/iGrjm4Dg3B3iddLf1AjT5ffUSl4u70MnrS5R6JawWERZiiSyHKs7BwDSMl8fJ +Djzp/k5j8tIlbGCxwpzFZN2N9dkZ+nlLzxZG1MC/iZLWWerA/wE6vFIqh2Oh5f/K +apPNiwJP5Q9DIZekf1Dgms1iX7Lu7zUm7hzFic4WIPE+qxpRSzfmY7xDehosLP/J +mFJ2XQNAqMxF5/sFn8cFm6+X4EnI9T9BkUnTNhnoupXzFjYUN3L9LCMbnN5dpIpl +E4LBK/S2vYgbnx9GIS9btiZNmKFntkq01962Iqg4njtvoGXm3OBdTkOidr2TMuQW +wZvsGd4Jv8VWrAjEzB6npCvzjqhRFytb9/xMr/xseI85EBV/nSObZp2E30PJ5PEm +X4IUCxTgVMjnn2KDzLzvjfIR2ot3GNGxrh6QKWdW9EyTca5q8xzNKHWgN+4n20YH +QTSdcFoEy7OmZp+3frCyXuBjx7/ZN5RW67t5j7b5RW9tU1ze3JuHZo+Tygmp0GnA +B/9PPDA+o/ArWOjtux+Q1il3NWQw5IettLmT8q7xn88XycPfgL1WYaoll6b1ndQj +2+rDl0T5RhqvJ82Xa01e2gjOWCvyH7dxO+cJ2hIITHfqEXdy08bTOReCz9p7+0dU +oTQg0SLPAVuwf4phHSSii6prjjSY7JyMtUBmsut46cJWkPA7EJw7+1Tm+vFDABO6 +tBQTyTlcr+TKxopKvBTSShZbhXPTEi1GtwxPhyYQ0BZE24w7Q4mhAhQfgqvfQ1Ee +W5ag76Iz0arxcU7dcgNlpFyxhDWKPKBQWwdD8Qz0fm3/LEVeWMXkW/7Kgrs40i4Q +F0jjU5pyiIYbAkyWIs1+Wq873EhzROZq6c+Ke1BH0406BQXHV4GOVYmjET6nnh1J +yU7l9kCcPv8VNOzEI3Und9YiJEM9EHcX81LS294ayel3jhHSKzFugcS1Vvvz2dDX +s7orvRq7U3Pgfd5UjHHdXjXxOkppiuzWXKLOrLfa3Lb6wr7TZ+0XbPKMqgdRAjnG +gwjfmR7pEuAt5IxOPJQHEt7+j1z/deXk7Z4mSxxFda7m2mn/g3z7ORatrXhW2jK/ +OXqsW/YaOzfJtOawHHduwdRv8vO+APlB2PWms/ak9OIMrypCShQHE3/4PYNsrJ/z +6+N+20WGZl0MZzVw7yy10wE6Zar33OL/xoj3EPUvwX7fxC8mgxZEXEf99oYxhVJ+ +yo+4HblEy6qCVm1CY/JnI8+S/3jWMHLKpSb1jq2t9RHZs5VM5rOvd8ALuXJurD6s +lmCxv4Ux6r+xAEzlPpskJy5GYUHP2kM3CeMVXphIgkMTaz1DSYoHybpW7wsnlwR8 +h18TfO+NUuL2dQo+A6xtfxuKieBizYHGegfiZI3t78WLiZpYRKEd9m5/ZfMhhm2q +39xZCLjht1Kf1b2AA0E5NUsnJYmiu7MPV24BF7t6ncXH66s+1/sff8sLQ/fXR0vL +WJEaax87ANKVUN0J80Gmzp+ra+GqPiHalkc74kLkRzKynT8YwFmf5zlk35597pja +vLxUoNmU/1g0T/hjZgmCZhXkEpAZ36YxazxOAuTaf4gpjdEXdQ1CBVRXepqS8B+i +wKtk0y7rcDiwLpI4/WXcVGVtzKAutv2byqySg9KMuF4ue16xwcM7aCqkVoVf5kt/ +R4ZrlJ2CwUoJN+0Zt9N86mJDZuTInrTAadtZmKlv9TbSa73OyF+FNXubLr5Sw9Vh +2KQHBr+MNhgMoGXPkGNTOAPSmYJSB3Zou5GEEYWnNM3HhukLxlMWZJRMMAr6LPBW +E4HKh7P7q//c5M11dXYsWVooTGdwdryP8gjQPusG4+KpPaPunwFnx5VvCdfnFt/1 +msBCam3CMF9y3o+PR0oKjdP9nUKR6oMXscDHL69skl4uLWS8DpKVCxCCpLHHxq/H +4MXVEQjWogvaRv9SD12JAspCOu+hJGkzxvLq7GLO9lEFVYds5YpZFZtxGj9ZqFDb +rrO3Y9rvzdrt1VWOmRcndh/q1PVYHy7jitgDdwuEJC9goz3EkXth19QAPi/cX+/+ +Bs4JobUQzrkLQl2t5X7+kD8dGzXN8xHbkhvgSowbODCVWuC+jjN1iTm9DV7J+63c +No3XtgQYl6o0Mnw9Qi0fXZIF+vWt4ue/vlLKK3QZh51MgI1E56lTETYTVQXtCEkW +6cpKYtULhcJnpKA5Fr7cyq/a5RW1bO6NG8hXWbHjVpqmCNoOZAw3daFrhaZ6DdC1 +6RifPOnBIfCAuvtXj5YqbDhL2DJZRI3hIEHbL0Y2vClMsv40jiGqpap6kcHX+Wcl +Phh77Fj+cqTp+laPl7TJxc0n/zoE7UXDh0zYjxC/RyF5VszkQW/AwjPB/Pecgvoy +X1QSwDPtMJ7YK8FVyqnlTno/IO6rDhFRAROSxzXalm+mz74hp2zICxTv205flBaR +gp47r2J/8EBQTMTy/w6f0ro4sNFbNa/cmbzNDghUglWFZFJ/J95COGf4kh7FPmB8 +MRt477xV3CtkELsqsgCvt/Gm6mm1F7yYnXTltHQRETV63jjY7Cvkt1hjJUke2J0B +5J05sZKl8PwT+FPxCtmBu4ZUWQ3AOvTYP9BaELc+1QeLD0uEV09vXAcrRWrEjFit +H+yI/SkSWvhYib3blMzw99znwXHOHYN3UVo10fPx9sRumXeauBpSIexNlHMDa/SX +YIO7tEaubbHrISIu/thMyUqnV1eetHOGSjHZIGUpRsUdf7rxVn5WGINyk8CFOh2L +r3VpcnGa1kj6tnUBcmYd6ZNykk+WlG16d3FyZmuEaPCK/fJ8bnSiIWqO02Z2QNI7 ++T6JN/2c8RhDTCnrtutroRfYJ7P6Qa4FOkrPWWji5gdrM0QHbksDLkfAyY+m0fTO +pvbtMBfz5jT4NDodC4GCGS9Wv1Q/GQvo4TcjkHiPSEaZdoSmqDh3YS2Jm3SMx9Qk +qL5xuS7uo1jDIhrl3IObUi08uKo+mktyhHPzgL3XnWfa2xtwRpY/77JwnNNmX4tw +MNZ7E8kLbmhc6qlrLoDmnxAJ3D4kSfeq252ROM5S3Imzl/Wt/t4zW9+/gaWOSR1M +WDh8O1J50WfoyeGfATfaEbj7NQnMtvUYcDKzOZhU9CYRPRaFys545Jft+agjw7oY +sEJxtISGmVT+cBi4tU3FOKDF80yUkEG+oY4Wj+xlcVgR3B8jmCJACvum/B9tBQ+/ +c++NajpbmC97bDVsz0ENLRy8xtfelgDmii70t/ZomCslGVb9u/MSgXmeijg8cavC +MuL80sfR2XjcGzo4/eI5v2fjLIptCi+A/Jkt7RiK9mDc7FaORpJlQC8fXucToEg0 +BUISS/aPJt0zDGwIfe+HM0zFIRvYgjoqRBCkL9ITCz4Bx3FLBjttJbtDfreClh3H +3tnoWmpqdTzY8csIsprZvT6frFUx0zYDLr47q5baHUSGxDddOzw2m/db1A00TctR +Pm1E/PFh/n33ktQTpYSwBDl/POP70SWalw06AJBSeUyFJkqDzFtitLEGnDbiqmdZ +cItbLnWr8dYH8cJ6FLiKlgSS6Pa4WkgRwcEOFdkxJLGPfqXUo7Xza1yUL9dTO771 +AeYIBhFIbq37ciMwsh3znAAk/sMki0Cs+VZTugtDD3be00c+5AYEuoOI1MSYNgpO +D06PuS54uiHtYrpdX9Gl6TxdGtHr+GWEQKKXHErSwv16Cq+spuBybbA56Hhwj+kD +t6peXvpsQYRBZ/T+L3kits0u/Nb9IOCKis35rB0UjDnYUZ3CHj3Pho7CPWMe7nxA +RXx4yL53kSa/Ed42i0pEOz1jV6ZvBY1/Av4fskituVOveQIo9+FxEoYrefjhRafP +DJurLVo4m+ZhCpTt05jgEc4BGwjSjytlMo7HdtfiNkm2BKqJuOlYrO1RtrWMrQ9R +uXcstdhkxrlKosNgkJd4ciPrWwDW2pFuhRvWZQEd6QiecdWlbA4t2KYP2lhggm+q +4yJc8CI/POoHLlQtF1c0mfhB4V1K8xkeODPY78rZji0K/Fuz7k5AUWuFQqFkJrRk +RpPQjKrDdGoVT1/LGE1w9Lijbi8SsKleaDinS3Kq3reRtCrhTsI+JKnak1miuldE +XVa91Rd4r/h6M70Ko/9ZymQXTqyMp/pqwOi4KS+kzQShmkfCt8LjbAro2IHtgSf1 +zGoN4dEZeo3XlB98ON7lGo7YIMpBKii56davHIvL+GKSpaBloCkoyxWDfWEFFcc1 +Q/rS/fyT6jExID5v3rFHwico+U89srE3jkbn3TFoaq7LYykS7x0Ri4pEGmga6G9w +sm+t9pFVfouUIulZmalRpDW5IjnmhtMLqz5S+v053/LJ3JSGR9Y8NnhVx7dq3R2Q +TI46Eho5mjrPyy8wztFkuEQ7bwy+glmxlJw+BsiHypzoMO9lr8DfUx/QrnSeBQHZ +uE2OrSvRADcUs4XwRiDNhh9PHBRb7/F8SVoFTNHfbVqujFJcQY0ysv2jftIHo7i3 +6HQ3kBpAnvRuZCQFo/bWmqEhzyBb322axRvROoj+04MTQJDgO47csUjhq+Gr3xVa +euOCArLgZHWqsac/MYwil4BeYkLhCupbqKaVIBsMSWMVYqs3J/mqvhbdDSXarMpV +aIiKz77kj5f79dEGgu4s7S18gRjp0/n44L4M+rfXSqzyV9MiczV8kWKJKXkSip5n +H7FPNxkJNoZNqjyWbuniQQPfEz/WTo7zdzQ66Sf/b2ODTKhpeYdyEdQeXmlS1Czg +LZOkiaTdASiMZIgjtqKTRjp0OyQdH5/dw+aV8Qiz1dnl8bqbZR5gIE+fv393XGR9 +wIbV6ZkhZwR0SWQtnJEPyH1FdCFf0o15XBap+FGKY6WOExDtmPKkBeRxJlz1kDjo +FkUP7VOOo9mzp8YV9QMI9pbwUx3+7K+m/fxheF9b+NwssfyFTqDoS/R9t7rmqWPs +van7lKo8aIgPEnfYmUVYbGC3SHbs+sKAUc464YO7QmUMFClz8GnDqjp1sKF3cSiX +dJq30LH2mA48XBWOZvOvat/2RDSUpSScpbALHjFsQOx5g//jKDrGkL8JEnooq+3U +rRFD5DmSkpZTTsMMUUj0K5l6nYAjkCOTVY2xTdkX8LPvSWHrEctXIUeaAt4QZntZ +XW7vXu7cXaeGSuz6I92PtpXYM7L1CzYAB1S+2eygPFeHuXj7S6gl1dCvkLtAJSgA +wWdjmGcwi9uc3M/KYv9asrRagxDaJxwJ3cqccLTwDxT/yTBcWmIjX6BqMyEhNtP1 +NfUMfJwfxAGyXNtdsycz7kpmJ0j/FEfD3WATyXI1YFw5Lem4ywhRGM+b6wciU7ZO +hM+5j6RIhyYC2M1iI/CKsRrnCztCX3vIdRaM/jbi878G6DDHw4XPWNXxILfTJLUj +VaHmzfqOtqFeBBPu7VJf2SWc7u6+fuKfExT5kQ7qi1Y1XkMQEp5CgauEf1o+RQG2 +6DjOPXvjnZZcorKDfIDjmcbcmPy7gW2X5IQ8FmiiL6jxQ/5u9FOmyqw0p2RkSLj6 +DbKKTaVbeeu2dILX1zA08kjCG3XNDqRTq92MBiaDG7Ipodc4etEPgsNz0Lryyqwd +vVW5+nLVFSbdNT5FCr42lQrG5oACWKIq5MAnq61DhqtRBTlSQ9denbweyC/o/1RI +nWv3jq3KfOaXVVMKctMejL7OTo1/BQ1ZCHQa8zB6/xhV6jVmypwK8770rheADr7s +btnlG3bcdDiJf8FY1k4uK8lYEHZS+cgrw0G3IUnPJgRYiO5UbVsnRVsZdSeMi4SL +SH/2Kc7bOZOJhaCqm3bfY9wEus0hPvXkqxhNkeOqM4rtnyJVPCIj7TcqYiSPLbq2 +p512BJoWlRkQPhNTDdr0ZdZhudGaZmlSNCNK+qPoyCvgduiJeqsB9jEnMCuONARh +WN3/kuj7Rltroz4pvV56uXD3EJdiGr/fv7kxq4532PFq/CdkoXDuEF3lgNEoKGzs +Db8CvLRknDP2uSQSBxitMeT1Q5+4OOie82NhIEOY0eG3+HQZsld7MXSE20QuroOW +tOziMs0/kdf3L5fQ85ypmmc+jWxD8gEYkDgjHVFQox1eSKqoIq6OFdcWSLH8fn6w +9fTsLqt6rpBQfkSZNgTp2KbHtmIKVK/ldgBg6Kl8DEVXZbid/MRNTjboXj1yjU+b +fawEhw/fE4OS7G2fDxRrbh3f44tZWGPg3SdIJe6XwY9W+kMOxZg8N/zvs5sLRsnl +nCbzWTymauafV6QBYTdWAtj+YlHIiZzm00s/vuIrCg/KKFbMSgn5LwiC5BKiJSoC +aTUw681X84GBpxoUlB2wTjcFcuykmeu+VORxsODonferKYH9ASvWvgGoz+M27NCi +rx3DxXgwR6Br8DaA7noZDcrCBm/WuBKQS6cdZpJ0NELowqqsuF61AISw7L5j5lei +9l8JTwJNxjy7DoCgvD2Fp+oIBCGFojmhlb5aIMTUqcepaPZtsvnmTNxlIDeil9HC +HqKD1cU72qA2QSbeJx8z+H1iv/HCtNC91bPSgEFx2om7qvU2fZk3Ef7gS6D3ETJB +aFKasprSZop0BdsNR+sY9uBxFr99i8oN/RvTVZX8vURCP3C4nv8yIaYcuwI0evLB +QaaVapoAW9JfkMABLdD7uFaZg6Y5tQWOI3bMXl1HxuApCjePzzZtBWiOFGcBTHRu +frjiqtiX1l8Ks8R+kf+Y73+l+MpMSGtknHGbHcEFJZoTJgkCd7TWL/w1X4w4OUdB +XR03sd+aUceAsh93CdqMMLtier5w5fhllOOHoMV46a6lT0//dL69e8G0X0VF+q/s +7sGMbsG0wDO8mIVKxEsvyu96UY0BEsBP6KwjvO9zYd2clUq0H76ay1+8cgd1QXUZ +koiSaDpOFgFaJzw8tqfWCJusJg6VUxYiSLLVHT8FvLRYmM2MQCqWD477SNdAVQ2e +zZBna6s8tcSu4clPJnR8mtSzsNnY4mhDgjPbwoGcj7VqjdPIzy6hVmpA8RhValJS +CHO63exx4kCebBI5cLLmZBZJSfGB2fhycy4+VqAcjfjjWYVDSIqhch2rEsThvtzs +qOvpHOVg4E8idoPffImSPzqbInpISVqnAvZBb+q2wCy/s6zSILme+DIWTH3/fhY9 +vTCQC+1/8ltZ3CsqhjmI2LJrrsBvSyTzxPX7mGOUTjx8RSziYuKCvqj+G0EdFaF8 +f75B2zQiW7wJwCoCUJzgVsY3MvTIMz9oeRyEtQhRKFbxdx0L8iccTkgPV+6nWjPu +HXSlw9zcsq3MPgudJqhKwuVSZ11H4R8VwcR1WHblq6lhqcmXtAfT9r3bSjUKnpsn +M45VOmltx7BCf5Gf8Xz/r1psfIjpY0WEs/8ZKZtp9DO+A0rYXnY82hqx+xWy2/Pw +SpqGjsQlTLyHog4W70eL3l2+YPkSyMOCt129ABuQfBFdN4vd9ntyCqtLkOl/3egw +USXvo+iHAPo6WTE7c453OMZ0bZ2EAaDdIksqDwgPyGPWet4PB28czrIsRQDRGFNC +XJq3hiQblSNhlOdYUKTaufc4JmCoyRbduRpdwhvNvjbvEAkF+nX1xE7clgNCTh1o +6cYl1TsQKpclVlHNnSJZhZ7zSGmBVyynROWMvtuWaLcvxoaTqfFl6D92N1faCK2e +oDMOqI6TV9I8rCKmhkCSBGew8D5FexqcomM+xCJ7ZKXTbri9AXxh3BATBnlDOXye +KP3Wi74m7mYuZDQ6jaO+8+D2mrRWlp1wFUqt7OaUgGTy6XYP91pKpA6yB2hszHH0 +fIOUbHeoyggxdy9G8uTXvJ3f1p64papCYjkiMp7Kpxi8dsTHMYBy9s5quqIryhEd +AsmTXTRdIIRzy5F7swIerT6yc+TWR+WVsamDn6295JM7JZ75v2HOvRoqLyglTw9Y +FxeCs9rcJtA7wTvEKRJWbCU8yY5K1Z2TlRRhyNWX4J5kbA6kzBKPd/toJgOpfgSr +qJ64Spc8m9GgGLTIOStqXlHb5WsR5kJUVODPxMLnZs3TxzeoGN6WmNKBSgFSya3R +n8oxY1tDn4QhuwhP1ziH+jFm3169o0PMIGa758G+n89xTGluNEFDaQvqbvWTjLD+ +lJ+ai6OuTLoaqcYcJ0dMtkifte1B5QuMF040rbxFAVHMMKHUNnqMaMEGO0SMY9S0 +vyhh5oMusVY90o+HNi/r2Fcz9QbwWfti1hcxR0AlssGSd6hoAjWOzRkFGyNNQTbY +dCKjvTUasRSfBUHBNDa4fxcECWcrr/2uMRn8G/3Tg+BRDemUqlPcBGoDvrw6q/4C +lxBjAFp61fbLxIW3NbDxaKQdXtNs1EP+wh7hYYEgnr1bYceBpYbXO4hHPOficndL +wQSJYaDSExsKgix9G5PbaYZ5x00rHa+ZbSe5cgDvpZNYf+W1Qhn+mY0CIR53mBsw +PICtu5kfvf22KIkQjjcCdPGtluFN8FOr2nK4vQRiqdIFW/j6XxO9733RPV+rwJVT +theIKcIo7zJWQgCNJ1htd8MnS3htXR+IMzJ/z+Ymuq+j9q5N9TMX8wbQw4Dr7H9O +yLkdJhkw69SomDBeIbP9Nc4xzkVtGkZ4tRpUZw/PQEdmDHg1ibR1EGyLmIEaxXIC +g4/d8vs8ymvfJTIrAjAXqL84bx2RqCtiPcIwWwEzBYJtTUBqUgZHtVp1hOSQpADx +N+K2yA08CQoUz0SMKlw+MHBjgzzOpisAAhXIHLoTcYz9++WzSU9Z5sJTQEgPUmHM +mVWkP0rJokq7ZZOQhWm/Jy3bQ1SNqi4xGIb6ljeqABEm6IFxK/vxSqh10JzOPdL3 +Ws7JMvn9UJiafESqGd3EmaOyhEjC/+JYKcZVRG14mTVGfJe/OopfQVtQG6bk3l6f +oMLRChWKuNxIZSMRF57/yQgQyDyH7NRleaZ91FOjjfIFbwGCnZE1NqxAegdSEoeO +PzzxiD8NPSotMYQR9p9JVhiRDALtuaBb1u0vH26duf+k6C4RPLh2+weoTD05/0vK +Wszc4g5FZrlGbjLYSwWpinFAwKsFFrIqmDFeDLpexMNib6ysKvwNvEZa2yWFCAM8 +tf8qdoUTW3mx+kk7cdrmdHakbxCKAkyQiY8wmCIWKSloP9tLp2Lzwm00tbkSLSE6 +SL2unDWSEoWwrcHTaUhyDRBhetQEaa3aonjZoJ9ZAfyp+NubtpXnzlN+mo48Osdf +o1dk6Omv5kqZb2JPf26Is5UEHOxWJy7NU/20DKDC3mCP1B1/wVc8omJh76xM1JJx +Gqgd+mRAjJFPPQn5PE/8zu2blDBxWUWaSkXLA85MOlbar5CGYlvQZJg5XyVzpvYc +i5/QY6D/aowEO30FDfX0gu2FuLQ0IdUswCQtA1DTy2L9s41GyAsp/5Q1OWWyCA2W +nBPAMsTdiTW0xW0YacD7SccFuV/798r1jiD+gNHp9+CjGsVrd50FOLMVETXaeW1g +wuRIjIiwmIt3G6epa1yNREocWNVz+VnyWrFTnJgfiaLjR7hJWpXa6/AjSfYosOY1 +paKjRCnQV0kzk9rP81BF4yC5Fodl0EZbonXBPM4y4m9ZnRcjlPpQjLtGzJEOMV4A +2QjBWjiVCEAVRyBCXHx6VkGTVjfSlJckqvbrlUpJV2FGSalA9cyydmHBT4WWIQKF +r3gzOP3cKwZSOhcWH2CMpq48OvsAQz4vWj2+OtRzmexkWLcwEnhCOIZ5PsfKtpKu +0bJ2Wz4kWSZvIFmwtVEXX2FMcl7nlsvO0/zU2R7Anat4UC47KOztBrbZFGUnzpTU +ejL2KktGfNoWyIeWkrKs1RRK4O0fqU/D7l3I3U5orSKFq9v47371s2Z5t41+ZFSd +4Qw+QciyjjgXLqX7v9zrEBDt0VVU/1M682IZjZ2ttyRi2Iz2MXH59OxpFr2Ggbqh +2lohkyHZxV3OD/1sebxh7YtjD7f3B4+9vglyNgScL/XU7zYgVXsqTP8e2H0l5VnW +HIpuaSSTCmQFSrtVKb+53OzDVe0V4wfcm8VXvFi1KHoflyKHR9tv1TDL+0se4nFf +oD0d48CHKy9ifZ/ZFQujS+TEu0iuZ06CzbsVNu7BE6Lu8mxBERq5EM0jd8hGvuoo +Rl2i41j2z7RhNv9dkqLdiLkNWwGILBZXCMZUrK/eMU19GVDKcOkOlVvFn0EoZEyC +bp+GNroO0/YVhbF9INOELR63IAVNZIoXZ+7d/6/21PgR3tym3NQo5k5LZVBZ1/4O +HkL+5qX9o20yTZV+8e0fDvNpdXgVdPBSPooyrhkbpXpLmfwIkGl5yhYo/gEG2T4S +Yt/av3Co69uKYBSFRLG1JrQ79ABS0bnKcv2/wQfV05dxTtdt0wdLBRVIjLH5hrp6 +z5ZOzrAH7JtujqFv+/3JbroIquvl4lg6386qIx1/9jEPoUGWYSCV92p3K9sMqBrL +CiQ+3UCGJUtBZoOo/AWd2OTl6R/aAuPEV29R01A0UfCPC2KTghAPO9ZS+92yWrj/ +xqsw2ydG92bC6NiynTeRvxsDltVTnZ7yiL0WL4p8oi3zT5eRKcX/kdDEIllhOoGH +3WT7UZEnXmnvFIhYVSy/kwcPVxhlEJicr9m4+QVNd6240+5WM/B+bN9u14tMY56q +1pnwou2uYz+Qzfe+aHIpT0jqbSP8lzNMu9HhMupvJOyTy0EUoSd0hNfGO4+TbWNh +uDuYNsWqQJ4pGSNfNGXwClBl0k7U2/lLXcObqXED0gdmhTr1ayVDlXugZXRagrrt +0LFV76b1VTm7JvBC5me0l2rpInqOEbasK22puBMvYoLPccBb2z6GR6CuUSKDw2J6 +YY366OV2B//iUvoNWsJSctx085luN2FGkDC9Mw8WY7FvxNpvwCH+gul4zXIp8A2+ +uMEXHE+a96M/06DSJnCiY2W3cCOPJOYkcifJOIGD4lt07NuN+PFaKGT3rurbwr0F +oMfDDyPQ5/ngRZjhcCWrk0IyZfFJuHHon2inYTqtjKxxytsaSIY++GCKQQKf5REp +I7k5KmYyxLCq6ZlMni7shDDoB1JcRFa0D/qvlmOl2fk+23PnAoYWv5tJzQIYDOZL +eG1ufUarrWlmMEcPoXUTD77HnNTH+OgNYGYay2+t6o+4z4/4jLXSiOzAMrVpFQ0J +b28Z2SXr7pckopyWSB4UeRSjoZuxS/1jvNP6ssEJxzZ8vXuxo2D/gUlmihUV1eky +Bd1b1/iAtBx9Y6lpS1fP8G9g3SK6mO6uRXIzTYm9iM4NfKOlavObcKLfdoXt5BvZ +Rkuzq/0iJAKltlxxg56PjeEXKnhoXtROGPB+Xeb7/oiHDBDQ34Ut3BGy0s3RtFYb +x4LcrOVPlSrHclIE2ULHV04NNG7E63G72apt5/peDAfQ1Ujy4BM27mEwIMKJTzpX +zo9te9YGG/889S/pOajxBK2RgMOYDxCDE9EqfRIXBk1tCYgBleui7YVovQZWuLZK +3oHkdP8ZQDa7NWHmZ26iMjwzS/2MASwSRpYbvvX0dbS8yDP6ZXEg1agf3J0kidJ3 +R8nUR4x3ZzqTBdbY0V04E6WeDug7kL9lVw7AaYrZyrers7lCeXJZSfVhAmxHy58X +75v0UFigTENmYp/ui7uBCSop1cVJzxPtKH5MscTILRN5JaJDJwjVsnHzqmCdwiW0 +iYx3+EcIGHEU9mXh2GmszNMdrKc0lgLCK6AyBrqFx3gKd6A1bOXxcJFRofR4PP52 +FpEh/7cx1pm2kF8oc92nGztrXIV9JWeIBrQtQ15EYyZfIH8fEYTkjyeXrn0ZVrB2 +WLzA03A/1rjij6SuN4ZzurWCmbzb8ZY2jxganTU3aLU0fIwRm+zo0vltgMz8s0NO +FirF5T2Rfgx0G6AkGSPOwSphh+yjy3+aqUJsVdhC08WyUtvrZa60V+ifS1Kz4N5g +sY5CpmwaBXGoCJKdleRrHDNwiY2qL3WusunUTNKNpZP9xsfudGN8Y+HpnDqmH5Bd +qDcWQ7XNdPpzw8sxoWdQYB0otRU85pwbcw5UQMhsQUTWcCiGMrrI3rbyeR6rDZyF +OB0r1vhkrXk1vXYEvxk0qave+/0rMWr/ye2nX6MwwtB7kGKqRdWAEfDZx3dCopMA +fh3yFoNfiVfSvvhlVykfXyABN5RbwSbo51WsANIgKp4uYv41cdsgM4N4mrQ8bXPP +KuwUbp47vJN+rZ5cVtQDMWtorw7p+tdRjBRyOjJuKIQZnueiEEMoW6UfQ4+tgXo+ +nMlsuIx0SBI3ZCm2OCJ91AdbfiYrzFKcj1h61Stgl5kuQo6z0XGEpi4U5hbWr5jr +g4q9Ib49ATE4GtHBiDpCuLKYi9mOcjM6IxImMQNO6AAUZ5pDdoUoS7DsHw8JLoTp +h/jbEDX4s5+b+Fdw8/3D8mO7pYMVh7A0w5qOmehIPNE5r+BUYri9pO2mW9d/ao3e +WsFPUUHPVfuuxrOyiCdUL1vUhHgeWA2zqToGzVxmCcSZIQl14v+YQBg3zEl/OscK +44ccXTxghSVW0Kzk98dV1gLSyLKJyqQRdegL0OpaIT+s8lR5dyrxfIKGnEd2k0bJ +pLuE0iYBqeeLJq5O6E3ptpvFfRgJPaocdZA8OKpw3+sKck8M9EOpeZsYzLy4XHjD +zkHiG6zohVIU6UkyrOKxyCnghfarGAEav7i29IChvfmTqaD9t9UIakOmtiMp0KzP +3zzTtRBRVeQLiAkX81z1wk3bMhpePYsEdA4TqyhC2Pxy6/NYYTg3BwquZTQXbDpg +TQ3wLnuAPaxdVuWDxPwIILHN8ehwXI2eQNb6OV2HuLZAKxHfXksXqWi8Y9aiuNLS +OhPfNoWEnSCg66rDWhcRFL9yK4ZBFHwFNwi8PRHebfeRB/VBGs7fBZ1hWAGEmRZe +6lqqDBapuytJLHkB0RAl51k2zKNDe3x44s6/KTMQifgbq+QGi+BjsgrCtoDyIQfR +hZP9lTAIqOuTrCjK/AIU59MuqVCZkA3Z1iWaH8MFYuUll0OTAtTgTMa1IUmgS9s4 +ltvXIvzglZN5UvG+gvZW4WWLwZYV98/6G7mgwHn2zKjgu3ykwgbIbTGOL0E3fCiP +qEDj8fKtRDruZaLAgUBY9PyHVkf2a+TyAGzyK8o+ebImsr4pLUZB5F9BWiPwd/f1 +HHn4AroPMIur1YRZ442Yibncz2cVS/9o1Dn3fjMQHCfdRBLZwla8dp20Eu0Hdm7w +AT6a73h4sk/iPStD4nljrob2EyhUBhNl69Uv7Bovkc5+6YO83FAB0bnYbun3bCum +gteeSktmDTHRk16grXsbIdk8u4K6+CJeNJ+mFqxX3XQUFjHHQBlKLPPAeh+NXmjZ +xeBcQ0w2UCKoHm047Qx+rRjHqvurd5WKg2Jrxqw5CR3p7M25pNriS8UeDXRrS7zC +ujNA9hyE1xgm7uToNWwXJsBwy6t5KimWnw11WeTR+zh8QOV74qZUuuofpeEqfN3m +7ljcW6xQ62Tk12vZa5gvMuaL3gMGRrwCZoTTH4PtSKa91FJFiZU0gl6i4evvhe9p +sgIRohiKIToGxmq5Z+x8s1tJIbbqz0GKQODmliGqzpLFuEYEAXrLlBQ26ZVHoAIV +3rAZ8gwGmPBixeMi6xwQihqlYaghhqvkPd8NsA9/a6Y4wBd8rpjjT2gnXiHDvoTZ +cm7pCqNDvvntkh0LFyGMxI+KQuGG2ZTWJ6tKWeN6fIJ05suhJ/uywEE/rOO2rFUS +9ZAptAPVmt9A5j5ox5apAL3X0KSJpcF3DMlgsmpWJgnHOkZaFxunlzGbDsMJpAXx +lA9+RFfMw3ffDDdZ8bF4dpDlDPw4Abki67BH9nr9M4SC8UBt3elhRR468VUBrs3o +CVkudpkZah2RhTU2FKmA8G4XARmLSui6gy4hPP7oXmmYB2k2IDEmAGOCpZscKBns +pIcuVBkqcU34w1mXcBZwtIA4etgUKDDNd0acaLwvDHkB2oElzjum+yu2fES9tYS6 +EWGaEbS0CEAjs6w0cwl/mTOLAsvS6Z7vhm87O+0lax9jKC7xMfDhjjYeqWQWrzs8 +nnBsI0gTWQ3Xcw9WsEOxihPvWdLma6d+fxhQQ/T1pqODAf04lMu+0mlJIQy/LcU8 +Czt5Fh4d8V+sz05tyBnlow7hlvckdbXl6XQVvmBt1tNsE+7DFasCHbtRDQfrcmhD +dN0MSPCifI4x0siX7jIYbNvEne5oK3IbT25DnsEg+94ul2ta27MXc6TXXWXeBLXp +9CBsuHCrDMmAAzdI5N1e+ByXxyUjGnghYOxnozZWQD6CzkR7O+5ucyU7bYFUrUsX +XxULZvMdIyv8feInWtR+BvvY0830XRif5Cp6E8h3P6xqD0vA/HjSd4j1Qk8mPPUA +thjcVj9qhz9fYn8pfHtMvV5RZWiQLwRKoVp2AGAUWeHnNRA2MYAED8xX1WrTz9lm +wMnqN8METGZznwXWfT6fsrUwrXe1lbtO0URgf4uoyNRXhwRWkX7+5GneExFjXEe5 +F093A/X9BS3zSP42Mb5tIa1fFlSBsggBwl+ZRXY6OpnK02p2eAXftf7FG8BEdy0I +6QzqSR1Grv1MB2P4ptZAvEhHIx+UR3fu4CFPy9VNC7n2efOE1gZz5wagNZor0TnO +1vhWNz2oVcYNc+fubKe/eS5GRJe02HF9K5mLBODXag3ueO7vRgQFKYD99TwM7cSA +NH51Z1oYrecPWgiD/Xe/3O1Ny4w/hW/5GslAkq+IcPQhXQi8iZYQvKoQdzODFOQX +FjTr+nlv4OZ6/Mo6xeElh+lIeP73RvYFGIMXicIRl8Y5LvgXiRRbPgbejNDgjWTW +lkjIphPndZ/jqtBCal1IKIjkGX/pkL5uHz5FJuTFGeVftoyzv1YpqTA9UW2cuT1J +gV/EbLntc1ntGmxgb/9fOcHvgXsr4/rmB/SbSrRw7kc62efSJZR6hdEnO8sdvUca +S6XQ3OuobKGYE1FihltE1u6CJxMsN08YS4df586xl796LlIELihhTeV5S0cKU2Za +eW0zwkay8Ln1Md+L/LO6tdxGwtGgHUpGNKP3vjlFslDf2nujvDRgWWaw8Jewv34c +iVlsIjSHbhFyA2pI9v7HcQZN028fUr1nO8j8rb0HZ7lsbpgT5lB57BMTf+Wtkcj+ +p0EwGma6j+UBB4GbNe6bujul5LS4NElxBNAJN1PaJ08cKOgc/xyRY/Ow9+VMhor2 +kgSdo4rssoMH+vJg0NS5xrDypvfCc90hwX60nzJeKZbEC0PEkfvfiNXUYd0c6F+l +/jfee+oyA4JedIfcu8gJ+nRgDQNAKXLwEAwfHihJVSMxu6+/t7BiFNXq8VtPZLNR +6IladlA0WKr8l3HzL8sABXMX6wfri8eSyAe3WPMvHIdKrHzlxEI7LYkc5sJmiC31 +v+y1/0nt0vAa3YncV1+qTWqHmMt9ysItyS55yp62wF/9qXmD9jFCWbpgDl3/q+AV +qw0XaZ4vLmjfA+W27LqyVz2sct2dRniS7au7YWPj5KJnuVVpJjI5mHjKRv15ozvc +eiB7W88QepEvl9/nvpcAVq19jrDa4a30P/OOvzVXa6x/ZP+qvI6zB64CwsWjTQHU +lHq3zaIzuqmXKPkTvgqXkIPak4p/KPT9VAqtpuZEB/N1rR4pQMn1Y9hePwQ6xLW4 +EtlWv+QnDwE7wwcVJnzHO9C3t+6AetX4rIP/XndUS0fPEh76RLoESHPulQzH3UKN +dfH6Wt6XcU9lV4J5BTqa9BM86Qwg75ln5yDXYIVCS1St/jtvAd9sI8s78E6qnqvk +VlMkA5pNo+4E9O21zQ+CbFUsTuJ8vBRolT7BV6VrHtSITJHZeiXD5LcPLZaT819d +MNcl5nP3VxFlCePbnBPoDxig8i1wpPkiZtwEdOON1AWe4YXft3mJnrM2djPox8L3 +UztAX+rKYTRhKQhnqmakirDY3l9UJ5Hl1cLKKbJvLxs3bx+3zGm2ZBb/zCK/7Yd/ +xCVhZJb43mQvn5tcaqTrSXvoHMXiiztsjlwdwJgY8d6uO3xSH+kKT9y4EangTnEP +SKrCCBk4kp8f3xe4BXdS+oVC+X7BaOFRJoWejjQHceqwBmcazJWaO9h9tog7Wifh +9B2JtzxLFfekvnyYoThOLsROg88OuhW0GUmRsgcG/79rq/PQSSNTMRmJRFpj0t1E +uhKyEtQAYIi+xUF5raeuoTcNc6XIEkmSGvS02hFr/hgs/rc0XSSF9Ep0zpYroJp6 +1/o+cCm3xZJi8CfmJ/DZwG7g1Z/DCNyONlTf0QNhkQKG6IN6o0eqqigDvOc6n2o4 +MLo2Cnz66XsrTkpP1ff5gTt7RZMNFhGTdNVD2lXFpJTj7ph2puhZvi1DcS/tjhWk +PF++dm6RIO5U5Okf9VjPFOmFc09uWX6MjzesYnqmIi/A+fsQoRR4duOuz6ZtuxmE +0jPF3WAEHSsyenxFNvLxqaCnIpRiLuO5I2NE4iK6cF4A85xgrnzk4d//L0a4883o +XnlxwsrdJmH3UAgJ5xrSiVPn2+RtXglq1lsjSAYfuskb5MbBVG5xHXQp2N64iRnF +yc/oTgxt6lVDqVeR4gB+wtMHak80iBbT+3mCoPVDw999G9UlIc6TJbZoz2u4Grf4 +6xsnhMzJa+6STTZur7B6tz3dHoYVMtbTwxXGkI59ra/H+qDWvC+9WRrP2fG0nXAH +OwKtVYwJSlw41OOOq1QtxOreMlziYSyTDljxW3LtVMX45wbzMoswT/QvopVRLL3k +2rHAcRulfMB2uDV5IyzJuHeRkQj6yXCiLTNpb3Qa3C7yF0R5nAugVbeV09arOmU6 +Ay0aiRZkfUGdg8YcQG876vwRX+yQbSwM94UIMdIi8GY5SFg8NclvvXneINEt15m5 +nmCzJGWMZqomkpevChvbfndm4upH9rcWVL+Le52av2sADX9yLTWVSXRIBp4qtKoX +R4Dcp0u8MQvgxitmCa+98uHW/YcqyK+ep8Vqy8aIx8670pW+9wQ06kaUj6fZtNYh +IRuAuVKl2MuD9Tlt+lTjV9Yu2N5fgljqQtpqWXJqeTotiauds+MzxnFdbTN/qa9e +9/zKAET69izS2r8o8Ipb6tiuU9LJVttmubmmyyxVQK4e8nxZHsFtVtW/machovik +IFubYd93X5ehVyHiB8CnpRJrZO8tRB/xcWDqoEWFgPWDBMkih80KSoaYbuwI85AD +rLZBgz3DgrDIFtle4kQRc3PNRBYOFn5IEKxypMLPNS7S3e+YUvJL+N01xSw+Oef3 +IsteEHObwEoctpBjVKD3zNF2c6/dNxhbyBCB/rwzskaYQo3rk1pwckRyEsraa8Qa +YmwYZjVuS+zrjNXmBAJx8qocPeZdcr3Ikd258PpO0FmQezew0f2ZEBLcHlkSjpXQ +lb2C5PCqxsc4fqdl5qvqW4a7GzgQAl2JcMgIQOyA1tt3n25mvt8Yrt4keOv/GSLy +DKdHrGpkbcPB2RjKbwChGrR8sA5IeBWcAz9K2jd1k6w4/HXAIYk2j0flNVRcJ4pq +czkaSvG3QKjXXpZB/8EryAIwwbfkjjsQq8CzaXstuTySa6dSbJNC8+b0zU9ecflN +8wf3R9Y2lCqhbFjIx6xQqI8eB8bPaaCsOse27+z1w9ZlyGx/VSbPAU/3TOFMuBmc +sbLfNLd4OhGCdxlElYWl6iuVyvGLGKN+dsStD2JZbkCrFHhTcVIbIOAC08izlUOj +T8+dyCOgnjRd6PL3PzgBF1b67jH1+1nj4bLwQR1v1q/tlKJCJtV3jcZygtkaMipd +6xvLRAeclJpyboB6o7l3QHAoAOcikCXrnQqiLRlKI72Sma3WCnDGxLlC3pN/K1Iy +s9hfReOv23tTr/FS3bBRJ/dQ5AafMFHbgSosApAtDGT18HdlFX82aRPSTVaOCTWS +u9r7FamzVTNULq1qD2l+u1W+ib5cerHG4y+TF4hdvPOyPjDJIqpSLOuRzIJq3qqV +lUEuB4dj5VUQZZZhSGxqHpxJpcQu8jV8T+zEbiRmIj1+DHfuyKmcNsSAhOgH/FWb +ed5jzhUUfsInLVthNACSAyCW1Ab3/Nhgk4K6nQ4LALw5BmLCkOXP7gA53RP6TgfT +dYmv+kSp6Hnyi/q3nYa6iDRGzeWcyzxsiN2Fw2LllfINWzDDIPJxSMtQABEa0cUp +qmK/g9LzHF6GZlxIxqOLp0qKpNRAN17i+yNW7GGhiMRedjoThjb9zzwhZZvtBWKZ +HK42QrcHj0XrqIlR4omXEZ0D6nfB/bWn86+DD17tQbs+BmfKciHWns/vD/qWcMsU +fdrHrvtVyirZAHP3XsdB7350Qv7OaJroVSIwOny85ioR/EtojX/SnJYStfl1cTnr +7+QQ7FANtxkXkYvDG0COpPiy7cSCq6ua+5GNG9EVDYNmVCM74YJnX5ilKvQouKu5 +GWia/NWkp/sfHNDOq0LwXTjU10NGIr7x+Y3eyQVwqYGCM+1QIhz7+HdgpHSDKJJ1 +1mfbkjmqDGIjxcfKmuld8GJHD2dxBZfeDdfXNMHDhcarOIrMLqxNcI9VCYg1EhLk +mNvihG8ioGPGwS+q/cu2wwhuVONd3KtbSpwQLDmwwBLx46QE5gf0vT72qLexLT3G +C9muJ8LSRVp/Wvu+dEYxfcemrM1MiQ57Xt5gSvcoTd1/jdC+s+AVP+a1QD7bqkf6 +esEN65EdH784eSqFTpDpRmhuGEFrc4kS2gc6WUL0IL97I3sXUuY6aN1Oqy4+d3qw +FsgckOxB27A+U5yT33fjK569RAjV07bdwYaUH8NA0gXKPO4YTKVz8o5+gavfxhKb +8kWL0FVJdGwR2Q2rx06j7Ccm5l03WM88891Yue1mhupHtU/P/YcNk4EheFuB0IFJ +6ykRl+xPL46S5T06dMTkNY5O+h5t6JqE0QFGccozjp9/HE4W5tRZc45B+0gF/Mbt +C25cxMW9ab7RJw3NU+YYaRKJW84yrKtDKDCiFW6i/WiexF3qpSclHw4Zq35PIrh5 +3zajpyfr5c6rBSb2Go78Y40wmmqjBfFQcU8YPHyQ6f1pqdF4h/ZRhObXcQNWCAtg +tIx6bcGVEQeoRs3CYl5skPfZKBcYzSokQv6GPSWXV8asSEnEQcVL9LBG1xn4Hqr9 +xJz826TGayd6WyZ3LJBKVAMImQeBaU1bK3lJfPFSvv4+QoFCQYRTD1pyomG+Cosp +fbPC6U83SnleaTpUfjym1DOvNVK0vv4slf75eDXCVVTkWeCTiaQB1yP5CECp+j2s +Miv6P9Vi35dmb6mBt0/XpsMPqQ5BKPjmMk6+nDJL/GzA68fFYNg6P9qkAJi25ePY +pKgpUzkIFcHPe8oA9Vki76WnUK6f5pbtEgd8uOIMypXbhjK7uwXedr7BbgsMTQ/8 +CCzLmD5MbAflwIKuXhJs9TIgblwQA0/+UYeCBjG6Wn0S7lK6F+XX6hcY80rPoNF2 +n8xgUL/Fm2NkpHG6vGR10wLMAaErz1O2vRrUAKsENmPsRO+YvSBXPMTkk/F7FxQA +XXAendDwZoIGLAIPjfVs7HZyXAEx9qRu/6v0cMu7J1JqZ7pZD0OyA7i14JlIcRKi +UkUKL3jmHyuajnEHqqns5ybVzmqMoivh9our83Iu0bAjydrvax8GsOqHWfguXdmN +hahBLaosBcru3TQ89qLFdDfB2M7/JPH5eE4PfPMb3Mr1DKwVQi/XVqhC0wT78CXK +MwP9ZcSZTsYivHclxSxtPEwNrrBgfcxfkBFss7tpP96trl+LkKhd5NiBZFQKhfS6 +IY1gO6kO81Sl6PFcqdTDwvr+5kpMkQwFrJtNDcOPRXNfUrT3b3r9FTkR4yFivaSf +RhFt03ksN3lA08bC7veaskHTJx3LzGPedmQ27Kuu60BcVjUZlSvqC9LMBH5euRwB +p2ScLolmSb/99vQzvrUZnEIokqpcnzQ2Uq+G+D9JXU3lLQAU0M6SIllmsIeongw1 +QMVMJYwT3+627nbpqm+reNQGptf/pK2iF7VTHyg2eCQ0OE5XCLkiL7/chEN3Vl84 +0DmnL7YMaY5q49YrBysSmbvlh6NzJi20Gf5sNxQzfjw7Ap3GNg9rY3YfmphLQrl7 +Pp/WiY1rz/JaXdKiHTc42Aqu/KJ8pnq65GAfuiP/af3ZdAa6fl3RHfvjoLGsOUWB +DCLqbOrRymfuHSattkryBvI3sNPRb0xEIcf8BS9ZhdwSpfmcUC8W2AgW20to+QBv +7xQWrKbAJtICU6x6tXzSoo8gOWP73f0gA8AWbXIsOM0/3hzqCXYivWCdKRU/T3Yh +vTZCjUN6JRifoQ5UI9gE9x22Rl0XWaGJ21JJr1uZMzCFQxLO3RGanwyQZeDWYLg9 +ckF7YmeCLw6flVS5Y9MaB6E/0aQPfmaVrPIlSRwUVA24wgF/JfuGedBgMzW2yowf +Da6/W4b0UBE9arEchUZUsazIFiK5YY0DCSdSSmFpXv28FZZPfXo+FwImpHYJVDK6 +AydFNZuWJ8elkfTm8qLPt62xpteBTjgWHH6HbBktcf9yqNOrePjGWGDxzKPuf+HS +5dtp2fku4qWU4CJF4klNN+GwNO/EPBYwXkD6X8FxBOTA1lBs4ISxTtIATMjRksFl +d9gll+/ORKr7Ubn5eaCfsjf7GbSz4PGPvwIr73JKCRqDOoC68IDhClmGceoCZU8t +CVW0vRR2YToRQpS4rUCw2Fw/ZzkwsW98kwojQ+Iuzl5X5CA25mF9z4/4i0GAkTZE +xkuSb8BgNQ6TNKNIhVCGyBBGxWKGvytGfIBWMld9rPXe+I1okJ/Z0Mo7pdKnwksq +GDngwedY94QXEhBFO2cgfHXqlVa9hLUvlJq80tk1PO3zeJbprSyAtN3Jz1EgyHj1 +6z0cDwXwwzkMLx1CDEjpeQrDRYgqTA9h7eCNXIGDLjLWcITPGLIh4ySJIoLW/V4p +4CjbKGF5w3rTrWNnPL0Cy40y/pQDckcVib/Vo4k0cStIswml6FQafz7Rx0pxie+X +rpgjS3DNrTVJtGuqe1B4Gmhph/g3J1y1wK0nCe4+BA9EYVZka0VpPhpIswOecg6p +462sFQ0iK6cOq3O/GBh3X92R2U9UilMhF18uBI8lg8P8sgOWG67ViwgJVRcu98Uh +nf2T31Fq5BjMrpT0Pw75afT+6q/TbT5yFvGSVEPE0Ss3PUZ1jWPoSLXzoiNsfJQ8 ++nrDpSccixAhK0dPhpYzHg8jBg/5gOkcDNSf/kvL+cBLSqzIy/DFq+OdV0TJ8xfN +6X2vc3xJLY7RtfToG+7HkfJnSvEkmwZcgYPJclzt6yTMxia9KewFLL1gwVVIMS1i +kFWFhRDaK1fZhPTmuJzcbGOQuxCTKpY5PSmDLxjBPcLkyRVHa3gzNitBa4ZXQXbf +LNtru6cvaGQcGVFwRky+MItt6D6qQNQgcMI73t11+4aQP0OU6MJn/9fiMSrytBKM +fMGSixaJTyyH3WXrQvbW5/2p2/Ws+ZVHMKPGlDBvEWvmWBlaRP4rfbl68bIcX59M +13ZPzx07g1/qGV8lBwDPq2s0ioxJHR74C3mAqf/o9qEcTYlVQ9vxUi9H9ld8X0pj +aO+LWXn9JvPbBTVz8P5hcAhQRVjVPbI2O0XsE0+nMZ/UKAN4lsJlv0WaFVb8NH81 +8xsbDSlxsTR1WPwbvj6eUr0cwCL5dRN6iY1Fp0iEOLtAhDfOuTPPgxhrmltRKMOm +/Va/pLvKnvhevedfyYUpTiHoPKOxHNiXFkRQ24ohNlRx0lDy3SVI00MvthAk3Jyk +nB3dzGn5sYRj2Y3mdJdDWlW+huwqwOuxJH/b9/b3NMQtVZyIn0TO2eRsLb8H2URE +kNYqx9PlDfsjQJ+Rla6yvFql8GRbeO1AmRyY7fHgPZJCkSAGreUTTgJC/iAlcTNL +LMBb0nAGGFsA+YFSsLLABb3lgWQrL3m1NG+bHp8q1LwmQahe2UZCpSwqX3eHlqz9 +jYFHyxOZo6CQ8Gq7rC7iWhS86px2zwONurgbA2gWb4QV0HPPgi+CRDvLYpIaflc9 +/vfrqgvBX5HcI5vmJUf5Z+qwjdRWlV2xUQBEE/rpdUEbxuZhLDLSVub2/Hx2Qz7/ +gO+flLmtstICLiaykEVD134mIkhT8S/BpzOIkUkDhSpx4gXk3RFLW/C4Ob1jJj6D +YhiWbAB93v3LTpXNVp0AB2oPbHJBAY6ZN/0PXiWTzRv+CXK9ESnw979opqHs+rqL +z1V9ZmcwRVszBp7uNXzVNvWO+hTQ48HtJfYLMJgMrABalbuDXPAOZpsf6dwB3UyU +VsFvu25BWarWAQRnPLBuFQT23KnX3KwlkWRZlU4JrdSEoElATnZjhAB+q9vGZ1gE +12vgPP8viRzCjM1Lr5jC+6pqYGnAmnwnts+vqKDKOGQeK+SdPOvqkn9cSfhD9YEb +kWSCDAPloYrLSYUL7OtFDgyp7HXFYOmtO+unBt0KgIcHbeO4W8KseadDCaSkJhWC +rijjy4p91VmQzmZt0bLs9c5ks6xnntoYERqkVUMgXtHk/KkOs06YaemjAq6J/45j +tUzmx17ooJn0cPsZDbodIhPqoDAh68+7GfgOtbk65aKvTIMhnuOd7iP17GNT/qvz +GiR5pJQ9B+2zlBPI7dqE+S7yWr935fYRLsIfUsPBOyVs1rH6aXjz/t0vqalm0dWn +QgN6gWmdi2Q1zBKflE8M+0fsAH15qLniuSCk79ZxogCUEDnKT9fVK/NyAPUZGmFj +y1r6nGkQJGrvtHuj16PJu8owfDp4S2SmC6RwgwvvDXMZPUyX3T9uvER4tQGW9ubD +9eGhThKoPUPZq1tz7X/dJYPaqgYeAiBxJyEVoiUdUR608taJUzV9rlRISEPNvH5h +eaLIr/Qb/WqhyycCqeSP6m9rmD5HSP6guL2p4tF9wFSBapy8ZXU5ue7WG3lg2uPT +0AES0kXWnDOqqv0UEo7PLS/p0J0CUk8cnm5/gcWp9tBMv4wUlkn8mlg8p7kZp4js +xlhvYkxCL9wcO9ge3zy4GFwWiT1ZFsfFnzMEDIaSxLQcNZJmgNZG5g03kXYeDW/M +O0s1/y6Ve4cnuGSzN1roR/+OMbGfUEHYx+srj2naRDp9PDBMWvF2yvUOOTlb+/uB +OIYP7fITVsXdigDDIbT6pK048Dwjnu+C4fpqY7vk+syRtQF8CFhqZkGeotQSIDHn +4djqJsSGmJYZ/3qEcklaCz6j1FE9v+LOwKx6Tcf1W5vM/0yHV9PKf1Nz1zTXhSTd +Mu2WDw1brfo4R4CLZL1hdX5b1u75r89haprp812LjIOt4p9A9PTAvI3Osa2yFlZj +yxyBQIAKoLOl7VpPVcUzKb0bCRWeoLza93o2lxNkvCLrunP1tZ+FSm2AfuWl3etG +bVDVcUl2odByZ5WpOygKah4UjfYzmdsef8uMUkb9tJAMHghnsWQo6nkJA1kOXLzc +St2a/OW1PgQ0OMYWrRZ8+dJJiR+reLCrTBuIIjVvZIB4kZO7udM0KIN3+ctMK5YT +EO/qDNj+IiDl7HTvYKMF68xf9gfGpttt78gbSf7VamZCHcRPFEFvxrK412aYKOuz +pcyUhY3bEvIzvrUtUlAxc5z63ZL9sI6/Xa2Ux1VYRgkSbqikq9WbZkSmksXaluDj +tKsA9+5BC9HhtWNT50DFIN1cJ992CAbURO+I55U0B/I3rkmeY2N/qLc+7RuNwmp+ +UqBKxuYNqmHS6UMHmezvZZmzP+28OcTg8NJl6P8SvnAXPjgTnulfEWU0LGGN3vlf +qM5so6XfDu3rM8vo+hcDEAwY/crfuabIRbvtKhFPoiJsx1clwehIdNV7EWR0o1ek +Y5GlTlcZ3lGKnQvXoux0DfT4PgvdcAubB20i4Y6aT5Rzk4JaEi7UHy7l//EnvYx6 +fmDQ87+Oxunc96HI++iGS1O1WYHLpUv4FThf36kejGpEF8FgiUq9iADIn8ccyPAu +1qsI9JXCkhC63GQ+7nNfpfLHtaRZE+bnbsPEEOpfuBGItmbmgSYiyk/bDV7RY09S +MSpBti+scmnALobnsdnUZQ27P1djlOSVIst/49Ar2M4A1g8fdrQPs39lqGor9Slh +65E1J0jT3l0S9pOwlZG5EA97Oyz2AMfrC9okz3Ax2A4KYYSwOxkcGERnxzXHSfOh +h+WL6Hv90v4YF+6JeuPVxswHpY4HXn/KrKWyfmjqgBwTXJGxlIS1+hn7vkkoBo/p +7kVxAnNmmHWM+2Dg/XCK3UrlwBusDyy8OOyWphUB1vEvTq6j2vAeg3fKrlhh6EkE +2ShncwL7BoRVnj2CaVt9Xin/2gF0J76lrF8h83oVdFMUee1DOhuf8w+rtyLhW7iP +EBHJbGnIYYI4CcBWEQyEJcjadvS347vGh0PoKiXliRI9KtlNZDFYg937powmQzEo +AGuelTrwmCHvFyQkubaonwiKlfXRT1hkQvwpj/DmzD9OZSB1p2LuAl5RDbzAvVyp +Ss50fNNXofjYs4xbweGiBXuiGpHn+4gSu0xoAqB1oD1Bry3BACuN85D1TTP0PAks +DXzhJrRsRl60hRpf+t2pBHcvGNg3IAYRx1qcPr+07GIAmyUxiDCohoDOM35vZvZF +zTUIUQQF8+3swLhBPRX7EBlTs8bk9HRj/nNxDSHRZFEOlRE+As6bw4O57K6CDdkF +1rUxqyFcLAY5R5iJBSm35w0mub05hvMNi9rIKZy7K+Mof3kdZFq6d/emfyC/UiuG +rN8lIZA1BQD46Q1wkRQ2ABKp0kKEXq7Wn3tIk69m+xV7ZdPaOrcYPo7TR5Nr8Zs6 +gN8uv10k1VnyqMp/lbjHKFQ9jdlbqBNq+EMgYe7N+meK1aLCA1nqq6rBPu+0t8Ot +WcS7cveWCZg9KiYWgSRsxecG1Q2K7KjEQyokPGR07x/EdjMRvLUkZljrHMmmhbW9 +MMubs54kfC/jtOuceo2cq5fsoj1Xu4TUP9CXZK/ryzaeyyjfuQht6cVXzUpyPqhp +pIOdKHdSt5E1UN0IDXQmfSCqN29VGTxvVb1tgGIo0Tj1u25o2OixQTnhti+4hXCO +5uqi72yPdjsT8uDMQ5UEH550B0O0iGYQ31G8Ny+hQVOCzWiFcKnhe6cg/NCREEQc +MIeLO9q7wagYaLRKlZC/l8XT3FYwdLzkO5Zh7IrghzMVKWy17m0GQTi0ywRMNrWX +L4qn/Twy7y+KjYdtRnpkS+oKta+efgf3uaPLKuRqUZcBQlvKIh1SdmBE/+iOO8AP +HZEsVmoozGomk2gmgggeHYdc0MtRwC5J/NsppEf4wafP6x6qh6Yk56yUFJiGyZOs +I/qWOhtHPfuppwlWmTWeWz+WYPoDVQbtX2Ll8uOdcfigw+59IbgqfJLOVV4D0KJj +dXw6vgDF3GtbFEhUD+QoJfQNov2uDwnQsl+lM+dTIOFcGJ3qGZrp2Y1eWp4KfhWp +bfjN7UiSXNBxW7mnBkS8LfJy3x8tGvoctmIeHcMLn/GcxSeINeCqXxDDANrNqOoG +yGt51HH96jCc/IqB54JAF1+cExALsF3n0JqHLG7WhXkzxPJpyUdMs/jyt92W5fOS +nf4V4cbSp265BMWxvk4CvDQ0jqVr2jyJ8jH/HYOmIf0mvDJ0JfaXsJuZKFdLWndb +K9V+1Pa1FN9A4D0d7Kkc/JapRJ0RwimoIlq/FQPJHq1T/SgkCW/mikN+4qj1wFYY ++O4BAsldVlwNTiQLFn+5fETmYHrMRTSRAh070xPC+7R74n8/wRpf7tC/zighH+EB +8Ner04J7tVmyXokBOtGXSzNn7TZy8lPM2VZaSAxJxFso13XHha9ejjpn0XpjG7Dc +U+dHLxavWxKyTEl8zcTTGMkXFV/5zyTe14AJkLvrWhLKk9WGsJYsp9/kQdaF9WVq +7rbgn1T/oCia98MllQ/0UjYeQKNDGECo2sssYVwtz9B6afEhxO35OwR4AtiTHQ2d +JKccHhXzwYXxlnrjCQlCke9K18ZRrG8uH3VuKYEus0vV7UzVSFULvM5fZjHC01Gf +quTmrjc03ap3cFS86qBxin9flJ98zbSo3lViYTr0ujFYyGhQ8UD+DoCfHhfyidwo +Um6SQ2j2KX5fv+bTMws+ji75stOSxqKmuvFXmMBTaaXgIvmy2HtnvQW7cEBK9faN +f8OI03AshXJLJSiRKnViPWZMvbAcca29uVjfXgJZxFWDe8RteMcotONwAr2tsm5m +0KqSaUl8R0EdrT8OLB3CORHdMmb6Sbenz4+YLxEUO8HO/kDk+hyXX37JiW7MdwXT +ck6BqFUgv5C8f9Y5EtW/QS4T4xS9R2iUh1/epr3VdFcrLug1pZgDLdLtEmhMxH0l +1uZWRRSWnkif+GnZUUr2YRaNo//4Wty4w6pErUX6JO3vRQlE/qDXu+998jDdS85M +1vEXxRiPy5HA97yRfjqB5DT+85lqeVGXAs9do97241AXDLga8TdEe2aO2deEhQoE +QSiw8t7jcQo3js5axno4e/TtlcZxh5r6+PmAjgrMQl9fDxkxdsXlpjmhOrygJd+B +m8hPvJ4d4yXxiA37a08qhY9nzyZv4QGeJgZY2+FXywT0QD/Njuk2EYr3vxLmwza5 +jM0iqdH10nV/eMvXWQqbE9BdVlRIf8W0lqujFeFFsoUSxm4MXXDD7JJzuftb/+Qc +Bk8hnvjFMy7EU6qbyhb60dq8h2XT9RYkKue6xzxPHjDtXqdpumKplPmRAnFhL+ue +3Gq0TwRty5m1xy1VYFYaqiWhCoZobXZsT4EyVqEYIiHTaFZ2RwMIGGLuu62NPy5M +/jYE58gBuBux2wcP4LiAx//zdIgLhbYL3q61Wu2kjU+XE+8anVdyaiypJrN4M9eX +HKbX6BVAiohiI5FERJYa9aoTtmOMRMc/DV/0UBpiHT+AVSkzllPg7l95POR5CpJD +yaky0aUth+Vyi4Gcy95NXIn+FQOnBdvVh8zUSqNLok0SQzvuotCZ1Wg2neyr4iax +s8ufZazvz/4UsJO3xNgOgROAXccCTR36DR5oGt4v5dEPpcLd1iXMDm+UXWYqWA+j +FdUAEJBfrUliQ4gf5jPYfDLVVTdRqd7g8mShzcSQ7YLNNjEovc+DLPPqX1+AG9pM +YzPF3NkbmnBo1rzhDmautgR9vN/Z/Y0X7NlbE0PyGkGfSxrOfVld0b75NikPukNh +TREYjmNv60VJ4Zjn9YdCVMAPtJo6UEhwpQVk2JHXbLYKdcTdRIgUWOgsX7teizEK ++rAiGc+jFlbF8uOo37g42WyTfhDOgR83PTZmhgzx26Kqg6RY3lsdABD3Yg6dST3Y +Sq+i0ht4toFlK9RoP6iPQnXc+XALmdnvpiUEl2SlFrlUwP9PwsdsPQpe2KjZPquv +U2Vf51f1Co1IbYMjoRfeBxdY+W0e/h3Km4vsw/enevQcS9rpNhqc9WF4Z6K5tiO1 +Bys5MDGxuvdQG1vTpI3tNzPna5Qz16AI2ChYiDCiRT5iEYfRW+1m+VnLlKUXberH +7yMLz+1mERtcIG554jcoG4Pgb5+2J6/gvqol4dBkUQoBpvexJ2dPHjNBVf426HTt +OocddqWNkEg4f4B1Echwy/7aN1yYyO5nFFaCFFI46h/bRIRn31HatnbI9rOZ3dTg +MH1UHcjEAh/qbseUc5l8HuVKmJktP0/rWw91jyXNejfWZObZTX1iW860hTVXBPFM +L5ngPyoBK74PsonsyFuGDMCsVjfUd/75FwsnF5lDhWEvYRkcpEV8rz0H+FL2RCJR +ixrja42Zox9cgjzRmACBpplIKwoxYlOUAWHs4hRtGu4zub4Y1TPGRXdfjd/FV8jC +XuVRifB2/ArylAa2ugnjGfX5OwOnjqYIS9wz7c37QN02A40LeR9o5mPIYqAnl4f3 +U5Ffo7NX2SZbcjntk7Awq26/DtAq/8jH8puE04HrJ5i9XRaXI89MNk+ZezuTVwVg +CE1OHvnL1sf2D/HaGOpE1Mc4GjG5PWF8jDbecsIXJW4lqDB37nJRuUvoddOIkegk +LuQyt1ONy9LZb5oCZ0GinZHy8YOv6/SC85otOvzWlMZIjfD+qyftLFxgEcNifhwC +H88ANpd024j6QA0PGQ7/LlBh26rZBoZHg5iRYGLfHb3MQF7ZLKChCIlbpaA1empg +UPBEIwH19jWB68ausmd9pU32oC6AZVIALFjDH6ICfgqzkSsVDqtGlfJlTzAKCv9S +TrjBWvjzUJih8AKAz4Ju2N+pu7x976HKTWlGOV2L+h7kTK8vJh14oDKkfMGErBaw +x3KkzEo3BORiw7TU5fB+vCYmPhsKp2YMSp1M15EQPvmYFcAhQa8W+mc0gv/LPlCl +NX2EE4YEpRYOiKELGRQ/Xr6XMT+LsnPhJWCjVb1erF2hhyouFoeZee9NxoZ6Dm+a +4S87BBGCYclDP/7dq01j4qpNkdeQK0Be1wu2HQJ+IhTW27XPNXyaZj0TMPQAng03 +qldIkt6+hQeb032Lkv3rzm2onoIqR4hdg/D0JcKzCw6/kHG1sD7FYCj06WNQHLyC +ETRABO2OjFbE++iQEoY1E081xLYo9sg6YFEwgM3B0cyZZVReAOqRQsaVj9KNx2rM +S7G/EVEnuULO/ZkGBrw13nXK01SXFkP1t2Ysc+oKp3zu9iBR1KZm1eHncKRz8etr +viAN6LNWYFqtjfVof9aLLsbPK7Dwbf8i4hZH9s7cGuXtNMMQdlo01TxwF164YdRq +NwR9vfXicgrI2A9pxXH2K8CUWvIknNsu18UFCDaNalNjUSqxIwELmRUXBXQpTiYR +dIvwN2PJLiwak68XJ/03v5gW8Z+UYOkr8F7OvAMN8arSVWNZU/67qdv2L75j+QKw +PhJd9o1FFWK2IHqwQbtLRCUIgGvvUKR/lR8e6/m0xgPnEf1ovVITQtVXZM9B7ZjR +LU6eqT9L0TfJgxgHk76ofSohaSAvB+NHuESRSwrh9vx+4/pruvGsXviSEEZP1aKs +7UMDVZc1U773G6Ak9gx/9aRp+M/28Ea/iaUkumbBrHikM7Qmor0HUi+Z3RrsHjxL +UIOSSZB/9pC2ZqYzdW1s39JErCfljVEMGmx2rgCIpZSOIcW6eDTTq0tYNd+FSRcr +fDqa+4w6daMEnMo2NE/fgE16QH+JjNGnB26Sq35cY0kKHEKe2LXRIdFY45srRgKC +S3f8V13azMK0v/wz+JlgrDecgosz181Lf2CA8Mh1+1qD0SP5B7IT5EC0aZcKOfqa +uQ5tZD471HG61RnfMuojVmEDsoa5Zu9yjDB8d12GX/AcWloB+r94gOm7Zucq2mA0 +9lJauvM3X/owShKgToG4geEweZThFH/el2I9MmXk2Zp9niJz+U7ekqwFByxSQ7c3 +bHSPPdREPv2bOZgD+3K/Q5RAYFwyGOMB5kdWmHiacrAtk8g7ciTAqPpV+1aoEpcc +f9z9D6jOA1ngRV5t5AusIMZnppiFXx7sTIGnWZf7XNmkfMxxNne2BZtcqn54Qukc +1CJQskLworHV5ibp71Iex+ZrEg5SnZ4LthTbL3/+L+lyiT0C9IGFUryKug1UBsX9 +GHXgfgX02CkjblpeE4WgSUOnSm7GoP6q22aKMpgB9Uyv5WogV2PE5YgaNY0sEMSu +EPDIi5HogKwMQqFTFaDBDcDdBHid2wXWTKPqP1AHu47BuM8BeJTmPVJEKbRq1Tfm +WHTyNFXWltxz1Xl+yhBN7+N90yaW4IuiwdIhK1IINwGCuAh444W+hSsmvlXooaTC +IgfisdFs2xA0hm+Mq2UTAXTMYDXTacao/tIIbHUDBFfIJf0LOPgq1HDZDPhPNXOu +4uO7h59CVAIslTeNM/7/NkjqjZsl6h5Cr5+qHU1sC7X+flYe/Re227MRIF/oLiZb +fxuUM3OcTGIptnolJ1gcPB2R3KtPu4HzhvslE8XazdBk4acgYaBMvb6LILSFKjm9 +apeGRAvAU+LrrLO27We5TSPg6xiUcNSTJ4BjCmXAOjRXsFXm3wddZaHHl989/mEy +R3LUf/4OacfL3bPHewwn9+afsHRl6IqWZuqKoCHn0FTCVJOGoijLujHR000QHF4f +Ud1c8vFTe2DqwLED5jS4Zu2bU6TbJf8t1qI8cH1rqlLZ3kNlA9v+HTe93feOiyDv +kz7FnKsjFnicBGSo5qzg/SXwu4gQuFMWCbXqlCRD79bZp9vzq7EgW/rflSMvixCw +EFVJgHiN07LVPoF5OwOB1o3/BG9KD1pLZTXXx5uoBX0vWhu4W1UJy18lQcxAw1Ee +AecE/g4tH3hYy+9aqEmRVSpzBZDvJUAiPovW9PXDGmjyQm/qPv7p7GUj0AGMGjyw +any534bYRSU7ALDjW32GWfKasDz9LydOdoPx3nAfrN/MSixNvRpNn7+FwcVliz/a +5wgUGrcZKoJYFOS9b1CYPCBLr6oiBubRTMQG7UjFH6MfjPe5fdf6hlyjWBbLXPcM +BYzfQjFqXdo2QVPE5F47l5HpZE0OIqCKJHV+TcbMwnVmNzZJOiY3aKht2eUsg+lm +UU5MMg1k1j2AABqPAuzy3kB573e5Nht4++L9xy1QNCuIF+aI6ejuOuX2ryr2ZHeP +/OHffSsXV0BpEBxDhWey+tdCzTesYa9IN08FU/oyEX+H+xxI+SwjdZvHhJ9yzGv6 +4uTtOkpo3Lk84WqkOBlMwFdvYRcqX4UHfMwimgjWmeEj+/UpwyN5SJ7tPNi2xDqy +hBnQXIET65UDa/xxyKGiBJTW83kgRDfasfYBuQik8J1hm+u1YZi5mCEKHg7HTZhZ +fRjmc0CwPNTnIVI/eUmrGM5FrwJXu026fOzURAfj+xm6/KEleloRbXz+1u0fLnEw +ucsWzHWW0VG92RXEuuC1KWz/L3qoKhYANUfydSSzIyytDm6zZ+0VI9YAyIwFKcBW +QHDSVPfJGqNjgTH5F14nvtIU6W9Hj8Hj3mnFS++48w5WsUmbjEzkhBl6bGxkHZiv +HpRspKR6OD+AR3/jYMPZHVsPxsdl9HNBj7Q8L/Zzy08mAqSocDdcTNnM5g8Ve+bO +NWBFT59IbD2EkhYm8wmXkZMEqI+uXJxe9k4k7+/bw8HIU8vAhnBnwTL4vs+4nGBG +6T27kPNNBFMWFezalKm79r5nUuYJAV6fGb0T+mVzTi3l5jO3iOdMEkVhydI+TPlc +nsZUGezIAmLpQqDYuWGghZ5M+CfhMkzWrI31XPpG7Z0YW1vxEGQWSgyNHojrr9A+ +bz0rJUF25dGcpI2yKrQNUEf5wucC2uE3CdAa+Mgf6KDurRnAOzAdNN2M/yTDU2zu +f7HHPLiKVIUezUZDS9o8VGQVpak9SmymosBPZm2YgN76Z3/3K07WKuguY3qzo1uM +MZLuma7nf9wiq6w0kS+r3984TAgD9CVpHnf7gPvbz5jQWFNoZJ/rrYfro8f+RI8v +H5+0w8JliTXPqqJAA5u1CFBdBndlaffrvt6PBvkZKcVGMOeHIBchAGOhM9nCrFA5 +02pu6Qprf/DhK/1X5aTBYV40k4EqkEwVD7n/sRwOE1tEPw5GsgubLqAye/Me9SVm +P/LcuwpDfd/zpR8Be4LORX9qIt6h2mDxnhTnnkNsUDcELKKBWMxqFhOYYWw0Qvsh +0UiqLRQh/f4BmDgwikp04avZaUuPwLfxQLuGp2xAHaFylgT8etqVqtXuE/u86OY9 +J4zmqyJl3v1OE5TwL44lk6k9HGP5MO4w4bc79ATu2+H1akBXUav2WwZCdNMK3U/i +4W0NWDKv13xQfYJPgA1YiNVYuNIVfWGh349ZhjmqpYbVAO7KYJ8/Qnt6gGH0GlDl +AlvEYPkqnYNdumsdKufL+pEbc+WHsCWTNH9JoV6XE+JwdV8RdfMo7JPCeeS2Zy2P +UyRxe5Rke5GBMz3R+WAS7CmPUC8fQL7Q32ZoXd5gPXEMxppUO9HvE83R5MBAl3fA +2CLVrorZZXz8A7JFBb9u3Cg+PGa2K4pspBxx+J7bWbAhjCbqUQRRVryV6irX2jWA +26EniBNwr+pHISEUYpyCgTBxeMZZLyplJJUmYGVgRzFlfWtHKuTa2fe2zl+hHNH6 +EKy3HRN+k/b2W8vAE3JgVK6dLARXb8/MS1uFmXhbbWpa0/7VukM07fc/TYSpmr6m +UtGqestj++3yV1/TeROMVtX6eSE5EpRGAY3rBH6fHGFfPc2JoJl9tF8iZKg7wiit +W9lDZHLzC70ormbhebvnQnESgRDezw+TM5zflkXnVl8B//IclSJ0ziDRGXhWRTIj +LWvpqoqwaHbc3f35RbbGpQo7oEVrHbTWO6thoyuWM1Z9Q/K+yaEaguHIkmuYGemD +ne8VkT3qHeAV6yccqkCmesvkc5gt2eRrDJQDEeCpAOH6ZaTbTG4si/vlhgjwyCip +GqkyJnhiRNPe+0QnxVdtdQtQD3zueefKQEPGxZO91hxztvKMVNzAWAsH87siRnXz +b+Vt37kyA5rIAkRp327xHsXOpjXj0MOv6jd+VZqf2yESHtfeqrOcfsrchNnVqb1x +QOujtao7S1EjhLR6/ev3u3/F1a2JRxiNqeaNA4Y3ZFKZrmtkJyOlKGVLCX6GliZY +L8jaRl2N/dYXHOuTXfu+/aFGTB1PB3QUA7T0Tds18MENhNbRCo3APOoqIUAYYgtR +I9umDubhNb9FaIEzeK7TpZsj4/0aMRVI2j+CJIqiLiNZ4CAyIN1lytXd1tMvz5ks +IdUxhXHgZH0O+wXc578kPGheb6+j0Vk4ba2cwRKFblVnGePtY2SGrk1dpl63dxyp +2+LQuIfpKXlXm0aKMlgP4sTh1+6OHuIs8VvnPH+W7+fVifnzIGfreFrtFQTbxr+Q +QtpqhNR42GVvzyfKhDY7i/j5IJbig1q7SKC9oLVeNMDs9hUck16QirQ0m9kWwtYW +LqmW1xk6jIeVROvjayIIMJwcSSfudJJA8mq8v43AF0lw0wUMjx+Y0l9H+N7p78LA +ti3rPkDy+tvFDfOotrQ97iM1sVgZX99qVo4ijjJjLLsjfJHGrivRN1ezn0jeX6y4 +udSLQ7U5GjTd+NFc+DGFG4YacN+4UJ/RnpvWzMvjojKCcSa9KR3O+WgL29Z3KzD8 +Bz1x5YMWD27xE3IAZGemduPtegXQS67Qm0YF3TRBzige8cN30Flxm5lw2Yk0Kg8P +aAx4HE+g90r7iHyvNRHaM2d83dEh1KeotspU6g0KlTHfRLEFp4YvSnZbfUFfphRk +h+4Bdy1NgymMegUM4j6alS5tSwf+ioffnwFkqKS1O7arsh/UuZ4CMjwGUd9EDiCN +1MwMBORdYf2KAU45vDQnunOoYmzNgPm8x8jygCRFtIABMIpksmdlxEaZWUk7yyQn +08m1YHN68eKUYxvgI7ynb65oGDQFPnMOd9mEjx7yrZtFGGg/8Z4CcjHDJ/x/Jkf2 +8CGhvNDnifWRTCyzp50KChfPEKGzcyAUFa63njBk2XcEPIvTYy/8eHSRg22L+QQK +9zc2/P6wnilbNPRd9paWKSNHUYBq6ORUq9PwsL1LM2P9KVRP3IMZOuUWv3T/GAWy +MR8N2NRyDEsnmT4GKKOyyzwzlOCCaw2mO/WYFp2ecmseS65afDo7uR9u1i5jpGZt +LmgFOcz26CwA0Ph0wvwlhW06hcfnZ2ydFdpsSlLFP42EEIKay8LYeuc1Qs/3ymJL +7xmzOknfRPFxf2dDmEuBneL39YPnSYlCuis5xDdmgnltQ2OyB9agpRpG+52JyJr7 +av58nWszYGfZh0wOWrVxVVzRdpSiBp46TmyVW4+zefEgdYhY7fRb6K4+iDgDGNY9 +ooNOeYEXrw8IA1ZdNc6Hr4ojqZ1ZG1N3S8tVjAbtwb+quJvmlEj0vEZtTjedorM/ +8YLc+honNwWMsspky1Fv0xsfOnXn9S17CA2rbV6ZrUH+09oEXQbshnGwtS87WA/R +2KuS+gEWVmlCeoTpXHUxKKSZInb+W2DcZOhDm5vJ9CAnW/PGQ0sGBaAXcIdBaKqk +hy+pEwDNiP8UHSewb+mdnBAJe1VdpzUMfSe/4hZkej58rGzklDi1AQEEJP3k0ENM +wqeU74AUAOnAkrgDsHj5txuoY2mqDDpfUi9jafbgoJiblRmOeuk/zLwKWqNLCPjr +YsrkcBpgdZpdQrE+KIIsPcLylus1pqx39CHlNZYzQXLc37WpoFXZAOOfNMJYi+rv +RmnmxXBGMDgN6kd4pD9bMm6Qdw6DzPMqhRm3WoiAVmkU2LhB899h2QHaWbHJz4Rn +2t/LaFmeKdPPbsXF491VWF5bFqBgCcUNncyS0ed9IZ9q3jy41nwNLRS1fl054fUI +NiD8hQcGPbYxr1OBq1WHQm6Y9mHDqXFUVnbNXZYIcHP1a1jQKU3/6CziqrIMbyiw +0Z5j8qPCWlizaCUwD1fj6crNu2tKz/PiK68Z48UUJgAoMpSy34Gdb+6aesaHwP7E +xjd+0KwImatlM1jJ6mgvaXtlDex4ut8M2z2ai2BCnauDE/AWWDOJfSRjLm6kNGCq +LiTmCH6fsZ49edNm5E3aTNnH1pX9tPJePluzKnIfpdtG/OluClsvbxtQBvHC7rXg +mKZqWNRpb9mAuIDJes7QwEtsEwVmiDI48X0GROm3QdDaAsfObqO9DSh2A0Bnt+Qe +M6m9i6OP2oQfSTwe1uECrHtDEpVbyQ8clWDNVPEUDiehRxGUGJ4g5NLzO4WVePwe +guogrcsjNvn5EZTRrsRTTMcEwTLlrh95X6f+V76iLPOtAujgFywYRESOPwDZpM+O +R+hNR5doTrLtSNZZy1qR6+oDF4wXqvJbkcMqvtsTgAaf2CeF5OOngUbnowxajoUr +pOcpfLEL/gSQZgSrp8y/6EO3i3SSJtR3WbTgwvfG1AO5rTzdZMiCpHAlUIj6WwYQ +Nt3WRhVigFOLidtSoHtQArVb/Fd1bmkTs7Axi9sRRPohiq5MpPDpk9TgF+PyqmTK +hAM9wk6f3rtszqbuu1DXpoXG2kAIxq2LPHtaVMOg/ITZ/v8xrN6vpkkHUc/s+UQ4 +5L7/hq6mhiHtLq+b8eBVIy/btIxcBmM5dA3y5FsA1MOl06R2NuwbBbmtkSmw3rum +FJN7M0bt4ERwXojy0qgRqnQzhl24h64uwGW0Erq1HIxoMgmWqz5A6fiOadK6/a+q +9hxECNSj8n4awhXkzCmQ4aBp0VJhLA5Kpz5sqKEB4/ujX9V/SOe4wqmpQ6rVwZdG +wOo7A251rImc8thXluYti/e6n0po5FkwMhsKwkqvJighsIUFMrYqXDyaLw4dBgxS +wz8zqHrg82YzB0V06nKcN0FAFEs1gxcAVsUfqedzHoD9csVnLS7IY5UmF+ra8ifH +1oZl3lGKj2bBhGeNuKyrIb9JpBLIFNi+56lPy1K3cSrQG2s4QfTdX4EjPW6QomUl +nW+fT1mxiBgdjJyL8cRZcGsRm/Q+AZbEpFB0wyvX+h/rG8iMoGUuDXIjY6DCvg5L +E12do64M91RSJeOFV4NFUT58RYaMxcXbWL/Es+JSO9Ln++QpvZ0h4A+06oVLZHSn +qvOd1XvzM+ReurZ3WNH4fz8U3duGC7Ww7jTB8OhNrIeT+RzG5GiljfgpgNMzc0vh +yNOcu4jiFppTwLdC7cNayoHI+ceI4HFMwSBxKSZvbu4u1bIz0IN7W6WpgDP9ji96 +hvT42LKCpJS25p5RIMbqC34Sdm/cVai0N0nJpjvs4RvgqW9cOVn9ByiMn2lV+qsS +h8gPgobVN6GE6NKauqamgjzv7iNO7Xm/jbYWRxfs9Vy05pe5lgkfH4MfmUZCwPSr +lS6A147hnVTq35BUDd2h7gb6HLXagP08w9t3TmNDnfc9kauq9XzhChfHgiah+MXy +GlJYrhhx1F3GUPjOrg5eKiHilzeb/ypyKcxua5iB3q/EaJ8kHUwPbwgr4cWEK5nN +poMjT7T+fLroj36jsWdpE4cZLRy/npqkrs+TgL5MC0/A76u6od9P1YS8JcoccDRH +RCF1c8bIDPIL7R7ksGLY5q6ugn0RrCw34l2JEJ2A6KYECTO9iU/fsK5yI+AGCXOj +PQLXCVMNCotOoKj+/vFhESlxszcvyPkRD5wwn6aYsMw0sUXMq6hANR8i5ohY62Rk +Fm3Su3fcQO0n1FhhVSLrzfCvIstXs1hca3+i+7fzuvkOX0DvlMERzoZno+FX0RPO +rXprl9p/hnwo7o7RBgW1W8gqs0S3cZyFN73LSP4R+ZYv5EOgcMmmeObf8Wsa1zUk +5VGM3lXrgoyg9IWm8CQyeQUTBaGkoj9cou9/fk87/uN/Noi8PqYkPiZK4zpG2jDW +A6TbvJe0Fefj96GpuTylz5CLOwHWmU3eFnSyJjR5mI3pJKYfZOmd26t7XaVuRBMt +RVFKBWNx90Mpdf1LQiCyRx2fGVxDlTha4PmArd85F2FG386pYMv3+ikYMn9OQpEe +xzr4w4bmcqg5kbcpg3OIKlJhtiZNlj1JU9BtRZVwoxpK3/TY6FphjdJ6A1NP/D6V +Eea1+7zc+VrOD9pSV7TVqCXZEPlmB0giWBdVltzS5SWxPspEoEGUPe8YVW34Rztw +GYm2S44PO2YfcXssKfXUFzEgLmGFiGUS9j0cyx5FHFDGj6gyO8blif+XOXqE5VjF +9j8knbdasmCS+bUXakImR6vWqymL5hnfRwMaIRQgpe8udTdfYh9ExCO0p1KedMuE +zHKQJFOKAmIM2HWbClJNyTL0BDhMNc9jUKvtMLbwb78CNqoSdDBvsaGkPnds+/NW +VWPCq0iWFLTqUyAf0u7DGAFZiJapjtXecdtU81z0zmQNpF8kaCXvBUd4R9uelUta +pOippud9045onRXxmdfITgxfJTDPH+T7RCQIy6JtP1gr0sRfjl2tXdsEkIKbwnm5 +GhTPzU9t2tbbFJsx9QAo8wyuCoGD2mQylt8IGsL/XIBJIvaeqbOVKoQaATtiY3n2 +mVi3foJuSGmrOEMAGwL8RUXl5fHMNifosE5WPEyG74apq3uKfU8vZCV+j/tVFPTz +vC/LtKCxl5NCEhrwvGxm+vaE1ijogyW1hXN7GmASccO3BGA8bzBds86bO1shBka2 +Iq5sI4Yg03uPa3G1ofp2Wovs8imMhtMklnrEYbc22krXSzCoF2cKXae+vsGkTjaL +TLhZVQfGhI9gz5et2xTda5/CSbsykUkq/rTGmk+RSWX9mKL1Sd0zgi6fK2gSn7RQ +/2uKCIbv6joGaNJUb6sWm6SbuCMOnrbDtUGJXVMpmbQ1Iq5VOoPTmBKtaqThOk5K +gSMuWwTZGkRZBDmJfqSe0yrxLLcywiH19Xby4nmIrCN0fegfT4/Y1JtRfVwb+9dX +h7r0VM0tietQ+NO5Cr15tYdjd/D3nE2N3xBr2ZAnsO9bpZ+3+wuJuTDGx/TDX8dD +6HWpwSnA/7V7Ml5MzAkZ1r5Q/ZKFleHfhof3PPtWPTbqOBDC5gXOCf5OB2CgROR5 +kOnmmkFZweRYGNE348iXYBuu/aTNQyr9BcgI1ZhcMbg+nYwamym3kufaiZykbxvG +bq09ISNVoN8cBfWbEYAKhDX030cT8af9T08uk3V/tM0pA9O1wL2zVCD+SYOQxwcc +HefUdjucCTb8bhWNmR3QymtXSDknb/3ZnaeZ7x8pu4QILhrCbMX4jK+Kfi0hrR3T +UBGo0X8FLVXosMVU82xvH27qgTeoH7fK3n/fapsRLFHTkGBxRLL9zGHICBgv+pFN +KDOdKktjWGfkdF+PElbFAw2OlonvsP2M1t+fChMSg8MuDc/+5VrGg5UqAy296wf8 +D3u9vEK56ymPzpEm8PcSkRNLVBF10O8AQVp751ircV8J8x1cbzBQfVVItUv3lcHK +1uWdiV2mov0VCqUw4pT2ztenPhjLWKSXYnddtB8jo04YAounFgRHFKyRLZARt8/J +uAnrKtdRNFGczL4Bee0cz3+mcs4duykIaPI5yqY7k+VIHknP3aFaG8uYa1XV6eXo +83rqgjgmrSUk+uyDDPn6lpHWLlkvswJSkSEG2bcbNZcgU5R14EjV3tBiiVmvOgns +SaTUy0aAO4kHinp71sUfdntmkvj/CpL3sTe3GpxHcWq+Cn9XQiTq9E17BdOmpHid +gfqqwJJRf0R0ng1VfTqorq/bbJ56dNs9vb0s7mBtD5mz2mCA36bUBFybSFLKcnng +Zdf8jWpa2JgQf+7T1dkK/1d3B1CzVTR8iuY40dPSKpUscWlvEzDwGpNgClYJWcrC +wWaXhYC8WHJod4NmIBIzMdS4SfsZGl0sMCvK7vR9wU8BlIzuBpBk3qKft5GWuH19 +gFGQR2rAirDwWGhY1RRoIsmK4x1wejwmj4a+zFXCiWHDCSeA+NpnrUWStwJEoWTL +4mFfIl/HZI+v3IPUR6fz7Pf3gOYW1p5fV11IzI0GYvag2tjDbW/zW2iE5wvDeIZE +ycxzJ351xOH6J2MHZ6k/1Hg8Wff4ACUyOwxK1Vup+gjgCev5TAv3l8acFzmJcWU8 +OMMc48U+JFCOLIRh9UoNREqSuKXuIKruLFiRYtuQ+0NV9Swf8LtUOrVpIYbc+pi3 +bhJZq2q5/edOrbhESMhTCjYyr/T7GIcFrOalEg5E9cVvh+gMtSPUgtvZFQ70eglJ +zSQ8y2DbeSiNyLC6xZnUmqRxoopaDNo50QTFAC2/hijQKXDM8Ks/SiChCwvh3zU2 +mARp8uiJZubvlqqqa6Igyt7t86m0UfzCIKJBqyy39E3rLJMvXRGw8Dlqzo/CH/Q1 +Iu8NCAE610wX01mNm9ovNBR33c2aOeYegIVRGVN7LX0SpOgdK1oCqsQZkfYJoL4S +qEFKOnOACYyRVRzPHG0PeRW38YoxaGkYuI0pEoZOnfUhImV2RJociGwAxNKJzSF/ +IjVmJYYF5GGF6/09Fs6sn+rOZRRYUWtKhFTo+hACPSNRR7oxAIyi9LthMW91TGFi +BOZWnrqOYU4hqdJlEgmaEzQTDaIYgGHrEFdEIxdbCXWLvcaG20wKCGFfNWuuZPWm +/BFpAOiDxuC48izofKnY4QOvT0g/76RdXx9i53odDNnNh0GbxKm6OveBIZ10q8gm +Cv/cEkvK8LA6h2haVz9ufDpFAIXSjB2Th2DgL4XcqfPbaUUkRmAzY+WRzup+rOLi +fXginOmVvYYXKJ2b0c7lYXmeuycqFXPCR8fGLfQ17ZwYyOdgxI27JgAoSlID5wmm +bRfGQM8QDPYM5KnyjENMXXJUTsTCJBF8JoBA2KLxxCrjgc5k4KHoxNjXQHGqSu2F +WXzVQO5Z6gKst4EJrtmHzyVG96dsmqw5MvC9f5kvAv4yE0/rF8jGsuHBv7FIF+eg +tM1Wtqy/uIk8dttkO/3tC2F2wTcPrR9A1v2oj97pAhPba1hVvv6I59we3EUQMHNs +SXmCjJLceL17wWMwxj2gIi4MsyYgm16SHsGO3edcvaPv6vvD3tiI2cJVNN2rjc18 +eRyz/KajPKFPZLCg7i7O39bxYt157BNLrzbXWo1wbf0pfPFgUyccij5uU7j2ZUA+ +1oT5EIfcNI7oC7F6UokVdmeEsMIKXISa61lts91KrSFr4GaKgkhVrwTPXcoSQVGs +vYKdFhdOG0lzRS9eTxGyAMEMyebur/e724B66Kip2pM1wrCXbF92mglnWPLHLQQv +gwt/Fyg3iES/XDTDEolOpf232vn5PiIsbnba/OmTagiBjUZV/4PNIsNwjiNQoYDQ +/hiFzqeubbbMwUf4ktX+Cn61dGyrXricxe/NLYiUgeang3QlmYcDSyCdJx/DQ4en +IgaK4AbkJ/grDltzYVtm5Tv9SWC+a7Hk4q4eGaCHZxqHsOf3/NnOREy0kuNiNfN7 +FrnJjKi7wxbYEqnvlsTYY4OG0i6lFxiHFO9g14Zeu/AQS/gBF6t5MoBuXUrPVRzW +Bt6yanp6WHGcDbGVZNrCoqHESFRWK89wTlVKu4lQrKvzPe1fpilBTiOxJ8FVsCM9 +bv89EV61cb4tzC2jXXhoqF9Q96UkEtuYv+UPOIZFTnj9KzdoEh2wV9NvDMaFfZQN +xltj87PJ9LmgnpVpWOIgZhE5pnpl/7hkDUADyF26hcCqjgWNmHPsiYMEmhUtmQGG +Se8JAOWN1l480Bjje7HI0tw9GRwuYEEaeH2eFfIQWfX+XndaSiWbDj3E04RHM/1S +jOdCFMwKn3zRYu08BnRU/hurIJ8bF+XIAsUsO7nm7xgpYNyPDSSLEng/5ydTFiSL +jJXLO29PivNtQFV01bZsyjScuO0Jpp+XpnSmR9uXsYEjG73hcWhmia4A+epalpim +HZD8H+5GdT215OHo1udMTjL3YltO6BGrRBmh2ZGyF0sfG7kGAEwAgB7HoCLIYP+1 +yKtArOR0aVsXN5A/MvCTlzVjGv9vz8GZ3kXRP8IfFT/dtsRpP/T7tpLX4VO19Jsr +FQ5PiO5JshI1/cCpyuJyk4oGJVE5PVoF+KRxJJJS4srbhCF6nNqDjj0DcAnaTwHc +zV6/Zh8+rNYyCtIKDF8Y9OY/rSaOGb/PJMFCD2S/G51vy1GmQFEq0CJ/Q9989wgy +U3zvmLefQ+sTKNVMkkyx8uMunGiKjRkAI86lecRx1FQU3bT1+CmInpya+DygpRXG +zmhdQFNm61VbHtRG3a1Ptzx/mwzJbdEHa6uSI90tyIQADHSKaAEdxGs5jtzsc8Ct +hM78AtNjeiYOVbJEQYR+OTnuIqDyOd5VDvoT1deZzqSBKlKEfUgb5mucnqaYGXeE +AdvqsEcU1ThAHTTB0CDco7Qcd6vafD5qAmkREfte0E0pk3or1fLKim5quCagKJHB +dR7j6h83ZK+sw+nxAPIF7d4hGkkf+WlJE1tXeP/7MzY/09j5c8jgjmoygQfzjPN4 +2GL1xK+yII5/W30KBAH0i7WqWLZioTAyX4y3aue/zNfMMV/gjoyl1XSrZS/lZKJQ +1El6F9/JB59x6jLEBU8Gak9O2p+BYyC30w70Z5ATPYHs7/qAODO+GOv3z4ianbPN +LPDmBElCeX3G4HiwFeaQC/zKjOaUNCf3GGZUKAwAISVsjoVAsu8K9x5npWO87s10 +6zK2IvmDmZG3hSjTuEOLcRqK6mqJSGFum/p6rFW6eDihq1kS2Y6qCjc9lNp+giVE +VXcwuN9Kw2/x4h0+oxk4PkDfdfB2XFb5N/hgnWzkD3OK/C89p4ci2H9CiECAxLLg +lmBqlkyW+wHpWNuZjIKpc0ShA57rHTFXdQFbS0DMeCujGKsyKx1iq4qkRwJS/X5I +ACsIRHBDdFDO5P8hdUU0CMVVbpmqaXu3j94bb3IXsXP9xZ6xRZjb3FNYsixP+WOQ +5jyyOpgUgamUP7ZjTk9jwGb3Rzvshtgjh7zYjAxO8zJalyya0O9fDqrwUa74fIHN +oRtU9YNKwTGXY9Q6Lvl/u6AFYR+jl4N8uCaLZATnyhwASuaq3RbYgmAJ4znaksY6 +u2HGY8xQgnbyYNlYgO7gq4JkvupxxtfXDChiF+a3wLfQ8HfLjU6wG9H9kFUSb3p9 +12MhWQ71kfCRO9ZGrIavtzoOKNC6eR3K0oNi9a5yr/8yvvCPVRPG/1FcjTk+WRUV +XKg3w6goY/ppgygXcQ55OANQY7SVZBORMA1Milz52etW1fKSh5gH6S2HXLUPRGBc +1Ku33GrZw3OKQUGVdPpVJ5UfXnJyj8+/2Kao2nUHl3DMXwIyTjuAb83DQBmgN/1T +KMS8iJbzzNo7eUikHwfQ9DsA2EdfXiDovDdl7V1k79R1y7SaeHVrLjNXgk/IT100 +PzzLMSebiwQanJ/1NrZNCdiVDphkTWEhngBu8crZW9RIaGPKzN4CGtlgnhx+7ArD +NGXK6UMatlS2N+iqvhowPdwx1pg8PHhfK57xSRBUW80lDpNbF+tH2p2yCqBh4AHb +Av+uz9RkeT8KGz2s5g61qXo2x8cujV7gXonbujdkveH5fUqnr0Eqvm3aDP7HRSVL +RnqS/NTcd48I1R87jCTVneiZS1n80gaNQ4svbTMje3eXHJ4tMd83hHdc5O+8qBEB +w0xf+LZY1x72JtuUV12br79Y0b99fLKGRCuBDQgcGtJfkWWvU9f8moXHtWeGXCmv +JGGBd3qdfKfF9P7k78dTH/oFebkpl9hPgQVPxGIJgU/QS/P2+zbVnYWROPt35ubR +WdoePAtcawOUGdKkMeOQf7ohcC2Ky5gyBSnip5Fw6apnoQrZ+tZOP3oYY8ffpYG5 +Yz5jGOUNpwULGvzLktjgDgTG0LkvK0J2hZLRBQEOkclw5cdAG7j2dyMsp93cCNW/ +80mG+F3RppmyQjcoIco2tx2uAdwYki4I013ZMOttb9rW9qOxe6cykZoi+6mJ71Lk +cupSXOdt7O9v+qC7ztzWsBBf6e2YqJdnhO2ckuv6MXEx4RKKCsdw4yUl9/8Lbh9s +WHHRCDvuzewcwMOYlsytWlOAwX2BqJmp3zfED5AvmfkCwgYwPDKTspOIlygdw8r8 +Jy8mo4q702pk4qzkTjUxr88cNbm5xJLZgqZ2UxKKt62fJ0Jts/V9iFPV+ZLouUeB +RYSuBJhTIY90V9LD6mGxWAE/MHn1ZSblJjpGI4U4PjWHZpZYLLY5FlIfiGIMqKWQ +HjwxD5GFOUoi0P7nbRoAo7pyZapyeeFgwM2lzDLoLC08jfWHhQ+pX/1kmQOM2WY1 +huG0uIBwiLSPMfx1BBlF7dqIMRTA66fzhpQS8HhcDiaqYNsGDrrkAkHCGGZmLtuf +w+HCD40Xpu9DUWMa7wgKjXv4Pkc6ARMslst0EklgXmYPTrLZGnbXl2usAUrBNiwf +Z086E/RbajcSkG9MHNefvwW67TMQK0y28B5bhyh9UoS9kSVdNRrwp0Mud3ICZ1w9 +WxzeFTlT6Q4KidhMPvYNYqUSa/fb9mnsIJBoCV41qZ+CkppcBvSzjUBVHeWZeHtw +kUhSy0qO89+x9L5gTCtrGl7BKFr+L1DOKwztuDDZMJTadfhCHVhqBvsv9kAW2AqB +nSBHIBBHibNxk4y9/YNeG5T6WQd3pxJrFZN3Yy5K8i/oJE9bs2Z4wY9ZtC412jpc +LfTrwLN5gUF2kzaEFnhu8LFtZfnQbP57MqC6reLKIhjFfGZgGB/ZLVyie9Seu4rY +5t1KIh7juO6HfBaMmOuaG6GuF7nn6sQisf33H6EKVrsmfXmw7kSwXZgorKwUcRhd +8xiyc4iF8raoE3YeQCH8kSJ1P1Xed5CeD7Wk6nBxH55MOESqqYmolTOMOFK2g181 +IhA8pKq7k+levWAZAvfGgwBadtRWbJQNTNSgso2lijy3/VLM/O+JpqbI/gLBAEB6 +jfqiZyWp2x5GK2LSjYtZjKw/t1/Y8+/AC+Mm8EMDAuRj5PMTk933rZd7Cgr+U4Xp +oqlfGpcUpmfeLYBBx1FvZJ17xBxvcXLVJBZ55ubVKlO4o/mStr8/10s/Rcdwijju +MCDIZzHKwAK1+Z2z5egvontjiuLoQTbGgnbMPKIN96FpqUtaQu5TLaEHgzJjtASK +60cIhlFvnIp7j3g79EmM/T1MyFsw4/zG2IckVCG4cKetrOSzllYuosKJBLKSHXZh +XuiVN4FL6HqhXnxaYBtG4vDTliVEGiD+Mtpga/hcpt1DRjKKZKz0ASUpkd3Xg3tc +s8f9wf/vnkxZrF93WEdBZ2u9VRpmDqqIjQmxvMzMt/5QqgjGWiuG1L98l9uQ5wp3 +H8l6YQOqzRa7VL0KvFytJVJ9MrVm2IWHamLclwHtqoCEC9OFItRg+r1tt+G0bLRK +efmomEvUDPemmhgoXUYpk+1vBJVZxcZaXIpCn+fajhRBdyd+LovzowgEomqvQQII +gVBtSZ5gEm1hN9eMFsNwKKZjx+5694NSOovB5PY0z/pNGC7eS1CtPH2FW7d5m1Vz +ku58QSc39RJPuXZA+gzBGAWudem2fho53g6ywyVIN1zZO7Uo2t26l3plYhhpMPRQ +l+CZXwbFtA7iwrRUbY7B9L+UOVl1hKu+iAOfmomE/4L1AqovyM42An0Qy2RAtnPD +YnwUKeMIlirY23GgCC2WgnLBXeRt1sjBTPfLRsNjjZzLHpjn53tLAO92uoNZh1uh +uPAJ3yjL75XAynZS29r/KYUscPK92eZEyjAt2+xARj3UX31dfYa7rQPpLs5MV6IL +Tz3E67MOvl09Hd+qu8VauocXF+7KF3rWEjRq8r/NxZnqJ24ZNCBtlyRstrr6iB/5 +L8EbkifUdeTiAaZbVKMkQtZYzHyu3M/abP2j1PRDpzChNEqeTrtievFUs7XbjuOt +VM9dHuQrnFr0iUL81Nn4/QUgCOCAY68xgB4vE1pXhrwD0huDhWZlPbTyDEqfKrke +8/GldnmYgM+DFolWM60YiS7wXjjeRfbToxwBEAmoLumNLoiEC+K9J9v71zI4oSYp +adITT6PlqVZx7h7ojQygxWbiVJGHq5YHPACucoCV4vc5hJieyg0PA+WdUi4IYZwr +fFtbAXTxn22XUCtPU2E+GbseSuKKZbMKyvvFRrRSFG2UCunTzIJzzN27XnBnTSz1 +1hR6QndjokUIYrhkJsA9cHF+AcYxcrRsivSgzVoKiGnM4Mv+a0m/XGjGwRNNUVxH +5/SkLWXRThBjpmkd6CCFjlNbcV7qe2nB1KJ16d3LsnOzkaiLY9o3lMBN0da6VZg8 +KJ3UyrQEQVULwFd1wqlpk/X7F1fBllqI7nm1BHkWM4uVyjIoPDzEIhepv4vJV11A +43UHM4wvrdgdpztZp8bkTwHt9tqLb+HJxbBhXIhongwXfkBWpgIo5JfUhTmuKPbD +IPrtZz1Th4xySDfWtwmkXY+e23jdg0Yp57v8hBxYl0OAO9JIQbTxheAN3eFD4Eha +KuYkEaouJDgIwZwJhQmww4d8zydE9S/S7j3iqi7L+6bFhCrsp68Zi2FpAimcpRdf +hwI2Vlh3vxF5ee08q+FGh+60AkF2BH1Q6ZbUqnipqAY/DRDqoAFMsxRGYxwUK/z1 +/IS1uB/wu+6z8pkgKqN74j6E5ajezrkM7lvhBH3EBy/pAWcD0m6+9BT6PPyouAhW +IvF7MN8sdNZJ5jVQIj4dr0LDKYNJBZ9is9u+wg1dZa1Xy0zm+VQ7cCVabsY1hHIp +CyaTiZYTZl3M4A9W31cHegGYV80HTBsywaXHYVr3rEt/zkj6t0RrBM3NSdJi2SGO +peDY2ETvPvMhYVUum3BQ3qN9MHRuHAJfFnprXNioC8ANH0hC17RIlORqd7RuT1Fs +FBT2Pgde+E37+PYX5jMKFSmOCyB54FUXgD3nuqj1dL6TceTRG3g3x3k18xhhbyLd +L2cBLgdgQgmdtADxMZkZAIN6/JOeY1gop3QpnvUjUpruvxAJ8X/6TNBbvoR4JKge +ObwcMGJFVvuhn16/mBfHG4vT3neNq8BwWDQSFRi3Ol09hPrS2RgLO1fJ7Frq1GQ0 +UcT1tdP8rOObnDGQ6ch4WuukmlBkx3otiVI7mEFWgIQREV9ZMfkoW3aT+N8I5P2b +zGigMFO3U6jnu4FLubu0+bcsnVegzQL7T6LR/0CqVhMjJgVCUj3ao9DvARaSFQGt +xddWLTi+PLx/k0/dbYv4oT/YCo2uX9WvUcXWxSKH0Kfk2FOCPuPMfAXZnO39XWLP +qlBDUoS9F6V/MSLKE4zYJtDs0SuI69r36/QkH7g8Du8Q9xSvtWNJ+JPctW/OSx07 +/n6CsdkILEyNotv/MgYxq9NiJG368wtJk8zQvtMKBSu+qhgMw+zeT2R48/TpABp+ +UPOVOKQW56qMAHCIRVN6SkhLcsFD7DD/5b2XQMuD/0EMVZ0A25fqX9EtmzzeJKNB +gCg74vCE7n72HW2rtIX2+wujq+GqOjguLQtm0wO7byrXQ9lvdXFL+IiiZICI9KJE +25EqlAD6jMHw0KOV57Qp+/Wx9ld4RRubau19VFcfBdqNcrRnr0M+qAosGDDYRwgF +/bZC/XkCxBd8bNUFOMO9BwJ2lv70IbGLr75c7fJNjc52RPZsCK6WKwZ4goZUJdxi +eou7r1CQQO0dSZ4oNKOuNuI5u176NzwG1oVLklctZyQMPRgaGbzig2IHvfeQBWyZ +dClsMGDV5PwmO3HTeUkUIY1cId3yrZI3sTa68W39xisyPtQQA2JMaI6PJ1aozMSV +be1ZkNNBpN1wJcIoc404QtG3asDGg579nHx5fQYuMO64q7HIpabHKWJWHn/7gax4 +I7QzoJB+1NDZ4Ok1S/eCbug/f1CRWoxDKvzTxjFQQIGSiGyesdK4zus2dQBpE2zR +tZ+V3LBScqB+cIGszAg366pwVE+lb+8bWHlK9t8NAM5DG6OEmgM1teLHU6Sv7WPg +CCWKHGKhXlfQyXA2274WPvqOcrVjH/2OkY1KGEapKa8itxWW7FCUz+XHud0R9vLQ +7vQjVQ3ukWUZ6jFviUYDTePaeUdusQBL7zdsAwVbGJLRD36AN2AHb85pK3Aw3KZX +ywJZM1PRWE0ZF9JSWURO5nyNdp2a9CjQ5W6UIz8kq/TfAzGLIibbah7X4bVOWRd9 +xSvJ9Qd4tWIhxIK3XO+i0cJn24scxv0xCVLE8BpIkdTXKzBM+MmzjPG0o7cJyIgG +u9iDx2rcUN12ukNxHfMBgC4ch+aloS3BXNSWsn/WioMTfgHluhHCBxH38iczOO7c +xCXDsPI5KAbRpKDmagFHJEDdgZpMAxwBYDv/5KbZfI33rVmo7kzmTS+7sjOpgt24 +NVRXOzyAmJBJc9mWBEGHhQIEMSyQv4uKL4+5Hsj0ecueqL8j2aRKTyER2B64hHe3 +rmmiGerXkKvjtkIQ5nZD+jfXLjg2TLyaMgUHLNDgaxqdJhIqKv44fWLGko4eC2Je +vuZycSfTg2UMvZqNnk/ubV+fwQ2hTvppxYzsKYgjxHqwxQnXOwC+yuWHeg/O4o1B +4qK7ztKl2e+oAes82fDsQ0WqROum3t1Lmq3zXco0AlQEzfhKdk/Le2LrjSqker+u +KbwPR+4pP+fgw6LTsWqcTxZleapnW3g1gjsTfIycxG8ETJiu/Ma87PV3rugorq/N +zKINFRaL1/Q+SBiYcmFk+KDiuIOuD5ENEjVj6C2sBtNtqDnx4mSwtUQQjhA6oC9O +stMMk9o9zsDf8OvjmLMvUmXikf4iQmddQEH1e90n72Ur8syR3Iaeo1/y59SkB/Bi +7199s2ui/chSeQer0Yll/56lbj1O5KIavW9emVIVr7kSR9MLCyv3oTQQOBWO258X +h9xnj4gylXFTe92Dw1pxV4U5DFvbeegbdpvJNjlpeWQxq87RccwIo/Hh1OZgTbU8 +XTCZcwA315vTbqOYu+S+WW/6BJlEitkajTS4+7TKz9u8Vn22eSiXEgTfO+0kbOCq +CdSdvz9HWCCp0hRRuFW38XAjEEm9kGAMoZu/wjpLInWpsFTIxRtzbD1NYtyA3LyF +E3UfILkq4L9zeoP3jEtWbprEKrnGYAyNFnf50tFnXdyny9hjPNQj75Im/j+/o/sq +4UmF3+EYUbJn5LPR4qmpx0QNz02a0V5Az8KNWRFJq7ug/CQFBuTa3seYgzQvq1wb +j9vVXXO9EiwdBsf1qM8bBBA5z4HfUMa2ooSgQluStIu0MSth+lECsN0/RdTSY7MJ +J/xtCtaWivRABttefA9GLJPfXzgl0DqFvZ51VEKnl+GT/mWu4i4gxJWkhC7ltZ/X +lxl+s+ADrV+LpGtdQ8BiBbh4LsJ48vgUAZkb0SMgcPWpWf82E/ZTmnVSfgsRXm9n +U7uOzl6AQTh5Fj1AVzx+7jWVZL8KNb4HnDxpPEnL1FZ9dU+onSbwB6yGQPqApW97 +2bAlUh1OfquRe7/GiIYo2pwSQqgqzCTklqyqjyeFFeTjGnA7AfTHiO38f61NehVK +QzjCxWqNtqH7NKKfwhbaALJE8ZINUqc0LJngxk0u/QKXym/6jiUh7DSr7MmYDffD +M3QYqFM4AGXKWtVFQ7P/omwiA1M2QFFxOysd1MxFNBGYrLWtWDoZudRcNs7drn51 +DMcQzc/ZvSYzbkt/g5GwZKkvnmEg8tIhrscbGTa5BmdIHKmPuZjImQJ/e6k4A7E2 +7raCTVP8urnXHUHw5rqCnarII7whQGRlkzIp4eGqTaJwqSfxwuscMezfGixH6CyV +H66zRceQcprW9WDaH5bjSG3n3SKkKa/VmdNol8PMWTKz2F0xHhUY5CDgv9w8ijIS +zZPJD22A3dcFvjQvgZF9lZHRIuhd5RK9MMJuVBlo8BccSZEPVo+NQcNnrKRtrQzC +eLAViCzt4j9PVTrC9VHubH0USUl7fWaF3X9AsY4lo8qv4a+UagHXDqMTZCFK2Baa +8rR6SupItmX+Oev3T3PawAZO8kZnQCOctbjAAlJlFHfoy/+I6gOz4PrFZUB5jUSw +uuJXVNN7+PjJqRgljA0tLMTSqEAsjl6B/tVpT9FBQqjxZYPSPM35sewJZ38TIS/r +H7obzVanj3gNyevNGWuLIATJSNHPw78F59cGpolHUSy66+Xu6XwQprXGJdCbptKP +Zn9Z5ZCE7ruD0tGqhja3Hz6ka/uwfS1bC8EkrCDvLV7bCwpUly0TTzC6KYzpJZGR +W6dSgp2nyeM7wZ69yKurcHKmcWsQ9GhUU1vnFsOhmGmlJok39VZQgZhWATZY7+DN +2yBxiM5EbuOPdlGepqKoTxLcWM7iJURE69NEPOEh5asxm68F2DF1veo+Rfro5FLU +BlsulaS3OiuG977Ywn+osm6xsA/Ku20MW4hj9ReZpl1FVnw3XuAN55HDX3Es9yVw +qVyioq+ecp+tyTvruIkiIgjgrZ7rkI+SxcmzNvzud+fUPWX9cfjDQASka6V7svRR +cElJhgpEWOb1e8a3xs5cKJHpxAPh+njoUg6LDwMU20A2gE8SGwu8L0OuH0Jrdj/e +RXeypxqsmB3XgxD7Bt3tDgsyTsznja11NAfEtZI+/OW8QbbxpQbV7NHhC2Ks+8RS +IyCCt8eHIjgDkjzLBFWQSm2QtUUTLjLX1lBiyFVxu7m4YZFaQbGDT+TiWvAZ2I2t +t5mZFGfmlWKxO+bKpOyrIaBpQiYzpUdk3u09tOw5qfRcYrYxvBXejmbH018Ql114 +XMONDUb0fkl1LxesIi/XXGZGDLhdTjhSgOWJ8Rk+HadzHSAlrPyiCztoRZECwMyd +pQJXTeOJdCVIs2whyivlTAxMy9YTY+WMEUt5EB20RiEabsKSlYqRGqNhM1/fs78f +BsO6DU060nQLLIDCUibxtdpq54bQ1BYkT9cWUW8yTMWqSqU2oLTiBAbWlhWT2xGO +eZyWasE8jVxWnKxF8eycmNa0LbPao+qiKpygcjGHSOJVK7H5aAtAZJThNmnEWxL2 +dBryiZfF4NmFJMgAWB2X15yKbusgZLJsyu6HKnvfkZUdEPV00vC8DTPYNuWkrZL6 +YdOg3/wYtYzlL3/O0L9FlEoGIs5NF2sU4fKDpANijr2R4VczfQW4QnQSYDf+31UR +TQpVBpec95BPvo+zRftQ50khxceir/CPy6aUcUuBWOl7CAeHnaX1Uf8Yzu69H262 +K4AJKKrGeXzW3nFNcvBLeqSPzIHyHSYMaK+zASypi6lL7eCepSctbZrSgpu+XWTQ +VXIPn2qlkXQzaXIXx7itT7Fgip+MTjrCj0VreQG//fpDasqB+QZP8e2jZdyNEYqA +26MHfLHmiEs9cwxM1RPjaRTS0adFrNqUpkPY5wnYzUTpv/Qg4zXXBWv5RHt+zUbi +KdDFc6chCwAF7x+FFhDt8kZOG5hv3pgTJ5DSuiLfnAjruuGF3upMl+1fwjCM5Opd +d1Deeo92TD+qx+RxuHzZAkAxt7mxdKk/4ROOLsdmQOO+IzC75HQMXCSnoHKF2m0Y +vsl5leFb4oQ2XsQ8ZTTU167nm7h6hpowFYZHRVqa6HFM6jgy2v7Qe7n9wCATfDaq +z1Do6JlKWG/Wg90Jzm4N3JI8QTG4hrci8exfrO3t5KNyTI6IgnbV9PNz7nHJF3hh +4RBUR+7Jpe7+9UVstr9N5sBo0HTRYuYdJjNJ+Qf2EF4QpAAmdoejlFcoOsZWfEbA +AVPHaPwONNNdPTd+bFxpFP7hCua8iPgOO3TBm+qcQ9zYQJlQPKjF5o64JtUcdm1h +Gnh8UnLTVByrk/8Pg+xZStkEi/TZfjr0qe9wRgsCEWu2uCHiXRD467H7SNBAT/r3 +4dJRJeFCfdU2EhlJkXaSvxst3OMXaKCkbIEWYPOh+DTQMk1mY0G8e92LUUw233ln +H5ZJtF3fAnzm1qysFHtSxim2AdOR+KjmAKjtAHoFiBt2uDKjvQxdQzKaZScc5XrZ +YOsCxWAQ9t6yzSD9Q5x+6ueKl6YB3E2xFVWDZCrvxnArLRIMPRltNhoKiFDbKwNJ +Jb8Mga+Dtd1XnQHUCLhx1nuzHB4U0kc70HxUrzcBv5iPi+mqOxNqckAg1z/DGmn1 +y6jDcY1yHJDLEjxyvtlO6Oq9ugogtqR70eFZCPeWw7F1X5GhipjcTSNxAEzuYbtM +6ix+WMKG6gXie8bCBoACQ4/1yyXcCn/1ptAAC/mHrkg5h6Mt9+JM7RnwGPOP7NuJ +J0waHEYld0Ot2MXpMhw4D6ChVb8z0RPEMOGqtcfKjYTIMq6bLi9PyphhDzbe3CiA +55htA7KJwz1FoSNDV5mCJgOOCrwbEFVey56n5lS31zU12fUcJMg9ujDnNuQntySe +3VuCCEsrUJMzHsSBLQUO1NcXBPW2Pw+QUtkhnkKI8y9PVfUPbeqS5IQ1hkwi0ItT +4qFZk7wBe128GH02JzpbpUmsijovJzju+D17qlm3LTk2VWlT4U9w4LCKHs7JhAWW +K8Co9bpH/UFD5yWbcOEfGBa95A1LXTqfU1JVjkHW99y4OtEXZTIsYRZHgq81uJj5 +0X+ky231y7uDDe86P3bmwh8Xu7WjGkNucwP42+rKYAS5oo7s6GJPMcb6PojVnJ5e +MFtDaKm46vzOw8NARmx1X8p7JD2bNtJMdqbDAftZEB4RVTC1xupqJY0anU23Qnj0 +++LEPaObtGcEbZMGsCrEnG0zzmp8AzWM9BecPiY0OEHZpFCM24b6Kbv2ohnJfqZY +abvAfAkVpodLoWp/JoLrqU90Eza4RJca4EmBD7IDl8IvnQEUIXDeEfKquqWeRoAm +c+H5liaIeDoqUeH0DGnQUkW2rl5rXjNOuiL2V0INx25MPQZ+lXLPVNpF5SyWwibo +5vrSY1XFmtCdHtycahWO4YGVxLXY4vNWtTYrIWk1pgcSR+sGDHVYhSRmKuFMBykK +7FTaSoHz0Or5GXo/gsmEtOsULmCLY2UbJ+FSqNVu5sDsQPdyMplFanCRQLAXuCvv +NDH0nEbGgTDrj7VqNQaKgb9FZPbyhYU4h8t/R0Vgc0UJBq+6frIn1tkfUihPWGwQ +kDdwXiOMmOguAHi40OmPR8qPxeWggjt03kzbGznhcIO6j7Z9uA0IVgQ06AmIyYBS +J4Tof6DvVBrfdpXZp2b3jaMMgclYS+YEqs9nJu4YDV/Mn6GXMhIrDU6et2TLLyZH +7NDultVA9sYtwTbG16U3JPTMrKfHzZ6+nQ4Vx2ScBNolrLuPYggKR9Ilkv91KU2+ +dZ648nZ9bxjSLXZVKBr6IazoVJtw77fx6ddZXjtaVmKZcvlCSB1+jO1LjeBZZ1Bk +RkUed8fquS8LUMEkEkBlSrivdKoOOshb0JHNEGeLGjiC4VzQF8KgERl4JuD3LD1w +cTRTuVPVkGyEz9LmTcmiYzJuaA0G1B5iBfZuzXqgOAr5c6cX3gB9u8+I/PaQ1TLR +jQosQhjJdeKxL4DyIozk86P3ANq9eVqHfi/n4M8ff/uiso9ZPpn6ZSJljFu41bwV +KdVbjIEEKwUdhpzEmVWFpAsR566lmKEFn5PM90ZY2/anOfWzsnKXRoCtVE6SwrUO +fru/9pOLv8lz0nygsPkHQv8yU7LWk+AJi8unUtzAhA9TSciB1+EIlQS/dK1dZflW +lRhO76yeM/YqXeuLtjwa/uB6VFLR6CauFhauc+HiQqh0TMdat8Jrr3SU9MlSI2Tr +47aRsK8HkaISNSPNvuw9+DrgQ5Ti62mnUNqeacy+EbvyCuOV6IwhdzcVpUmJvMZx +vKpMPeAdicqatFPXMKehgLItvzb97ycgt2d+vC2f1d4bbccvIvS517b82Pom7gsM +pfw9nubIUbZi9XxIDxskPzJMfxJfGy0nuIbCk52MVz+Zcr85OtWiQGE9XkJZI1+U +sj3rohqeuEKusnPMIwWSmihQCmq2+TiX61VL1LvCPFq49JyxAu65G5H5ubZHny7j +qVpTCo66D3Vbhj4Oq1tSRbkPeFkIVC3VDba1wiJEFMglRJV00PmEwjxSwKmXB/og +SX73awem/dNJXPMwQsFjGy3PVbl4UTZtnXGYEws4/Pz0+2j6fjhb8Rk9EOJURAWH +eMNl3rlBzUPHK0SHI5ihImsWInLsjk00kdvcdbvmG9YjycyskXiOvOtc6wviI5ZY +m4+cVmwXhreWS1oaokXDKWRFDGjoLPYwrPcvTsiOEdhIPR889oq65dUz4Tvj8Bu0 +yHL/rtd/EYjvF6x26JKyJnWgIpu1DjzMNPCs7uwEnyEh2eA1gPyebT2FRyeQ+vsO +S6J5QvSHQq0We0Pfe0fYES1XgF63RhAtBZfJn3Dm6g7wu4bI4/fd+Vn/RwuEC0Oq +WKv2mkhJDN0Xze3Ql55+Jr4vhwUnT9jevZPejNxJCcvZUgUVQ7V8q+muYMDoEU43 +kIXW0n5SjnEYwxqSmVb2Cvv9MIcjwvn+qRwuuGa8/3GgSEqAffBfWV3/jr9SBPe8 +TBZ7NdoEWT089VvCsdOOpAS0DZQyMLsZTvSEgMu9teC2i2saxi77OtQ64anYQBCy +ZqvmbByJW+QBYRnecnZ/RK9TCr48+WumVIkYS5oGkqyOBd+HHBpK6pNbk20TJvGq +HLRC/6RwQPLChtiYssqZyPt/wyjUGIHVkTsOqxVoH9zYdDavpoVw65fOXOE5/XsR +k5syW6vngQqWL9xvtU8GPFuCgApCzOqykoNMWVKAJZLplxtsBTJrkPNBktl+6Kk+ ++aJCrKopDKBjAqcbCxwJcXUiCCwVYMfOwbIwxDio72JoUG/MKyMuv/kzVp3hDED8 +vLVVQLkaMXSbquQCkUqIHFkaingppwavXQZzs9Z5hRPF1DRZ0zDsYcRoONi/ITPT +Y2lhD38niRyKkwveABOWF50EeeBvdR9leT3Ds5nll7HA36PnMB6PT/j35RAMM8OM +DjgXT6Z5bBYQb9OENpUQ2kG+rdAmnQA9lBLLd1YfoUsuOTbZ+gMCf3ums4z593Lm +9V5MzXAPoDbKFG3GKabgUfWu7HiUJiCNw2sO/i58lOgqfc1N4GbjQahzm7pUuBTA +oHdPOvLzxwF53BR62sJ0BiEna3SXL2LbZg3NgU2v7yZkieK+uMZYZYGG+u3MNE62 +FzYsli7PNSGyYwQVxy6+a9Y6IlvYp3cMXVdGNoLcSyqg51XqPA2jRYOveZ6JMZF9 +T3TeilAQCd5dFyTVifjr0iWFNtXaPRF48zbTQjfCybvl8G1fhXO+Zcyd4hD0Dgc2 +m4tmPyDoOpT017f4B+2hhSsXgk5GN45VLpiyGYoXUB1SsCJtVUo2fo6eWCHY6dyi +e9eSVwqFGWFeh1mOpiDFWZZRHM4vbz+OnSzPhwF26dVWtjMrOUezIWnrxJJGaJTU +ewsJ1f0mw6Q2pNDdqdqqI7QUeiTJzftkfEUV14Eoa3noupB5OAITfGiMQFDBLhJS +6qTNmPVldYTyF3PhLebg7WHN91ONoCh9lY4WEykjHubipO5UPZkG/9sQ9xAcWG8u +E9PtyMpJUY7Xdd5HfPeQehfwV2uksDdTfut8D1T0kliz/l/17GfdKK4EV2brhsHi +hucIqDpvLlgQQ+gJRqFjVsQGcWTL6eUHaD8D9r6JL2kzL8e9zIEUpPclo4NRVfjh +KomIiecESkpRc4bFJenMsrUUG7ZJO6C+JzmnHDumrSr7MdYYhz6/NHgsMz2wb92/ +S0Tu6jh6xSo7RqXHp7ZUk6yeK95jfxuyYa7P6yo39icD4BqND0vg7ek41u6hlqIH +mUehlNiXtSUuIB7I1HRioUfyGTDqlj5o8aDiCfUUvMMMrTa7pLVjRbmV4dwL5fb9 +SQ/iaNmXfmxM1veAzlckDp07OTaIUTlIMsEb4zXeHntmeCl8ACKrjIEu/HW4fSaV +hJTdh5uIm7SDV0LmsKNXfWZOztMEsux8duJ0Xu6EZ47yYBAzj39S/jfCJPUjOYMh +Nfb4HQgGTXbbcHtZaiq1qLDFcJKYUyhpmKGyjt7+xOb1Gyb6MbujP/69pOnb0fNe +9qSwAbIM3s3CcxgUKp1OIVd+00Bq4/3/j+XNCq+IgHytZdPNFgrdlaEvITx7eI9z +VM9tC8K3//kGL0ZyeGFec8JeNtS5h6sIhgHFljNsEuaKJbSQo8Cscr9lJWks++Y0 +cTXxfqCReIjZ3CiG46TETaiCCH7x2kaQqgb7iwVZ/SV0zSe0u0+IY7XTg0E4Stpo +4E/R00NffqLvuClHcfDOxSyzhYSyWW0ekJSUb6LZkoGp3XpyiUPpenjARFZSFl5y +FsBtyAQZrDiTn3iKEmP7viS8LYzZZC1Lmsq2HYGMazE7EFfIcYGNQBrm8gWh6HKS +eNETpMuvBr7u9Vt2OtHOghIYSqVEp9pqiBz/zfgV7bjVTsOWoKP9BUcrfJIXc0iw +17oz961qOPVoCVQJz+K0zXxP8EQiP+TXwcjB7GRlY2Xqq3y8rpt7Dy1CRDw+3qY0 +pjsCkIsU37RIqkngdkZ598fze6yg8P9ixtVQZFMR74wu0UYinxwWczglQiFHOxWi +Es6myJ43imME+KmYADGwGQ34wy86sT3jqdRzSg03u2JvpubCqtHRs7cyCGhZhEsi +IXbmgKfP41lQHsAiA2bwDe+2pNXeurJP3TqL7U+k5m/rwg9mxjdmBs+IYu2r14d/ +N0tQhvnSwy3jahQ1WKkNA4KEAK+6spxdGUOGusmnBugCdLM96eOB9PMtMupESfhR +D4ZJHkTDD3n66JRZX8odp9f5yHSGmlXvsjgz7HcIi7X4twjcWyJ98CbSIBdzd+uQ +pDcuqsgs/prhejUwpVkWZVZKsTNGLCDToeHUGFoUskMJ9W+Y39a+Hg46ubhOnlDy +KnAMdhSkQW+YVaZX3DJ9rJCDiZ4Ne2XC+p+EFvr9iTPfv+Sjuw3D0b7fKuiWCyFU +Xg/NzpeqAnsWrbVRvjK8pHBkiJfGnuDDCx0ZGHpag841XYpp8P5dBs8ssPwqry3U +YoBi2+j6m7DP83xQ7YRF8cIGep7z35Plmns1qS6lgGV/ZyGfmNurglvzGbpeAb8d +PK/X7B6hYQL6gbGytU/lZk61iV4Cbk3RxWEuDTcLp297jNw8KasUqQm2swfs7ZmC +LBUJ/HZvk+pgcD+qC+BLCYo6KM6UfOrLkXxMcnWS5mtNNEK4FG2tQgInIA/7tQpr +2WzVPw6phLOs/ayWQ5JAp6pjOGts04vTvC9Hpg9tP5vsT0ue9oF6xEA64kuOsQ1B +DnK301BuvPdLCYR+peb1pNvR5PEDYHDzd9M3WHwTVIly+eWJG6IuZqt/PhoHlDlU +pnXgWlFIuVPo6FsAwXTHMfOOMVeu+THKPYvbbOUkN0shU2cDK0vLLlp0x2Cqrb+Q +qSYcPSxOsdHm4U+6NGIV/VMQzV2pTn8csBBnsaMD72mWH/mk2NRJ42yyK2e2d7wF +h6WJ+M5VfdPuRLoYbwX5Es7sLBt1U3g5rgdqpHtB/23v1976gZ79zeSyQsTX6Kkw +4evcKKk8tq2jbutd9D6j91Esw1dC6U4JZR65vCfN9lG2luY4BMfpHI7P3hHtZiaQ +Zs1rpAtQh5zb+sgqJn1uZIaP6i7TbuGNh5eIKI5PzHVg3kZYgAuIhfFpzQtUmkLo +ERLsgvf/iJRmBZfX7FCzNn/Ktjsg6vd11akz7anTRcDT1IYu7a36fAYnww4x9B61 +0bc+b8jxf1uYDy1DxPAzQ9oxBo+lBaZpUniAVQNEPidv4XMGzXcCjcgzvJ/rftd5 +SIjoeMMjtpdQpvjeqqSlCGEslNFkxuBWYvCUzfp7odtpxLkOCsXpQnD7iTnNT77K +imdeMC8JwouwFVD/qIBnfnTdmT0q1aYHGEjfPNg4HWAnkK5ANAM4Zb13LgfVEC+1 +E30FC3Iq9waiYzOOt0tJRktljARvH0eSMLlIfy2NfmDjv1LVHapCO7YoqPQfSOie +rJx6VgdrlZq37bHTsir9bJ/SIxtLIu6mAMhEATvDgC32osyKJxDFX4lFCcsyWmeD +Qt3WN6payGqX+95PSu+Y/5q8x0PdRES7E1upS+Z0XaB2amR3k4UnJpNON58RiLsp +6h/0gScWPzY3qrLTXTbfJdyMO3HzLE/owmic0AlT7/sXhTLFk7cWz+weMfvEfMXE +IjswM4lLp8zTw+xqCRqpxTlF4RKSXokwmq2NNd9fSc9on+hDRCyijoG+hGOweIRY +riue4O6dgfjqIJxqo1JOTm4bM4vPV7+5hAvxxoxQz+LeNSXEr64cbR0iYLKaT1Re +PisQD7nvD+belfEWKuaF0mMPLRVJgEe8V3v4+yJkoVGBW45r6C4XiMMFOoZwagRF +iQ1fEL3Mi9rxOnAdPFt6oC3I2UrCtjA/hso8nP0ACK7LSabiNvRCXgYuXKgPIv+v +2Foe7JQ/UMiRQEilsU4y+7mfqjqhXGGKtAcA8G08g3RbIEfuJKmx9saJI8rrc1S6 +Yv1HI/LEIECryNwKjICcmQKdMCd+LTHbIdjNkWS57F1SorBWX3olmDvByIxmkXKq +fZ/zCt1yg2NacfW4SQQIQMOasOrj92zd82/vdbEwpSyX3g+kJIVykAn2Eedsydc+ +Ynwg0F1Mlx9q5JG5yuGDusUEbM4HQvohmrfoWyOsWB045JRkajpiRJUBbunX7UaL +/55raewVGUAYAcbRn6huIgFMrG4IYlE+qSReUK8oa0+mgQeD5vNh2v0OiKo0w/th +9aY9qq0FO86s0W+VdFLorXyGxIJCVx2EY6I9TCoMmAOphQh9M3E7o24TRwcDnbFb +rCHNm+afk5o+3RDbY1m+LvNBd8gMC3jQCfaV1c51x9k88L7JgeRf6QKpbwg+plVt +9h/MgkI4FduG+U3BeqquVlfoJDxt7C05KB3PdaijdLbPuPlGbPidYwrSeD7JDr+q +pwcNwaR1rwetBAuUtTST2CyWKj61pIWRWQ88LS2i1YXN6SpoxMy5sIy4sSUMRqNx +dasw/Anh7s0P90aL/UktrEccs8wU9bPI1g2AIql2dZM98zO35mTvl9fE0s6WenVW +H3gLFE5OcwoykFc2jNoPtRgmipzi+3Ka6Nr+1+cucOptELVEsXuW+7f9pGlTAvxQ +9ORjIb48P45jpas7oLuIr+zIK/fZljZ+lxTKNOsw1i0kWmDLxl85b1oLa8QcwAH/ +9oybD0CeZ3NHZ36nU6NQwft9o7CF7uWmVvN4bgLs958BWU2a5scVmAukF8kizSQv +TY2qogneSPY/u0e8RdJlD2dwWQ9C0yOZ/BAsd78zgvjWpC1l0mwUvumFaTpV49iF +BfFKVIR5H78Ox3cGWKBpwg/CyQnaFZO1M/LA3lUzduXG6NDT3Yec5Vx3X/bk2RQu +oEZkCJ/84jVbGJONvz93qh72RiHYP+q31/snizufT3MSOpXhAlq9YQcBcQxV0QX3 +CIE9VIF4iQ3U/1x9gNGtJpO8pT+jnNxi2gYQRU1OmsA5j0mRJGKzXV8bSJuzzhbD +GnnQ9KRIo9L0luQi5KAbF+dvLVo/CgyHh8LDWboS6REVytOdxhJZfXZu5deYxHXf +qTKBXTw8t4IBTmJr/IWbGR2Hh+AOxtpRQX5q++7gzKuHh5BgDgfj5aK05gTjaka/ +wpxrRjBs1KSsQz79YETDhgUMeEQVvLSvpaP8vuFIlPWOoe5JWlWbwRQd1BrhLIyt +ds0XUpDipCbh0yuOsSyfkpfKes2UUsSjF9xtZy8ymAaz5kwRQIUy1hq1GddBPMId +iyJjblCpa39Vt9iQnpqzxzJXI+bgncUx9kYZqLsJr/mLKYSZ8q5VM9ThsQA0gZ1H +MwmSS0vtF5B2TKRluwjD25P2zPfnXEinA7GhWpalA+yw3UhVzhyL+7hSnXgp5YhF +hCgqZvphiqROuF2jirPfnsoqwEkQsPwSNK/xSuUcHYaFJaDnRGAOSpBaEYdlZqoL +ULsyk8AMcfk/E+4Z4F8g4uD19kDVzLj+NcJa8xmR8e70TADQnbQVZO+VLsV+5iR+ +kuVjqgFhGj9vCtBAzhdqKG4FocpaCJ0Qwqe7Yoavbtov6z6sWD7CsutyuigO1YAm +5WJWwabcVtb7SKqF9yABrqGk+I3Ryp+mbymcMnD3MxptQ8rrpnz68qi2DpmO/h5M +zogMv1vARL8xCZvxOeotcbqPaPX1kK6ZoPc1vyASOA4trMRN90MchyfpW/jgjB6E +qEsv+zNqvrPahVh8El4mEGXckwrlPy8ii25O4FMzXI+CLSyq7EA7XtKR+zgJGiMb +NVdEhIE17P0QZC5kRgmn2+cDWKY5Q3KY4d+zBl/Azpb/NdgTYvQca63Z5uZFexpc +J3j3k1N925bdKSmSkpXpn/OJNTMB5rl/1eIkn34KGGWtbLW3R7bc8zmAzCUlBGUT +QksIKwYeQcePLqo2MavA2Tw0j5mxehOfN3U83v7Mkho6qCosbUOnbA18r4mYUGs3 +XbpPlwDS29YS9B+rlXtJNccjGeeZeGN0T3biG1R1IXlXw0N5LA1O2PqD/EahKMii +fRRsYUmWQICI2ymANFMRMBFHrKZv0JJSSQSfM7zTa/FA9Vlv9iMrqmh3nYo2jYdG +7l09JoIbdLXzheINVEDiFfYZ/3SDfqbF8teYS8PMEPXNzkBJl2CQGusiVXIhgPsG +RFPBs8rgqPa9ZHVEn92DLGHdaRn7tjel03OT/Xgl7CTs97m/Q8pndXKg5dtzwJ3p +6IU4RlS2KJWtJi5YGV4nvEri/iWHH8amzV9guKMG5UGEK8LhEWLFdLehDL0SZNsC +DNtjPdbuUMK1MyBNXj/icUIHLXRgc+dEPJ355klC9eEJYHKZ160W4bjf4to4Ydht +hjv84t8pD981ntmi3XvQWTlPc/lPMLytMYTtI9J+voe1RhDhswBujhbvXAFqPN8W +tNKYNfg0N3gidTfZvzERVH641ot5E8ug/LXouLIf0OZIRq/jGE41uMpcmCrE5ukH +YzFErxAmjtovwEhywyzWjN05doCvYUsfBbYyPret89xGKMlEI4Bv7+qC2PmLMjmH ++C1tnAIxuueVmRlJTkRJ9M4S9snhWtjMVFU1KfsV9X21LuaYCMsn5JCB5QWTF4v3 +pGdi1RBYE3iL3PHspEJiAQ5OGcKSWfphFw7BmLv5YKIJl81WL+xZebgWx6HPIafC +LsOpcGYjm42KOX3ZpxrIL3hN2ogai2tWUhvrULUj4T2JOkdNn9d/ebpTBsAy7lWg +xDqr3NXFS8tDu92kLiHAL6x94L0H0eNPW+llkx4pkk7xmJmQ8kBDgGZYAtFcTmeF +kuySrwcwwBA7EFeAqOzjoqLW9yRdsAzp+lHkqZNwiIY6usKeBLCvLfUymvGkaxW8 +E6+XuTjvA41OUTpQokfDltWYxCHe7PMbExJ3mQNxxjKc2hcXyrNRJWFR/8sOu4xd +fUaKGpVMyyTgY4v/o22dHxQEFykjLR9gui1BTF7jN8LKRBIRoOeQ9g13dKrfxxvW +yqqPsTc/CSlnFqQ8sXct8lUUvBHmDktLJcG8NRIcab+iSL0WSSp2HaqH+LtUTSe9 +pZzgvAaC3jDGfA5cYEexcI6w8k7kiCXv88duGGIiIsYo+m3ngah6/0IoXLAz+ea6 +TZk0taLwCnZ2ASmuv+pD0xr7ztTV5VLMa7RV7EIIyS0crpiTT4epAAxMMWAy43yB +c//MIZNpWAZc2mOYMsCuw1kBFUkSV12EsJJpH/ZzzsJJ49aNquTR8lK9kk2mNOSa +rZ20XgMZd2bPaAHJysUG3v+BmroX3XkpiXhF0jDYyRG6NenBQ7gAj/wRwX1ZF7Sz +WJp3k0K13o0jyoS7WEofs5Dfl0aXUNhy3U+lKOBNq/vIg8tQQ8aJ+Fznm9DmebGV +jeHXUfnJrVvaWtJC+aGOu7MzqIkTOTOZDs9q2wEhrc2YMxGecUNmN68uIoaQfyqY +n0cKah1LKoQ7euB7FvaVzWjmgY+NWmeLErJNy7B3E4CNzO6yONy3sjOVhsQSFlgO +CBTKYDQPo4cFFtdJnMwkKcadcT1eswha7du5j9xz07VS+i67aBWPcMZ/nVFLq0U9 ++Bz2ere5DwQCUy8USsXZKdEws4I/n2TfI9TmsXxZfOqLvKj0E1D+ylnk3LpQUytu +buEpa/d+Je9AZfwvc51CO/IC3xcGqNm8Ucl2O5xdo4VckhMbKAbWR3x+UvyvMrE1 +MZPD1QrN38zXvwhNiVItl/7LsGTNtDwCQazubMEVyym3IC4gm3m0WHGuZ2kaPMvL +/8st/bXYATrpU0rePWJ18sAKrumJiNqJpPq0C0QRwRuYrcd5FekFBKLFYhcQMphO +I/Ih3ODiS38W7D+C4Agei3I52ZvNERNTtrr9PG+oB+oD8OG18x3SitqHdt+dR07v +LRHp/E12NEEl2fhXlFttRqB4E3a7dDPgcoU8uHqgKuYnJaCYVOByF/eNmJMggiPf +ii9Xz8S/ds+2k0QJbSccXwXQNQL5sPrM7WcfpAUhRga1EHaAgHOPPnHkCmERcNUb +TqCTDpREJapDiO3suX/YqUfBGYaVrI4qDyqnj04aU0pnnV67KGuxuMdbCpjrx9Jd +/NlRB/1q2m73NtSa+Y8UJNl7gPWawF6xDIISGcFo4GZlAauOBDYIq/jQm8u2g8vI +6kWZTB2MFuzqhu+FZCA3LFX6YhHdOhSpA0gm4aay+zmWmKNx9dXfuUzXjHWgj6fM +ISq57QCdwPIK9EpvhPqPv98gcnbudTqzRX9Ezj1Qe7HbSLjo6bV99yr/6zYHnt7V +y8Uxlsi8njGNmF8Zn9E+OTeX4j3rgVO/hLWDLSfiNGVbes9avvBlmQ3EYOEjlBat +dJd2cD9+VptzWDsGbg6EA6GqhFWaryptarndR0ps+uuL+2LYyZ5JVcoASAoiKNDF +F2H0oY1XAzQl5WplmV+eHiZRMjtiTunsEZ79MPN0FYwSwo283yxff+DKmITPN2PF +LXQhbjD/xAfPk4mFGshqt6tubs3WJMjrjpJQsWV2IqjG9jWjkoIwcmqX5ZR3J43N +XX9rBbrK/w+EAcGpvFg6NR/tpxDPZvSYmM/Zsz9OwFUUIP/WfMQYMQab2Petdlzg +/QclH//qUD/WLu74KpzyML2pZ3d6GzZuV5cHqFAaUvoe+Hmaq1TUeJ/tQtaqEYt8 +h521aUDsi1KaVxQlPeyo81U/RizkDkEnDWnHHA+3wkjqBj2Mwkc/8qL7A53lXt1V +Bx0Z/v9+hMwADzcp3bnI3hRvKbK+Ffeg5q6NV9wUaIZOAeTJa1+UXGHLD0au54ij +WJXPNfKfU8FGg929kF1QX/jvdIMAys2u4woTJ6snTSkLZk29U7XDV+Z3b8eo1/FC +rTRcn6QCAPhFKfA+0DLV3XxAcRwnHcSta0vpmNFtKuULAeetni6TQbnlyBR+7Muu +m9qcT3WzPTkFRGvrhg1AfjuLBJkfnhgP+Ha7RQ2gTmFNdXAODL8+JjCKN+ZEk/IM +XWxQqS89WE8S2BQEOQTt2WQWhLIOItq0p/vrP0omcmBqBDVA7DqPDcuGAAcvx2on +qCJv/kDlcnJQP1HzDUxcv9Ja4POOzeExr2QXFCTJUShm24UMIS7gH3DHfGXWy1+1 +wbWZiNAxPolp7a9MoKKMNcjO/q6hUfVx1t1540tZV2xz7vjqHh0DO++rkSngE3Q/ +c6Qc1fWhuOHF/MtnqjztNKIT4xC8F1oyc8/ErlpGhaAcKWAy+ChU/eX1D5JKMU0U +trBwCj6f1wsGlKxawF+1M3BIsgf99PGXBv+MpgDKX74SyhksToSp4KGLkeT2msFL +6l8Z5RNzqBjV9ARBNEY9fGKiwbLTeUyETKlTrbfnjlSZlAWurbVmOzn5B0yaWDnO +iLGIln3dDZF5MJrNFxuZxYIJ5pTsrP4tNJ9sBp1UBNRwXY9pVSrNiQk1TVCzLldu +9FUItou0FgmGyYfahUuJjEYC5nnRdZGwSMQ+L7L8SPuLT9cYKJudPpe4WLwqfaHx +hF1fAy2RbYA1cEO9RvcYRKgXRG2o861dupIyEaAN78LGajojI9XiC8FB+b2fz2jo +UlcBSBHXrgJkyf1GfybuXeo5hJm92yHXT29IME8nT57W5aNaG4tGmg0KmDIyHf7W +M2I3VBlbLGC6mq+6zoK67je+A65hL5yj7oPTPrItRbX38O3VAyasCuvfMemH3JxA +Mgx8ewPVrfxCVxOA3oGbgIAWAew+fg7dsNrCqOVOv2bMiOeZ00K8yYtxu9Whwncr +LFiN3cA/JRU0yz9mOciR9VU44mzrvErTfUAw0UsMYz+V93OP5r0EJWm6kTRVYkS2 +AXKzCHlYyLsAhwD8kaFJXeK09FpXZcNY/tbwYJr5+mWkyyVVhcLoJMJOeTVYnncR +ni0LndW0ZLjnmopBv0fUFNNg34A0pmM0vYVsJxiE5CgqIXa1JaqNGaJrDpXzpiTl +E6qvUuFrtja7dA8JABT8Bla4yubq/6useXfAVJxE11hC+j2Hq2NAKUHg2hiLBPy3 +Q8atGmQ8AisZ25/Jig9Bn27rEzEvO70fmpK3cdqY4eVdxrouxL41jhoxs+8fcHaZ +PoSFKehYIo3Do3yZ4dwxlhFOMbKSUusTef7YNrQxdnhMcfZwQALSyqV+p8ECmMuI +myhPHk6GH6SkJYfXlo7Lx4IMhBlNOKadLrw55SRRGgPy7Bt2GXk/D0A5QGSgNtqR +jWJTiOAP4lhyj+vFme+2vD8bjPZvm8NFyOPLjUURL1xBjeGXW92BvXjSK86I41i4 +8Jl+hWmQpE+ObJV4YnLndDnFfI5BwfjtCMOtQCxle8MlUwrgwKEmj9BOlkfA/73U +XCozONWdzGpoFC8pYrWRE4FMETCvJ8z1ZMmg1R+QyAzu6s0fkD27YWkx4wOYPFRT +jaRB7e1Q36ATTkdgXOJTBQbTKCsBeGbhUIqYFHIyNprkExfhjXxktYa7Mg0ZUGv6 +uZeVihvIH8w9HJgeHtiIyP3GXvCtIC2B5LtVM3XznRM/vmKSODwiverWFGp7qlax +LCq4wEJSBkcfN3Ig1yxHx2EPAeoXzQc0YWxzAivJpw5bkZ3zNVwEGwm8WiqpxmAz +rG2tLLSPt6N3Gz1rFvjIjD9cduu33hWqv9ikbew1sKeLH1XwavRWqsIXFbUwFZKi +X405r+U4bwatgvQ4jNIrJSd8WWzOMCcosg5Bg/llMmzbj06D/02ORS2WbKT8oERI +dzoSLtbxXTc8lxagT7k1LtzxbwesVDGWUJSvh2top5qoLd9kVd8lxlODiGEG2Ctm +69bOHwXRVgIXmOeGnivJxg2oUqLckQNGhzk+FdNDRW4Gr0hoP+UMQotC7wql1np4 +gyiw51Iag7F+SRyKxG0o+wIucfUO/nlNffBWcPjkgMojYlnHELtb8uAWzBo3IpCd +nO0hG+hHYl6WXXLLgLcWYdDSd75x3p+T/47kE4UuHS2AjUnsA5ZsnsClgnrGHRYM +6OuS72+50c3chxDqzZAQ2qy1hlkoCiL6fzgsCZb2K08kIwiFf3ZpR0d6aAPXBmWj +8oEMAnrUvTZ6jvvyZ04XDK2QzNTHykl/QkHfRi1y/4ethfBAR7Y3fSobYp2jsYad +riwIDhwhGpzXiD3Zm9NiDh2gkZgyc2Keu+ViflswpnmlbpenKe/wVzXsEEy2bSX+ +aJJN9IlzczIVtluqZvSi2d3SkSuyYgww2ZuBrrUUZH8DksL4xspl5X9D9il6RCby +QwJQ7xaW44ztBLGX9hnuGgJenoPccBhaS1ONwjLRc2LcdN3I1w2Gvvxx0ZiMTGTc +GltDr4gMK/h0PR+WwSQEV96020C2cpUfBzp8A9ttTw91F/ZSqKFJa/BMBhnesu1/ +LyPqevgznZoOvIGGXZiRQejN4aZLh8A59haZ/nng/MscRviLIk1qI81IYbAmFhVN +VajcqU8qg5TJOa9pfmGVsQCZzv7KmUeL+3DUozTI0usTfu5P5h8+eR/8f7LhBJoA +JCZyIiYBc2N/7K0sk0iiMZCqx8SRWMZ0oAoxadv1O+eeNJQN0ysWF8RIaejl+ur8 +5JYY4CBE2cm43di0KQaMmK3NqWwxW9SPu/ra0yLLLKdtlFu+3r62GKAEBSuaBx7L +PwzD4F4J1vVVYfD3h+Hke/WaIfah+ituQKTrH+ymm9YNU4oZ8rWkPZc9jOJl/wNz +MqUzC73mv+K9sbHg/NSu18sp0xG2E3SUJlBBTFtj5vIqgQUaPQ78YLLtgOEHiZLd +f8U5x0le+5eFEyGKRmuHiexqDJjkGGzsVLlIcecIUv5vQB2Cz+KsatGQSlSf9Cbo +xywmSMQet7U0h+gXCCQUfr5I23U8CsAUIsLHaHdm1YeuQEa0Z6mkla5TszRckep8 +wwWrD40I3mnNyozcUd0EEKxZxfKgv20A6H7OSDPGL7Fm62/v3o41fMoTJ4youbwL +uM1StGHMthcF1Hr9dXPsEB+66600y8C2vS/uQHdM7uzChuW59Q04Alj80oiR4FvZ +C+oqq386boxx5b61LxgnSCcetGY2xtwba+6yflvPiTtfikb4G1SMyKd/WDVDVbAT +Txo8depnQPGJmPrxY7poCpFZYQO2Tj81gMfI+kiIKRil2JaAYGg2U43bTOZB0J8a +chKa5heMySsBklKA5HtBu+bxzY7ZcPb8gmlbUzv3a26IQB+HH3IgTlpYleVrurLH +xOje06QDCCgekI7w+bgG8tk6bBLCbkpy3yLe2lKwDXjd/++lvNSa4PrRq2E2ammJ +GYgdw5QJjH79AiYFakS+0nHEdDyg/tK7ua9rk1zi2wybU6eFKImmVFurGQOd7zxF +2vEikcGUWJ1lWoPQQRzLzse+hua00WdpBOvui5SF39STH8qqOi9r+6ISnZAnnQxT +K7nuI+rDshp/rtcpZnD2FaY5wPcAkixSU4j/WJrNsEHpGjuuUIAGYXJFbfIWWfjF +hfXXPOwapKlYq08G2OV3S9YuAJx7l7TATYNBQT164N4VAZMfYmzrYHkCfgdbk3Pe +vQJCHcQ3z8fX5u3CLnz7KwRsZpunZFL7XAgqMJCaPFLW96PIrKgqlIMqo/NsXbZi +MN8qMoSJPkuuTnZeG0Z52/h5ZZPZkyzHMbaO8WmUSEK3ckQL9lcPoTOxvNGz4yZD +9IYSNwjj5MsOXSTwXlLLnfE9faelbWBh35aUJ3JlTefQgmy1b//50+8eB18rmE/F +pxScYve6KcIEiPRWOqmvQwvAMdmhjxcriwibcefQgK7wcI6bMZPnM76jdK0GsWiA +rGN/q5AywFxySBopC3kW+2u4kKRhH9Wnsy/230T20XbkLmlqhpDiEZKwKomGmo9Z +O580MdrIGv5g7Fyf7ubCe1IOPpaddSlaodDc/kFLwqbyAShud/DmHFWWRcNVDUIW +QVfH0sA3FRVbXtLKhX5Ln/BbsW9QsRoMckGT2lKkpUSm/BbgrN5FcovFOWhpR+yK +r4Z6Z6Phan4BizrrjohizaTgOKQTSCtNecN7oamOAkUu8+jFbLiVhweX0I4/fmkJ +KHClwZAf7i78uL6x/IMd7cWCEo8Ut0UYefexPaIx8I7iDw25eq8I4r5WxpzIlvq4 +T3gt4ATO2g8UEC2F32VD2iZoRbJqCfGEC5naQ7pGreDHfqZ0mCf5duDBT9AGUe+5 +Pyt7E8EopQuQJUZ2NsQUrAx0KHf3UgSe4n3ZptIGAn48qYtmGb771w6RBYwFN2Xe +42OAZOUEOyamI3tqr65yjkb1ldDw/YtETv+W0+dbS8PF5b4iP/0pmALcxxkliLTx +USSXYKVSVkEHf/20qQrotf8Ic7VW2YKKGIVmosKJ6cJ+Jfows24kra4ABv7SaY5s +jEwJOWjJGUP4SbpiqWSCiZf988/JSWRDVwxq2a6xGmCA0/RWa+Tz4E3nnJDz+ePN +QDbUFDeDq2GfIhyoPnbNhW64nzvF+WB2DuQ+u2Zgn2/lgunTo1MfneBoD/G97YhH +kdGc2SoSgmHZzrNlvX/G6Mdlo/jKI57jK+4qqKMzZrSErn9HSoq3WBAUb4Sfdwi7 +ot1mynF3kG/cUZS3h6tfuXXWw5Ao0Emm6tkD6TPtKs085MdSOaK79qLmHHuLo26D +WFSw1iRO3bjQ/RYdP+WE2gsb1A3S3bpm3Z47ZhdZeMZ9//Gq/tJDBbSBJGJR6r9l +wGWtVhbzPPQNAh6QDL7u+jfVvxol5UnEhtQnx8bH8s+7YmiK2zgWDGpTls9jIqiX +izih4f9ZNQ+/jZ6kNzp+Oop1JMR+r7G06Bod0TaniPAo8PP2Fo1SmKS18rzTzQa0 +O/UNvjjb02nZ9soMy5ZmKw5y6uVsQTLhQ7kAYTCZCThHhOIyM4cKdXa+dB03NPLV +cKdJeggafU2N6MwuQ5tR3etdAnDdnLeYEYdoGB7hRX11fxV+G7BdXMknPErYvlOU +3LMOtq04DOx/fI/ZkXNX33ohSW3hgWp2+V20JTp3M9S0tr2iRHMMGUgbSPpT8L7S +gi/ywOFwihiXByDFbcpHWKzIvjDTadROED0308CzcIhYLmoiUWIZPZgdrQpTyvn4 +qFOUJSGWG1/EhIShS/Q20BLVPJVWJP4Z4WHHjTAvZj6px35KetIyryV6Ji8MFZ1b ++oqOfoDOS7m5ZuxYf9yo5nT7xy3CYj7ZSNea7Qt5HIn1xJZVqGIEVKrSuznIJgZW +TuI43h/JE0ssc/Vr2gd9mYBJPWWNs4pdGSXtLkdKOlJ31hwpsJ4KarfFloC13EIP +mdYMdoVSB2c6PYJdSF50E0q+vY3RJ2k5vP29+lBJ+5PRxQ29u3LncimMWVezeaB5 +8RIsG7ReNStRO9nvQsbiry5x0ccIYDOfAN8im8upXkaURLWG9xaKpH50xW85Lyf+ +XWQdi+HTD/1vSLaU1VgxTD27dbWothaA7j36IpHoaP07DtT3rAhV4vi7WbFHuJUH +e4sOOvFj3xAjSMiMxs2e9giUX9YNTEsIwkGXHMRWIt0hyLgJWG457zIm9UtKNFf4 +I8CqTreFlsAMr6Zv3qmiUdw0ojycogvqq4f5ywSMWSmDRNoGNFqdVKeL1lVJuL5C +0UNN4U7c2nso39yW+5NglrwGcsJthkyOmbXptKPIyimqwroD//FFVhMr95b7OEqN +wup31+DogXr6csiqB+wdEeiERfy9KN+etnN9dTgh/Ho542wP6BGQyt6uTM1vz/tG +XxEiJNj3BUMnZw3LnOFhvBH1kCHMw24+B9MuS8AKrp7vlEFx2KKY0jWESXyya106 +NhQbBvMf39+boX87P2MCo/+6iX5GwgCyz+EinOqZkedsc/D0+3jg8FHjzEnHJyef +Q6dGmd/lE7uE937Yx5450Dj3jZagzjxRtuS4fcwI9K/xdoawJmSmjgXKasuZWgnn +2xC9LLG9DsOAOsw0ymIqYvHALoN9ttig56HBGRVGpSziZSS5GLJM+49PQkg6gXIA +OEh7WU0xfVouPKRnT6IMPCXUKsoHlg6DC2KcWlpkYrqjCltiPT95TKxcXmSpb3pj +IWxaa4+IhX5kdFfcZdHd7l7ixGiL3VtfktWjuU+La3XcaoYYYyW+WKjuLEXcJaIb +v/kLxk0YRtPvethfCXO0hizOrmkvQWK+w+c7bchqpqN0bJditRj0Ti/SLsRob0gQ +IA/6yWMat+s9G3tiPhr28xk36FkhwRQs43xHvCpWqJ0i+ytjLmmMT56ISJpWbQYc +vNyOk7iy7MP54o4UKPcvXweVfdlDjnDal5nWzfd6608D+drfq3ng4eVmfNN8WHKT +1AdHoLrvrS849ZlCcxxPd7OWSsnSE98LL8eSCjP0pyDu+QZ5TqlkXHt98eZYinF4 +MSrjJ3QLeJ4hpn2nO33TJKKiB37TJ64Ijkcjnme0Amc8wXMzYfQ2UB8WgY9REfhh +KOnz4ZcViWTuDbrKEWa0ffDwp19BHGUCZOV6nmcEa/0Jrczwby1+bCqkZQ1B7mfR +BE1gkdI2DUakpUjp4cxjVtcL/RJ45A1LBFr65eBldJkDjh1/ztKecRa9G7Z76TpX +pT8axmQ0UwXChsVaP7W1l9B6rybRUijQW5NgjtfAvIUw/VR9XikIACN1wdLdzGqa +1GFYha3iOrMohpLfqvVh/hVjgLhTuMvqi4V/Er4NyJyy+y6ql0dBZqVCLCGxxZpx +6vlGqv2L4NGp2xM4dNxfZ3FvRdj6D3ckh3VAFXGOLh075n+DTmtKh8/z3PUQUN6A +rUoeNkRjGAmevA353p+Xz3aZDVmCZhqMvhoLCnSvcVlncY47me3ZjnDH4e4hhv4i +LLjYBzyC8qVtAcyBqTyzOZDdzGtahMT+mL4J/W75l0Kd+WT75gz9WIYpUt0DHc3D +0QnqsayiZTW7yVyXguvANXH9Ei5xC+9B8fTENQNW1lhzIlvzFbQFANnp1s3eLRr1 +Jud4e0ukJZF6XeNG1/69KICekD6KbgY4r8bYD5n6Y6Ud52tfsmuIi4l41mmQoxkf +ic+oNb+zASY7K/XzqiqjMNf5zdk6IYlJ6h41+OR3vKf/OIT4XwupbviS7ujYLYMg +tQzxaIyDCNe9ML1ed+vaFAVhpCpvIbvyei+NChFBPHfd4zyrlKiPFVYQS+sgqG9v +uA8rumx2BVL8vu7oV1rFJCMh0lQEYp87g70T5caSYDxF2qj2rc3pedqy7XBvshdP +P6lxGGJfXX8SMspcXPs99Tb86+KFIgUwRwXrRG2AGq0luj1lRX0xJ4VJEtkETe4a +/M+Xif5B3cRcMGcGq84LE5QHyS2uWoPSjObfKFDS+QPnRjiICeGiZdJjL0wFmbvo +FIEsrtlG927hQrwJcopBbEcqkD9onw6ClNQc0pLKAk60j34iyqaS1lscYhspvqVw +rc471i56qYWAg8Rr8R0wxD5+hnxzF64PXDNeAs7+BSHtsoPjJKtfShWv8uynusOq +Ah1wtTR1GgjLkJkWUREicHqv42gz3rg7yOCsyKUkyicLPi7PVj+O/dlBt2waBIud +oh1NvL3XUTQOAVRDBOSB/xII8hEW4e7dd03xS+hbPbPs2qmLxC/Fmi410McPJtum +IT2mYnr00H84l3ZqMLgTocjAVn1jDwUNRKBd7DRT1i++WIcRPXBODWmiZDvUry2b +s37Lsb+FdV072dT1tSlhfk2bPfkOXJjGf9W9KSYoHrD/ERiUM2zwaCIkBjDtEgL3 +aR4e4uyPkXLxSwUqjJbkhc7leWgrcXUqI0brFODm9joX3MOsEiU2XXQnJoRIIpz0 +fyjLDzy3rgoXdtgq4QIUFxI/KbN+TgWAL7kSpXaXZUJDRdcDhTUe4s5aaK8Pxe0D +EY4Nc6+W/Xaq912PEiyz5Uh03tWcwkf82nJZwWnH6vBuxyt0YUZABvfhNpNWDw5M +LZdrjIfYowIICdsL2JbMhIQHIwUNL6f2+Tk5YPfYNrhxe2fJB1Kc46pLMurLpSOU +wmeGu33jYnrlKT/gY0wRRm7zgtek+FvbUToGRXgvXj5xhJoP2pORT8wHttAwRo82 +W+P1SYfe1MPyxX8zucEoDqPCbmZRhPrgCKuNFRlQvbfjA0Km4zLcTsRB25h7/7jf +cpNIz4gsreeGQNKwszNTbYXYfI4xvzpcFpNc18IyMHah3g10J86+YNWVXbvf3JEu +gDG9UyKwM9ykEEdVtiTTr6jH1qVAvsB+J96/cWR/CLzXic/MM3WTRFOQb6JIV66j +hX8OU1TD2rnsjp7Ppa38tzgkT6YOmJ6ukVgDqZWvpgQ1zfGi2kMLwFY82HPhiJ/z +X5cbBFTu9KDlu+8tdiT/CjjI/k9JKOF4rFfP0PPuSE/HLkeB77mJ8QYEgRzBJZ9i +W9QF8eEP6jTma82rKLh6J8Q470jCUJr/8FRhzqpFd4Bpg+URJHOTZjewV6q9b4DA +Y1LMKviCIVOzhfUAjKms9LZs2jiLSIsh282iasPqnabAugPWgOIzM47p8R6yCakU +qUVVI7boA/SJSm54X7++n+KyJbWG+TfL7dMW2vI1UpZLpXXYZhD1p2mLCoRbzqp8 +v50433mCi9fPbkFFJKsXY1R4WvxiWNE3+AZgHe1s+FQkJSGb+NYeIy0mY1SHb4A4 +xaZX+cY6sgeZx2LeHcfEexbqT0uAZl7vV5VD6HVODdcUJ3uiZFXDFAWCiUfu2BhI +hp4X/lujp4qmmb1MobvaZs5IuEDFWkVK4ZBtWBbpQAd9t3vx04jrXQdAVI7zb3Df +Rvq4ByXklgim6lvW99VCZjgpQNJ4Pd9Y6GYZniNTN7YyBXDWZhq+Vk3OdO2rG7g2 +Zgy/dHBywKzlIiK1Uu5vRQZt4Ejx1/xIbJLUvg+bUdm+ldkUOzVA/hgBNzUn5Q/8 +fJWvtdb8If16yuoLyRJLXITj7XnfzSX7PkppULW2oTPSEErXi/nCHVw2wOOTIMSu +M/7UIC6VU0+K5su4Dkes/hd/cXmE/ED4jZEQCnfMAAuwcopeplYuDdFrxGkSgxDU +9gJqWDirLdvzeykr2lZHM5NVOUkOQuYhsQT5smYKSr11UjGIgOO29gIBVQdiSj/V +QrTN4vzsYLpqNG3Z/1ZD/ibzMT0TsaxXzU3NmQ/ZcRThL6HtPFo+rTiVhf95oCnb +lvebPyE17KhLHchRO1PESdeRN24puG038UYhxJnmqtb5SqFfjWPOEw/n5QRYjl2S +OWdaAEJWPnkHvWNKXqnGQaX1vDZ0A9jX4aaEoL2DgVb2ULnz79ON2JVwxxIZ8Htk +wpC4YTDqYJlIuVgKLcwvzKdHkoSeNa5e0OZMuASz3Ut6GGzeX4RLtbuC0z230eS7 +/O2nogqxbpxuVqxvmCR+CGL90Qw6LlCO2cj9ShLb20/DhxcHekkKcskqQsPgVheo +z0ypnDDaJndl+bA1vJMZi3ahAo7W+ZzPH0+s6iWws5LzGP4KnEzplXLjV4dzYxxC +8LX2PnisdA7QQBEJmtR69mXXHTg9QDdfmzR26sENb7jo58kWDjmL0xrBKAH6QLgW +5btL+bv4ENk7OlQScA4nKTuVycnTuDX1sdzt6Q/7nioiPO7akfwU5QlFZSG4KqyQ +02OZJlCld5dHUwrCV1uVNGDBkQEOm6AvWwpH0OK8xVBjlxKX8GQ3NO4qqFuFP7Lk +VSAdTJttlQcv3kp7zIzuBRb7sCeIIn3+g/CPZ0I3KeCoD6TU1OyqSAFUTgjTZkAQ +MiZjvl/IpgR/EecC2bwp8gHRpeM0EXEIa1MY7/fvuDzKycKqSsw3JTeA5ca9zVfs +mfzgcofbIwJmFU5X5zqtVdumBnkupP2JDAfV3WrbBYjIkVlhUNI3rioqrj4kKJ99 +xSOXtbnAka7IiBvYf42ZQdz77u/oHKYctYOO6kc59ZoDtwxLofYt7dklOw+IhdPr +kZTuWKG9rT9hT+dFLatlcXmX4QSGb5gKdnB7Lk/R8eHh1J+xRSVjtvRFEwNy7Uss +vUcRSEKn4OLG16EkVOIYt52m5WjfVDpMXsCMXRFYJyBlTXSQgEIW3+wBwjDBg+7A +zTeOYULJY1rwnvsuPoonSo0S65MZF8XHWB8q+OYsh41sO88Rcmq67dFsvHS3yYGG ++NIs5UsaWsUl2grJo8VZOA5gGjuGhnklNdwoaM+cDDzX3W81651r4f68xVmzDwb9 +pqOBfOabycud3d/pg0eA32ByPLZgUVIb5Ch/kYC16em7QZxuiFXqv/GpTE/XS4b2 +hG+gYj5ticYelZ/QO68Svj/jwDMJHsMKsBG/vBOE4ggoflqjf0IXfVYvBeuasHEf +BHen79wh0u8KNFYf9ZgfgEMgAgArIvFWEk5YMOEFbristL663lemtfGSwJKVtRQ3 +Ql0VWZ545UNqix1NvKAS2XEJgUFcL/sYlHGZRoKzciR0tIFE7qVSHH0f+yywLHMx +fXTqj0EXlMkenE0av/YomhL5tGW+KT4q4EFPX7UvynOCx7+VL45lAj4gAsc16r3M +/d/AzDRnlkDvPEqA2LfzDlDem70hUnKPp1uA/o/Oe/x09qOpl/qpY407OFjGCQC9 +d4gAYTeyDdPtfru5kxex+A98NzScfiiR+PkXGcEkDsQ7EgpbUxF8QCeqSKQZuV4i +5KSnTLhiGhCtYqPGwqlgHCutE5yZzUJo809jOSry4NKOvU7fkhjusRYLpQR90jAs +LNVqdqWSr6JQYXSrb+UnPQoyNnUswEgXq4sP1MKb52/v4li8pVwkz9IjJudXeqk+ +/rV+IWgeJbOikrR21bfo4B+sa0J2V05/tAfO+aAIB7MKUNQZ0iTG1PNfGDgWatAy +UMQxmFjfJIfkUbiG+7OkVZeuZ/oVv4CxcoCd2Uu9+AZMz47SfQnjvB0LOTdenmmq +AB7h8g5PGzaD4fHpYPi0wm0NR2g3skhijvUyAg2JIJArMYNU/vpkLUXpGp3EFwgy +cr8Vv3orSnDsXP8f08EDYc9xFnG7xH1lS33UFjCZT/qRJ5cV9oLE7KflRavm3lQm +9WD9ETHG0z4cExnKPAsQTBzRV18cmvrdRA1GR/h/NZn8G+GfrTf/Nq4uRXsp+a4Y +ss9mdwE7Q3MwohkWyH4L7YzfucFf7C0IxsrhyRLIpidYge96M07944oELrE4a1IM +GvuULvBseqCcfKTSvcC8E4M0O55uu782mUES64tNUQ5zaVp0qyHTzSR+DOcrahcy +wo7IklJdV4aEzFnEQAjVvlKZiGNbHzDIKt7+zZ73ZrUh+raEde9Y3GZFvGfA2N6g +qJGUZ4Wa8ib+2ADliOhpEf2oaTCA+9Mp4s6fUhcsKYuy7q6QEdkNsEpJxhq7mEUM +aDR491bAhatDwIlRjcwYCAVOtJE0+Wb8eZ22xYtN6fDYjyOxhg/8fvBK5uzy+HDI +bSx85pdyclfMdVb9e6vXpGQTvFP01F49h8GeE72us+fUSqNyMijQw+WSV2ljX0RE +d7vdELUNJe6/RuKy6MLkgGVHlX4p8htMMnnqP7jGhVlwMXxf3ivB+Z9vVm1Zhs/t +/2YH7t3Umj3/I4xoVrztR8pOwZOrTWF4GRiWBKT9vFPvNeVh882FGLkN/7eg65V1 +o+7ksVVUtR1dDffDQdKiJ7E5tuGzOInLcXI7Y1qPXOtLym6p52EReGm8mo3fV7Ah +XFabLxaA/OCD/51TfxKCz9+qZX1JGgca8BO+s4Gf0KQfugSiu4ACX4sXD7pikF+X +V24g0V4kUSu7b2/E3atfoKGDij/DuZ10wQWQoKinscVJfGyDadnZ8MMW+IhSwpbn +OprDOtiRgIl1ZDkrxKLelYDd3wfpCe5hPWIibg+GTSUwvKuMZQkLTAgqIClJbJY1 +LcPXppW95fkZL9BcJrzCncpQo3uP664bUVT+/yTdln/hk0HT8Yet4hCxqsZp8wSI +PylJwzc7rAlMPLeXuqddW11N2msM0YQoWX7txESV787nQ9StW+MwHbMmSfsh86bq +enEeUEsmlzFvzHPUkw8Bh7GOmwaAEIYsuQtl1Ijj3dQge0D5EetZtnzdopZy7E2c +UJ4lIMpb07TIHeJ5Uq/u4vVHVc2eQB01funmYbOobcIKt6OoZIhnlDKyKBis7ujX +zOfaP3k+Ly5gT8HVSEkflHkyUAwTU50J4swFLq5HBJP/cqAW43aQAYsNGgI9Yy4e +TtJ4LfBuI97yEOR/T8vzNyMXeIhGj307BJ5mHngi03BaVB/kNYGZ0w3jO7/1QG+b +ehFlWEXfJAeFcaVf6OEnTBQMfE2TCa0frJVGHYSfMj2i5NhU06O8f6i17WnBlJB4 +TtBYLHBhf+O9xjPkQe83a9+K7ECT0sCagiFHC5Ef7MjJy3/2I7Ndn2fTLxdSsJjN +vNyyMwDAuaCgV+q0QDAEA2IV8o0l5o4rlWZ2e//AdAqf4p06XoPT0uy1w7sxs3J6 ++3wDAfwvQXNhMOkuKJNRU40W8fLGUZHXpOErCmJxNFeSN0Gp/hU2JTff76CxARb9 +4nrspH69OXTo/H4/05nhVxdBAY1pBZNvxLHjxy/F8wJeOlCWxrBMSMY3vwAdgwVs +4wSu0jDYIEZL9YK2oNXs/7nirBpX4lvUyVqqTSI78w8tF+HWsyuwk0I85Wa/rnoF +JhuyvEFYA3cevNEWgP9grSZDXveHdT8bCCZSNF+GobP9kx1c26ke9FcfFUh4g5Dd +xk5wZqjO+sJnyqEw2sce0XuR8lEfus8DDZOiyaX1qt2v+Kffk4rzOTIm/2MZuD8k +ZxT2L5x0nJ4ykKKdWoZIFJpUhw+L4TegXRekeNYDTW7lyM5mFs+zlX/BtDWFROTs +qYbu8PdNR7h5TSeKFBpjUyjJmi3HQh4sta4u9YpBwaGtE2dXVSE7Ahz1bEywj480 +9VVVpRniriy7sZq4GGbc+CWiGXKjGfQ0WLcVf8bCG2Csfhv2J60lMHbU6BSOPlyQ +nZGKlyatCZdv/AsIc6scLwi1v9HgkogjlP4RhQ7cTuWNYFVjwEA3WLn8tREewBDp +u4u2kUN+N9fsigk/o7LbNLvnNd18XFQepXUXA5xXLqFstw9CcmQm8m9kRvZRMRzG +VeMc2VhRRkrpDtOstpGcQxwlvpOC9Zh/S31cLv937R04rUjv/tTAE/LsgKUimSKk +2YCMAGFIpp39ZrqZot5C6rqAhrKwyKmPmp6+YLmSSCfuhU14gDL2gJMq+833XfaB +EJRX6SVb+mp0iQLpcc3aYOWr0DmyQDT++gGFpSiTs3F/fx9GosR84ZQ6kVCQNPhF +iUMWUZq/4fjZD+NPwUlN1BDCY7J6DBgSv8FRSTbXgDYhXBNAs17TeYeeFlALY1kL +Lf8g0Ke1+SteeRcjQ3w2z6hu1QhXmjLOwFm3tpiP3zQIIOAJyXxfs2JTgCAT3+N8 +4iJUMShSjOIDWrueP+4+jE2Af0tIzWeC11YcOuWXoONfUE9OyzYAlkjXv4zFBiHc +InzE7aIBUDkQsboi1L+UR03p+3FeN22/BYkX4/fRx4XE4uSILZqiu4yrRqGzj8lF +4LzRGH/u7xqeYf1i2avSmNgVahhWqjYa5oXF3TS2eXcMNHziXB5v6KMo2HOwwmu9 +v77ivcOG+dspD+DdRyz22PmK+ndKPv5emz+2QyKtMuD/cNWL3AgI20dWQPDLVHY2 +CadRBVphmbDpQfZubbWHo/PQodC+KpKs5c4nGRBdGNxN+kSP3z7tTBFovu10ouL1 +sWW3pvDjcq62L1lKRZHn79aBj2u3jez5L+DZ2rDFF9tbSUl97wylF4oIrU2f3mci +XFEks83tJWUL29pdqki2e99MXpKrugAQykq88EgLP20cZiitdh94UTE/W3yxc9I+ +dg+mCymsZcqASd3WRFfGtRfCYyebg801Uf6YhlVJYhWGPL5tFEe49i/2ar+l5zqL +1s71TZ5I7OzjMEGAdpsgS3kmLsWdBfKkhURpi18Vh5lYRNCqGJmdUnTJ8t5AkQcy +uTnc/+QoaEnSbPqTKMiawr1E+rL8O516relQohBQnNgk/6tXMOl/StUwKj4iCZPk +B3Fc6TScIp+hC5fkHF3phalzl5B0brVu4FN+iIC9s+lIqHwK/Wx9wQpLE9g/kBdo +tYdL+maS19Ag6n12TLG+yXnfzzrbhYIDyvAWL10u6jBw7O0Hsqn6HBz7NeXSKher +q4jsXRBMRwD8AxIKfjDgAaTgnnaYb5wI7NjEZoc74m8nzPdijg2FmEIFQo50ROFs +WKMVoMl7wKCTKyG165ozIpUUlc6ZP2pJPSDPZRkbIzDrGQ2lkTmPUBCKDI5LVHqW +SP11m5xKM0RZWb/MnGvhnIgS5GiQdht56m3TAwJa++fCIY/CEDmQrkOOaQiC/tYv +1GMbHCMzolgFOCQiD2y0YIn7m4v+iRYD21FgLRrFO9a1Awp/uBoDshQl7Tpcf7dO +n1w7qMo2/zmBc1mxKApgJ8OkTxOMeLbjtDeGOZ1oM5XZNKjRBy3O186R50go2De2 +ufpwcw1wFbHbopt78z9q8ry7/FO0xurAUZQz/tMptGdN9ctHm69TZp5YNyHVypql +2TL3wQK9aq0P7WteAteFONFZU+tTrXpYHBCgo2g9MVe0x7aOo2YkWOrFuajQkXSa +nqop5kMdHjLdbTAfIJMcZpR3XZrK24Fd7YA+th+JPIEh+qiNR9yZTRxTqCESdR7J +nhQPpo7jiC0dDfTstTbIFTkKr/8RvyVe0dXh7/9yrfEl6zN3Y0Tv96mnePS1R2Vd +d/ShBHwtmaVcXltJztNbSbC4M2nZumswy38qMi3OfpEB7H1OWlgX573TreuW6Nwj +DGw4t3J39WNmAV2jjHN5Y1dlTsrd2tAzRL7jvEDoZJtXMQoBRNKpoy9setMqDL+D +atfLhSeOrChgUfpBX+Ne6urbY3cZovea+Pd3l+w0GL5f6/Gf99m0eo5r8I8wT9pD +GrwOOuR1AIRInFum7Ajij2gKpEkmBUjmPPhdkpCIuYocCY1KaRfWRrz4KOr43XMl ++le8yx8eDnn3mCKBpU9478iUfuUGeuCR/CO5/YQ29mc47HYtzetQjP23v+DBiutT +XjM3o3HWoim3QoTikO991Sk7DUhgEOI4SIUv7eb3xghg0EfuAAaHUegN05svv+Ko +ps6MCtM/w7QTwKJEIuVSn9oZ+DLDIyQuycJHVTV5mmWZGopFz2cju0jPT5ZBYmXy +lX95iBdC6gpFrSmI1Ytx+IH2iF3wDUD3nwllusAwgQxgTd5X2COKLkqFUHRB45Fy +5AafP5xUhMP+0xOhN732m4qxQddM6KL4Nez3UVsp9NiaDAwnjaGtYMQxFZyD3RLm +MLNz4c5bXZvjmO7h5YgkQo/K4PgpoHn9osmvtB38jIqJR7UektWlvxITKsVursWu +DQznCMAw2L+2mNQH8YF7Nd/0HbWYjrqd7ygyEbp7TUhuRxEgPFIWKJqQkhpl9/11 +fF2XrE6UqpDjXSM2C231cv6GLKItOebsIGWSUB06kbN9DfL1vAm8DqvqfB+G4+ie +1ZHa86S9IJcV2+XHexcZ+ADZ+B5ruNayWAD8whjfR1AvqDAiERcpnKw+9RNGFwTS +Xxm+DLqmmvyftMPbQaK575jLG1J8MHtn55cMZtKp0ywuYTrYsStcA1jQ/H1Ig0kA +kwUG/yZrgFeMK5eqFpAr0KQSqJw8ldT9b9/iZbMg4xYB418NsHnznsiUKpW4k/pi +SfFhfFEPcWFF1FGl0egS+lfuJA2EF581jCNdAYaAXdXLIJQB80bC9OTDIxp2nz8G +eZp/di4wvGqDR8MdgC6CmYTibp9aqyr9NgKwaf2S5IViEzvgN2SwQBZ6TO3wrCbj +RNLtGnyjvN7KD3eoOYBsKk9/YHZjiwzAJYOwtTcOAHzRpGDCL1szDkwUjOWEBLvS +BV622dL6h91Kr+FV9hywn7KPvGuXRTbDanU8NHnuLFo410IvoSYVliJNhOHzJzDG +dZK3OkQ/mitP93Jch7epc2pjBeqSAuT6JNboaGw+3tsJpE4kXQSFOsRwOXQwa8u9 +sEcki40yNwxYL+riTuEyMSn7LiCf38VIZ6NfeAb/vD33PWdXWtPxjpo63pCy4EDs +tDvRMi/s2ieM5qBAtXJS3nPQUQ4lmOYVlyih8uG9aqj5FXfcTtLoz3d6MLyRshbG +FLW3JTKhZNPRPW64T9WdKWD/psv+EGMEePnETVXvUK4AhbilbQKkoFf77CvezQ3d +Q2yl35/GNL+ZpmpXivRgmJodr208Sec3JHtbxkuBuLD4VZPQa+7NPVyFpal+ZjEo +ZWi85Gnrs+4gMkBxhIOQCI8Z3mTp0a1zIlU53JaQ7/ERIqwyi9a8opOsFFUUAxcR +4dmZ+RKrAHkWsxQfSmNqJFMXiY4KWBsqM4fiSjMPtDk5Jlq/jf9S1c8DFF7OjiAh +um4F9Akc8OOZ1ueYA0U0UZnrg7i357gEOjthersSZlSAuaQQFvLZdMLnHBeBL63+ +M2enwnZFYHsZG0k3/aZxbJa5GfVlQRwSlFADKcWrfqDTZjm85jV+jkq/FCNHOx4W +XHDQYKcuL0bdO+BHeLd5RuG5KJRlYvsOeixxiV9mZqzS1lHLexUdyfNoSjl80FV0 +7RHg2fBaEr2pazUXG1sfQ4EB4vHYG+BdCCY2Tfx9oJVcwj9j6kTihrpGEwqAONVX +PscaerMGHsBzywJBKHsMdAlmVG4nH+x0DUAv/HKlH+n3McoNUrnWnW6/8ZlHwvNB +t7CSNG2h84Jwk4ZxXys7LHmjr1eLCd9k/rDZK7kHSJsrqE25CAZ3mz8BiK47lI75 +lmUHVi+tDdJ6Nt8qEs1xt6ZPzW7B3jB7NAftrUNtDLbgh8EYPTDp5ip3Kgv/9Nl0 +tIWpH4z+y9P3omkKa+1l2CbIE0D4xTKwruvxgMX4da7uIoSgyhqiuwujARwWxPbN +GPecKC/03PpDKp7aVw6MsE8waF+jh4QVd4AYo939VCn3kdeIIXlyhlnfhIvb2Yqv +XsxbXBBEz0lSqohDDzAtKsjHiY5M27fJz6sl1wS9je1e0zYX/r04tnRXtLqSLrAu +JJ1y+xwL9ZviXMoxSCm0w725cjErYUlHg8yhdfsPTljOIAFPnIQ4Dqmi2DKLvgwj +CHPo/ST0jfQry1g2sa0//BZrS+pzGUH5HXhn3mvar9KfYwvM07VkdvHFvcIYteXK +nvgeAeHpZ50HO0I2UVAxOUgTQDm7nx5rWs5Aglvn3Ft7W9Oi2fYefQXovdEcpj2a +qCseBD6BrdwlNXK1s7gUwzX2q0nJaF9orgxPRvqWXtBojTrNpy2YDYJQb9ROfgkP +23c7h0AZW0vwJdqspi95xSLPG28T6iv+D8FyEY2bkgZ82wx+lF/jTfRUInAZO8IL +sAu/HE906P+OkjSnLwNqQkBBg+w0WWYodIY89/EjGS4ou2mUqJUP/JM2xGt7bJTK +fkqQqrupYSzX3QTw1lZxZbS0n+J6HiLM2lixfOP95a7wmR5zkjMxjh9QyA5iQsgv +7LcjzT0xZr3jmWRkMU99wL+EnjdRyT9i6x8dbWcAcU4QsP/aHvxZRIGMbfqJOB3u +aGZJ7cs+3qtrLQ4vkrYYBaT16k9aN5MU+wrOdmznavWy0HHkaUG2FoC3+R+kqHzF +PB9g3GR0EBSYO8CgqtxtVbIz1jnE0mKOpwgE4V0DEiKpFZzQvmA7a52RlgFLrsBu +CShWo0fIKqYrSYW+3RNqPCrO78rz5vGYm/j3XTokEtzSSFukPFDVoNcIVhl4+GkU +/Yizx2knVf0g+bJk7QPgt1Ztr2z05KY/lkF55fc7dP9NHgizj8E7br9l9omugkuJ +lSiwknnBtuPcy+8M3mBh54kJUKK14FZd8JzbyQ8KrBOwMqOIZB3USf9sj3LsVJAZ +gvwRKZfHSWgbFC6PIm3F+hnxMFL8u54uc4Dvm2+ZXbEIIh8uWBZA255juZYNMXDM +X5d4zrf09ZSkdsnjkorRMC5EPrY47LZhYKyF3v1D9YgqLLZM3RJWq4ZIsSeghILX +ffAiSIKovuDm2QGbhfHCQgWEuOHpR+oAGN6KYJer/fHeBscMCxHKtS2+521v4pJS +dFtRh99wpaaBRPuoYVU126NeM81u7xxnJ3+WybjH4UmEDZhx+PpWhrhHTHAY5v9z +5PWNXqPp0eLuJcmXQHHQdBErH8EDF11ocqnaLbs9lYVr4HKNjkcBHruwkClp9Ir6 +6NtgGi/Ig2W/W6mckyzAMRZxMm5WK7UvHbASt4uD69IH3f6SzIpax/Q5jzNtA3cG +KFGNxrFOWTPG2CQ0i6k3FCgbE6Zgxkb0HSbrGrtK0iU16PIH9BPgBDRKMUDJ4n7Y +XU9kn+tLbMc69HOXWtUv1HkWUd4fqrn66rBvfXrj/npx2lgwZ5iqo5ySuVFiFCyB +QyZ4Q0fW94VDHT8I9Ym+EgxBnBCUHcpeh0oaFpuzz3ldBxLkIWt76XG1vsWysIKK ++LsjfixtM/OoU0DvBC6mpdBl7/2nUDLnca/LjUjhxPW8DwqJM5VmIW4Lb12cZeLN +E0E5EGD0EWZDSfFfJKhi1tBRgL1ZI5aeuzUTm/Vhb3BUeTIt/Jb6A79Rbd3G3VlH +/w3FVQMTrlFyU1tmi78LEeQPb54yLBWs22jPqSuQRhHJ51cZizpMfsiTFwAQDY63 +g176nbrjlroHu4vpicJaGlLr4EHa+smnh3+gvyzXc3pn34C6T1Rnu7N7sh9ccY30 +PQK7GEMxljyjcpRX5Cg9Rj1hfmAUIE0pp5UlYfcmEiFJtapZXvFt0DRi5/S/y9x5 +3/W0613MD/5KDYWhi0YZDn5RZ5WLaxUKTVS2wzrzLxrdBt7DtIsXZkVmuDaU0bAo +xhnCMbhHeyJcxcUAY0e2SpdcLXCwLHPyt7FwyzoLcbll8oPS3N2HpZFtR/3pTgCC +l94rj2sNtFyIIImZn9bKQ4lY1R36oqu1D8GqQGAmi5+furcsubV5JsRPejJd0oZN +coWeOYccZUFHpUIpCNV/hPFeYypHWu+il55rgqKcmwAy3JiRGv1//kgk9HkPZe66 +QX/i8aceRMe3Vl3LO/L+jTiMxWkQD079xB4uWsoeV1TMB5DM9nIIX1Q0Y95tc2op +o3E31br3G5T6F/56xoYY0u3TXILhSDkYGH8rA6JnIBjpqfO9S1HBB9V83dNoN2d+ +fcKzPlGNpHvJDGKIbIPHr3BX2E/5EOv2MdtYNtrqZkXfCsnJ+QWEaGcMtCf5Zg4o +B5Cf2QvenOJPf1E6SFM/EUAPDGxXO9zoQf7hijUUzNZJ2wB3vfKuzVC16reNmDVy +DIFmqSxERaNqfioX3FAiZIXfHmQb12pyiNKdi89zpHrvz6zh9aBn9Jjpmbq88iin +g7z7lJqsVZ9bURaR7GUWtjSVwRDVd6xqUUKqdHwBdVYFcxTgdjZLt6zKVOkUlbG3 +RDGhNfSBcIAl3/cePajbIuy7xjdd6B/7aFIr19AP9D/EW4PSX16BYQtT1XuE4o/A +nE6IykhAanCYOkY9zOe0gB/q7VZ5FlbMmov3XJg7aC5r2ZRgXmeNVZymuFKccnjc +M89qe0CsmfXLPw/beRcMWmEKZB9r4gu4Ucud16Ffv9A5FeowlOwkoHAsluvN7uU7 +zkJcutwmhmnYV2iL1M6Y/Z0ijAYuWmnbRGsamFzgbxkPPmPlKrUaZkmXVS4ausE9 +O1OrhFHi0CAPoWwp0x/GMvc7DPWj/b2pQ5PK0BNTLALTX2QjbjnewCkltImN37BJ +dV68eW9xpT9Tpn2EmkvTnT8r0dncs4jBysAvisov8lGiZpajdKCLTiVFGeqJYcse +9pHdxTvBPmVusqE6Rk21VuNQGXvR/duAVVI/b+F1HUpA1YJOpIQMRgYDpSocu22x +d1APFFf3T93MCIWyVY0ycMKq9uGk9st/tBQ3/FcetEy9ZrgbDzye1X05QuEPJibl +KMUAB5ZZd8NyXfbL00ZNG6MdJNqYLtJN3stCAf6UN6TpaKlHzQ4iaLPqEGQl1d10 +mRYZ46i3MELCsf+JotYlWqXwu8P4WvEr0591cGIDjY7b4+n0nFAim9oqarkJ6rb1 +khmXyBjhEOJ7ghxUjL2K3MVC2sBcZpdA6qKOqBIECZggH0AIwOay0uq8D6IX1SIo +QMT5JM4PubN3AcK5vVYLl5MOLAHgunUxcjY75ae8H/R3DKJhz/XH1r+Eghe9wIGb +8lV0lbB6A3nDx/uTY/ZS/VQ6VhCZ6aOk1wAWzjkua5s1S8wkqGB54S9giQG2z/pO +icAwyj8B1P90IZzgU2gCXuf9A3obs4v3keL4xiu2xtm+tXOrQnecHzT4FJmZWkra +HtYQP1Kos9W35LDfucNRh1eSGR+wGblDsyLJc9pXOi0cYUj3lUOpOddvdd5AOjrw +0pcuNOW5YUd/FG0QVKje6uEChsS5U1JNCd7CmIJQ3RykNNabymLEAfbapnSHs3kD +V87GVvaaTvCDuZMoq5XHXG7tlU/X6ObXgugGltM0d5H6mVbk8OwEQ1uJub0ET78l +BNip67RHJltlNg2u69QErsaGTikg4329WUOYzHY21pZ9HqGyfLaRySnsIgFqbmaJ +mg4XhHRwrxnbdbVBufMwR6ltUVuq6M10fOEK2JLL9MT8JJBK/SqyDF5Pz4PAWKde +jlGBM6enwIcc5eAmL79bgVn8KvxUMfc0qW7l+OX8rRpy0HnYY8MieWUnionZvjY5 +KbM7jMkVM90ElAsRcjrFqo3tteQBWAtqZl79V22wZ9hNK89A+Ejwduc3TkLdFlzz +B7RhdOP85aAIhEnnm74Ehxxpi0h20x75A2px43CryZBHXu75LqXxH2uUTvl2FWhw +IhUUikGLiY8o9On6THonOEOXYp5KWTLIBqHqfRL5S2tyTWKaxoi8vJ4C6vLc+n/+ +EAcZIovmR0tvc/UOk92Vec99mCfF9fKhwtSqjbygSapCf7hQpAGFq94dlPwfRMzc +YXQa6kG2UPhDvhZcHmxflBIgYqBUclgB+pFBHe0aUcUchKKLbgqQRUN3cegc+cUk +MAyHHQ2umPHEdgMKaf17HsVwqr349OakkJi5tcGafXaGJpana4QZPpRDIqUDgmbS +YoibnwRV3nYxIPuURAuHOd16a1XkTRRi80335N01SobMCbhCCba08d5qAw5/utcO +14NbXPX38C7elXwcDSQ7h7VieEc1OUlhZs94dz3c81FRCwbRXR+hpBXTiUCDxcTx +f714Bzdo2xU1pIrl+meu708yAh6LUntYKYnSrirWgRA1B9lWhM0P2tz1DzBJj3NI +icwrA5eK/XtgIundp9HJk2rG1rG9KkhWO/J1Egjz8zfroIOJ56TvWsEnm+cWBtsb +ytyLhZUHWo5+4l7zdhbcNDJVw6AyZpaCc8qtOSFBRglduT5ISOux1jX0dPhHm6sW +XndgcF2XM3Aa0eKgGwR9DOGlq6NRjUYxwruZFaXqwnVRMx2cUprEZK8EhpDC35sJ +MK14eZP+kMfNluwDe19aB37e91mpy1kCzRLBwE3jHUqkm3csYgdstpclevB0nPAZ ++nt2h3uzDDZS2BqXd2Bl/nWJ7fG5IkFslDGMKRvG0nJ1AYBTbuEJhoEkPav15Q3b +9GZ1feCrjcIsLZuIcQO91v2RhQi6vCVySVkx37/Uz2RBdZq7nOlZU6RVQgKfxsub +5oWqbV9aeh89Hv4xy4ilm2nv/geA2yJvBu/9veCZL/a3OXPjbK/ikIxaczyImE9n +GZgtEj/T7QuHeE6+QGUCp4XRS0VooI1iGx2YZzrpbYijxqBxZcfbQXfUYpLflsMl +D3LqVAx7W6BoJjcPBmP44JyTfKBoLLqiiIEr8KHGYDkeiPRSrzsUu3cyZbYykM1A +Mo9XtsfflJBzKdqZFUVoAh4GkzG+GzE8H879M9vCwjh1miCn7kVC/BBZXbVFdNp7 +DkNIz+MXjiN61i90rfhE0/PauuPhJq7QVshhz6xTszTqbn92L3x2cR+XgkxW1Q4s +Mnt4ZMTwOrUfyLS+/CegJNSQnuejC5OPhfy+jC+jsB11R5zcY1zEvlpIZRvA641Z +aIpXZiCTD44EgLZbHBH1IBvb8N1wsO6q2/5DXaZLrzR2XxZDZRPluF8F5gPsbsAp +qjsOvdgPjsqLxicBth4h2B1fmuEvNDqFFkQvxMyBXWrJe7ZTihlVvtvjTFtD1Tlp +0WuTvNpfQlyBZLCjCStW/pcRYUTXnIzchyDoXwDiKgGKwZf8/RYXQjH0amAsvR6x +aKqDulMkUF6hJJsHD1XUG58FbV1CO2yp0kS334invmPXy7NnBG+ksvxhqh4sHb7A +aW193R1//HIzMR4rDCdTYgqgPkI5rAdGG1Lv8FQnHXGZyBe4TCcxbzBzcfKfJCO0 +2BTbd2DpppcxGpCOuQmV248HOJBBbwYJZJ13hfWd7hDD8fW5ZUfVnWIFS4eZ//jd +OGINAsO2wClwtcQEJYxb+loe6y6h6eciaqUiaAUNa+wWRMaM+hktce/TCOCGAl+D +c72SBaYxWy+4FQtOIphrNbgqwK9sTI6Op5ockw3/75DAfB9joex/YnudeR9mPC5r +a+xK5PcZK0KfwkpswPhaB2LTZX6yvgoeImmY1LQ0rI3tNPj04lcl6EHb3OLgj3Tz +xp1VKDBHRsXywxK6UL9LTMnV9caKZuTGLCuY/LSAsZrtgoooB76sJMPZskRfOuYp +1+jvQlwWZ6YKQbRkpME3brsFq+Isz/wn86z+0c50d29pEy4AOn2eNlZfCMnpJUlB +VQvtM2f/MqUSh1gOM2P4fKbowM6Ud7KDGv0GtnbeOZzKPMEVx9o3TS4hR2RavJ0d +JHiQtjFyvSp55vCcNXDneXxmZXq4pHYV/jN523sGF8GsGhoS5SsaCYw5NYLBtiK9 +Eldc07J8BZh7oGXnnSJrurzZ9GJjwO07PkxqEU8cVXYfViaI/pbQbFdmY+mbjRl9 +KCHFTDlYbtChe63mlufl3TM5MdDEDD9svlH/5qf2FukD+J+vEFME5boLRFmv2Wnu +vJNHHQH6YdrzLpuNw3kkEecBC/172U0eC3kSHcr1pU4spaP8XCjRltYZcIeFYASX +RBpi4miE0Zd5O9TYlgLRVaWwp7RpSij6GG/rsCLC7C89N4cWlu6nRZpUDiOIrYbA +taE4PrMDvuzoaK/I/fOAzKybVboImwRnn+maWraQca6+fPpUhjLc/buTm79Xrsmu +jfjTC3Ofgy2lP+4vSxzXGp7bTd+w+5SVlf2f0AE9p8wZySodnbbOAYUVrQviXKjw +2epbEka7ggG7FSnf4GrlUwsXnP4CenUVuSL3X8yfh5Qv3uD4aIa08Rx9azUnajCx +xDii9PTcfQPdaqfRDycDu4CcmIll6GlLx0i53qjaFh8MPG4/HrfpTCOLau6uR2u6 +cnZCSxoAk1xqivYdvF8JufbzF+ikia3tMOtA07BLZQp9/Yt7EdXsybkpMH1eFW/Y +cUTaV5y5C8FH9A1rmw3UYNIMonUX4Zmz4lSgpk9rcJs4V1SQ6FsnQlEUicQFgeZ9 +AhRY2EZalRsXTi7qTls/LBp6s8Rs3XsVx1rLrIOaZTD36TXtKASqmIE6JfYvZDiC +gVpA4n8tsIt/PsQO2OUg5lMD4NGQKMkY/+SjwLjgnEF5gFgX7mxEsnw76aFKxnYY +tfDlG7OG/m/bAeq+kaTFe2rYd4IlDtaCD3tjhNxtfJeYDfDtp8atvFt3nEU2LaAx +4Yo8i19KoIE6hMpvI5IC0wDQ84N7wwtRk1gyV76v3fRos/fZiCSePehVD/2sh66M +iCdr0XNPEpdjFOQfu88p+T9mKHj/PagcwE1l7tVPjz2Ephf4EIda8tF4I2+kuz3V +7Jh6kXxBSj0uZeSLpVSDUclOoXMsBxc/jtyIg+a7AtH8eEazvWsyDpHGlgNM0RG6 +lk9/Ta2uwtJZb6p0jHjmSyP84eOjcgPJL0MUNoyWQxxNJWMQ9GnfAW4Fn4Caj7jq +VgZPac3ILeQxNwhfeOieg6/UFBBY+XH6Q4UdabqLrmmVWNiT6LFRHWqOVk4QBfjD +B56odtPZl5Sn11E67T5CghPBS4+Y9yTghDRTv0odguK8EO3yCh9ELcTisBfqpHOy +4hdx8blyVhwJbdf864eB5UGDcjlCqFMSJ5w8IpACSpyU/SG9qhFVl7qnPhb2+4bG +NevNuIe2kSL6ftCJYbLqtfeooNmXBrE7wNv06LZntusLWmgUtRt5Cm4O9of7d1k5 +ztF9EO7NUaRHuLu+Fagv5g3D60U4bJ68VvgaVYGa1PlSWvnP6kJxAefE9WkHBPbf +8xsuZd4os/Cz4vTpI2H5rqwk9GASTUpDOXZxUA6r4tiI08HJhieB9GJy0LP2p9Bu +SF4Ve6cjH83nhDPjTArsq9ppMII1dmYKtEyQfGk1zM0HwzqBQpuPZOKA84aSlsKq +5Af7W8Bl972l7dejS0mV07mDi6TNlOtxgQMXb7IiuF5Qv13Bhzo51HDVJNlxgXes +Pep4dysdrK/OQuiwnLPavU9di5AvOILd6wkxcdop2GCOQEXiEMSWGPNrxoBmXYaQ +nRsRJNBEPW3MjJOClaPELwVbRuR1dWHevkln4k2yZOZN/KllE1Ri/tP7VQzhclyy +ObGOB0ScKYTLcfXWDKz/ZL46FEe3/ijUU5ucmnrL3h9eFy2N3yAuGc+oUCKhH+I5 +x2OLAGpDnevgeXtKtcjuJRM7e1KZxqSqc0r98qQNCF9D2uFktZ6UJFGj5jEyx79u +cXcjC4rwbSWgrXAkJ2+QN7ONL0UYD+ELekzmzNwgcpnpSIC1OhAQb5rq0Yd7ulW3 +xrsa9UOFX9aW/bBtg5BDcIN6xVOwuCt8yg1pdhuXPf3R2GlsCP6u6HSzBYiCYqa2 +o1zDqC9a1HlpuRNR/Ub+Ap++rmz+hqNJRLx1IeBnRPHN4yP1cbahNLhdfaK9f5zl +b5NNtiDxba4cXLQr3+tJRUfYw3HAi8XKQNACQsUGV1jk6r4H8fxURErisDaRHtTJ +5LFUDDzpEAjucSuh+AKqcKDvlx7pbkWngkn/vERcUVIs+F1HwxZ1An51fRTCKVh1 +eWoyRz0n2+XcEMRxGV27hJdJg6n9JpL1Kz5Cg96NztbsWK3CJmoAtnDSmxyBa8Y2 +EBHs/k9XWDoybUzy+yk7j7+5HXS11jKAiojE2oL1FAChIuwmyjRuuGe24wIOuRX4 +xzLeBXYQD9ewJp/aXrPtNlWYRn3be1rTDE6/B46gDw9n2BN9QxKSiMSULI/S+slc +/7o2HJZH86MJ9kQrudlkFcshLMBbUUFl05VYHq0Xya7xN2jqzxEC6sUy7Q0D6oR7 +z21hjfxJIg4Y6eY5SBWYT7OQNI5rm46CHZ7a/0r6RZ3+Yri7TcXnKVx/2uapUY2i +YyDRXVXDc1DrIalosMADDwtNBIZFHh/XVGwAaQeskomSzvez2lavlkmI3oumVsoQ +aZGY9XZv7+MhYj8JK5XCnjWpL/qSsZobI4R2KFGxMmS0AYEGQ/mkxEg0KIdv/OWl +meYSIFH/sr2pERyCi4ut/j3QAlJsXeW0nIB+lx+BfQlFBgjaZVyvJg2vawmHLhYD +dTUNxR4P8iHYtQ8XHCH2SPehP2derjnyLJElhcwiLKk5tmXm5x9oux+1rY4opV5z +pZw2dYKzPyklu0Axm71YKwYFKJTpRsnTa2F/fScpAzkRUbmilyLMr7zEwNQ5hFRn +uGO/2MvRDYKMzFsFqQ1YlF70IHVB7E5iBA8mXU7F7pzltONsofCrHA+hmaFEqP0m +4zA+BKoM9DuzbIqGhD6qCNtAINTPPDAcLxhN2BF1Ytul2mWZea6t9k1bfUZGlnqK +IJSbYM8HGwQwW4s3LEQ1VHE4Ue4mofTJ3jZL9Pm8RAuuX1Eid3CNG7Se9m//X7ku +qhcdkBqxxJPNP5dZ41hO3/jMYledKSJ0Noslpze+Z5qAbtqAp1AWSBk2lucFZ/e+ +FK55PFod5GZMzTdziSoU+z9EjglR65v5YEhyY6q/hFI4L9t9HdKfOBXtEzD8BQ/j +vM1bVRHYDkJY03HTya+USobgWDPIx5iyjqNazvBv/oVBbZd1cNuD5MICXyh0eNmS +Ee7aJanhK/JWjHoaQLhYi9ifqzslH6HnaQKkDl6lbRexc7or1/6Y+wQSkQ2LlTTR +cnOO2tz6ti6jVXp5qgCTpvfvSZDO5giNqhJxDBz4JANu19d1LdXebsxVxSx50wXH +l5vZm6WmqAe2ykJQ+cC8ggtqRK1j0NvLq8rgXldvq/S5RIDTkkpLEW4MIEh6tXKr +uqHuBmLDeKhRTjMnOfm37KU4JBssAk04QJ4L+/mPKCAEzvxm9gom02LPy2MwQsOe +XNCDvVfN4I2XiFdaCfMtmBBDfEpZS0AgOTpWU+6QhP1DZkx6ywd7y0RssBjmh2tb +HXb3J75XZWHajn8aVeZ7LYLNplcrofxc1SNdxvUEDbL7EqHi6eDzW/kXfMECKM/3 +V4iwIcA9y4CkZ0WBpkjzAAf/CzHvXML9JtteZurWRcU1UnvCOTR2EI2QGCVq94e9 +HcmS1ppKS9EUdJ6/kecvauBklPyomPzO7+4OupCn6DXciWUC0qIANkOVPHNoMcmp +jDYTHVxgM1CKXu8WdEQjIg50rn1Eig7P1N0Fzgm+VrgzGkJ4JUY8r6lf8MnihY7f +Z2HKNJwTooFDKP3rISVCSI5R5oXBEjmn6dU075p0Y6wr+oGeHKKciUmDxP3uQPPG +e9fke84IIAUEQw8VFQMsjPgNO2wE5MNMWi9IxDPWK1x5yfkHpQphtvB4Vm50w6js +Kj1hODD71NI3RWwBXJxhV5LX25U8n9tN/dbyZ4ZiXeacbYTuMRSq9z1ZvBjYmiYQ +mxVkrV2C/anydG4fs/1lcYG/6zFqNr6y4L5xWeD5Pdrr2luUWcOwbeV4DpbCV2ye +uNrkq61JjrYGhJFQkBCHcfIBScYmOhmBl/h4GoVgqCHe3LM1Q6Ri4WDuFkYtn/wc +UnTYJbZKfOFpVuuy5Dm8GvRXW40OGalsHw2no6z4zrbPTlW2sXW8WJW+6JbLY0jj +Wi+QWwWSYm9/nS8Y7dT2sH+L7VU/sgUF2z6e+axCEpR2BagLAQHGWT28HOZWhNG2 +Y17w4ZBqQHEkTan3QiESq3GmFu0ErUBAT3+rH+uMi3Tamu9fTpGsS+6E40vpmbMG +ywhHzsGmy+DFVvRvOxRtQK44JObPOXWTuKVy7EtYhUnn5xrUAgX0JBBC0iOW3oeZ +POs1BDbggTc0euew6ijV5X31axuZZt2gHn3fkf+LkO+Vp8aqbeHfwhd/GeZCO4SQ +OXqRvCjJARoViP6wj+CoxLED+J+4a4htPiBMC9WCgkgS7V0MeLNun/g4F+mPUbTe +NSMicKhYBH6mdGxtgiPMYHZ42ZxfnIH8EafLaqVfPMPXJZa69gAcXe9IapPgbciP +bN+l2t8bSuNVzemUjtsFH2FE9/fieeOQWKLYR5SimgbslTTreyH4I6WoTTRaEMfg +gPm2JfnR17tAYUhtyQPXgC5obk0GEeckiN3vwDa54esIZyrwlNdKq16dB0fRVX5r +WoBk0X+NgE/TJjdDVQZyLEF5yxvwcGPstagdeEcNe/Zm6SxY97GfhvTWP4YlMGh3 +I03MvYaeYESccfM9ipl73qn5eprO8Ct1fwaa70HLOaoWsIUveVcUjNzVnmc5IfiR +qEH6q7tAPOr38EP7b1R1g5P0Vlm65pBMARN9F5AZVYKY5Cavhs5zUJwqn7rBSpvH +IYTsBjQzs3BjXLH51vF2CogG5dHKPjATFeJzYedmT3FLn1wK8T2hmjieKv/7mX5D +WwhiWUqqNckBVlqXnxqlxz1oNX1z29QkK7GMKVcsrSyLWQ7DGPaZjCuP4r2DYT94 +b0o49UBjcL3zbKJqS661CfjyazyBMiLbifurPL0eEFVT6OOxdw4aOOy1+UaUlZJp +DLV5s7dZOAd6ASS83X8/+a167oHzcZhaexO7GKSmC8mDqbinJeWg8sLz9tp/THgW +HirCUhD5nmePm6bWp/+zVTYrk8tk/27oD6w5yGVcivtYq4Am7s6vJUfeT4aShCcG +ivLj79nR6NS2LRYhT/VNlBjzbfK7RM11VVK9m6BgnMzWFmtE1rBwObjEUbReCxWk +HX1UxXqs+udI4mIR5SV/7lZr1cF4u5+GovlfOFMkOdf9oYN47CW6SXsQ5uKVF0Rv +r3Lxim1+z7IreftQizRKIpqrSsxdwRWJ1wGDzyC1h1fa0V4sqSinO5/gU0g7ZH2b +6BgE67Ko+hAOEwicxNd2ynCqgM54/u4LORO/I3jk704/FEbZKYzh1kElnqR8Oae6 +6U2bfF3KPtz965oetn1oqcRXWQdpvlez2o0xXcYN3t2Aa7uwPcXuc9d3qm01/cKn +eYHumYziKs5izVpiEuzMsnqk4u+AMd+aym1RID4Of3tHIGIDF/ebNkHKvXkUXBwD +FlJ3QMIsgUf5L8jwBvsyfhkv0WiXxEMubyicZva85AgPWxeVg3H0Q7UWa8ZI5zpD +GtJ2VbHpDXxs3bwK5elDBel8FdwNPWlvzNGjsnlL/N61K7i9dF8h3H1i5Qb/XJ6T +7g9MOHvUXXkgQSUCOxlKckE6zD7IyseP8NrRjyak/CTWY8RyHgCnPT35KULGyn6i +htpMCrUXKUILKFxZZJP2SoNDa6i6WvvPDIMU9CDiE1H3hKrc4aAVzE/NExJgZeIk +jg2wW74TanGDPjvnJmfj+BHAYbZvVy3OBUX1/Ocw8M3GoM1HjQf0L9t/KovwxKjG +KQAAzaINC/l2kZ1TYO2ohfeyvmuNojOvhOnpRiV1E5u48kxAoYAjAW1izNgXHVs7 +BP6iIycBiB6EFPdV9TPJ3h6QAYNun2tHlHy64Erp/Ez4MH6SBXz8R6wV75ewJDTE +hnBJp20OKIQsG/w+HRzAgnW2V35dnzILa5pYwydGOJTu6Y1qX+YFidxLnNMhUsw5 +UblRL0NWP4TPdrgrRtQZ2IWxTsTJMSYFpVRUAsfE57rnFoYHppRcob9d98B1nEKK +7YHENHgIIN52qAV37LyY4LTosfEQszjbYYu9SWANvPSJWsS0kc1ZFLeyJtjtp1D3 +/5ZAlUegsB8mFN6xxdzEXApQbEXvRyiYj/qb6OSWMOU8IOdAFgYCs6cQUBs9tn6o +5iTTzOgMxenexRy8R1wWRCw+a2NpFOUe7x5gEBs6fwmn740dThIaQF4O2sqeGjrW +SylGlokImUhrDV819CRoKwO/AtrsCYQ703XE7tp1OfWfe0XRkF8/DeM7RrdQmicm +PU6eukPiLGsBp45AB2uH67xs7GQCevaW128VGkBMfnuLb1jdWiuuW+rnpddcjoJ4 +XqBc2x5oo03eGqYE7IESNk5DlHceZpy04uyKOH6Y4reCJujT3rWmr+BRv4qjaUje +zC2/hvl+40HeDfR8BvOjOTn4JFvI8DG5z/4XDJ7uCGhPb8RICxtqppT8Z213FDkJ +HiavS+AdMwylt/2gbxrqt2K1EZ255fFiU5PCIGNH9wrCuUKq2wq+iJkMziWNNMKY +UBdc4FS8s6whFgkOYGM0qSwYy+OV3tcmeR+XlySp/6M6YeHfhGbfGb5atlF7+aZy +KK7Lk6iD9Sx8P27j6n1bzYo9kBF+AxhIGeV+WGk7tsgkRN7fcCySThE204bF8fID +H0V2GCw0hi/LEyXHX6jx1SZ52wfLdcePRJJsCVrt3brvi50uCDvTJBSTRyPXoHw7 +KPzczdiFFNYffCvt38JRauPlmXcV0TEnyq1pFNBzWjWPltLrw2bo2VA11GXySbye +4YN+P7XKiNyK5dbj39xMBx79iCLddai5529n5Rgpdss2gwe4xnhaKcvzcP/+EiEr +YpAYgCL3cNjt/rxPt8ly/gFKpu6zP9PJ/eHKYAhKWOQAdIxn+0YoYlcYfisvPZTU +E4de9cgINE/wtM49BH/hbMMrt4bNjRnEIqjW5cF6d1MXAEsKDqiTurACnzWkCN7b +AtgNIf4a9YKItt9tCJt0ZTLuxbh6av4oVLrNdE74M5aEtkFQlXWZDxSyVhzntaDp +xfDuvoARA/zbiG8JBQppNPRi6wZtkS2fB6QSm5wdnHKlD5X5WngoSAxPedcb08sz +7jRwP1Z5uSzdDt+1hQC6eWyCq1Zl6w+ZR/wnON1LVgP6kYnjD4Mg3xYTpuWqhPjE +Zx3YgxfTXQDP54y1VwrUmdm8BWm5iQYGUqabSAH75xv1V2rAKSnJ/ckZv0S22VE9 +/aPqbyCENv82Bhpsz+QGCf74wDBVwjFmd0N94Vc+ku7VYKs6yhvyhKWZyBDeFaZ8 +6q3kHzEcA9MHE2VewlFBWIw3ET/iWfO9AWzpOuGGyWrD1SRns6WcNmbYBfbXCmHA +E1sB4uDDaP5noDfbhaotY5Y9kSdoFxmGM7MWLxbmF56K5r3cCHia/3kRiAbH6RnL +FR9DvR9jqgv0Gea/sfza5hqwhOOem1Ey7aoquBU3Rm9VBwDNJPVr1PHahnnF+LJ/ +cflzibqVVRJFm6LynJ5KYKcTqhlZ00az/mCUHpx1eZLsd1BC92hjZ5cMyMwl9uD/ +PWGCjcjzviMitCWnDz15/0jxKhNzTBnkAYmab9fn6o60DQ6UDJSFNB2BIzbJ7+Sh +JeqSnBVJIJjniy8WJP6K4vpLFTSO83Mvwzy03cr8gGWx8gj8UYidhu7Xsc7u7E6B +Lh94EP98pZyv2cBJ2z2LskZCelvIwF/b6rOgSgbo1TRMg7+hdFnyE369LpYkbNYn +AmbvdwihrciAGSYS7K/6ZVetHmxN0KrG6XKeYgWzhpI5sYEXTTX5CJRRf7X5Io1b +mjwWvQITaRGnUCDdwAMUmmdWYp+XP+XA4ZG6rn7Jqe3/Ze0qOxmztyy3mVw4i3o2 +pxKe0XZJC0ox5oZEsF5P/zAYLJZL3iIa3Z/9URsSZxXILTyYDKdv5IUvuWkwK58w +ntr+n9rH4qdjkWh0YbSbccbd3Dd2o27+P5bxOYQyXrZDGaGtgqEx9WF/l9/bcNFx +g0blgv6v28pyqjFOpFoFE4M8M6CDXL/tpxYeCZpiUpKmrPA2en//8eekeilQJmXQ +SHO7BDx3vH9QnObHsFgODUnb5WNeavmy9URUkkPs39uKBSzbtJX5Gj5S2USxvVJ4 +r3YLEvSMxyEcOR1B9NZPU1V4VjC5WiI1/tBPk6uxnRTA6HNwsPTXRrGRMXajo6AL +gjGsrq26XS+xHUOOwxSsaSoiG9uDafGO/FtvQvgaDmf+mP6SgUOOgdPCTgSFE867 +6LRhZO9uQAldLrnpfAhhfYkqX649bVuQa7be/SVeQKwV6jt6fX5o+PyfI8CplnyU +LibayAFOOAhUahs6OX7NYbFZL5tF3qQoKp+BH7L6uIhGcs9ZmKoBg1Ko6/DOYyC3 +buDgLj62XT3LiX0BYTgqfLpNZLkd7u9tuhwSIDkjOh2fJpqGYNFS0KuDTaPBuddS +ij/4Simuy0qprNiSxZueA/f/a6xv1TaPWQPDCcvzj2XGAN6vqMcdRELbGj5t+u9n +LfGAwmJRf9sJL3m7MINFk8xuk8a7TdKgYzBKh17HBt03iM1NUETfICspAKvMv9fn +dar27dIk0DdO1mlujUtOFbWWAGOfrMqrZ1A3uvlwmDpEl/KSE1DIS6aTyayZc2/u +iQ+LXwhsRluI2y34MWc6dyOMshMdUv+fPKzR/+N66E095EZ5Kw2JwBq7Nrh1/jWd +OUPwWfMAvgdXKsG0yxY7tGasxcAhxncYrZtUyA8nq+9arOi5Ew3bB37jlxPjR3lZ +r5MexbipHIjrD0xD/dgYsRRqlr0+wGvyBEHIOS4j0BwgWflUn4/zpJBL2qJafwKu +KydENZ8BRN3TbQmLi8T69IxgYrvax179XE5tclUJYJF3U/gT1f7jHyaJ+zMZtJdu +wt8HwuCOxk7KQJ1sEH/EHVouUQF1jrEn5vMduzRACbmnGftWmdnQaBFYhgjP6Vt+ +c3k12sqTr9pvUU41k+bPiXIUQOKxbVp/dyHdxnczFZQnsixQMO2pkfc/Zr5LEdPy +mlwGFk4BHA29MxjebfDSU4kDMx3uT5MiRyKzagv/NWmR0fykitHGUG6HAv6iYftD +i8kLZ6mZoP7OiQAb/PSQqp7CLb8BYMdny0YIxlFZj5qCqwyrEqNN4KT8TdoNzi4b +Hg/VYvkPWn1BIM2VShhcjPFpUD8PUzlvDWAmyQDDrjLnWhedSexB+Jfh91EWIn9a +9EGzYNjc9Z8+AsfWh+/Ab+mnQg0fnujvhLMZeV+oqRYz2wTwe+wMLbemPhNqPlEv +RpiRjX49Wg9EZQXRi2nuzbRLMEujeLjOIyDlMWESKHTEqsYrXSJaNQQBT9k4gmIq +SIs7zmCmIu4gut/T5ygW4647LqBWs3HZTBZoVSFzSj80IQQfc7X2X+81G52MSMsH +AJ99+YUsxqDWB6JuuETAJ9q4A70p+NyRBYX9kqQvczfkQdUpDBd726dYC5OQbbTM +BB6d+bjXwJo+Z2/CXrPSJp5Fi6U41LiP0eGO59/ielXQmbAVJqBsFXx332mgZ1z5 +l9deO8hxJ0mohOuv4pPJu5Csy/zahq3xnpnhZec0B3sjlAZ5Q0VWP21DCy+giArM +TnoPF5VZ9uX+9sM3WrP3zmKeFhqj/KEU7naDkcFPPxBaPpuwp6ejpnh2J/gGF4mk +vnGUyZM/Xp2ztdcRu4p2AqEILptQHlaQl0N7kUZSCOOSZT1+bEFFWUTcf+2hmb0c +uGO4Q5d1GGw67xtL/V5GTPKYuijx30sgEWqXGQlGg94q40cEuidUvWeTDCd2RLPY +9s2OK2IUfOzT3oWSLZ9K2z689JOpS3dEjw3w0NyubXinvK9O+TU/a36NnOhXOX6s +f81LQRt7yX8mY/jnSxTC2C7JfB1un9rVGZC+yIcT9dzIwXawH9gu4JuGkQ539Z73 +tJs/qAcJaibT3bqAqhyNkEl60dLLO/SceYV5A5xfMhhZqb6oRi+K7+C3DGFiRMu1 +KiG1/xUdyB30BS20Q4yB55B1exjnjzXweXwyDndMxo49WWjDvGErhCtQY3kY6ivD +o1NetxQwFnr9QELbopW3o9hJq9bajzLiTGc5m6V32inxkTf/Bac0JYv8v10WKD/0 +lbM2+5PHZRhkdWhOr6fNMlUnAywTIWYFBr3ZNo7+hwAPGB7mLsnbulLk8rX3wqPf +L40O3dWKZguSSUuCvTJHeE5Q83pC/MGXLqaGnJqk0A+GbgDwx+e4WWr+Hkve5fTj +V1p38cATIS0rPkgylsAhf7WGOCCMNcTpc6mh7Q8m186B0MUh8DlDPKY1zWRQFwNU +kWRt5ONhyYdbVqbWHEFOm87jOPpLyJKg02Wvfd0Z1pkPzSF9Rc2x0VW+gN3ws6qE +HAO3bgjLI91UOv19qHB38Ysui+5DsPLzVdcqUJoitoyvb9kXI5xv1waeHN19hIQ6 +2cbAaGCNUtWU/Lh5zuopg728JaKkcYv9BiSRch3eBsBNNhc+YzpEnWk5RRRUks5/ +QQZTzkHcagODdOXVGUlbwns/zN11i0FLia8AbJKMgMPb+ROW7HssHEogwZJPzfew +9z+NuIFO3iyut8rMCetJTL3WJi4wsZFRCYgsrYKeeUesJLn6jSFxPkYdQPHr51M3 +7qulKzc2cgfDNU+XUHBGVvk8gwtCjCdl8N+mTk3Xk7ZKBC8mxs9gpavV0E+SVuHZ +wcJ+DK19ddyZj4wZ6DZ/hrO/GSdAvElCUgEP0UYQmUZwg+s88LfePBOBQLE7kSlX +Pgpn2+tqCB7QsJy9MALvGsTcvEvFAQghjfDSsRqDPNODYafZcvdNZEIidYrX9ZZK +8JlweUgYqZ4eUYAHv+as0dIvDVPQENvfolt5t1lyLj/z/fybXf+oeOw0ibMt/pbx +DRhgAUXgPRKwnCerAAJwBiZMR0ARccxBp8n9nRhpVetDWyxqTeHj5m4QKSC2XuPN +eBa0Ef5MPjFt1p0J0n6Gn0beKzzoD7wIE8X3bamI2TrbRlg1RlMAEqcslZ0HPJUA +zy6VaD3/O14a4BQJpHEl76MDl1QSELEXiXHy0/sV0NQW1g7hURlclw/dOcWos4id +Z8niG3dq4EB7NjRLGejnTLwdhDc+D9Tp7Ztij3wfefO2PCYjrDISWTRUwjD4YOvU +iDq83AU5AkiruZ9A5MHUA780VQC625vJmUk06HvcLp2r/C9nXanh0QLQVRDZbmC3 +eA4Rh29S3LKjpOx1/xsjBB1VsRi7hmlp96azhC1DhRRGc42AbGXAXFu7UCwYte0N +2Qv2oDE3hGzWxrgfWkslTSd6GcJP9IQTvoPDR3b6z5Ha20xZgFAq7FSH5BZG38Qg +iCWVxcyopc44WkEzeVDGR4SFh8zLB+x6pxKS+R7bFpXr7BdWNLtpFNR1afWYp8s2 +/O6uqI6dmr01zuodr72L6IWjNE8OvRbtF9fIxfQKXA7zfS3rqjg13iyFn9t/l+6D +1noJy2wZJMW/VHxj9+MuCl6EljRRvg4Xv27WIruZq1KtbEZnHnptsyL8v9cXZzbw +jkmxij/BgFhnNzaPfwUPR7/aFf75PAnsLbFIpbrj0B0wH04MYM7Y4W37ichq6aqd +7q2etiTG+d3LsttO3cKm9gXJzmMQfVQ5U8/6x3PjZCOnCPVs0j2c6q4k146v3v8b +ZdXgZovDtLtGRmPoowThG+5zrYQ0MLA9VzAe38x1XBcTnJ5UUcecoOc5/e5pRfsa +dy2S89veO4kIToKDhvJUf9u4ZX8S08fzR7qAHkGqRawET4sqTt3uBEN6hokeAb/N +VP5niyX7hyst74SvnDVrDGJCvKR/Sl/nd2uh1jiuXyzJVuMW/2HdkCq2gLKly4+k +ybvT8S+IBPwSe6Nb0xc+NrIvRuRdfaSEfBy8D6GqAaRcJy6yjBE3G4m+xhMzCOYr +XHVc2b1paa8N5OJdUU76PJoXaKGaq+volOI7iP7dCVk1XsbQk/SahMKMy0nbKW4Y +8IWWUW2r/8wpUPFcup+0QbhuFhm5blTYpDiDmU972WfcP1Bo5tm/KFTflXA8s1z2 +CQJ75t/yoJnA2wPb3CKnUZv7jrITYIFpPi9rsjv6fLMcrYS8mzQcYQEARHjEGr/6 +QUixwfniSSlOML3pUNixWmXRGVgjCpdgvWX2AgPfEyYEKN73LXULO11cOwHZ824R +49cdqIRdQH1Yg3Xl4qi8KC123Z38eLkRn7t079qwUYUH/ENICMuQ2YFuQdNWdrwk +RZtON3uZqhpC5zWPQP9AeKJTsbG6nGs2fkPVINhd9SJhjvGTUz2bpURyYuA34hlk +sU7EBrNLoz1yNG9qfS40rG8hnElwIzt2hkgqkFt2QHVG0SPZBF/uIAY6aDxq4NWA +7sAB9tTNMxXlyECXVeMYezcpMSlvXRzZX6JgLfrl7de105lMZRFkQNGnAESuhLPF +nKSc37XEWRbsa1ydaxNQNclrALI4vSTWiEzDOGHREUp72XwoZVk3EU5p6K5kTeoB +pp7gmzUef5LnQSHZS9q1s7raV7eX7NC1ME+tBk1wsCmuo56P2io3dl/tN8X/NwxY +N3E1HzxOeyuSskh0SYsc6ouQM+BPXNUKYI/owdzvHLoXXoHqe5c7oyFF5PHXo5r4 +sORYdUqLvRzBJ82mIaDbRoPeMVsHXwqZl0dVPO3mONFzjiqoWUfkp2D7Vwku+DPr +Bq1NQONqbffucWg3pvhREoGc4QGANfKyhd+q/0Vyjxg8EDB8NbteGaURjl90qyeG +3iKsV2/Rg2tL1pPGCRZNm2VEih+oAdIX0iIX3AbYNA6xA4R64Q8kfZg69ZLcR+Lq +WZHA6NEzfsHc5waERti1omANwgMAfvkG1tdRG2H0wun6SvKnrynJmGyJIEq+52v2 +jTidw3lhyvViB0dynrEAyDik25kTNa7gJP9MkAq+X8FYbClGDMDMLffbr0xtpT7h +kp+AYE73u5vnLwclr7GubP10ANs/58Upsj/ZX03WJv2dOZn2BaunGxkoUO9UJSiq +pel/cy+yp0xlDLsCVWOnHwom3YKgL24K34CZ1Qz7Ue7pCeCy9Js/zwn3OZk7T/K+ +bpZGF+YuyCFa0EzVFisoTMvk2Ett7SG6UmY1pWXUO1OHm5TMZU9vTopi6/C2e7l4 +mRuAosbEXApEsSg469zYQFN0w9KhmAf6+W/xepGx9niA7vOyHMvyQ/nmr4lZUpVa +5iEpPHLEnPiifMljKKw6i1XQqZKfGltAsu5VwF/I98hWEvfXFBz+p1tmgvKa3fhL +KHWgzolxV/pAC0wbNBXzdxma5HQ+/Ap0VlN6vq1BS1q09GKpP1r8IjtwPcB6e6wL +dMpEbWzrLKoaWtd231xs+lrlds4tmFhAU4XGvKJu0ktlkiSZlfC3EO+b2h28UEw/ +bsQYxd9Hfp1VWNZuJfzkZpa4rn/XgmHuAX7FsQhGHa5Pvu89Y8LNmIOuamZ6GYvq +wv7ToYjBDz+pRfjahCNUga/VPaPgvk4y6iARZQcfhrGjyYjk7nammbFiznUfX9ku +TUqGt6cGSjR1MYk0ZgfHEofy3XeP11WgFIoaC8TeObwLFx579JzivPx+5PgW/kMr +4FGIkZcK6WN9YGPUfDZQzF8NqaVigaRwtuby8JNJyoGB7UZaliHsG05FOXpoS2Hk +WYCeEMxjKV1sc6uNHM9tPmEibLccMdCjYeaSRRQeVtnmI0xMm5y3L56pkhHAX39o +R7SjDrKOZx5bsR8X+obx7oI6Y9HIjRv0r6lJYEj7VP9v9SwtkKx0YuCxCwVAeI5e +6b/khDOQbTfi9mhDKE4qYjWHmHt2zJlAv45GbPL6hw17uzsofhhfGillewvcyCX6 +J89qvPnSBWY9nIUE6hMQrxAyxfE07TBZHXTLJwWhuQjrgJa+P0D1GpJaQ6Ohmp/q +uCImbU5tONHyZ8kJ8c8JEZ4tKcVnh7WFPlpVxqIyOUQZjiERF6xBw4w7sCZYHRAE +tMjpblsm2FQhkNctGbROWukdky1jqdxwlEm8QyNcYWz/6muC2YkA990j9TMBGhls +HCwCM+Kg46ZLopT/6XPwdJSv+mFq7A+so0OJEAnlnZuXb3y6vPK/wj0luNY/JzKp +7jZ6DMxXYvkhjYBTBAsMgyGFJuGHlbsjlYn8vHQsNsCkpS+eHXnw0c7E1sRn06mO +xcYuj50buTAaXh0r4xSSY+AB3LiRZj/ib13UiU0rJtkIIQiwHQJqJtKCVOV+bThY +xARuWIsrmOes3ezpwQVsygKwlk9Wri6NxHUB85pfcn7Lhr7VigCIGWHSJgfqDssg +RW5TBohcbcYeHQTEunSNcydfPUG5ZPTyUhKj6+Szf4fna3nN8NlbCxQ5G5QIrwLu +5iddtQFAHVBqIJHsXe+Oi1JVo/lkDxJzVJdXZc4c7KtBbyQinsquHCD0bJBhJeAK +g/x4erqmWhn8ZWTzJCuGu9E7uY4e4OJy8VDjzC1x/nI6f1+b7LpLSC83L/NzTwSr +wKEkNEoOLqdQp5r+BC59NHLMiKEreqUyRciQutLGRKXeMjVByPlcDO+Sc2XgE7TT +0C85xBbb5T2gz2zPzDZFmQD8P80CBGAhisYtosHX7GQ2gBTonoUcz99IB+n0I6Ga +C5t15/ixMojYNPMvoYJd2ZkFq+lCnzanq0nHOQNISfLrwgox/TWaHrLUzfGmJDZ1 +yVNQ1OcOqAjhrv3s6kEhKI0c0xL4pAFThN2bEgfFoYgpu//6lV2l0vAfokB+yCqA +2S23SGkdw74222VEQm3Ri9NUuAtQruK3GS39PP+SomeiKg8o8Ax9fwvKwILvcMps +r+GW8R87RgMie9N9KbzzxkFbUwdnjYMzl4L0gy71espOccl9H312iewaxvEOVcfB +DHyp4Mu39Fi3WF6tHdNkH2WTUwLrsmEba5uiWyK7zkpfQHIzbdV/uMbkqEX8eJDo +mo4vFQbF/cDGL2SOC4oo/HFc0kSwwg+Z1dLhNKaofH369jphEXE2BHqAOYhw+6TN +saqAEwTyVYtS1HLebjv9BvRqz3k5HZR405teg9Sqz/6DjhDz7hgUL8IbuT1o3FJ1 +a/vBUiVHDbVzn+BeOGiYj1AopznFVt6JNCHWZr+QhjB5iLiqvMbOtmfF5BrkFc+6 +go3Itx7iBF9k6RzmlcqDsrML5usMTSIlgQ+p22IjKvsiTJ26MuHiCYc5ECAqCzGN +YBdaE+V5QQ7O0TJRtP4EzEfdN/eOeITMp2F0xrd2aIiY12RiMmcmlK0rmkLPkq67 ++eVoMMOqeHjGZ9z2ujOWj9cHNVlmH0fUykyBEbu3IWmep28lfimgIWbEvtddwuK8 +TCXjVXvtYbtDo9Npz5VQ2pC0z/OF2YZN1hfsblbwPgJvgKnLw3BYjiZrv6zSRwGu +/W/cNCXPoOH9YpX7oyeEQyckey6ojmuTOxXRqL4mF9ny7ZbYUmhY4o/nihkrDuoP +1ElVd8nrKnNSDwWpkME3lDQCZdR8pNwwxu59sKybT+bdGXYlNC5pKsCzODKXp1xQ +4bBXA3qGmo5jZpP2DC/aGAyBc55Mj/NgVxCmDeyGiXPSe0fwbKanrfJA7GG3U7Gk +Bb6EfFBbK03uAq99vQMEeWEfuXcLbkSwennDJz30CSWAiAQ2zKQhsTsw8GehY5uc +1BES6gBek6Wzfk7U1WoEcvBPkDfoplRz3XJ8rfghtFiG3EM1iw3A7osoJq7BjysQ +EaAYbIpSl/gjVGbykxRJprYyGqFuojzDYL9IvXJk/W37YWs/ci0mBDuRxREuw9pI +6oLxyyBFBlnWO8Y8IlLXO2Vj5/SDd5UcNMVEucGME3NaoXOGu4VH5VejteWjYP0t +b4bfXXThWwDN1AgNTZNseAqlGt58rVZ4ejZcFpYUcDuBl2zuvDbLPJC20C+6gSyE +28IyDhUjEPSv8MRfj9czOn490QQDR0tyDwUdjn20VZjQBA9JNi9mQu5nF/dyhLVd +Hv6/ctuykRfRxqcp6EBbJlH/S74xl8Rlvq8p7ouDLjOnep67K3pEUidKMtvb1gmc +etmC6CUfkk0+6BXilhx4GRDC19Mk0KD+JUA8yGXDmVyLzYR0u0SeiDTyd4/pkCjb +7NwKYmkaaQcReuLf+pOdJQmqGtU2aJdxKt6BweJ0dYhYKfTSXDVGeGaSkgSLSwNW +8AwHMpO5K+q6+C5kafmM+9G1nedgSgxCdJc4caV80W3xLfltEvYraAlsdwHUd2Se +cGWUxNRp6Y+Sd00SqP2/HIpWzaa+zz22md/bA/VVMLRG01Bx5nfCG6Ns6B5Imxd2 +2tGUIAgDef7YAVMWVZTEwJpKgTC02f3Ct+q9z/qvz8ymcdZNDxS5o0NeKENrs1Cb +pVS+BAqdp37PsmkAHq3Ih81FeRQxN0NNSiNNu1iDhCmyZ0x24glHWJmY5NP6LB+0 +ErbP5uZGxDC6YepCaDbjmsyc0x+rVFrcdh4V9g6w+MTB4XTanJ7K/wz2JdyZvmIC +YKMnApbwQ2GImnP0ZEjaP4r+e6ogkwLuG8GuFE4SDmbdcbkUg//oMupKtV53qmsl +sHjDWjclIMhtg4RgG/6KZ4BypdTxTS6RuSohkMVXbrnGDHCMsQ+ZWvJJIaIayKek +4zBfr8AGGFUx8i7bBIclJmz9judjHxjvddyxdf4sddZqFyAmT6Z9ok/0jggo2arG +/D4VbTBAEmfEP+095HiikmRjfYnpU99r6KiIzDYMAJSE0rQ/Lizs/ZPMe5+Oswd3 +z6UabM5TOIjG8BI576/5zF8zB6uUHTUMzjepj9Y+SVzi2yutaydYROyb7Awh4Tr4 +NsE30wySu8Lit8Ppgku/exzC8Ugaq8bHa4qq2r/4Y0IhWetW5SYPjX12S71uE2tU +LktovtSG5Yo8tBCwqVGfx1Lk6RKbK46W4YUvP4rADCg6Un2NELvXpi0iy2KpVnqQ +2conUOv3hPtIkIHLIkD2WOUTW3BTez5vLI9o8Gtjkp49uyHHDA3x8G6ahIv5vxFB +PMu1lworfooJOBMxUYdvc/Zs6waKyrjJgLNcjP6/bY477VNRZQiraxAOHDcgYp9z +VxffssJ3l/m+H2c+EoZO0FripfjMovBVTaYxAHyKIfsfwh+Ih0YxdwesfoGX3pBG +mTn/KMOeqCVsD/zD3OZ27Mex4f4e0ejV8Qr8GXxArHpdduehUB5fGoKghJIgMUna +/jzEDBImXq3a9u4Tnr7qgKdrUu29qLiNOJlxaO+EMknZasMXuUsLF+I1I2MsVMEl +PVVjqVBwg/6gXACmTFW7eKF/Ah3AKpRTc1ox0yy8w4GD062gNKIDi1skd497zUoV +J+UoA4OCIFvEq8kT/5Tf3Qd4Fko41w0xu+u1nxGirWsQ2zF+aD5jNfkQwpt3Iuu5 +n5bAc3udJas/qMcIJo6D6r3S7xDc8EEGnwjwAMQ7/md1KpdsWJD4QJ5h/V+Qi8Jp +h/nLjrkSzuU8aa+eQ0QFJcKJ3/nRDixULUGqML07527gVYJGAN8DE2gHlJrZ8C89 +HHFgsjd2jkaQWmupc7rBUkPuoHOkWloLOnWcFabdMTNsuCp80MrkhDTv+Jcb2kcx +vpSYsUshsZFT8SORjZ25KD43msqpsFP21xjMUPxhItz/lPu4WBoWbjJ6CNaek5WU +iUTet22DPObBLIqLMvc6nSGWarK/7buQffpxGIS9wy2EV9O95BjtV7xCksAanB/n +BK+DHLaATF2Uu1AS7MdRKoDiLHti/bcyrUlMIhQ8txHD3vF/TOh3JJZgel/Ov5Fl +fO1AXJcP/4OWAUdNo4PfqCkc4vE3oca18ynXUnAHWDRQh7lOwaXWfpkG7TWdkFyz +AytKDPPS7Mvi1B4cfkNpvvyCsnEi6V8+TzLnSKl1C684rRxnCDlO6E4EcGObsAvW +fXmqF+whBlaWi/QonLFst0A/UutlIp2IIXvdRYOl9KVWc5k1zkYc+J1rwx5M6vT0 +6z3glKDjMbfNyV+XDjkVI1rCkLx1Nxllg4MssdeVznE8PolnsoNENiN/CK22MxCz +Zj77YPnl+9A0HzzsGmrxF0YLqkqQ1UwLYxAL3mcxd/Mr1gswdMcWySQbCJi7voiC +5H18vfzhz2bFgsHp7+8XAC+EpgWQPHkfD2sUFk05zDbsgHVPMeDaqRTdJtpJuFBq +RMnSc0vsZRLJvG+sRS5MPDdUted4rizeoO17lwq8qzrjEd0ndm7+xFnymcSoZxtn +1xj1lpNuf2FCxIrvCk2lZDzPQX9jmaFYXQxV+7wKWfdJzxzktDeFfx77GvpuI8sb +soxBvklgkICZRNaw4WTY3pOtwiD7DIu9PV22JhuK5H1Alo2Ej4sJB7ZIi9efIGdS +947Q/REy7afORiGwoLMNMeo4anFhG5FHSojYPjLYV6BHnfS51c513ZgGVD8nqOOr +7gt9IhzyRDaM1zU6ed+cmrL9lWCyNnkHDK4HCpq5MuDGj47juXEunQ/qL+HsHNZC +TxGNOO+Xp9dDBwpoOn1qZRGGDv3w+4jLwS/qM9M9F1vunDarL8HPY6u6yY5WGwXd +a55X0LrcvaYhWRn8d9h7w5LILCGBgXhXBkN2Dv+OwRClQo4Wxv+SucSl9NYlmIV7 +bskCcAFMr1vbXHPq7P5IxMu2u5cjRWOsoSM46YaGPvyxqeQpewO91pnXnuRNF9Td +ideo7TlLuzl/DSXz2cJjN/7xkR2L4zTJqO8eVngggfXpx1SV6s9NPxq7z1FJWdfA +BZEU21om/XsknsG4T02i8f1OPVqBueUtNCdxUO9VSki/ZEqWzCWHYK7K0TKtGj2z +p96xWsAHCEgToQAoco4rqmVVFtA4UTNlRjk57jWgbLnI9+D4zi3HBf7hP7OY/YFD +aHqQjZ9ZtrNfv9x1HspTCOZQUG1LP45YSwKhoOSkSsMZjyX5vHh4msaomUdWoemd +NSt0zP55hTeazPFnj5GJXIbO7hlkMCV3UT14xLxwh60P9euXN10MfVoeW2U1my+A +pU0lDcA7AQmw6FtqcMBYhL3e5dSfxNbz30FzdN8z8pgScsGb4bORNtwNZDoAIVRH +sIYFe+wthGlzDgofHqPwW9Va0LG/+eptdhufLpbI2YQgI0ZSRxR+aGGLftxjn5Xs +z18KN5USN+cZwN8W0XFoOlGreKfudTzjfGlkcuwbL9KUz/1GTD2aXgDmPyAOIhq6 +rl0xgJEJs3XGN2oqkrKyBd+uIdPexdxVhCMwWd6v13+YWKgWAHXRXe3gznxXnmbG +Q3RKKpUx2Dg5Vq22d2suiB/xdbYOe49MBrKx0E7VVQab9FRgPZT2wfdFA97T7Gmz +lFXEI/AMNHKBOJqSu7SXYaF5BHpPkwHkFKe+eZMcwsXD8O/ij3bmU4mhMtAt9+GE +HHiuZWNL5y0LJC9KkY45LP5/hiH+KcIzTZHcNAc9O9gpdTY/iB/p6bZf4zm+Jkuc +hvvMm5oNaGe4PBrQ1ok90sXCck0lIDxCuyuDNrknMkMJ/gRCUKc78Tp+T2YvRjWW +SWJ9RUHcdHWGVrJbe5lc1ri3qWKsFVMR3k9UbDA7YRgYIbthtl8QbIxigTin23bJ +WWjSx38fVm1pSGPFPefXBcyN5rl3813JrU8YDvW+1QpP3Pj+nnPRQONi6U+MlNfz +IG7dlWHjpcUOTxmUZUz4OuxW5E/JkU2/JgATbp9cYKPKPXSiekqXd7vjKex0nW24 +2iJihW5MbAyh5gqSr0JtXDU18ovs7ct97Rp6z+KlmSdYPI1JndedU7qTCR8+kLF4 +PUI4GGcIetWHfwEYl3Z+3k8K92o3eR8iUYJbwTCtneGT2oL7cJObYy9Mavwe2/Eh +UiXU6738gBev9ETqBO45v82tYIVALKmXRuwUj1I/PYQswAvP8lTpI9u29tmuAsfZ +UtbEW9Gn/5VMFc+VQDekRxrsacWhAU//TCILCXb+kDeIhIsedmljR6PemWdM3wwz +AYSHjGrN40pV9vwPRkARrhShpO8aTKcluF6USM7Ms6RFEa5kYvN5ANDzYgTVmFwK +7M1gY+WA9blFFiITRkPgHPcjlQd1wzaV/7gAcDzfFkITnXzjIRjp0MPOGLWlHhmL +d7dpmV+5+rQQuON466h7l/tjS9BkL7l2b5Tw2e8gFcAL/YhlenFlN2vtPeQywUsj +6Iqng2XYIXM14Kfz0oySFyDyHtbCU2YcuIXY8G5+CALQ5iSdt+a1Cgr//mHO+BKS +wTKXp00gZ1aEAlY+bvDVbRHnQB6lQFF8HF9ca9utBLHfNWRIhPWVdBRT4CVxsFzX +84rzUT+NN1VPJ6uYdsc33O/oLUUPkZy+zYJySsQuHearwWrxBn+aRcTZcmtvvBZ6 +KxKOKEmnspNU40WhjyU4N7EsDV0e5FMszjLAiB70gpNJ56QAkrze0BBDFx68TbcM +86RaO023BygHDdBg+okd/1LnMVGT6Pvt8iAs2Nn7savLlhLEZdRaHPhzqOagmwuG +i3DpwHb3v4F5IbJDJ498SwldqA6H0Ufs7nK6zqcuXOhpEnzDShKh4jbTJ0pXjeKv +mQtvPjya1bePoIniHlR8h1fyPFseGM1PrSj4dm3k529ZBlqCMofLliGW5irFDelV +pLbBeevTurPKlx6i7Q73c9Oyxw/ihrJZFUjhZrzvu/c6Q7oNzHr0cq3XZqNN3k5I +ez6tJFVLEqTbnqRFsMXnJrNym2a2VvwwLfmQDIodqjIvPqj3SDow0yUjmlo6w2Zw +GoET8DQ6Ylkj5AZizDdXgM79kUaIFtUB8O0IBn0raJAoME4z18X017E47dUVWZR8 +RT4/31pfPZjVrbB5+kV6Yo4tG+eB1SPz/r/VVfSeXPMhK5lyAYg4H8IO4ZYy6sNO +yZCZXjZ6q+xO7+oydfNHFpRdvkphxA4TJMyrPIEo4y2GZcpH8h/tVragwjaj5/AN +GlhWh80OxmUpYxw90o1wG4FKAQAyOfWd71nr8nwYwRZCNHg8egPt75y4skWeXonh +YfzQl4xLuS/azDzZQDVPS0m76Os0pWwKAyDIzihETYviIz+WfvM1Bl45p67enOQA +v7DMHs+xigfWN27yutWFWLODmCql7ggrp294bCVUOcfoBbPOS6xTKWj1bO3OWYeM +ppbX6Y+iNrpF2SHQ3rHg3kWsi1gSN5M9zw2agigo/9LSqQhtW3RN5Cs74v4FkhBv +i979SNloL+eGgwtc8bYRfGsPZJbg6AqCl7FmDETmxnG0sXQzlZ6BuBaO73Koppaj +VjsI3909QPKEJFImatbGawq3neWGa1pos/4wi8i8dg/QUww/ZcgGEz9L3TphJkgM +YfRJddiGGo7uS1N8iuSdyPkOMH4U2WQwMJ9qQUZS8zcKHjtbUcFJZxaC7fgRSZYC +OScE6bpr/vVNXmGRuRpGOpN0aJDtLIx5Oc2Zabf/XslT/wghfTfEAGLu+2lcyemS +nCpa6u+23Tu0WM/fH2OtJFEngfa1poDHZWRpb+yYioXlZnM0lLlNrh8DJPMEJH45 +eGrzuZMdUud9dh2OjrXyu7npApRkA0RjyVdTNueL+2wb3mM3ApopJz8UlgMy+XGx ++mPgym73ik6go2IxqTDlwfeKphGT1BMC0oW0f747kSFcoGKAR+maOrq8vePsc6VI +X0KSz6M6a9VRHOQQeN+MoGAcBUuFK5INg2t2L1wpvV47LeqsH+Ar60lighWCC47K +Ai51AloAYYYpPxmAnYkx8KKXgvGSQ0pBY9Yg7WDjDBUt9A81Tsv78LKdJsFpCvWV +CL0qE0UXOoiutBFZ0unVwEP4LDAiXfxZo7dM/KNc0SR+CyLcauQkgu3jV8ERLeKm +LKmxH6ji5TJZyCPIO9sxmx2X/oOLqQH6TXGdEmyb0wZ8M2wgYm6OmYV3D6vU/ZSS +vAS98PTALlpSK1D45V3YQ3ewGYT6YvdzMkXI0Jh3UVwyZpURj4griXGU6Ft9zxYH +kewkGWTsuasjTDCOXBEyZWX3IN+jwoB/1R1gCEEq6cXsTWsOmJfOMwqJy4IsCzj1 +c6sTdWx/mSA3UQPoS/SkUNQ4ZAB0SDXI9OrNB17MapPoQ7WsoDmX6kaRa1BCjnsE +jkGxnfLfgx0V4GV1AZJXwqvV9JH5drMHDbh7nMCeU/nTWLSidBQwWmZY6F2iUcnK +DfduXqeO3zJxyBg/+jlQjZgN44IgH4p0q5+BupIIGsnjsCQrtfBRHfKKP3rDHr4f +Tbjnfjgs7hmoSHvYwdV+usTVNxfr2KlwYHPYFuC6AxYX+dgAK1q12yZ/Eu4aQ58o +8unjKtfWiBfhRHMHeEv15Ax1/KxtsQp94dJcZeVcy2tMozphE1Za99/jkTmqxWZz +kXsOHnksfKM1oACQDCtgMYz1F+raTcDYO41IAn5ADfYRFUiByoS5pxZHizsRnLx0 +4Kjdq3y1OP5XunjkuAAIrskJZifgiUAu9C7FAHjPXV9XTDUGkBFZKky6LYQ2WTvw +Rb0qVz/bXb7KbNDccRae3KZlIkkweP4UZb4vlou5MUO1g3rZ6FlcmfVEd5vaQ6HW +iEAoz9+1PBr4hWSs/c+x8iCjTHUAJYRQ1nQSsvvPVDNZ9G+RRLwVz87zafy3ZoP3 +7pmOPbGoVV48g12MA14Bxy/JP4mKc/p/kZlIVUr5SIfxDSVGKJv6ocIaa+FFMT+I +y/OzD5Hqor+ker4Uo8nGbLDjLrOdRa4Stm0/Pwz1aQGXG0HhtVSJjNkpH/1gPVxq +HWKpkbhNOaaL73U8wdtDfUzlq2I0lJgEb3Z6ZpMb49wlLCZSXUFGMxc1DJfAwWDz +3Bs1ynhf3FQT90HRa+GJh0IgaaokTF4ltUnO9YE9T6JMp0MwQsgPbMkKdxe5cdXK +3v0CsRLK6oAbPD/WxpA69gpjlXMLp9B7KNzMc3rqPJacuJ2N98My9owi8dvVPlbi +pcEOoX3FAwLadA4h6z+KJE6vUaDyRiGdYeUURCjtXSuYyfxQIZFpGC4z7xYQiSKL +AbT1IDqUinbGilxDHnA69zKSB+JFAFtedKRK5T6O6jwQoMgLj84tnqRpHyylF9Wg +NwRST0nuka3xhS2QCMfcRA42fkOBzSywN0MlFW9+dGrIRbjmzxv4oa7F3B7Pr2jq +yuv9jHwR8uxfPd/UmJOJTqOTdXaObEWaymoxrqvdobhwWwwv/9TLCHs5D/piktfn +9j8MVfXmQoIC1WFEgG7/P9FRshByFQn5HtPtws1viQJV9dkMH4vLvA+2wh5epMcF +/oTtJdpSqtnxqzUA7juC1mb22nzY3t9huYR7cuYcuKHPyds3VCFGd4RDk7Ud+NGe +Ymm8UwlM/Vf4Bi0rTHaXKiQw1cmTByaDvLeFhEjLRS6fJIfrq5a5wZg9SAOPpIYN +09VKDEqBvFfhCX1LUr/bxl6XPBnSPg8+ee5Q+GpwItEqzTyk/rQJjzjHpKYsH8SA +qm8kAut1LlskK9Qt6Ttzj1czw/F+zpe9PXgTPKrJho1XPNG0bT+NAdmhzS1d63br +rvqrPBMsWl1WATJFIJhdU/fFNp3ZCIlEZqYJNWxaRKLdw0cr5kTfme1jQa5H2roT +5nKkeU5TmtIbaz9TCXQ11qULIninplYjZL9cSB4S9MXsnlQc06N1mosVDQVQ9y5g +f9nYZkZVH9Bt4WQVqiR+2+m7ComKH8/y3r6ajer5xRLT0ykP2nGI/Pwk1qQsvEO5 +G1GXPB97rlTXh1PSVcpMwktnTfSiSSZG/bIxNXBCk72UnkAOCpwk7IbG1H1CQv2P +iIDt9bOs+U1++ZD2kJzTjmZRDexwY/x6cyXJP5ASWUKRQb+olDHSdW9oJhO1KgxM +gQrqtw1YiWqqyxnQOhJvZ9pG5HbdfoYqk3fu4TFnNmyTFKZRbKJ4xNDasnuvy6bO +trWpvwLV32TEQVn+YPErPEWjwEPEfjghh1QAMnPgPcJiQwq3k0ek+/WI2UuaCyE0 +nBctYMafboyLfqp2LM5uQ0jHb09jM+KUzyYAlkdsbVgZ+bP782k5zFeLyFHB6n/E +DL1H7m5gbfPBvxSo/u+rf0Fxe54DNbnDU1p32voLAbAALECbJCSNCqY/nNrqThCG +RI0evB8ioLHPCK70Z/rzKDgmppWZ6IflN9UBQg3Qo1nT45IMbI1jfKtgh6F5Gf2U +4WChjziVA3LZ3YxTBP1LRyrhTrHuvuqjc3ex5I23h2K3m+/7LFls2HWeDVzj5hpX +AfWIZI3MXLJCJGdQV454I0clwyZaj+9QRaY3Cfrms7cqH1nfi/s9cYWxzuFX171r +3pvZDmabP6vUpF+7sYHNp/N7kRiJz9X9pv2Urv58ogiFPnmrbc4lK/8whhor8+S8 +YllDohUylIXSu06MFOAObhPYpMWfbGHItJETDQjfyTy1RFYk8BB8fv/sY7otqCaY +SfqErKlVo2N6pMim4Z6SImZ8t060RkSYx29ZdG68HMGQt24ZgGJ8pKBNEnbNA85r +xKmNc+BJYy+2JpknfD0rqNtqlzTlIg2Z27Cg8FCENtUhl5a20KuEYRfxNAkkhPBr +IbuI05b25CC7fSmbusQ/lXfMJNUMvwOzN5XbOZ32FbjZ6F324DR6M7h686OvcBTB +QKKZzimX5AIb73VMaqzBtxUxRNLozWxnO4d0k+8hOE3L+PvbWoQyYaljoQKtbeXJ +MYBty3Z2ZKfr5IXcO1vh6uFAQC3DEWoyAB/YasIGhMNsdPLn4BKjzDh/RWE9w7R3 +5bIoqMkUr4GPE1w7Drkq2H+ZLKYt9SAKq6NL9gvZ6gkThoDAVPVWaMoIjcvGIt3a +Bz9wTYeWys6tzbBXxclESz6L7P2QiJQGrfVR/GeconXaINod1JwQUSD544Lkqb4D +LmR1omrT9wpCbF3cFaNoJ7wSrDcTbR3xWvNUPni4tiOdavlQT4fFpNt+XK2yF3ow +AbxJ3OTQd93G/aKxUpb8HKrV9jyY7C9BrFkN8kJh0X5KmOYkBZc+0WqwCPuP5nYL +1SquD0JBkXRS6WpyId7urjom6l/jGYoc75zOG4IBrVEk+7mk4QaUdegSx5VoRlXq +v40nNPCSin6cVQM+wHkxZ6ZWQ8iVOans/WWS3JF2jFNkZxjriJqmxQGnGULnoZYK +z+4BvEdq1IXHTjH45QQaCL72W9JfPZhWZhjNijzBczswZPd3vyWhRdManPPnZmi6 +GyAner4CXHJlpYtoJ/yTjD9+HsBCpbOqBu78imtU2hbKXkVAbY7b9jzb6Klk8Fv9 +ZV0x/+MOyH1dtaGIWIrZ3t24dcO05k/q2QySmoPbYcUweCyJJoIDcY8ho1Jcrfxl +z/nKJAD60V488GKPWd9MwL/qGJs8VfaH0VvJDgeVV+jJxG5AopCv9J1fEYgCm7gS +XL5Q385vCaNK0cEw1Y8ZdwtDpMyoV9D4F0L5WmXKzyz6GwmpXqypyc5EjSN6qkvF +oLGDAp7lla3tuYEPvYj+HqE5dC/Unpyde/a+I80mLi+aro9Mgm0b1pd7pCM5lGk+ +J9vHI8I+kdMY0qL8zqGHqgJQ1GuxU+uH3AFFa9jsqksYOdvcyKO9Ow0B1Cw5veKC +7JxY0vXQBx1+ZK4rNoa64CqhTiBi5emqe45jDSlJnQTVaf5cMlS24nNTnccszur8 +ZIkjdS+1bIzN4CTQ01JnV2oObKzE+nC5VTMwPrFw/RFrFrgWkgVF86CfMUtzfYsW +eZQCHBTmTV2nSsDPBs0hSa9slu4PU5rWUYjIyDX7unMvGfVtKJf/Mh8cVPVwlpQf +79i8OBy/W5il9i7/trymxmezYg+Z0qS6QJcJcWGjg7/aiGis355tX+SEPQFucAsN +B95ViMO/c9fUr+LdE0IJ5iqx6edfzy9H5UYVL0E2/0OfWkVYOJYDfJE4hxxhnnuI +6DkQ9dTmqr9jYwpFBCjqF+/3YOyz05a3O6CsNRjs1H9P0RxHcWshxUkVEXohsSbi +xiO+umZmnL7db/P/vmQ66rJFNeq3NeYVnWKPoP1XZaDhuvLedW9wzNV9j1+SPVla +Z2s6rKHC4SpeIpthFb/EE8gJQ7CZRwMUQiShI9GwMFAc181d2HUkiqhk0rPs6uoG +m55ptdbFs8/n5+zRZ9ewrqilvDhYQ3i5oUIz4iHBbOsCNlTNStVjyjNlyfJDLYiI +UIzFU3Y0D1OZA0A80ZAQHjqD5Wmb4qUE6m6NgxEY0uUz4FS2XnLi+aBvNLYOYUgH +JkgrYDoRrd2xTSspnnOcSW5zcgksb9L2m9+pYOnHeEa/gTNDJ8pfhpbflsriFab2 +vCR9FBelmRajBUa2HgBvxOBqQp62+vjlhYMbbq9EsWUM3weodeCjdGH85VzfAQma +lkE2aLfTqo8uFWC3ahUnSIaFz8S6QfloCW6cMIJs87YW07DotIEW1BNKdQxXdCwb +E9NXYVM/+cCqpPQ4e2TxXy3BkuNR5rWjpJLC6xYyq+kUAvIHr2FpQy1hjT3bAXam +Im2rkJX7wArzrAEymrhgrw5KSGL0/zbJ5y2OPo/EJKba32797C+CxkwEX9JqzBLW +8galvIuFwkfEYZ6vjTQng5EmTn+3hpNvY/jZx0/bpZCY2jaxNY8lAAzjJ3WpqEgO +0DSnNWtQTwGjyF7Z5/7sZ4qJIhnSyEnPkEemhXhK7q6chAXnE26I/I9gcvWK5WU4 +BUJitXoaI9Nwj5kpqEIGRYvWch/jshlyQk2/BqkYbFPDLnsLud6S8DzKAU8S3qYm +HrvfE8tQTEdpBNmihPlQNcTNmBS4BYPQoZ7uleoTqNOLDfXZHHjYZ3IFUfQnB7+z +sphJb8qXKQx+d/gKPuAnQUgyjysYh8zBaULVVMM3h1nmMn6L4G9bDrHf4eV7LZwc +n3tIPJ/8uYQOAJBavPhZdpwKQweufHHd8VJlf9QuonsMHR3yfsSJa4v1831w7IOF +3I4q+i9Oj2Fg96rr3ROEgaL9dzs6P/8RaHDNaBJr6p6V+1KUNBXoJVe2doQIONlC +8w7mjHjpXbJ6wSr16M1uDS31gVMUxWH4coT61Y72j00mac2sbb4TPLOBdzOwKMxt +/mc4Km2P6bYYwfG9uEmooz7JwWx5euFebcMLKJHDa2ZnKLxdDnZv4efmBmMyDisX +Szyp8NbukOfuYbJSum3WcdQvcmkiRh7WHXwycWrjNVcGWt8/MbbTCUTFQKKF4Kik +dl2ujZBrpSd37/ftNQRxiuzI6NRsnI62TslQ1i61UD8Jabp1KsuotyWcxteg/Ggv +xZUxlYEAdir//apoO7XYho20Ihq8LIgMhiW5invhUgXsoVo5owYf58Zv2goWrUwN +IAxDQW+HITzWWveNPe8JZRVA+JNXgXsKVjCnwn1tFRapgYwv5nBJ68XtBd32VtGH +rhJYP+dRq82P0jWZK1kvGXg5saBJnqC+TUaGw1ZZ4u/KNDkuQ9AMgaQ1+8TClexC +mwrvtZtznaGqYFWR0BaUI5fU8JW1st2H28FsdhtR3yj0KtXcsvCncgX4W6P+2EAy +m4DHnKDOe9g+nqLdDshnW+Ax22FUI6XX+8LeOffbpv0R+itN4lkGbWtHKtqGpmLr +LxhOwSEk6WqFn3m1JnXX9eKyd5JPJdzuXW3cVFee3zocMOTkQhdeR3M71ft6iitm +SHMog807o7L6jMNetFPl9zLnhaqK7PZ3tnKhp9kEvNV2WbRAwdD5mXQOLb90IGLA +1PbeniBxbIeRgb+sFwV+IQYoCYcaOuLoE3J8XxLHmfqj0suSUN5uPHeterRFYO4+ +LT792ZPOvWKQ+0RsGsfK20FEZLt8zGp9ZLx0BysH/gvWeg3uMtSlhAoULFWx2R83 +N7+iFf3g5JEw7tw7zm3J3b0mKHZ6X5Sn6AXk542ZCHOWZX4tx/jto0Ec9ikZycIH +xT4OHOhKEAPEQqP4NmwgwD7JO7KQszV2cuw/NUtWBpl6QKzQWVvfanWqEUtmrND3 +UvHM7+L6zmzHuoRiotXE2LanIQNWP21cVr419d4uqqv+KMbdhaGowmdLnPlim7OI +Bw7V5F1ATP38oOxDMM1QFwMlpjjnOvCGYifaYvE9a5yINU+km2hhgzBNsDQAQwlu +8fELzE3F/VxQqy/ugPl2PiQQftmNXcMUDz1UGJB+LcSVLH4Eig6BYRkjN2n+6X0X +Q4xk8Kfpe+FjXmYxwyuHgKtKMmaiseTOqGkbbbRGx2t8fJhrBeRD5p2eDvTcUwt8 +bkCd+c4Y3dnOGWtkufYuEv0SD8Y0oQa8+6eBQV5MDbM4h6T2Wcd13L9LSdd+ALdN +u5UYkF21qqd6mKGjEQDeNHo8y0H4PHIUUgC9/PRBwlUL/8DrdXc9QK7JoIKzGVlD +PVkjMxVvdZy6KEEuyLbDy1wJabj6OI+pDvl80BH2JXU/TTcte5lHYed9W69gq7lf +Eu1SCbSXT/zsv8XKLb/3urZfPtSC+cZjMRdiRw2KlWLlytAAMsKBtUAurI6LJtIO +hoU2sl7vQRF/9rivRcEk4ecYZRgurDFe37Y6CuIwmMJPf5hYOCFfHmW0RpV/mADA +RGNfCkPTXn2MKFHsU3IW6p0h8mcLvxl3I06SKdF/nw8ZaR4DgPrd12fxBqvWr1MW +tXMoiRlypvOV22pR/zurFcsmYg5+pL4AZlvQPUTiUf8scKPthVWFBapsiUJCeOwi +LlS4sJ/Q6fhVY4n4b8hSSTNIZPdTgnzkKO7H9u09DNkEmSqdhmfwqpve17Y0ugkl +PN/fgnjuoq9ymA63WUr+fT2JvmVlMuWaA+TpI2WrDeAcpCHKY5bgQjIPlnDkYSes +Ipp3VQSF8rtB4aTRtuuG+zvWk3XROoY8mjs+mtZoUMVkDA8RbyHokKNWyapohD60 +/B8cABuVpgJALuC5vVWVTYmAMO84LAU0p9eZKBUrIuF5AFSPpDpz2nKcWbIKT46R +u51ZBelRcnkVaSis4PzHgVt05u4C1A2UQSGJNy58NeupmJMAWJJn5EWLiwRJhJ+L +dfvRYocPZW3S4sGIC8jmMvjHs+PN+SETvAzFg3iOS4+1+jXcj3OzLTYGHTwXTDBu +mgkur0Ia/XbQ/xgRl7Kvqmg3lPBh1Z4OO+NAwnHqZ7c95oaPswj8aQBhTMY5CTXg +V8/La5kZ3y8IR4vZI4C4PMMV7FHRsIScIesFDgxp+lW1GDE5yAjJQlTBKkaBR9wZ +bn6+HyP2hmgszNpLpAPrYi5VaP4v1bNICDgat8VrqhQNGtIKg7IJpcukPHtNwNZq +l+caScQ97suaRW3zizZ3LRZq5xmcYJB+E8Ka6SH7gfs3jzHJNIGz3/w4yMFDM6As +jLzt6xc2dqjZ2jXoEXXwuVwVT75IP7qPSLw7s7gQDmSca831eEjX7BPoLqBxVXA2 +hfijXuvkQg0tmIs7T5I7ScyYzaxH+yPOZCqi2J+hF5poA09yJfJAmfNLDArCUxL7 +4JK4twJeq6jzK6UDPV6gn0CtwSW8PH+MkN6vowMY3t7OxZNURsjF5cVcXDS7fwvu +BLSQ3rdMUN+1Vfe5DqbOxfJwq0dtsquO8f3eJ7xtDJUuv5RUs01d8kP1RGYOsKaz +LcvykhMpTpYcnjjZ0ZjzLMp8msBD7nAxrDeMJcj+44njsBYLjRd1Iw/xEdY+rWqi +pY4Ngu0qMJ1hrYhEAHOR9R5YbpH4bPj0TizruAcNgEkgViyuXxJsLPfBpv1QYXC0 +YIWuzHjIZ/TSVKOUjnbbwaTsk+LtanQlhSPnnUgOtOBa5RpkZHd5b6kUV7bdOt// +tbcdDs7M4xIIw5z6XYzo3Zi3Wqvj3SPCt8o2GuY1jzR5kUewltijk+lGP7lWPgCB +7Rc5HYgQSurP6hUZlNyl/fazUOhDaT9myIhRG9K+xh4LmA67PxiPsQfhoD74licl +vIr1oW/YtF+fVlE7yYTeb5uhlkvqg4ZvnrJP1S1V2w/pMZ73o/YaKTYwBhCkXnfl +wmThn4bJaK3DtdGdwE/73MqayDSvFFLDZ5GwmszL2DEOCo+W9S0tXpU3NYLZ2Htj +KS9J63iRngsNGwo2avsFKIs0TeFUYV8ZhgPtDU/qgQ6/RjwYD5MdLgQHRFyP0YfE +IzJaoYG0r5QFMRMCrxpz+Bmztp5u/AM4FCY3966UJbv7IXJn9bt2JVC2cssy9gmP +zeEBbWSs7ukEIhTvVcBkLId8h1Ivbgcnn7SOKmYDku0z9zd3HUTh6t8V0bkuzoNN +7dHwDuzTBe240+pHeya/2p87eH4s5CQZIUh+wr7T4LV4AjYmq5flJtVEkWMe47zN +FcJJtYKSllSU/FTM7k3ZDqvlFJmLWKES5NVeiBTF9O28clTgb/fxSZ+yaKQL9QbM +ejeEAPnNpmAen0IEPZxIaNGJZ2lKfBeBHTsisqT9DV0b0xZjTQGUsWdQRiqAZAF6 +BHRMdjbiANjHRjL/3Z98oFok8Mp6RFTqjVt2/ocR3cFTZu+NCdwZg6a2f04QDOiE +KXNok/Hu4iy8vlB3RRdBvTjMm3oe+ce3J5LiSqVzqfEe0gcvLFJhmd/qjHbccEx0 +6H5Qy9Rr7lroZKJFcuaqqW/XRC0m5r0xIl4mV8a43T5ss7zyh3LWkiWSpRNf/0mq +al/IUklSeSf3WbO8aijj7E2Aabj6E+ncwAmR6fBhsGgXdNxtkBtfqctcKr1XJhC+ +F2v7Swuu1QtXXj6R2teG+AtUXO2fvdEEPaE6ZLPyIMS7U8SAm8z7bORl0+CFLVmX +OYmlhYyXQC8NP3xJniIaavhIs9Sg1cCWbMbhqf6v9L0ZZ57ivYO2Ex7XMUoAqqiu +Ba1hsazxWvYcHBucoh+pmyp7vVPoOIft9AVDsLIFM9w4263IAjEEgv05WtEkv+BA +YKddVxvEaJN1i2hx6qd7INnZC+2Voxbj1tTmEMiyr2pLaql2b5Gc6WnfdEl+eY/U +/L5BmOFXvWzve9xJAa3Z87GID36s0+ng/M6taCQZZulSidMtcBeSOYxgTtPj2NTM +EL/s3DMmkNf1nx+Tc38X1itkkjQL+5/j3gtFpLntUwbouFQZz+NGoRB6PssCibYy +oRN8NLUhQz7Lj7c1U3SOetIvD74vfyqQZLa9ckzyepFHeFSuB9jgZNNeMH3ea0ad +3f6GjDcQXFIpO1roZ5/CaYonUWdyFu7FFsz61Pg9j7iJESWECVmWh5jB903qEZpM +UatGvwXDiI4dYgtRFBiReEVsv/YmoHfNL1hRFQDTRVDsj/JuAZEtLrev98uUyBmM +5XQJi9Q5xjK+gixqGkN2Fp11aUBv/xBJbp49ao5Cq57TJdmR1sJJOcJh4Qcae5hL +QGjT0w6wsolwFjG/AGlfHCOXqXSHPYkZWOvqt/1seD8C8mi9yEj+0HBkZgmqvRCz +spXbvr6kzGX+mO8j+QEYgouwFstrPYMrHy+ZCYRdV3UPp0xkCVQbVZj28LfwZHpi +Jio9llAlTw4fS8qlsXVqAEVIsU5aa9+BoKWBiMilTKH7MROrrFW1Ls7Y+jfKIEws +M1TxK76gWQZPLMXPibF9M2yGttJGSHTTFHhWCKEaEmeiIHOXylPsyff0cUBwv+q4 +3iPBGEtP06Q0UfvwMbEFGqmoMgcSGj9Iw+jeR/9JOI88SwmQ6Gf3SXfv6zX1FUZz +pAoauV3kVG5dUseUpLQ1AaLpY9pJQ/M8bodZTNUGGxhs740kE6cO+OmlzmFi40X/ +CgT67vKtNz1NEOKoMSaluJ51SITJ1zjRM3FEFk/Y577E0BOGkkkCgdEBHX2lq6S3 +CLH9gUAJipzjI5ofI0L4aSLpN3h31FdUopinsz7zBL6Kwahw4b0w5vryCZ5LlqtM +5G1A/qP4ghWtlesY2OFk+hw/VDARFcW9OksqnGRjxQLN1JM1T26HGhuDV6WxshVA +ILW70hCrNbMlCoB3UgiUKd01/TYeWfE8dcNOhUm/TD50/KTV+/sQ4bP3z6JC+nHg +blIP/wSRauFmNy7x6TA4Vk0M2CQuZnKRfjdA+WnqeFr9/NegAtcdBx2QLBqQxDmr +fcdGQT1tL7dzyDFWkwhpU9co+SOQDSARiRrfgXQKwyMq/jcXDLsND+RoPzLQpoAL +3lFAV6EylEr/UdK9L2c3LbCJaVaaay5Zitz5COcMK1L+8QYOFssQkY/f3HYQdbqI +iDuCsU6Fh21rvUuGDRPD6jJ2dNx7J0f3ITX4U+FxMB+Gn1U1wKF1ptEqKDJBmK9i +9cVOF91irdCM8Ts46iBiPytqgzjvypS0JnCMVd5skmYfxwHdcvgKF4i6TmpJYteD +NWX7hEqx5S8ocveNA+plc1tT+J0VWvRd2fW0lMfhJoZMKMVf/kKAG51dUQX9G3PB +PM60xF2d4WiYHwe1R6NJr6zqJL2bwWV3Mq3XnKe4raJK9t63XEEn3NenIeXXH2S8 +QVJM90uytPksACOb8oLVEYTANNG60dtGw5NSTz/wR1M49ex8CQw/PRr9/ZVbtLtg +SWDIFugZXPGMCzZZrHIQLlcGT7HE9qzQWE8NGDR1yPg8yH+PLPdKuuxg4NGWlXfY +8ZChaNBGKErSfQXj/umN28tURLepLMM4dI6dN+Np3sHGrtlmuTilCoDG8Ijk/QuN +KaDBYcxcLc0W7PwdRoST4M898HEc0zwg4O666WXIVfUzq9cfrhIE+3rnNtm/fgb/ +kg4q5ZlNYNlVtISuQKtMss5RLR6VyNPga0IFZrO5dOyIQzznyRdBflL//9Oji0yL +0rhqGNaT9c5RScC9k7vSxg4F/RsyiAKfNo8lOQ9d0ou4Pim2dY7DY3jL5MveSUgg +F4gZ3rrt5GC6wPQ6qLouh9Jp+mafVnNXqDmnY+3Pwakpv+kTEv5wxrDSgxC4xME3 +QqRqYedWwtk7hjgTEb49WrY6p7mDNt0kL+9RpBGI4KCbk4LkX+XH8W5RorLaefto +3RgnvlTd9T42D9DxLW3MADoeZbhlzY1pLqz45wbirs64XS7Mdc/bpGg0rQ+pQvAB +ytXNQINsqmjjPdf2VGPSQL64/iztQE84JPNQ9wr308M9u25bWf2Cg6chWH3PI3kA +gkwiiNe5p/nq6qkDm4M/67Ibq6JFpCgqqGqgdgsJHkwGV9sUMtK0NLqlk30uK1VA +3aQNVnIQ6gwvRa0xpJtkOLFWGkED7lNj9GRgwnIj2Nx9HA7JYU6DXQayJ7HCI/da +Uxg26luUEWU5w+wJnQyA14G1akGhKotpHB7ycm/ltpcBYUaMNnERTglAvb1s/39V +t7BRo4tRQ2cqViujjOsIpVg2Toj2fEje92Le0zxZACmkdS04byDohCo3QQlRaSQK +nIKIO9h+VYxxoh+o26dxPJYDI1PLhHDupTjeKcA1Kn9v6XXZud642fW/GvCYuyPb +Mo45b0aGjXa+5sd6RrPIzuRzoF8HKXzLQJkZfb0CB5XQCFcBLzdP+L4tjM3RB/4L +CKeaD3QBLVo5TV12w7cl/m+g4B6c4cRpJcanyfrgkes6TR97yXiclQAumw+Ar9Jl +Sv/1lCs8dc2CR1QAXFAzY1StXLXW3NljFpPid7LdKB8y4xXpWkszl2PS3DAgcjcJ +VDqoyFhIXXTRPGn27kSYcfOw0AfNXEu5GG3+zLgG/w3/twBEI/EOncCHrOIaKhCM +8/58a1jybkJk3/pmkJKcMVorfFwkf9mQqc/pqStcgSFkIwFZyWBANZtyvg7CG+Ns +ZA2pclYEdwsuxTCO4Fnt25l7dWML8fxIkxrgDEvML0uP3jF22uPsjAGC7i39H2CZ +yQifiFLLq0VrUYzUQ/5/QpCBdnW93va53PR5ZJ1HwyPezdotPwel9d4YknZ6o3SN +jcrhf32Es8W89Wccwlpa1S/OFXhXK3IylFVNRgEeW8fI0EtyDSr7RsTWT18cePrQ +NDz42c16I9E7Exga6+kL9jtJLrq7Us45yur6WZzSwb/iGpIv8xBRCxtmiBIq6RQK +cUrG2TniiubZz7KWBWlshV+jJBCmypSuw3N4PeOx4Jv/PGCCyWCXIAXfX0T4k8yf +75DeP/RvEmpsPPiPcTmVc8LNQaIO65YxpAdvRIHC33SjKBug2M52HQ8iEDdQjSeM +sXFBc6QEQliWUbQUHFwtYmeDMQJapn1BoXKUmOVGyANWOyxZY4VbN46/6uFqdEKb +raG8FNYyytWr0JmXyV6TyxsWqI2hH+3aWUwcBFQgVumjDfCs/dtddYNytqmBEeFa +C4yLGBHCe3B23b4O6byfFN97LOsXcZPTyUIFXvABXg7YcpU8JXnvKVedwQKzYkCT +Rzv8kggRkR8oBWcpgVFX8Zv9Y3fN7RtWZ85pszvBEdRGw1sPFL9FWkI/CmsVpm8B +nVGFx6N0iFbOai9z0CKF7nwZzoX1Hu06waAFjvJayfHjyyuMYyxODPlc6MKq+jmq +tA15gw2dECvC0UkmpQJDskOZc6BpEDPrBNdeVVdo9h8xhrnjObNS2dIYnXccmhLS +dhA7Tkwq3vsg1TUVz6aQGb+bbt9Uj8MT0TL3crnRDR6cB2X4Ax5/FCer5v8zPXGb +cdjY0G0k1NQPlPM2LcwNh6nBAPUYlzdhmRRXBKd/qj7Hdv8c/gX6LHdFxax+0AYi +sFkYTETganTWoqxH0EuX5hxphkyUr9nkyrjt8+gn5WXSDeisUUSpllGx3LDBJONe +mLSb3k+jS9jLmbPsDt663d4NrEt9/X2WxC3H4AwTySjnW4HGETrRyF7JKaQPhYgY +UGlP9d8KRWWyqdAI70qKky8KvWOONsekJIXksKPiZjWN7myZw5fS30I3LpG+55S5 +3ocvBWd2n4FD18w9yQcR8ijGiBR0/3VwXZ+qf6P58gaUI+jAGpePV/ECBANzkN/M +jivtX1BudSOihJvt0/5f49g9xPRn87OXj+7PMkLuUnH9PavOhAlkcIjEX4XSduLU +DAjeZE4iAhvWEZnJVNIIUEX9c4eG1qP1FEdjdqmXZhQVOPvJxuKdSRpM30PrDHXH +KK9rQJ/Swacdv/5CP8n1MaoKCbT24P5kn+C4NCKqlH7kntybtVj2smgw3s/cQDCm +MkJrFqyniJpieGzgcbbwcX9Tsx9GNAPU2PW9xL9DF+jd5K5j4sgy9G6SJHSB0CKe +jHf4cwF7nrQ0tkuTemEIAX0ZK19g2JRq2vx/M9j2+YtBE5f0piJ+gnuEkOvgrVmI +KqB3T0Mdk0luYi0WVl2dx2ZXVMbaNQGXB6kQ4+t6e1z9NJO7l5pVpwB79OjxO3sY +jH3KrXNfLld4wYWy5FIcT7FCoNCezr00VJffBRelJ5uQp7uMKWfWVB7UB1nElgUr +ACAEp4El3dKF5MFx2LDIKDSTOOeNcPKVFKmQFS54oECbfCk7DAjyVOrZkY5nVxcZ +Z9bMbIwQ8lLUOaa2JNLwa3kDfMAAS9iFhR+3GRH211M4bFFW/rUvvRNwAoyBuk99 +RNTPf2SZa5LdohGUYZ5cVsg5hPh3p+pm0jMjP53SM6OE9KDUGNIpgZIizHb7bI7Q +tnlfcdnVBnF2QhGA/N7ff/psjVHtvibIwmbR1+fQwBESLFX0VKllIA4+/EJkAj80 +dtK6BA95Wd6FpRT4z4aA1TVQtP8CX9HqGfoPaABxUaUZSc6Won9G7DQKP3Vgmp/6 +cxTkbL3AVXbnFdYiTUZYSMrlFnXQPhs4kpB0A7liyF3yKt1pDxaYsrhL0YLIfCxy +2TRoUzGxQ9jhfCaex3DWT1uGbhDMwVpaAgmyxtcS5dORxm7c68MpRJQ//3ZRGQk5 +oi1DuibK4g6PZcZA8HsVShqdgszTYkGMi1wuGz3OPG7xQ29lXNjL+fN4bvOvoc4F +7Ypt38YvZdIS1roAsH2HLj+luhFxhrAWNmS0JOEKdhIl+wAwDpKyVCajBTqbLfxK +mfUOUU23n1befxEWLap6uZTs3289w/TjWAn8muNofOGDFk21pDi1IEFGTZ9NIujg +y8aVeYsZY3xdhE5nHULRAXT8nBUWZ8fxbPEzoCCFZ3tVnGh+DNkjh3n+uFisjQdh +8mmeDqOl3nrqsLhiCJwlqwPj8LmlMiSqeg+M5r6CUulATDJ6hp8DpEbsb58xzUI1 +k0LyJwihRrzjwiPNBRENTuuH0UuLmZOIFqbhDExYF9wr2cR8NfNoGO467q5EFdhw +hlEa1tpIvqgXaoa5No1nidUczbszW5I/ly6Daptc54Jn2V5iuqzsAlhLicS4ulNu +kT+fBIgFjVcwnBYiZKEJqmCg4exZvs9OELSDdH4sWpIa0EchJG+q6lyQH83Fxf9S +2F/RECmQ/13Jt6rtqaXmCEDoGdtFbAUeQNPOoVR1BVBeiGEGLDF+bS8RzUbPQ+3f +dXqf7mILNVW//wlfrGWE16zhLhjqpzLbz1hYuxExsFyBQ/b1ZEzCAH7jAKXtyAro +BQq5qMd0me3fEMhKGeivELOeyKcBuJ+kFg7upMqYDl7RNcHlyU5INB2dXXr2W6LV +Y5O4DYqn+dzSoSBEB2K4gIXnQIjsiSifwOowdLnYraDvsD5+ZGh5AJJN2tQVFp1o +QfvDumfl+wuUc0NTwpZ4ZaI/985fFV36JLSCInnepqjdGP6oTtWkZmQhz4XRZs4Q +6Zz9r97SxCwcpPqV97mpjJXv9IiWrBhJVrgKDbZx5vTSYNUp0xh41x52KZxaFLTt +JSwIMmt9n9+Kustg6GKPUxb9WF/9AvSyYb5IMtg/uvviVfUnzej6+gcMfdK4xuKh +k+8pibG7EpjuFZnd4KxFj9AvhazMIJTfdv5SRJx0CkAGlSMwT6TAbEOphtyUclIr +CQ6WwqpM3A++9H5swVYXYr8jwsbKBB0yKK1T/Q6F5/VwglaUegwKjIzTwIKs4gsD +MVAJaBtVIe7lIMd9YhR+MvUqEBBvVui/5IGJ5NUjF8FoEdPKm0P7bGlKem1MmyAm +z0v8X/w/cqVTZVoUzYq5wFp4549FiYGuEsBz2iHq5nYp68c1inmcPn0i8capKGpl +zlwE8e+IvR1aLOhdqjwTV3Rog66BiG0xUlFWrzkrzRdDxVgnWiB5EHhUu15Cx7Rc +wg+Al9Zp8Uy72rSOA+M5jRbaLrkEWVbCORUGuuFKdxnFSjObUAE3X2NmuFZT37NB +Ub57M1pFp//8KgMlY75VQ5Olz3lA62u8X8opXg5Zu/lLRxFoOXXeG9CNY2ypqQsS +fKvtlO6LEKjzm/HzA1G5N7dEMzGExPeeNgDGBFXQERjTxsDbzP3md/qvoNi870gf +MJ31vtSe0HXKX2Y3tdeQ1K4e02xXcQZS6pKyri7hXWsm2GrGqU2Fz0pnSuLBlewO +hfH+zThO+Eb2S7U+ora7Pq32hXo5L/m70bQwSKejJOfh8TghqkQqCbJp2Wa9v1N4 +sjeVsnmIsuFUane7619vsnPgcsYdzP02x6N2dMB3UmKw0h/WZFmQDzTGHQSrHGBB +euJKd6Oe2amZ3nduNtfJgPAHgUklCw4voHnpha79UCITHaHAcco411/rL9+LkWau +6PyV27rDodnbKSgtxHPANeN5etCkQDck8JI5m73r8vs0moSAcVnzB2QBs3t90bRw +be3XnLLS/lsCDoceFUlq2YU6n8Ce5mS7f4J1j5afn5szC76Pf2jNZI9Ugfzn+lcL +n5bWbkBUgi+ZB6Tmt12pTFTByGkjuCjd2SNHekpWQKxam5J9izMNl3Y0RMoJgMYQ +WuUnrneZsKO4N68vvxf7/38xVqUGtepIo5LkqK1V3wcioJeV7PVSUo7Jk/AmUvAB +uhSeU0QJiUbx6SZl9JfIB9tVeqtEct9Do5ZYT1LQnRpyV1gVVUcjMSMm0GfLe9gi +LrHNLZClBT8aBAz3Wo/d8He0GPGnspvqZfjTwon29xT+dzVS/Rx23u7+J87+iW80 +IyodxPXzgt/XuzMIi3eJdvK0c0pIbN+ezIi7Dxb7D7ZjQiLgpcuWUEBkjtx7SD9b +QFZ3veCkPehylf8BY/wP0w5dSRavTD8oORi7l1IfhoUjA4ODlIjrZxgKpAGEdVOS +pyAvjkK6sra2mWDJxJaFtMUxzbOye8bB2wmSzr6LzFpKFqs1fQepGk+M/bcNXFxU +jpIInlhoHLV+FcDu7ktxTDIZfVvC2K/G7+z2QEnIk7ak7ZXDy6x2D4s4JYVfST44 +JF9il7tJGdeZqU6Si5vSAwrWNXDKG+JV+KjYTNCEx3gOtw70zLMdFFevbSooME5l +Djl26XypktN9YGVAF1tyl1F9QvVm7g16QPo5mxpUQkUQzC//UKqjqxI61ONgTJI2 +La96/ftWPDMjAljxiG1FxngvSAvI/NV9n+pVS0wD4t0QN4RBCpb8S/0UctcEqUQe +fpWbW8/tJ8WW6x8irfoKR1gkLsDh93VEw5vyIWIbEdNYDSMdRpOohCb0hQ1r1Ntm +NrZSzc7lM/mi4gXVhrMlK9CG4lHwGHVNTvGZXeWbzotGUiVQ3BnYvfvb6mlNGDev +kWT0jKRQwkqP1EOaPoMWrVYblTVQAuqZifrhWEgZAKRunWcYt3x1MLZCzww4Im+M +qAZYNVXiUB4w2vZlXDchbJuRAMdNg7+ZROz06anaNENXlMC3EDYtUrNd3IemtKDX +eNyh9JsZ7mXsCbGjnPwT7S2SKhSR62eTbJF6Wvd9hy8JnifASZBPLY08z0Q3hSub +PMYhSmO7mM07L8Yam9hKkB3b/GgyYWlQR5uN4MJ/uI8bhQm8CnLIIKkN61QGXhrd +WrFF8KL2QK01YxsVmVpIs5adkaW8fOGedqIwHVZMioQJJcyc4ZNJQCZct4JkCnSE +ipBgoOqJvsX1lXxCu6uWCWCwcayKq1WZUzJRMaSFVt3bwl7PEEYj9ILnlLhcyuwO +QeTO7gBK0LEagBKpSD3oLPuI2roKGjSEpAjy5sRZzPiRwak6GO7AISaWUR63HFsH +ASil4I2xEqXZUdylw9g7pjwWnWZyt5xNeoPUdsJ3cPmMvtmSRhbS1dZpbT7c95bj +gHra1Jah4Ieg9seBMvRwPn0N12sw3wWbi41g78k6BvkcPgnMc+7rhBFMbo1FbwFs +FkwrdOc2Z6uFS70txvbh0XxyrIo1wsPTa87smXuVB9YLe6Sn0ZFWPK1HQEZljVI5 +Y+Urg2XMJf0C+5sgjNjbbLpusy47K4mde/iAzmHm5tBtMNnTlguAYIo5XjX1t+LR +lnRx/sFz9i+27LoPhrq2eJLK++A9Jxn3+3vJlahy1QNRxLlRVIyrPeZk5m/CLq2o +KDPoP2HnN/7wOk8cHbgFJjFALlGX2/Idy1DyOttMpdkKvJUb2+pAfnBB+R7Mfo1E +yqlLSmZDGsuAMYH0A3VW3sq0HWop7dYGLUP9VtLUZEqc9rowlQsIp5wXwUvRX2kk +0TKV+YbAcBxnSN7w/cI/XwhChRLlDdJLka0sGZnR0BjzeXT59t+GjcsyGdWGyeev +RwLMdGhBdlnYuDUfGcmCvsrbz6lJxcDTFRRCpcsaJ9hQkyJkfyXMG3VjUG+GEY5i +ZjFWiaRb7CvSUHLyVs0HVecK82cN87fI9Esem2+qzOsySjzbR4QwHP8IdpVYjaqW +STVN4Gqn4ITOeRJaLdbXBFB/SU8Nnz6q479rUzxJ0i+z87yZl76Fn+PeH6bozewh +JbkkPQjIRYYUMxasyoqSGxiCOdAHox5SSINuN6XC7AnyTKPmDjjNFXLd0x2Rq+bx +Rgj5coFMmG3NHNPmZZ3YlBVHBYfz2rdodR81orGVCs0LatayZBhc0E47ZgA4CVQt +r/Bb+QCe+pYEYuV4k9fsIxowJZeBRX2GJQ+A1E+Pp4EK6VjeZnNbUs3WVGOChyIX +U7cCKjU36OF3rTwSxzZJ38CPF0CKJjcy42Es6iGwkyhfPLo9zSfV8uwppPEE+RS7 +uFUWNhkhcm9leJGp6OO5+Aw4s1WAhI1mw/ZjbGlFTJ2qvC+dmTm2in6kNnNmmPEs +1mtc/z8uiY1nubin5+lyv0eGU1wMJGBothAMwc8gP2XLHGZ86eCU/il/BmpMgabw +1rz8PUa5es72R9st0S/qS+zTnhVqUnoKYRKB3LGZYrabBLRJ/kHIyzAK/WwNae2n +QjirHwztpOy38my3R88trHdsSbBUGd/1qCnVjQ7ROoCzjv8UGYZ7bRMjbUVYlEpk +tqM06fGXRNK66586iknxUxbVyoRZMX29/K84r8VQm1py4sSEufAMf4jouBPL7wVm +9hJVViPp4e8WE9pbx0F1ecwJFi7hpE1/TeDRttzAWQjE4L/st1mrm5UwqONUW7s0 +5nxwrtENN/vK+A98qqnV/tHtXsbjR/0zD4nvL1/20MPhN+emS6c694pNrrgoBKno +wKAJkJZiR4L7UCRCbjTpxv9P1im48BrhV1bkVjAzMDp09Vmi8H7DJxg8LT9n+jhv +20DAL2mMz92o+3Q6enNxcwnrPWqEbJGkpy+WvOaE+WCaRc1IwpUKV2WG2ih3wXGw +I3kpPIfo1je9r/Nh4ncZHglQo2gdt0UqHh3HZ2oBnCd6NdOwMkCZmysK87q6MKwS +/XJvpcCA454p/PM9kSZ+Kau1ly8XojJAxiXEoR3sLj9ZRJb4IXeAM5wX5+F42Zkd +Q1Ha92xA/wjAfjrMSl4FovGKK81GpBPlqJ9E1sCKLyk8F5m6xftlkqiLDmO3dmhJ +gS+6SkGRvk/z5hAHuWSZCn9WRNW9tGh3lduvs2AiaWQ+y+G/x3lLeS1q0JWEd6HR +PmBEHhk7XKaUJQc6DGioj57kNTxfnOA9r3sx4+1YXkjYHXjIztI7MQOsfAMU6aPl +B6YCK6RBkg8t5By/jXmuQaSSXTOgmPFELOd+NPND+kjHbxIt2UhvAt5029ViZXIm +dZLaCP8k9znlzmnw0z8XnuUUTewNVTMnkcZoOncqAnyC5jwmJo1jdhoyXXdSAUCB +XS+AwVOzvX0VFakEctj39MvrLtBUOFQdG2Sg+fg6B6PcTVFWBQwmdBVaihcKaNoD +umsN0ZkSe0NFOoHLcrl/Bw+7+rYG43B4SUsmw55HHnUWMEQWfa4K2QVaLLd+emOx +Q3eXZBP5/SVWHqg7JYo3jUr2tAaQmWZWwCimk11HO+nbsYgA6aeijwmCf95DSkoC +aUE93nx8BoVoLb/bBV9RW/TMZe4oPeemdrwsAQLWTHZy1wdIstyB3hiNK3oHMNFA +AHKLdBijpKI+IdhYGCQhHRYvPiu+54dWW9zsuUV4oxWnciCF/Ya6x++CFxo7olM6 +ZIFqC1hb81e08ho3XI6S+0INv8L0/zXCEy3DxGg1cSvOuDdjR7EWHxdW2fNtrgY7 +waFUUa94ZMQ+VMJxmYbDAvYL5Y1/HXbw8b5cOJfCpjhYQDcv9pK8ifugYqRKo6e+ +jxzg6US/1/YbEWq3FoMljvSQ3NN6DUsi4NKCKVTc9tUFmsgfDhbM5IetTp0DIPRP +II56ac5Vm8l7lck0bcxN7hZL1Ljy9JsbFJ2ne7RZNlPerTr4J4zn8/j1EgFvh252 +MtnFQm9hrq2l1kS8qW/7mWN0EopVf9tVHlMKblb2xC+LBkv9UcWtfV2ue0ZHuhcT +vhsTEYDiE29kq2CQRuuQA4fYYjt0kRqCDNnO8ptJoP5V2BCWyjkbgiaC9bCvI4Lb +hLcz4Pg+zbRYVTeLSfSei99bcN3zNc+xb/lIwygsZ2fgAK1KQb7uCvyiVPVjIULp +X5YbGFMdgfHUg5U5xnZkv7OX8E9BSvKizV76H4SEUnRGxEaom6Rvx52DMcoJpDsL +t9ilt0rg0nwIGP04VoxJHJLTG9qFmm37tyH1w1Ks1oLLsRgny0RDxVeCDxGpwrh8 ++le3T0hrOCyde0yliR6fw5mJDLZvCihL+I9h14hMPEfdEydxGwW+P2Wmsg2Xy6NW +ObV8mahDW0gfyHf9croWTJIRBtMlNjjdCOZa0y3rJR1tJaR3Kxu4sr9/vOwttfZd +xmo0vFJhYG8LD4RGX7fP6172STPMpIU5oeuFnQbkRcC+b5Mu5JAT9b/T1J9YXQmy +dVzAeceh0t6Q9Bv8diCHM/4I8Z9pVmw2wsnFq7k4guyIPj082c2Dqj+/B99JaJGc +EshJmC1zCgkQrjXInIpjEJRJ+2BTVD9G6J7g/nOGsfosPFMNDdcDyrPox/k/84EB +xUzgF6Se96cRzzo+n25d2QmcPEuMyvyIy8Iv4Tm1zKAkDYmH5zS0dykppYevPAQB +yGq83yz9VjAse6Ma3sWtN41H+y3+7OU4a2tL3V8fVVZpqnwujAbGDNeeBytzC0UJ +H3rLqq/k8yayR4IgtMQZOHCkGWfA1pkre3vOzZouqTkLFW2+CUGkTiwu5ntY9qzl +sCFF9gIptbEzEhBLLkPz1f9QK5SpA9ZxNK9bnEz4rrYWlDGCC0KUOO7gC8PYm6e7 +cwx0h+Ua0tLWQkMrSgvUeKloL2nIItOjAEXNGgMxV0HLpmYHBfOvM0/TwmuJD8CS +kOuFgrMmg86huqoezCW+f7Q3gH02IcVrItYBqRj6z6oBxF2p6BhTIwqz4lilLGOB +skfPzpgTxJiK2GXRIvfyoIYgE+HrfzkyTCjat3Koyn+H1iZqkFSzsvrqvTqnu8/p +LN4XwP+0pgGcscfbbChU0FtwVPhHrh8JOD3IEf8ZptwdbJAwLVvsHIdM8EHyhbI6 +y4TzpprecHaM/WWHk5lwnBfh8iCzYsFVMf9nVs35Xohh081TyoyJfGMBNbz5QhCN +9hXAWMu0ydLXTNwTPD1ItZkQt6u6CIkDPAnggI55WRvJdyjjwWObJ195iYzAK0dh +XamGPQTTiulknnp/tqINHzbN6V7Dfb2hpcQyk904BA+xROvr233v6VVeYEaDt0KP +yqxpimpu/MeqX+G0r81a4ChvqcEH/pHx32arVClkFOhK8M0kki6o1T+Q9O6E6qNd +q5IChvEHd+laCGgqM36EazrnzKv1WnyZPnHcuCpSRVX1Foby2ByqurIc1gl5tUyV +QHJpm0GsGrKXCg38vMgxdq/egZvwJLmVpOlhAE9luhX67Rz/WPrYD61piIcoOA05 +LciMuAPSag8l47dvFOxRdSrVkVrQqH1P5Zfuyp+22yZNLAULshEuCH9vHfctKW3a +EtHlAJ8O7bXpKOGnfYb+2N7hMN27qpgu9Ae07m5OAOcSvlMHB6BEVURfW9H1tGod +jhgzxy1Ayxuvf1G8eDx668J4+llHPC7oGjfVAQA9Sg/cQjHFn+q7fAvtUEVb7keD +hzL7z1ZC5pNEas7uSVvIP9glmay2NENDF3SzjioY94FI1FmNZ6sNOdhLKv6YX7a6 +av7H/Qfaq+7xdx0cEnsTqEgcSbH4voq10I+73gOhiOeAq34mSKjWF6XO2ik+eC09 +CXdbBYrUCBji1JZL8PcNLiclgZDbf8Ecir5TxKZnPr7GDvs7bzHiT0kU46AjQzqd +ZFjrARa/Ldj5yMj/aP2WdOrSIVYnLrIksCzaB10bkOrMqHsZkNiHr6I/OGSDTtsm +8lqdWColGm9yOpTC+yrPJWR3EWp6kSGiWAUU2I/YSU+QQfruqHXlCyyNLNAU/iV0 +KDL7/WMXM8AWa50EVZsajfJ6FgDUHFZWBZUjBYbG1x5mSJMGd+VkXPjzZXkezhcR +Ck5o1AnPvuuU92tnvAUOgUbSOqfj02WtKLqVDtKPQm8x6TGVil6w7NsKOYMIy+KY +O6VsjweHfXZve7+FR696FCDeCg7EhnZni7f2XPhDgpF+p5Btn6qBXHdjJCcQ90sN +9xCQBaoi060N20ocHyKbb0JWGoMk5kaht2nnID37g2KZFgQNn+EZtNuOFc/J18jo +E6pNomcW1BJmn2fG5b1e20qHy6EvT/+9udm+f9YvYiIAUhDeBf5Y1Gc+e0gY4DUO +BnksiruS+CTENaCE4jLQdLev5rK/eH/gYXOg/27dfe0f1TlAU5kL2tW/uqgtBIjq +qeh8CSu/Of842J7r7oPd6/FsEtoplTWOJx6N4rwH8DwpxNuQ0q5iH/pRb5Z8/+LG +6WGPg/tFqa+pXXVkaBIbQuZhwYdxkZXKgmI8Uyqo6JuwGKle2IinQvUcBhTlCyxE +XLdK955B7EPcmpwr01KvKMSpMGXOxyri7EDm3ULFN5DCV0q0mfJgPQNjM83zcoHJ +4KnzM7JuQ2u4hL8INrLi14KR3NJB+ve/iviZna2mH5CU/19d+0jNGUO2Fg0i8Uxz +3Q4h/h/LBeKAWyP4M0ZypbpdnRkhIjPF4k0IRP2/FSlFmpOEYYJWyU79RE5yylHy +NBj5Q4qMtJz3WsvXtHlbmR7+nOofmz/C0henQgtFdMvw6jCtfe+2CoETj6pKH6yU +x4FOcuzWCRlK/Mmt74eJXozibRBMgt+ZcPHXL5TS7pPtXAKsfGi47oksNL8bxd+D +cFWAO8BDGQvhK4JPdEK+IdyeFfCZG7RZo852EZcecj889QZQgmB5wYY954yJX6qC +P9dv8rqzmWp5l5zOo1F+Ac5HUMq4xCqd118l/ajpIPxMx/YmbbLpWaZRS4qV6zv/ +ottHpQTiLAHNPTBFbwnKLr6wKTzjoONDFu1RZiGvm6LuSudLI5jiATpgsRatS05F +dgkP3hwxQY7UJXy09PkxYjhkMVkJjMlWZpDiJnp9lOGmOGAn1ykLXtiesxRWCGXt +XyGUAs29aLmvvCOt0BwuJWYft0654xtOLBVcDXEKNxrJEYffkINlWzMgxJbC2pPn +2H2AmsdgaPPABVumJhYqLeLdA2Rsh+uvd4qQVDDmiX+xOFHKLOpF3yqaD61Dyvxg +zJB9js4JRPpBGNdsc0NQJU4qBhWJhw0AeWYviehxhhd10onRzFrHME4EG8/SG+iD +RYsaySlxIaVA0pnFsv0z68GFPD3GVFB54MYRMqph7zGl11EbMc69v+0d1d5ksfet +Aj1JQ+Wb4CH2vlNK1LAl+nvqRkTRkJuFnmMoxfAz1SK3a9fqli3k7SLbf2/obch4 +SZ2vocxiUwyDV2e0jswmAnC6GRWArxmd0gT0YCjlNYf1MUREwLhT5mTMJIloGsj7 +BMdir9PtG2CZgVd+6DUXOFMRdwWMASi6h8qmuauvtrYxCLQ8VaeY4DkNtGxmamax +/WIMVeyWNT7IKuv5m4oRVanyIdWX0UpFBSSZ3oaxB0/U5An9NtCrj6gdKS4F1USL +lhVJCGK8Jdy6+IBO4FlmRaAsik+FOqtqG8Oj6zhznleEv+sU5ydmlwx4c8XINQoJ +Tp/FThcZZ5WORNP+iy1SFTTlpLBoIUG/HJUnny89ERJWRgYWFoI+q4Qt2uuLTfpC +TyxvH0yViNkPmGFH6PtLpnlyh87mmJOqJpKiVX5fmDMl/swtGWtkzV62PtIVRjVi +jcmfza5psBE9lF4kePWcQnNrPq5/8CQkQVPrUJifPkP1XR4/H1FnVKUJhJvYBi7x +7W926pt2Nx/WCgYufgMQJ7z5ghn1bfFR/P7817skozMLBcppvI72FIwWdcY9U0QX ++d/J5a0gfmktJoE4iChNtiORnZgjtIvKR2T9MontLOyac4GhVBnX3DMCERHB4mDF +zx6Ni3hCD7QTh0K+3FanJX1oXV499FYwVftcYEiqN6tlTPe1iqq8CZ3/4RXHZ8ds +tZuITHMR9dKF+D3aqE8Pt7t+3WN4IbtJzX8Gq1K4F++mYGxrdBhj+8Uc1YHOQrqX +j78lSEQgdejnWVBOmKnSE8WamjwphWTMHKFOnnEpVlNwHeX+qceztI0EETa7SwjJ +RFv05AM4aRAJRHolqzcOcYNoEdzYu2+0DDD4lkWn1zGQtSEH+JvIoXeP2PgyiZoq +2Gm5+s406VpuOanAEkY36G+8dJxl5F31qX5q3UDfwWp4Otgtm+MDLKx7y7dMNjod +ZoK5IHgoL2bXtf16ZdQd5jIGhdftdSbFS+zX9eUeOn8jnsoG/OKRoMBvKnUa2EnH +vNjuD/nkVIa1y8pbLhuKPHG4cN2a9D7rJPdce2PC2YOcjGtBQrO7Zi5ykJ1+Lyiq +1dwrpyImrAYytgesdcncRHRUFUmFI+Y955rt6gcy8eRraxkGwGiI+00mFgECZxae +GYDU6wQX3wXfMG4v2ISyoYiJgRqSTaQLi1GXuv+cQrsw3xDkZwd8i4KWG1dLO6LA +LgvcHWAa1ZTY0aLDQJM85OrVjhlpTnlEtmmaJ9m71ar3l/KQYo5GKX/xedKueD3v +61TdyI8w/WcEduFic5ribzilnoFW8ggzdJNm7+2w8zYUlT8ZM0KcHqMwTU0rA2Ua +JbKuKCsJlh+KIwybnEaXs9X/nZZXwi9KShBdNMeFSPyeC7SbTddM7qiK3u1CJw4z +Kpk0uYiXCOjmnoI0pvbTUJnj67e3KNNcN++NjDyJF/hdTEbm+aS6Ctls+Xvs02Kz +eNpk7JRqudcz3w4Dxifya8MugE+0uEGYb77VNfjGxKeSMbUFNqwI5JPTY3ju6yvx +GtaCXtrRBRfAoNCfTsPxeeQJLLFzwk1oGDUDOkZ4F0Fm8QIPE//0esINoySHlzk7 +0PMNzR4+2Q0kUTeSeKTAlzG3euZo6dl2rXySSuzSOp47IIy+Nag6VQu6GsVuHz6R +BAiS4w6PFO8GdQdn2N+//gGs7PgwqLFMoZIJ0cHuZGgswfk0wb9R6feQ6CpQKeg8 +e2AQ1ZqCiv2hqWVh0F/buStL2qz2q7vk7t3hZofZ7lk6Mk+KK3TPBE1GakPkspE6 +RIQrniKUpbY17uHbGXJ0syI3Db13SpKAqPvxLMleEa211q0LF7IMAy37e8XYvUYF +RH7w0rpjc2yl0P4s/y5M4fBOMs22efwJum7XORPgkBydZ7LxvX4qPeciGn8/48mW +Dk61/Qa+mCK2bAQrPKF8b/befNSfy5IZhK4waL/Fs1eQSY/boOh5XGu6OwDEAtR7 +eMTzWhGYTIsbPXTZMyIONapO4LNsdu0HcG6zK2oGjhs+yl5+VqD1WJZadX5exHPv +zsga6YqH9/0twvceMG8uCp0g96isDuzHQC/a/QPYOAI018NOzkwo1dSLx31cCchN +XKHmpYZbzR7s3cHmVOvcm/0wh6eLCohrVLk1xOBUub3mWND0mGWUE5JJ1WZe5oQs +NSqHLbwVexgqCTnicTroP+EK7svNjH5Fai0rRve6s5jg89DmY68Y6a/w/Yfp9VzB +Io4kDQEjYZygZ9C4tqk7TmLKFOrejhAbO/iulQpxQaMZyM3eAw5X6ob3tVRDYxAj +hW9f39CH0eGv4CeWMCAzfB3jzrHrCXRSdz1S9/mEo74o+wTNFgAQFTYYpAfEK77Y +YZSjQt/LZuHK91dVqpc3ngqdSKvD2UuS+REwjzMzb1kpZCvl2BGqFKIIZjIn5A0p +IKMPUN8PXLyFFjiHZeZPk0S3e/v8fJ8JKVYBukTgHQ0ZgxVAPtTC4xAaDqB8T7mw +wuP5w2bzHRClwW984/eKeBgO0D7p6XfaRkFPISJr2thS92nbDm70tgbWsDDMwxzi +IwLi4fXv+wWGBZ/Xiz4IZrY9ZlOe36lfvaqVQ81hzNMhLf1AydBAhUh9ONZ4ByUl +Q08IDNTmiNc56LT2XwMv9BhCielgSyBOIy6bLDhblthSRBSih/+iJoAes6Je9B5Q +JsvOJysV32F1byq1JvA6AWxinDXuLjFRKIUSpioTtYrF5yAAsw7EFyqmI4PdEj/R +8VF1z09DfeoIsg02jfPbljnu7dFWOCPwG6c2PRCezxtrVhy+b5wOpb4oaudmU/c8 +V7LcyQC+qXwu6dPioRvOsIofvhXjp3DMIUc3kiXJK5IyciOBa1e9SCv+5ZSd997g +RJsI0+dRMqlAlDIPe2BY9iaCankdONyOHLVovzK4ib5IS9+iLs73g05nD1XKLYF8 +SvmzJJ8CfrbIUK1Vlo4RAIVd7QqC6rbmwDjBDggCcaVFFowvGKzgJJMBXUVscIjk +vU0cjm5uykzYlja+gmO9ROP0x9E11EIyndd13ZKPdv+mphbIMFyokK1/lC67jCd0 +kKVNiYi+Y2pp43Z2yFj3rqgd3QTPF9Q7nK5klRpyBD67vQLwnmhJJgNPHD3qCzYr +DRUm8gPhAVrZ0q68jSRi86hB9H7lrgJPzcy6Ou+NPx3jh8PYREylSOIeAv7BZuHq +2WGd+SEh+m5nNvfPGUUGRqwSQ8L13bx6QHY2TzT7gbzUI9ADQM52pJ5aRYMpsqld +Wm8EuC3S/Nxq9t+Js0+khoXi5Tj1Ob+7tHycDK+/jOoXUQcZDHo64xAyo/9BKdvt +kZMqASpIQn7CIrKHBO1yIoB6ClSH3Fcn7Cf1oc59Td58SMQcHobFwJCb9zvEXIMs +vFyPUWjQHkmWgN9sIr1+chRFErRFxDPzHoHo/Ro/EL3ZR/PJmGawOEU1VzZe0pv+ +QIFHrsCF8qCEMz3JIbKsCauz72X8OTnwWH1VHMHiJYGQYg0xnbQZQrb6wArLCVe2 +dV65cVGthdN9JhhaJgqeAQj8gJBBmvraRPHyfsrazS1Avmx9BZkFRDWUYi9QXpoZ +BSJebzANuNzEVEDdFE3I+sBe+S/VbVfhNaq5mntkGse8njoKLBWRF/gvxLCL5XYG +WJzImMEucbJjBTM4kv8SMXRcJCq+QzwyEasnbyRJsUcjCVZ4RsdXOfx6bnzX5spH +caFGMR9Q959pmk0mBB31KbSunTAhOwv6fZKj/kHbHXsobKWglkRZVKfvLUxeASRZ +QAI79FX13wa5imL/DbrrEadeKoTJnblz8tro0FGF94hliFHw895fR0nzlRsCSi0W +2YiQLxvP+sRcVH2Ip/8eg8m5ZP5lvK/3A/W6rfPbNGYqs4BV80LE2RYQ0lp8+NyB +uFWkQsXoaHM0mSPoHknacgRtMcMwNgeBBpIKdZtkS7lumNCADrDBeDL20pQO72Et +b1ZcNNPfBcQWwAKMN/2OEBNVGV8Ueekb+fZ+CnXxy+9IninCVzAZeesmaAcJrTVi +X1oFoD5DbO3yaCaHyGAUgTRLNXukXzMZJw6MZmxtPJbP4bYnuKySkI0moPceE5t2 +qLrVfggC1MuL8jffhtnvEnm/E/ugs15Nmvijh475UpwNOh6wmys7JdmIRbmImdCJ +5oDj3/ovuT6x/IjR5bctQLMkfxGC4vR0CYTdDlIzKGiekeisvgoAD8g2R0iS42aB +c1LeIZsOp44Uss7kfHzoioiDR7hUme9ZPgI4f9wIv/wUgU3+gyQvDAZKEgRYu6We +RJUEbUo1DksK/VkjjwPrWJ03Iv+v71R/2A5Z495EZHwdTPSgpnBjpGj/S2iS7nfz +MdhQA3us8Wzib6d0lhe9HueKssl0Uktclfn8VjE3jFCgB5SQVnehx91AjMFgU4FK +TYWio+iagw+TSJFEqwOSyLHbIU0tCzRrSCmjjir+dl+Qpu4U1UwlENdEtW8H75sG +CS6grP0DYq77TV/a10/7kOppoHNqAHMzlzsPWb7buckOFatq5ZhTK98j6zNUYNH6 +DnNlQuIgPvEyXudEIACaLq/SnYu7/EsIysY45iK8n/R+Zwx60eIs7Xqkd4xIXAnw +gfHH/hcm8+3xeU/nZs24SvI4lr+uIQSPVBDKhxtbOi+S9OsggW4lRmLShZlTaMkn +ojyy2tY+mi2uaniBXNgeRHIxiM4PQf3IV26LVYUUSFpYEdVI8s1nbCWg0wkdD8j+ +4NL6KMOXBAiTAnh6dltfz6n+dwo2lirC5DgLZawpZNqnRjojPHIobLv57tSjRcJv +49Ez/zyRAx6iVa3foUJB8GTwdvt5Y0+MO0ypSnORUHVbGDRLUGRQvXq3mOExTz3x +5Y9VXopNQs0+eQ3zncx0DBT5ANAkoyBhhmE3pyKQwSHzNMxImxZI+L0toauKhc6C +CDZs00IsoE7bYbAMp2X6NScuZY9h84TroB/QTftukH/UZg9vjs2JZPNxb5Eaex7E +prOmN3xXKNLWQ/qMb1kqztW2ZvJCmgkEGuXikcDJq3arEC8nlKp/jR5pCddHveG+ +sxbk5I2j+Yep+qtqIdkQDebp5rorx+yoG3IWHTYv3xpGj07KbmGA4hvev0RuU/7D +a24kirF+VMIutXF4NEL+zEn1DzWy902c1BVc27e//c4ZgQtQvHHysI73DsOkUaGJ +4o501Ea126WWQiGluIv1zUGn8x1QRp0ZfftaeyTx9i9vCozmXgsp1kUW6Q98i+5d +k9wyojotTMZUnVrsNAvhyh9/tZiEyNQQV5OEqLtrFAvqYFL7WK8KXWisOnXixMui +g22YAXtGxKG3GJubYeG2haE25PDEWMFdFFE9qVhBmxEHMW7Z1mTuYksT7Qidb8b9 +zA3CD0p3aXc0sbspZoMHHPJk3DZXY009QqgwKe2LzCXPVOq90BmQLKgPCAXls0g6 +D8R4KRucmoN7VUVeGv797bxCIuiB5/ULrcdi3Eqgkc0NjCt726K8U0ztvRoPHUPd +PcsIWCuOKhXYzJNkWwJMEFwENrNeUeDdbI9j3PtN9ti3a6QqVZeyatlAL7oRD50u +Y0gWyVqdg40B4C1ujiA0QXvIbk+HTRXmwosYDW6uuDtnr6bWPMbieqW7B51uu7yY +SXTFB2rm7pimVRlyjQKDrZp4i5GHwNOmTu+/H69ZQ7xtTy347WOZwyjugRk+m72J +j2Sam8PGe3lJ3X9mwllzm40i0CCVUARrHLEKlgSVfFgjSvTkCi2PiZEf/R6EMbSo +yvhLfxQikYmkAustKfWjMrSsZOvMSyhcVSc87fo18UUt7NgRlrh81jJ01s1C1Kbw +2Krf3ezKzWfDKlzmzaLV5G+gnTVCYeCwezR4G6wZlramC4EysPQyXvHqap/hdMk9 +s5pLK/5JV6sn2jS/7mkG/+6kNMevU6MA0pKoVtcVerZk9z2mQm4jOgG3TJlfcQID +ruDcYUgcc+QU0DBxm0D9vrGIf9C+aS6nPSI8WrPw4Fl40oBqAPIjcvuQDM9cWU6r +RTiuIfEIWN7XbwBEIbOtC8sjtRkoI8GiJCpt3kOZV554imw3oinExRG5rZvRcVNl +Qu/IfvmV5o2UWZ7WY8MKs1J8fdzwkJYmVUqaUJtITlv7IKfUHiMUlCCcOle8WEFb +aj7sicUzLn/GOC9Runl8EjAqvYOoE1vegYH7w0ak5PddMmSj+vhQWjacOFRs/JDe +MJri1Jqm2hzio21jeumf2kQkGZBqOffZfFb7HWrC/sWLDw7AJQaVXokGs442TWH7 +jPZWbTI5H35rraBDqNlhMHfMcc64etiPsZmycy9OlmXt+7sIHOVaVnhyDZOkJS/h +bQn+Sz8c+T1Fm07xQRW4GqaRYTNewGNx8vEI+VRZ03sk6aC2LVc09ZvD5G0j1LF3 +FhEIjT1uPwSBVielsPC1T7sx8ATe52YH4EYODoLZO3GWj66NKIxgmd+qsHB/+WRi +uFhxuZTNWUeJ9/lpyQV+vHhthMJL4eUWtzOC6yexPcTxJh4vtSpCsyj+83YL98DT +8eVSA94BSgdM8IOvdGo7eqW5Vj74IyHustr1JQoCNmXXEyyF6rESg1bOUM/Wj+s9 +TO4Ehx0+w7YOIxrIIiD5264ULxIQUGz2I6HOeB+5rF+x4BEFS+IGmso6MiXZmtxi +K6uWRlrS9s1X2/3PdqsT9fJHkFhOSRGSckf8zq2YzGg8Lhn+RNmsTCEx2vVxke+z +LoASHYgK/v6gxWyJg10js5PtVwChuIt2VoKcYYMl73c1nqy1+V2DZHwgMGLPoMnX +B8aRcNfda0kvFfgv/w9H0z6o/AKuWQncxsSMH9sLOxrgAuovug1rzaMa2z2NyKSF +r1+nQImsN+txfxNIuBD8Rymjf9IqQ3pLJHG5/N4C90Vu6cJf4By8ryVi77/hn2zq +QdW4gdkSQnSYv8Ty1XRRsdzRWI+hO2p8BUYtgQEVwfLesXjX+fSnkKortmbmbPtV +umgj7xJKkkam+PiupmiMeTmyerAGniwGxbMtI9JGqSfRts1r4J02Gfe5Lk8HlhD+ +PejHtTvcLUXdzg2U8ZiVKdYSJsw4tM1YRRtyKlBR44izwA4dLGCVeVzjxaaFD1tt +4Oi7KpxhEFsSSfX1+wxN8Djq4KJQxefs+ul1d9HW0h5A0+lB0Mdq4UoC7pkwpfXf +7MUaVIb3ZqRlcBJ/UasHn/VJKivvOzV5Q/F+D07+HDbFS+OSFwsK299143Aaq2th +Z+FfMLolfkE5ese1IFNWe/fv0ZOPY4Kb0VP6VZjuKd2xene4vPPrhl0k2LVX2nW2 +vsPz/EyPxyaY+s0Nvf9JHr3ReYAycqZFUWUjXMKSOiYcQIwiNzF8x3GFPhJC9oFh +cM1t9XwXpDMqyG0IpbohMkkG1fDj60wSugCI5IrLWZtmWW1Pf6Fcbzi7MgkPmTgA +Q4qV50LW5Yob/iFZKgjybwy8ZNrhSrOh2V7gtHJvn/JXa5mtine7uK1jaY35QLcJ +omqf8x+H3F3HZw2x1KCFqrVtaJQVMEaeqDncCKt7ga3mwwtdToKoCUhMdJPRp8/W +VW9+5x2fzY/iZPdFJYF9Wl1x7H9d1eXn5BKNT5LiQ8GjAC2MI9RMCK2ugNMmMvEu +TmqOp+LH2j4McSg77HfD/8/tC6XgfAlnQj53CWYPaqzKcS+Hg3qGhLtvJnDEEBtN +8GynPSjRwZxtUCt7esBUt7eoY+rnSsZnEfSehyVjfaoDh8iar1aOem6qsfCSO106 +zRYj7RCRDREPwolrc9pAywdhZA+mcb/Yz/fYyLw3gvcWYk+kPZeUiM+mlI7MjY0t +VAVbLx6vCV0VvG3CPq2Z8XCWKBmqQ7JBTC+2sU1l1oK5+L+eOsl7IezEMhj/gmZn ++Llw4PtfnqHgsQ/kuEycDFpSARtpwItG+Fthy2fh1fElydWWF80aimZzfIqkQs2R +jRFtzbBvtqeRqfbcZlRLOMoTWy9K82zEDY7j4fYOVs8A03f5PBiz4hu6y4Co1CXe +NI4tmSngKR+9fsrgn8KROV+55DBbrhavdex+TbSjPXqx3V7Zp522CFQL7kJnsoS5 +gBh9ZI6qANvi2dXeY+aLXUOKtPK98sQR4sKgybXT68ekiWEj8ojRR+fshf7lXQSJ +xTmwk9LbvQ/pWBD2r+fBBgVluUv7tDqhTmXGY9mgbD8cS15WHG/M98byMvpwRM2j +xeo4mNsdS+yMF1mnqotesVfNJkLZT9okyFOI7DEyQbOfj+SAvlCNQZs4us5nkyjN +/LRfza7d6ds7exxmdm4VWy7J+wqykNLfZEvNxNrN7rFQfjJbyV0emGuPqd+HyJg/ +WaZ94L2M5HSrgZ/Usr36DlDNNW1RgQJaDbINL/lBf8UmvaSnZonJgFYMr9+fexpn +AqugunYni2hehAQgdiH3f2UfjYSJsLNwAfQmVHOp9feEpFdVWLAf4BFqTVWbhuPg +I8OhaLUioCro0GTYY/Z8Dg0V9U78mH3dNby7uQj1MPmuJaOo+vzBHyCCyiaIMySJ +f8B/hrp9aKOsJi3Vs+sNdK6eTB3UYTq+ycwFQx7Wynr8MYaRI4F4HzeTX/sZkKRq +z5Ra0vjShF0RqRgSeF3Zp0QmwxO8KL52cJtaDQPmdPskOo7X6CsnNXQxCYhU74oV +NjkjURvYnRQd/pyQLd68sMr0rGIRJHtvG9vKtuG+iUBe4OlRQNgYiIVaMmQajnzy +8flZV681cmSKHz3tYSPSP2hMhftV4ON8AFkV9tJ5EE18fUO/IJfWkwTgG8g2TMsR +PUndXOwFoFw9VikWmOmJIJNS1syGVYIfXjz6gTwFV9HhsSdB0aZUNrLgOHmE61JT +jX8v1q95YKLxN2i1YUAwAWEyhmB4j55u3WMxXL3kWo4+kG2Jj/JhFUBSHR/Bq9Lq +0EuppUxmGmE2LRNYSW5SRe+ElH5TBctdustSfWcIHNiH/QxyVBQeoB0s2mhHr/Bj +igMo6Gi+Fqjb+qIcO54oUwKiCfNsM/AREjjtEAvhKSHg2Y7z8B0FWmxTP87UMD91 +P/b3zmtniBHFOw86MlXty2tHtSXQXuy8WqWELtiuk2akdw7fun+VJ7DLXMvPQgIZ +8fuioPq+WAwqM1UGI+dVr/94frH6D6PYWKOzuW3+0JEm8FwZW528dbbW7S7QFwAD +swK5JOjsTHBQOGrUzOmdg0zhGicUP8x5+OxQKuBB+e0xhgAy2+l8m3d+kX6rGHus +DvKIUu+BM8WwZDbmm8kcDFG15WeBX/fzVJpF37ju1oIqh4v4n2or81ugGlNynWFY +5kWBcp2NrX2arE0PRE6JkDPT9iFJhI9Id+pnMYWsyhisQKY3sZ2e9k9mDLO2a+mY +WtLL6Ucf7DpjqosEhJxpgTt21dxnji3+MoyRxEA34IHiIVmrs7LipHdNDHVN7CAD +KxmqsQctTCsDK0fYmVMLLVrJNhr5engNFvBBtJtCpS0dyULSg0fNP5Kybm5i/iSB +8Yh6oKwDTTiFRR8WWE64fG8KtL6Tb5bw909GIKcAGF2QVu1c58Or2JwCmRwbnXt/ +4/v0yg9oQRtT24hDCn4Od17QejhLUvn5Db0qYq4CK3W0ZuikiQcj1Pyrl4Ga7pmK +lFs87EgyaevPc9ZORa/dEGx/YFqnCURirj1cb7IgUgYugbILnYJzZazWBQt90U7j +E+pyUy8oHtgqUA+CGTCkxXUKya5OS+Mc8xxe0SD327pQPeFSagy/mn/z3V6CaKWq +B+9aEOem+D5hrdkC7tMfEosNBJ9++mOvsKztmek6bgKVV8bHOCBnKozp+hel2mM0 +fCJ+L6yO1us6meVPzKS7uPpoKN799cHaTXFY+NMm0ZRyzvG4Wl31Suf4lUHsKt6H +1WCz9o06vZ2S/IxZ+4fim+4CTm2O3aIGm7d1SaTCwoePhQEesyg6qFVOU9PdCa3T +Qh11OBW5fqLOoZDH+vMKTnpy6lmiSQ7hqMXSfY6Jw1WONe5R57XHC9XyBfrDMDNr +YibHA5N01oJ/W1KQtK/m/S7ti2drhfxnzZNN/I+PJWBN38eAVPBHJIvi5PtaOq7Y +ttWyAmqvZBLsG6oTZL3PAURrFhVWNvmp98Fnu0t6YMRkRVmV9035qzXuRmaMxKsJ +ip3+Qa8FpOMgVV2bqRShYSVMkacSYn6NA/fxkEZ6Fb5RkkysQ5MNcdTTeRLUOI3r +fh/FTdTgJgBYOmeqLAkGA71De1NRL7j6300gkbepjuThWnEi8R6v3+8nGy3g1Xcl +LCCmjV+0xxd7nk37JfmGyjfRdxDoKVbGt+1Qp617hyB4Vy+h41N/qh97+y0C/iXt +UIlRvLk9j8lkMg2xDBgDvtIe//iI9+D7tTNNOVOVfybBv8+nqjegX/DbOwVCS8IN +eHaLyaSghere48HphIvdcey0g+9bXyatqBCQOTR57BBwYNKp/1u32LokXDQwsV6k ++/jQl+BuXYvaTGNnaKexZYVrzrLW0v/T0GFtusduKTUpOMRERdjgxfCYkBUp5U8M +rxc8xiEUmJn5wAfQv5nt1JpEtRzaK+PlCcULpX4K/TsUQwfICFNcXLY/Np6hzbRT +ZfMsCPkSixfCpkrLEJ0lgm98wqORq7S1Vrec8zuGhqZgxjkgxyfAkwRm3WiYaizE +3rFXATdej6zYL8cWHVeNtzLokK8DtTW3qmr9Pr2PA8BJNwty001t2Cy3PxanaGOj +q9oT0WTj+ymC8A24W1FMbgDVbVF7l0BajAkxUcLQt0XlLZ160NAt96HDLG1xz7la +wHeRFGvRCvkR0RFK9anqLL+VDdNDnVAMXD+oB+COeTH5h/vbEJT1nADWGCUCmIba +A8pGE3zcZzA21uf5Pm3V/EqYZ4s5hSjKI3H87A47dzPvDiLkFQWMKESYwl084SUN +76SayV4VWJ7l490gdiNOT2g05hkf7F4GMlJZyaMx/Zb8YeplOT5aQseOUmi9v+Hz +ZHFVvm5lNFmjl+y2eF8rgoyZrBYA6sEeTBmUz9aCWLL44in4Me1C82/1zfcJPx/m +QEGGQdl6S7udCf9so3j1Oqg7tbe4fQOkxCI/6URa0o7Nu5rMbUk77M9QGj1qH4A/ +fPHGDVV6bZiQdVBhwrrhgwQj8Jk/cHgkTpLWIOG+QxlQW6qNZ6y4w44W0KihMxMe +lWQU8RS6+WEaXh2OTbaNNxoud3O4dsoI2wWMhfxv54t1tgkusevtPqnDLKcphmkv +aarhRZxyT+3vB1n+4xVp/AdDDRW0+gbijJVUSEPilSJKgRuldMiraWKDR745Gyo3 +w7P0WFO0k6EFzJLdhoMdwxY+U3qD2YL4+nttXVpV6WJUVqHxFCGa+MaFi0KgJME5 +pXGLf4oZA10iaCqqYu9TYudeKPltVel5Un0ptCJz2UkE6HLIw10/RbVG9FO/8HQS +b3PzJG6OIaRPbrdzXeBqLmjwezwSJpHYP+6Mk7xx0R0nD0pzTerC8Tz7LcjshyQo +Rb/h5sjQFgh++JnXn8yGXUf42ejhXc2qp920eQTkyvYUfiBChkaVfS9KQbEIqvEt +5nn4BEqeVmCs2ep1RaiN/uni1VsXGyfZ1xhFyLp4ZvhofeJ8ClNss+O6Z0SxaOka +ihfNDYTSksamcKNfXmLeiYGGqtbJFt+nvWSEc1eY6FntHcFI58d96Tvm9Ro2HduG +A78VG0TRprevxAbMwOTjOAoi6+w8SHaPgMdcR+iPtunn//A85LBGksLgL1nAC7vd +dJfNgVVjvyrXjtb1cQ5q2iLZTQHc7nydAXTlHTz/Y4C9FhgfzQYgNO0YuuVfWW8D +YG7BULZXDam3IVrhlyGQVTcbnsoK0Ev9F0VAwoQLwsyNPwBAj1PPbRTKVBmo1/qM ++T26bZbJXe6BAhYvvAczfi7gjoK7RMohiIPJ0D/jQvluOG4n+XfeZuX5n1bmw3bd +Txa9aJuU4JYi4hvdjPKHX7pRa1vHQ6ddm3Ja7Q9fOs3YC3iqVTXH8NmngouTK+qU +/sLThd7Dwa6jOGUXBO05scgxcyzm3pbgEbsshVQvP1jdIQFGkXIu+XBuQwVqmp8b +FrES8pNSFL0Ha/cIhnHpEzLMMS779H6ix3htKkM7MrhVE9ZZtspO538lGjrX0hIW ++1QVgH5uq3WCdoYBPq4TzY2al1Hdz8PdZsCnoonT7d4d5b3e/cVwTuDd9dFUFuIW +1pnqESX9CFGPh+cykl03O/ODez20x+TPt7J1GYCZTmbHlzM3CU04Cy5ag3vSvnMO +5JMvjReHOwRQ6jFPNKSocm5kfNNvxIQPvPh2gXj69FpFntTefOWTlgAml99LrxaL +Du/NmQgfLwS95/Vy5YS/K7qKBgI0i+9wy8VSdsFVhBzs72lP7j9p1XVQeJ0VrNzM +PVP0+iUma2RrhkRI9B6fH++cijgv/UVai1EskBWX3dCR2pcE7PtMjWrbHskoLnLa +2EkmWwzZxX3QTUpyHHKEbdRbMv02VN71ShgNovPHi9H3SPOo3+az0ptbyFA/hb1D +AlmPmQqfUpqYOmspMxS17QvAqc/eyIFkwVjqTtpsT6s2drTt4nUVMaCvOgmDd1Is +v2MQWcmDGGgWtvPCF19XcUFAXhDdcrJQkfBaOR6I1AJat99VpUMDjNucClz70hqR +AI9/0O9FnysxV+MzMcJ7r//qxbAL9IgW+WkmhiwFc3gpMqTbMaDn7LOQv6WmdGRa +B9T/jLuZLhxmZjwq5iuSqj5GwY1pTJRTTkB/K9UJMfZmb3jQWIT1B8ilFGSMBLRR +aqyI/7hW1t/w7jOmXHpc9rZpOzgnlkaOD/foe0uTEmGMMZ+5LNmtdsoxZ0ZHLn0G +643pGgZ+mrWK4kiRap7UJThSy2j5WUr2E/C2/ZNcc/ccZnEy9eAPRoO5t9OxETue +B3QSn+WwYUtkfMUK59SfT9hyUkxwbvle/W1upwR9CBcPcN8+tCtp8yN/O2b4ew4J +DhMxaaSgLY5eFwhKuZFPq8kOANv1TMFgCHniWD+2/ItvjBbGSMSvGTVDqFr1pWlO +VVMJfa6/XNsaS+yKUgcdhBP17+jyKp4Sr4f53NfB9tOplDIciI0JmNLBMoEq9Pa+ +BjD2533fKt0ovfUGMEeLyaonQr6hFmQsatM8CGyIphhZCTGuBqTB70yCFzpLpuzZ +K1LiqzJPsRZ+G7hsiyXuwnGLt0Rau37t8CL7cHTes2wQCIjV3eDWYbmbtWMPUGYF +074gu+YJiDCVRlhuVCnQkFezQxv0A6571OBkKAwPi+O+IP7U6eSsKrXi/FoUIrrx +HjE7YO+IfWshXsq/ZKgIG4rewjNaGrBAat9aIPfPGWut93UvLxZf+iJSehODFsvO +iX4+XnDLZPrV/B9S7ImeFfR7SoFDWsJpe5Ll7rK8jeiRv/yUAFly14H+IiIRFgjF +Ju3cce0nYMvDRdNo0Covyzume6Tq3acn/NlzgfxeblWpRINAVah0On5QY6uLhkcK +Uwk7zi+YLE/9FgKENOvcIWqScy5R4twzbO2xfUpH8yvQuDxyAy29Ijtw4UDpRcdD +xsTv6a0igpREligvp7DrZjIFAKOw6GOmAekB8L5vkyl7jhpP3lJxydj7EdP5vqa7 +t/2tojHcWbExmk92hYIUQY/qeQnyHN913e7lub+yuyBUAMukUD0PuOkE6ZEovKI7 +21IfwxeivM8Ptt+e8ogxuRnXL63uCbWRifLPxSfm+PB/mmBsWBi5wWZET7/+YzJn +uzhstdklTn1Y+BtolwFn4pnPV6C0B7PXwS80FkSF/mjRE/agWz9rEPXZN2rDoPJf +Mm5LKN7C9Jg9CnZvEBoobjnBToIIijeALUdCLWII7ftAmUEPUfog7hdQfQGwuswT +kg48t/VTkTc+bJVH7s4iHX6mvZx+NuAHBqHCsQBtBE4fNyl/3aTlzMOHNRU3NMiZ +M8Tgm/zhYGpHBMd0znLpsNA9prr7g1ZXes8ChZlYLudIsnGxvqRaJj6S+82U7tsc +wihh6gBrbWJpdKPiyZAPS5YXOVWhQuhVwvbANFgaf71ZTWzFZqd8Y+ip8bPe04hw +jOI3IhoOVJ/pO6yBZClfCk8vjgsCQ3ifvIuPpz30sK8Phmqh46VeYKARwXosD/3E +30SyMOc/Q1t9LsZMP6SlOpcmEXXSA9PDpydSsJvabDYlE5YVlURmRwP1MW58Szea +pOiQE2uPsvZ26TPbB70CDbtjpg3hNcZjXRQlSOHpBS/xoG6+HF439pfeLOlfq3B4 +F4p+Y5WUEZzor6NLDf4ccz6O94pfEh3jS9oMb8n+rsdH075guRcbh5TBxNB05JCp +vwZpD74v6a4Nu8ITPwYNdsD5GVSctDjEttTZF4GGVIrtxBzL8BcaaMBpoySt/lH7 +V3jv2nv7wHkgWd+4xagzq/OcF01CFu7Sfgv8fmowtpfVgHOWavGAlDRJCNk+L0dZ +XENIp/BKGwQUA1ZMpfy9RbZDjfjqWDuSzEiHM7TosJdmE+5xUXqNyQYvo/Yejzap +M+tID/1nmbv2QxC9Pz6cmB4HUsG9CCZLvtzgfG1Pu+1u5RoyhzPXVZI7Wj0d2WKc +KnN40ls8nDrnIkyGgVt95/g7IPk1MJlrDykDvbkAgWnZl5lhbg5dkv4rd7DOA9V4 +h4B2iX1vT+TH+SIMPe5PdAeu7Ow2qEJ0yWzwlIY8HO0XrnFRKoi8zWfqVXi1/IkS +AEeaGg4iZZhQ6AOcik87TlmWA98xe1mHhqnGrB42RJUhiSRZdwId2pR0gtG13ijR +rvzs88Kpsd0SUZj7HfZjGTiGtOSTexyYhnxldGZRXI1GYhsFdNeJmAV40bTH+vKJ +F+Tn6ljOh2v7gWqXH9MDWmu+NL8o6OhEEa3zqLGHa34GAX7FnOTzG1mr3rHx43Lr +QRFQ0liHoDxoI/6PfWbxU3wdjLJNxS72WhQ24euBO996PFyxd63jbR/Ha76F4PoQ +7pP4UfkYaVoC+AOmLli3aJxgIed/OG/JJzoOUQdI5wq//fTjFtiM5s8s2HD1uMA8 ++PEbbI+nt61cDLDvGzzMko4H2zZ6FcDZfH1jN9j89w0/BNuGtreLHBQEA9jxQiKo +Ohm7oc53YkX7RL2rkvHX27lt0zw5eonybiYzSwL7sg0FvbtHNvH1Kg1VPgSgFl5A +uvk3DWnYW8rKhn6vTdoItpQptKp87WXyQ1OYMhnX9x+SjoAOqyIe6Sze9BdA0aKK +IcEAqnvo4KYBkurpAOqhzQRmgDAtdcqCkil/ed0x5U8yWvsQ50sOizh2N5IDMsJv +T0vfV71UYe2eRGfkZI+DZa//5W3ZHJQ+/yL/p32oco/QoliSEjzFHR0R4JawhSc/ +35YXI2fPBMNV2SXit6LmBHIkhpWigPdR5e8pSOgnpquJbvQ1kYs14WRegq/MvbEs +YD9Y+9y8mUExWPw2H4ZkL7HBNAYT6LjTx0TGb/DrFR9aR3bwBSVIWnl2LQ+YLLkM +HG5CPchZV+yvTkMdI9e3NSC968MQwGtyh6R//9+Q9/B4W/kc0t4R//hBj018Cax1 +eRnes0LnySr64y8iH+31+9CMRXvd5fHO777BPEQPsTeHfDBYaKEYzCtP+ZPkxRyH +XTrX2CnUr4dgVh0RbPzXuBgWmzUAZKyV6nlUapRoslOEBC3iVPyC45BsEAmXlkfy +b6rXqaweuV/LckEWAKINDjTsVu3Q07+faJoWGQ2X4u1fUmSvLVX3EyLvI7aqU2RD +HFc37KsPWuWA9Haawb8Acj9TF8/um0mBvmD7i5ww3TfW3ax1+x2fQDIB8yzbOhqE +qOIDNkMo/Rz1nSWhn5eyrJFpFMvXL7328+iRBTBchjg8v32Uu64+zHSdmokCbZL5 +x8tuwQLMgPMO561xSlXcqXg8TYjqvV6o66je8C75cu+v9603rfQwkSdJLylYtxLD +nKBmb2Act/YHtd7NrjEElFTFGRngJmrGM3SqhgW1cbM/HLbQnoakggZsWwXKnT6i +QTyjvPtX4DkxrmkLumK8wE16lRMj8qWu0JPJuCFRBGE+2m1Z5+X36bt7KnZObZ3X +6mqUTMe6lT3aDS1LB/vsFmC3hGrfQ+WUktFBbJk/cUY82XM66RZ0ekX7C3XIeK5f +6TOV5bSFAg1STB04QXcOsbQwOKU1ZV48B1dglxIopt4dpp1Obc/86ICC6U9Fw+Hb +AsyZT1t0TEybtBWpvdmTiawvD+aa3IjR0QUcrZCJQjMLAmzkr154Awnuo/bvuZO/ +ilfRZef1WwfZ5PhhKv98F8IhKNBb/ISR3M5qJLRYxlYlPIKpCLtBCTdLTGcJm8JQ +zhH29bQLvtV1LE2JpIVu6CMFPRh5afjAL9ROYv1Vz7caqrcsOV4/uSxzvoWnfrn7 +wqUhKetnTZ0E1GcJydf4yGJ2S49HxaLxuSKjkWiK/8Fpzy/W0wnqfHwvMY2eAhk7 +r9yVORB45tcGzhnwZBanbbJwZQzpB3wN2IIlsHRPod37jDmK/XqlIXPpcHLyX4Am +gyg2+GbpRm83KCI9/k1dCzseuorz7yRzNQM2gxLGI6CdppqUDwBOVeefCJsGu+2H +Eg85zqslAtWRStfZ37H6Tzspq0l2OdPf3TqstTAQA98GreldE39QAPZITm4Ai12M +RkCO1TWkEuZqk04T0aPU95WTJTnkmu7ZliH9PE0uV6vLe/Ih6SXJPmU7D5Y2FMRl +rd8GePHDv3NS2GJ9REyetm0rm8AR1IKNRuhdGURVy28iNnQO3wo+FvCfOw2NYTyX +8CYk0L2pvYO0zuAK02XIIGuBGTT0t3Dr+bO+NjS0KTOTaUhHFUX2jYXfiPjuXZTI +H/wBIq1+abRvNPJSXOvx6HADgoWzpiRpmESUJzgsoO48U2gHYgRnhYP8miUQyDrw +yiBRW6x3IgYFHm56JxL0Q2j0fMTfkRdw2TwyVWl5RcjXbnRMWBVkICs/lBPl0TYN +EH2nLMFG2GZPg6c1PGq6wN4fI5uY/GGCxQE9floyGgdQ8zbS87rOqt8Y2Lzx/qUa +qtmPRpw0AcIu6hAwxRiqBLys6T2tOiKjM9IRuoSPgKJ/X/Sh97LZ4PZyR0g9C3MD +RKFoHpdP9gfee6iAtoEQ59qbTazSNVzHYUvQDWm1Yl4u7Do3Zfv9vAd0nKdcBRgT +lpu4QJw47klIP4Q+IR5lybZP8UYhq5MMcT3V34a5xK/Upop1xRuaJKBUc1vqgizU +SITNYOC98cEfitPAeXd4U4zAe3LRMKbylDQLRFTLhn8YbreiQPCf7LSnItX2Zi+l +Dq2lfGg/sEADw4QROHqdujvPpRRdrnHIj8bv04R8PCtFD/H0mU0OTvuAfB/g1V82 +u89rMHYweN/tPzVUfYQUbY5TWCjixz8G0QGFFz7rxExh+9Glp0jmBQ0/3e8i9CTH +Sm3GF47Cp+TnzAsPieru73m8c3GtN5g55ASCOFF4On0xQ8dtpn2NPSdgRkWpbjwk +7MERuE3NniQ3ov18jdZmuG5FtOYJIQLjndqqQA2vbPZyCMqxPvWr4fHHvLG03tUT +T450rTm03Dq3G+0k411iN2hjQ0G2+8f9eXUCAl3uY7oasRLl8B6c59gscz6tHnQF +TlCTACo2zQkfBtXe1mH8iWERRuzzLx30sE7qeVPtJvg86Hmo7+2u+K9Yr6CUQeGR +9N4o63gEajBMdzsvHLyT3p4oiHnnyn2v1BmA2Kb2zBvmTxkDC4Sfp2uOzGU017Jp +S5QTMpxz0A8zFpbF5zviiome6It2tdjLnlRQ++F+UW6+HTARBx2GEiD/Y7vPIGlH +R0tujlhDnQm1jLV8xOwe6opHRyiDDwQPVm0m404kqUqxO1P4S+yGpx4EgaPaKyft +Qi4chy/LFXBvnKnRDEq4jhNG4Yh7BuRqOPlX1jnAC2u9XPAwR3GUQ8/ry0WuH5lI +cchqMGlGzwFGLELzrCGB4uXcOl30EOyz/QbGKdcwPwf44Z+4WEh70zZo7nsqmSY0 +GKsfAjjH7kG1QubYPIcoeaZq3gWbsdBFXcpzT/ANbzLnd5fghhQWyLtIp8XiO29t +SgYZt67zblrTfj8T5ey8qFV7xr1uC30kdVz5gEsT3jNmfWPkj3vu4do+oRdQPCXw +r1I6kOTzcIVbWzOFc6XFYr1oKS9EK/CMnrSnKX1UMMOKSq79OHKkHDQSh3Go47o7 +gfN0oCP0LAcNFk4kiBCBfjhaHDWk4AtonAfPedz3AFD1BRy49Y5poFIhA8XIf9p7 +UAsZ4n9O7R6PpAqY7Hj/OIaOa0G7QSovqceZ1hupWLkdnEED3OgXzGsRpwQ81B5U +v2evdlLyMotoiX2MFiydC7j5PvsmMIrX+gCan+jmhH5S/9uXi6Ym2Wd5yBiEQEEs +4AWYWBRgNtCkbOrPg2YCwUjwsk04/YpkWcBQqNiz2ya9uJLOCby7d7JIZOqK9XAD +xD3FrXskdM5cKLwbGbTtuiEIWAo/QIyz6oRyT8Hzmat/6HDOU6SbD/OEUVTEc9ox +wPq7mQ48Tyvoi21La6dDM9bzl6usWSW4tSFXRn/iysS0vaKJsfcZgvOG5Xlx+yLO +quMNz2SEUNqUs7fDVjKg4pTrCG4K97swhq/zDjcPne1Swd0VzofOvcEwpBTMkXKK +GKhEgNvpPdgN9Ybq4M3tp/rIR2DX6HvHSW2toRLww1hJl6CdouzgXQCu1jXt6MgI +681v7pHkUMmIsLajFCIU4LlMf58+1VcH/aJnQrHg/4J4L2QFokuSmBVCbTUE6yD6 +63OpJWm6BLfMpfsT2oiXCtjiWgd8DaJupIDH6GOI71vTyeIyjLvv8YdMVzv5t6cj +RvB3Ejcbxuy22TbEOQS3lh8KMfKD9wFQVsrG1KPRlx/5PSNjUnVLgvo3GlMrGry4 +lk6si/yfAlM8AJdp/oY0v4gTjFMzmMJkE/0ewlh9wUviKV6qGYXKf7B32VRP3jVU +lEvbxQkmJmJytB5KycO7HZb2gZWfncPkG+2Ew6oqcCjd9b+LYR5DIxXwOltgzEHI +Hij65bt7REAgwKm2ALqDpLAqqi3l0ED4G+KaXVBb9DWmz7uFEI+64W5bkx6EA5Zt +3kBW2uRRheqK+KvAblkdKO8wLlf6/bt/JJSl/H5dfMVDDLceHg2wRXlWD1Vd+nyX +BxgZuCTSbLoHcUpq/o0bXzT7xLRw4MrQXCsq7k8PFSZbkYCrE5VcskiIK1NGCQ3Z +pJFbVmla3830GWzuNsXbOzmnNMsxWmEIAvXIgKTF5lmkWB51njVEEExJ8RN/8DO7 +PIwwEB9auJrKOsC6VDQ0NoJZf5lIbYlLqbZ4ze9JiNLNnQigJefmiyVQTSv3Fp70 ++Xhr/FRWi4RigpYrpEAQB7fX1g2rngCcZurz+cpme8QijVJIo4UxbvX+Bf2rSR90 +AqsAFMbVrpepcQVqMZ6Y+2Nw2ZeUMLCezUyVMFggbZDBALR0rb8SrKsu3qQNUBIJ +WNoFohCTjE2tML9+JuotWGySjfMPYJaELw5mzwhxPwtoJAOFfgV6akUcSOj99rDg +k1Xypzp9xZldRmIUkfVS3oFMl0kzFN6z1hmUaZYbMQ3r3Z6883ahb8jSNh5MjH4V +RNCrLt/zGLwPTipJ8F+HyNlBsQVg/46TXnaiFnHqVPiWnSDhsgJ0XvRvfGOVoWNv +Y3FWBlP03rC484MGIXutmd+SOhgYd6R8cwm4/liJhkdC7SfaR/fPWmaZ5yicoqMa +pFb0xEhVU7z+RS1TDYkC8fAS1oke/GArz8QekQs7Nw7espce2za7zWIhzq9KcLQE +bZMRMn5z3BR3u+5Hx9ix7EstV2rX13NNQ3ZxwjJO39HvOW0ajtDkUbsC8C0laa5U +rzeBGzzBE0e3n2lKvcV4CLb0n2ALw5FnagtIbKGsrBJHvA0o/EFdJKJvfUO1WIk/ +afzE+j0VBmb8a1gysBlzXSgGIV1qNdG6Fo08sU8zAD6Fa1UIKz5fy45OkVHPyZNf +pd4u0kr4f5twOfqXev/2kntjML5XODpgWmZJuVBLTtZIWuiYJsMLb86GTfQbKB8C +NEpKFPzvRuYT7liEKw86UVyfns3GAeCqQWXuAylhtA83GOgDby/D5zl4b9wc2CCc +nqv7rdf0LMPQDp1l4S/mYN1V2OJwr7RREs1BeBvH2RatEucM73/U2ZtU/cQ9Wbhg +kPcanPu3eq2L4yC2Jx5JIwz4P8geKLMQlmgGMqIt1gxyz+DiYFET1mowksoVmwEb +2oeiky4Sd5AIllCF5b+IixdCaGoedYLMxSro1lGZ+xhB9iI8BRei+EryBndruZ6e +jnB0ZmBlVUOcovUwYdWHPiWPOl7L7/iYUtRDdfYZRdB4V3DU7ZbCS0Od7YyBylXn +9NypRKoT6t0IqBbCH0v/r63Rwd6COgJYziodShJOUdih23gB0VwuJqJ7Z1Pli/6p +xtE6hkuUlkiqkbIWW+VbvboKKQHaBoqnH5wz/QbPKSi3s+LnbRwoluZsZr9yJ0XB +/ZG9zA/RaGJk/hnq6w9yYN67DlxITXp4kN/VRg+A4wmZtqFWXumA+eQJ03DOQycq +Jj5M8qjzbwKXkQE+Rp3vADGa0rwVdWhg/x7Pt/JCAqEsbnfqE13ZTKYtWQDoAzmC +yN9uVh61Mwb+9BmoGdJOd3uupYC0llK6NFZj3XeeIriB2RuavvDzQoxLD14nDUqt +SU2sX8z2xzUl8gtmoQOWgAF2nD7DV6krtfjRvwaE+UAqfDuZ1x2oSbGp9FTB+hmz +71pjT/ACneSCkGYmed/g0WSMCYckZuDCcIm2Oj+pDromAX46W4UnZLA6vmSV92TB +0NjuoOwfZBtmfMwVd+pYOLK4ZMS05M1E7PfMzlnM9jm/CC2/At03p6Fow3LHne1Y +rCtXutSVtdsdtKwBxjVtvDam/hxQ7plKExtm6AhM+MNvSDZLw06LOCKhEvW2aZS9 +FPYx/wMqyNqVjLwNNjCXvkmbrAujTvSocABXK6VN/IC0bsibZwTT0hEWwlkE6AeE +7PFEG8GNSyDvHEaBD83d4OpcVmsob4qNrtXgys4obIxGx+snkWHoZiOG9s9Efcxj +UGZQ3VCt0dFVBdOAzjf103l++8judSUEqLTFCPCjNZbJnxyk8aQzCnCQ/G9F7DY3 +WZXYbFPnwFh+7qb3nZCnqawKqoIRHWAnpP2mMyhM3uJEThz5b5kmmLQF1CTfi879 +jXSOJWjI2IqYRehlu2NyZRiMwJSCZ7MwT/bmtAGzhbh3XlelDTn2H8SdSzXrddM5 +CKEaX41nyRuwFA6l8dE1UmzgglaGQJevotP3dUc8WH0//WDO2QH0jM41BlUwbWeV +/G2u8tadmqj2qke62CGdxsKYp3/PevaB/iQwG9ufxKnbB/rkGvnGnxtw5OocO8R6 +C3WEbg1gmK9v+v4kG6WtAm1lMG/0fQ8RchfX65EZFNWyaPlytngCTruoz/GeIjSJ +FONIXWOn78pOgV5aqSVDjJ/uxSHkIVTOscdFrb/2Ja4V4IrxtmpwVq3ONAMLOOxl +E3TGY44G5vlNx2bfik8mYVMyTwSZDBD7JjGJBV6hZHEZnPjgZZuOWUlTXCjurm4G +J6F/7EnPB05MVSwxqgx6kq4AW4HH7SFTidYsxGs5TcJSIbBSj20WJRCuXc3+IMDe +BvflCs7f+3/7cnw6TU3KJZEzv6AW6R3cnwDsWe0OjFucu6HS+30snPBmUFfn/bp9 +ZYb7sKBBNUq+GTYaUOvfgE5gzPb1IfaiBgPc+8CJINZekRCeiPvfEtO8Nb5Jl5T0 +9RfRX1xQJKzekFXBNaOrSvHKTnxiFvn4umAD7A/bqCGpkJ5rcfFdThQrRB/XkzP7 +Gp1/flJ/CaeQ5K448UEDpSUjOQ4mGPqgp4iq0zwpR7JyoylMb7rfhZnoHfXp97xn +a1ExextR/h5XX/K59c73rFmsvNaLz2omXt38fqbam01FTbrsT1m3qREJw4RT1/ag +gjAtUoIB8G4ZzJRK+MpjOoCpgMfNAJIVAkKWQoQX0pp5nvv6z54c0+dcw5HfFgtI +au1qSWCXO6GL6REi5/1FlAfYyKWnSkbKeUJcZqqpsFll+iqoVgx96eq964LKVnD0 +O2pUHnrVRUgYBY37TMWmvKoy+DGBjI5fmL2O+k9epWfkyBUXB5pwyh1+n/3qXah3 +uKlMtYsja6TuvIpzeFPR6p018qcLiG7gudEx+BzD15IB4YNZM/j7paYOtjqalhSQ +AvvVVJum1oxMm1uXEvT3qJPeYcdNElgK1LTCAiSSjdOtEHdmJ/EipVG34ygC03VJ +wfxoBz+iBfgIg9Ub/rMFZpteodFvr+37C7uqFXuUrPB91DE2162TWP7SrB4a68aF +MePtcWC/BSqfDq6nFdVfphhnWjbnNBqzrku9ncXn0eaT/19WjQoLYBXWy1hikVxg +d+HhPpchfo609Rv/8FFl9gWy7yvZ2xxhictCnC7GbaMhA/LvL9ov853nmi0bHW7n +muaH5XGZnOChuBcDuRCJijNQC3QLqilLkUyo5SHJ683l3nD9/Bdbxho6R2C3hpvr +I2fCxSVKiQ96ta/VhMxHTujpCv1q4kll1+aenVXZvfxZcq/BeenGNO3GEJqn3GWL +4roxkWE4suIX4m0GAOi2g1J1ltSL/ySoXZaFY7zdHY/voFbb/7vM24iHld0X0hqJ +Aq8NhBxqa3jOTM9bm1GCCrf0pEvWuUo4Cav9CiBN15bLcmSHql9GWI0l2P8Tr4gW +lkaP1eSvyAe95UzMBZlweFEKiKIe0L3835Nao+HbekWqgx9Qy/NjbAZ/yurQLQA/ +ErBCOPznUNzAbmeGMRf9SyKlJlS2/JnJ9WxS2yDYJUM/i+JZctM4uD01A4kaDmF1 +e029jL5i9jotm4www3sUgcg+6rLZk10lzkUWH5iJaZQCQag1a8FvGhkV4qif3sU9 +dLzDebVdTx5DBwIRRPhA9N0vGepbpqEJvmgv4QzmaEtciPOvojiSgG4BIgBr6ukm +asX0v6hsxN5uOESAC06aeoztpYylCPzSf5fUSvq/EQGqZ1QX1vv8NMuRoNjh34vW +Y8bklUyi6dkxEICHDVm3huJkRrOm+L0qZWjBtKks9y3PAR8PFpQxb7xbrUBfgJfi +GAdDHDPqTdGYbJAOjS2VLBjDvEnPVyoqBGFNnB9gu/1WS4VokvRILbRNMEQ1tVod +MVKdZ3oZdUqnCu4cmu+Ts2jHjg9X+4Vqqk1+TRkbgdOT6v2193YJ7VE9W2Nn3Joo +1GVoQ71Hi2z2WTBy2nL/I/GLS1AIdk8J7LpecpE8W/or+LdKZheGIhr3haUP3Fwp +OKM5tcxEeTP6v8pDaGtXtaF+KnL0jwK/QMbHDa/X2xrwZitbC217eWrjobNR1UZE +a6aKQhU5OSmHa2fKHSwFPaBdAMwSoMenUbftS8vEz2WHxwoCa8bVmj7A9hCcwEGa +47rc02O5uLMK/AlyOsYJBaqYsVDrp5xjGBpRJAovD3DSbLE1a/iqqyMz8jaFy7da +vJe1iIpZ4th7MLpCbxG1tQ/sM52VY7h6aqUhbc+O788gx6yQ1R+9Iqc5PBA6scmn +z5mnpFuMzIYjRVwWS81rU3umTApUTsXvPO/TaRtDpr2JMRsHSb1OFajmlQpVshtD +grJeRe5dGr4Dt8Hqq6lh/wuxZ1Vd/DpYKcoi8q8kiHAcjjSLxE2XaB7JNSInbUeV +oXgjj9F0CB/Z+5kMH7XG/TebxoRJ9svYb1jGD38plNJrS72K6NWyWkN2ed0xO6Ji +F14N8p3oiQ/aGzyztMaPH/LGjtV5dARgeIRFUiZj46pTzkCjanCUGGspi4tmHvlk +t6OqW7OGNcsJNM51R4HVB7wmwUfpKeNn9cKWPTnwdEehW7LJtOYAVgLXXlWm5rBn +EvUwfokuWDVAZVY8sqBaVmkQyjwVwEIKJHswpOTV4nU0Ei3Hc9VM+y3Jvah/ElGa +nN7C7FFXW0omz/+sSSM17X1mf/XxzNlsm9CsNrDYvzu0thVzNKkDmpg2K86adHvb +8Z+lG90qo/jUzJX6pLgwHdttKh9yZm5C2MQ6V1sN4y669FqrPISphaiSmKgFkjqz +9+b2RKBzu62NAepPT4E6+Wb5TJBFIO1HjScl/AeA8hoZP9lub9a/5DJxSsiI7Ae3 +j414fj64M/W8+jmSqFLxxYuwawvHS1uAxpJnS3Lu8RXmemtYlRwaYKEGT85Kpd95 +AxD2/Y5HcReVY+suhA2z66mr3n/GdOZXkyt06WNloPXSfEjGPtzDI1EJfpL+xDO5 +UwbJsBgHfIRLfAIt+9qbcySNC7VI08KqvkB1Q8eQbRDj8Z8BXZhf03qMQPel0Wru +u4lYvcFxjP0N2XlkHw2BhT75Je0zHWj9H87Glcr6aUxIEQekTnADoEp3KgziLep2 +yHTeAMZ7ixzKfxX6Y9TF7HKsyHi4Zlm4XYfdMGgqfm9h9CVO7dCD0q5lZAJ1agRU +MDOXxQ5veYDvMDG4l+tz5mStuP+SQ9+0V1R5zSxtnnYYCpqIyh3d+1mTni0/DLaw +ui/rVjAUsJ/jU3deGWVcQSmxyyeKZRyD1nuVmvA6Ht2SEuXqBpYybTicvCiRANh+ +wuIvqQpGNa50DrvsIhBrxvuJsykzAhR8hhdnGsaEF+G4DTRTi93GR78B8WXCWovm +I1sutQekb2DoYwXrs66il6e8gqlbFgaJ2bRz+ICZYmm9a/uhoaXRbQrlD40j5v+Q ++rHqaWPBlVMX2B97Fd7oRWkgBBOb5L5sGWlJps3fqFqpeb48dv1ltSyuvtj5qZD/ +mtSV3h7PaSZv1U4Pc8gstNlr0lQvE4uB97ju7e6FvxDsJw0DAFdkXJvUXr5/+scn +6Dmz8OPVB5M0XhusckGADX1yvOBg/aGChELvoziPVoAb3XQbyIzbxIm0JmE5eAjl +KAkBxQVstda1rKAaWw27h2KX39sbrUn4TfIsG+vgoGEY/OqKcd03bR1gbuVsIPnS +GzwjS5C0VORDIr+rYsplsAzuYd6TqpYS5Dty1GRxSMdNg4ToUNmvTVSfGA1fdYul +iD2V8Hdrt/8AARaniR0wfSRX8gWg5LxrDLgLuXZpOVn7oC/MUgFsRN7cCy1qDboq +eGpEt2fK7dDJx8Mtx7uz8mvrAqvH0BkXYhKmoiPreOCeC1aia4RpKqszffx7vaRK +zasOs5gbNJpN2bDd6KuPsJ8OehnOgC/Iilp3t1oqkmc5DTv94SY7Du2RBJdzmejn +vT4GuQlmnYN+WiHsoJnIVFxdGQ943oG7BDh9Pq8o+1q0LsSiVWvTlg9a5OFLPf40 +JeLPIoOC2TUXhW3r+6g9QHAEeSd00on23DPNOYV60qXls/VcbjpluKcEPKfMTzk0 +jhVvt8bhh7SwRNr/EV2Iq4rqHtMSsPjgijVWKQcyY35glLUKMpy//MGoDFPEHOVc +dbUe6qJ1f1bqczMEI4ihRM4qW6Wgy7mUs+eQf5LvTXx3nicr8c2U0FiNFq/ye9uE +2XzQEhTwUj4AoSvG9NSgAk5/o/jT4cNUSiGdx8GM9ZiWoTWDQ0OtpynbmW7/2xG7 +Owv59k7ChJ9qcRYe+I9XkLj54zP6+bNCOVWrh3reNGuBCsMwyPTrnbXVTo/Zv7EB +j2l0IegCwrj7uq3seKoFvZn7/Q5B527Y9T2Ow9TB5PywxDury4m0zdD790jxhrEz +XyAh3vJ4/OMYk5Hn0kUzeaB2InWcJNecdUdXZcq6UIDr9R69L5XB3FyKE/XP5/7T +82/mhkug/eDX6v73HhRD8ZFdp92FquNHAx8QCiXCEQFg8fj1ZQBO4O2V5CS5Uafi +s7sSECsOvLpxSFYBepCXTT6t52hQGYxs9ASGlfXnTEVIui/Q8qI2Iob540yWY2qp +ECcqTjxpp8f0m3qz6dotMCi0fUOt4qeoiBu1KghufujHGrnBincclYi4bqx8K+LD +Qooc2W1bJYyrGVSMSaBX5R07CpqmfQu3SIFoE623Ag6e30y6F2WK7uSihT6+N8Ag +51JL/2dMEo/98x1/w6FrAJtN6HIg9AbL0+MLuW62GBYPfToSmCNaRsSCL33JMUQC +0BHXUUPhhBUu5AM+9wmNnnWo3hjxb/25wYIU7pqT0Ia1kvTP/i0EFWEfaWXW6u0p +kmfCpdtbXxkGBmoOdPei6Q3tFSQtLUptJYHMJLQmWZYNarhphGtTisJYyKYcesar +l8CabJrWhXmfdl2GF89SAnPnAFN7q3a8g03ZcpLUVTdVDF0uDQ2R5oYTihB022x2 +WNx4N/4INmDmHSVtCIZEb4Ya5R0H6Ej/DSqjemyDdwk/cacZol35Y6XgofQ/aqUe +nUntyiYjto9ETOgnv2R5qs3kjmmVXTAg97+cVFRh4PpZaAXh6DgTUhFtFlpMDhqa +spv3SXCFT/1hJ2Kz4Qc+1Yvzgx1/XKErGtXJ607aeb0A4IimXYEmQbQt7EBzKNBv +1TpV3lR+jsLGv65RWFDHp1z9nsz2QexinD3Lg4Pu2txabZpnLJIQFpkM4x9X9Qvi +gdxAnKjLYIyceu3iYEzC2GkR46wcGT0I1sOB813rpNygSyoU6DXcjWzykSehU0in +YwqUBpD1nCeD7e8GL1hlEwXkB4TMMH+3reLI1VTS04NAxgOM0BPHYe76Y4Iq6l6w +cZdjPcDjyqFHXslUj1iMrkrLrsWchWMjpsIfHycO/AnMmuFc/fVXujkeWrl2xZeI +IzKUcCjLEt/ubDOuH+r0kLCVTEKwgPl5ufG5cV7nd1IaEtZFKWqK+U10QiWdRPGF +j9SyOjNchyAvk+67WfAKxHWTNmX0a3ORfZwFkBAaYSRWWhjtZxcjjlFh4KABRP3/ +JmwVdGJywm4Z8cfGfe0BLJRnIGAiS//FBuBvsN6da0M7gVmrvh5yDQgefGOy/+SR +nJjAqmdWHLAeGLwiZZ8eyfg3U/WW4YaBTJm/IoW9PymA+anzTMMSSNbtatwM2iVq +juDSFg92PRCfiXxoxniqXJIj25Lij400+7HCgvp/zzFJMD0Wg3g9JuQxhk9lXdH/ +CFimVnQm6K178eEj5ckp539b/JWkOMRJZDtZbvIDYRgFivpQ2dK2FSKGHXV20gai +6T72TXPLdQbhQ0dvaKVXQYmXPECy0rC8Xml3VxU+zJnmSmE+nfIM14enLn4JnrZX +k+b/lGRthS22Dvkrl1giupI6wo7YrrlsSZ4TDKfH6qjtBLuo7C2iABI3PAH5lSEi +tnCJ7wHRYsrMXea8l1QoWp+fYh8jAvKydiZI+o3NVOqZQKwDIyFqK9gZo6vWqwzF +bvc21lljoSeIPgv2bwIv3yUMrq/MDb/gKIUWrnbqYg69J0KB2NX4fRxZ710mMBJU +ot8PiBQ5YWHSuLqxBNbroZdaO9RAHWg/laj2RdMK4XHexSJ5gtLBKho0/b5LtaE8 +a5M5yszpeWEDPzpw1DWNdKVkocb8cVWYE59CFpSBD0oyGLJJoOQoWdVL2TQoCBl2 +Y4931A/K/H0xIcNstSPq8eRAi7oJo9JNOUMDWXuZHwndfJoqK+d6yX/++bLInHWo +QgMgywrpxDcKGi/dGcC1FCEUtljDEZT/N/BytFyBHjF3Jegh6XhrtcF9je/TZ58M +LRAWJAMw1LFIzctmiSbKwLYQbSma/A/D8MfigcyLuxPuCtMCSpKBsUxHr97I7G0j +NBKElYVtRQxfac54TBrcoCz/VCL8opOjmfIDqRUdhEfXFvwN1UQP+bkDn6PFBcuy +VsTkpvgwDvEGovz2WAOMgKqc6dcak/S2rWbKwN9W0v4LSxUtO8c9f4AAn7NHAabf +KpyJkq/uHDArYllwZme0r8Kim+5tCtjwQawZMG8Fu7ngpzeqNxv77OIbj4ahhsBM +ALzR5VJVAXAS1ZJYLDiiX2V2hcqMhVPcr8HvkVMqp91UMV9GpWI8vIW33lDzaRas +wgEURkpOuOqRbwk6nXJ2zjyjuOebzr9X6ZNrupgolgS6MN11kqkRHb6JrknejvkI +D2f6ALASFM/w+/x6IchhBxLAaxsGXx8jaN+aRC8mo8Ss0FnL/H+xbRVMi9mebWjV +YQT5EKFAvek1ufTIQhKmm6F6yJSS4tSudlf0snXbrMKq2xUMomT+X2Vws+9iofXJ +bEG6PX5Pu/bLMqgvxLjTTXZLdVGL4KKf5IxGgHJFB70A6voWwtArEif63g7T853X +yW5+FuFfgqkdw+IFvsFo0FXptHf55fw/fqK9ap1sfk9NtxaEml9CjX2kQGh+8zpH +64LTHxYRf6//Lj4dFDAJ3vdvOVXev8FuGkb/YqYBn7m44KLJqpJG4xu4HGJAMTPD +rhaTtekq/5kFIHexw0qdvH8ER2GlklsIdE0YyyUQlVdDXt+zdjnywmShtrcPw8DX +uQgXTJ2cpLV/ZZ9jQeJhnIfoNKORRGSmD461h6mq0Ip/aiXZx5yT45H68rIwiEoG +TuXt1tz9R0nQuljsXa6vvgGotBfXVqQTyvioY1gpMe9HbpKU4Cn8IzIUITU3gmPB +yBjOpQ2teAgj3gk54jylDCXqfu8IdCllDgxxh+8UmmeZdl57mde/8yC9Wm/abj7X +pTujeZnOPlA62vXoI9YivdJw+SPnHWg/DaC12sI3CdL5MewJDZUOX+W0QM8GaX6u +8UsrTSaPse6xMGjPgjPZcyER3DUWyWUfkhwTWr3kzx+1h+vDv/abAwKyj6izuKZ9 +0gAiTeEDKrrDIr7HKHDy5bop2Kt5VQbtAhEr73VNFsX8hOnQ01pFnISqDVJyJxR1 +OlRJUbXtIniGJ3cYlvSKNuKPM5ZXDaz3pVkHKtLPqYNdRRsA4oP6yS9Zvw3FwsjN +GkB9MH3nvFsVucY87EKnft5JFXWeQu18eTzzctFEIGHXsIUE5acjmaF0qNsmThKp +pT5kECq4kt53QABw3KaEZXuBvRW/X63dsSel4owMQ3u2D2ImbqsN4UoYpA4e685l +beRGRFb/dZtm8mSPNV4ISpU1j9ygNOx5ivTeYIABXcX68Vy5V2eBIr2/Z7yEzExO +FF2gSRRkp0YJiTrpNc2wuLeIfOopd5v1oySWxVnwHSPWMFNwkiSt3fCuMtpGQP0Q +Xt0GHgjeAMVNIPbDz5ywfW+2HGHIDBJAYO7TiKgWsQj8XJ3VC2z/EN7Pmp6MrBqs +NtT1KHAmJAVvCx6BjbZJwV21ISYTfep/gCBObDVc2MWHpt6OzF8dRwWNvUZP1+1Z +16xWzovgcV04blRUv8X7Pt2RatTidc0g5qebEjOrcWWimAd1y7OWr6sLJVM4GTg4 +9cga+Dg/SiO4eg7DUW99FDhrmRVmtWIOFCev2QGY8YpdnNBTpmVnUuT8vFdKqljx +yVYSZIXJjFZPGF6ZUL5x7pYLt5OjrWEDbeBzOpuQ5WkcJtMoX/ut7P5NR2ZI37hs +9byRyudR3l/pO0Q5YR+/hKI4oBnZL0DHWA9gn9H41B5k+l47g6Gz1nPkEgPrlK2v +AKasXWymg4xYArUyNjsydyOFD4EJCjfh2RdFitX3GxQd/NCmIl8F7mb2wOTWAOBD +HLjGdk7kvjpNp9El3HIv7Bn43ASNX7rheqAIJIdgyo5sAW2ktS2enQGmL+Nddadm +MmsPku1DO7YJBb/JNT/P4dhPOD66UAHkczA7JwGVBDg91s6P8JMl/yLt758ZGpkN +VvL1yQQiGDfhTxwx28Ph/K4ZuQoGVOLHs6xauBgKVXSaxUGYvdo8zfLgkGMOPqzy ++zdv55ulK0aFJmPLIlIyEd5KHtwhKuydkZjdd6T++iyYlOnZZD3oTTAucE5QGS/Q +z2kFdF+98iSaQV6fh4X5dowNcv4ZFAcpBhnHtnj2CQz+fMOxRAnAs/69GFS4fwJm +aKJl2GzO9mFP1KoOdejkop0/WFjkhGcJsNilHB+7av9nJm4H+7fpT0BM7F2vbBqn +vPXGlcYn5uuvIFRST54JJUYHVMP/UG4F00tZC3Y5yDpEgjHk3Wc/F8YqK8prrTW7 +qSrwefuj76br8LMjLWj1XfrJ7fo02yR8GNs2iwJwkPPQC98+zXFoexWV3yCt3Fl9 +0atzpYEgeWUbEYvZ7heXpy3LkDq+N77l+kTvWaoRLGQnHAG7JQoNtWKO++5/THkc +N8tesJiLVrNTuFzrtZop7cttRv7ciHZ5NLgkJhl3p8VSsanwwqNUpot8ZvPAh6kf +gMbLzBksLmGxEOfxtj64o+Ds90PYMRJMeMBsmf2Xhvt7vOBs6GNPhi5toZb2D3Gy +KhSRdC3FJz3LBJTqVMuIHCI2DQswsMiyXHFZQhxMP00+5epTQ6q7e9LOSvWrsXRY +1zgbR16tDe+74Y167fgfX4fykbymGk4+oDvSSD0xwLbzYVtAUTFmh1ZBcUpq/U1c +7UhZnF3QyqffXVw9cDXl9epqNHFNyQYgxYEpHPHM9xnjTsm52tG74yb6kuZvqmWV +SnWWlJB8+KKhveGRUn+dzYGxgIMPEZH53RESmZ1TojtrMR013KTJdnvCI5XzPH+t +BHTGN0uoSoiYes4UD5hMvTj9/MfGMntATJrxyi4Tz5MaS9c3dQf530zTXj/Ecnxu +2JdZ1SN/H9LCjXm5CsK+7YK1+b32yGrQI7d+Hiqo1JOV50ymWCRjhh4PL9GG/S+S +bW9Pr9nTALjWZFHkjyR+DjerQPdr6uOdXSeY8nZVlOOpd9zyLeobDec/k0x4YNMN +5GTzydAijg/azbmb1U0eXMdHvW1z+hTCYbGoSJnudy6N2QDpHt5PIaMyvQy0wpG1 +Ccgs5gFecW0oTgTAw77LGh0/snSGK755rw7qfZzLnqKm2tqUMMbNIRNZSw7kDD2k +xrywoj9zeNA5y9nv2LjbxH/0/TaB4PH5KPmRfaduAaD3xj8DqG3wJ/JtdXaY4iiR +Z5VUB8yKMuUF64OMZFBGaEro2N63XWbmqWKV3HjOKtyc/2irdkYMEzPVVf27jyQY +5GhzvcD01PA+uKD24q9/5fB6348OQCy/0k1i7W8JrvWCnufdAlPq4WhzPPuq0i/0 +WxMLbFi0TtgrhrktT/yLqVIH1xZSd2bjjCBNwLHhfUEf2uGQEqirKXENJY010Dbb +4tal2p8DB8leKWN3EnEIyTOKkf+vUk1rHod2XfH3oVYt+tIrhtciNuuJkn8tbyHK +OKq8km1dNo5ebTN2mwZmX5Oq4KL92Ctry/7kQMXGsyWs40/tLbrhwLlS9Eii+VCD +vrZixChsB3utP47C8W7njoChfiO857KdLLhx2HUhExX5hx6cEd2OAtARdIRCl6mt +0mON9hApaQni/JXTXblus3wqy0qoAtzP0oAGOmW/0fErKt5V0ZbeEmLFf1/db+ny +Y7QzuvYIZFvhTwIEg+pKYf9q9PNwc/T19I/gsTjBTCcT+L7MsawZ5hQxngiJ5Tar +u/xbsSUrLjwXWZcYPrA3y8gAW1rNsdPMlsh+YZPERFIGWcwuy71FcXiN5IvOLv5p +P1SI6DVTOEL0sEWVZp+rxaEgRDn9EjzGeu/F8wI2OFEKP0x4L+f4j8ufpXX0R1Ao +A0pZB0AHdRoRgqLsqoI65feCewLgQr10ImkWIkY19gWLJ80v6g6ato7J1099/2X/ +ZL+rzCIe9tJ2gAKqvRiAXttHBcaNiVq2BPO8ee/eG7sjGf7lF11vhGt/j3vhwVCE +b0zxxzd2IHPaVLwAbOsID47Q7TNZteGtRgsUyU2R1ynY0CdoKTs7w/yl4BxP23M7 +DvP3UhZBryq93hfc0kk2js/XcPfPY8CcNxVUYP/ztFau4vGS8cZ7Zvp0luuteuSi +uf6O19g4AAOX7/Hn73xbT6Vvc9NZtWIlcZZUQQKHGIpEGbbS8e7cLCqtLymRarNI +l3e46ijzd5nS/Xq0jih2ux7aLjrgqkHYs0qSHb8Z6qgeAXG1OeqqH5xroupLOs7l +xS8wQUtDGG61N2rtj+U0i+nnpEz5KWdwTGnYkTFYn7vN2nMTlwovkBAbhOU0UB36 +DdOEUp0YR/g6ka8uYpXJbdaV3VE1pBUmpLMM4fFi+9HZlOxXmChTj8eVZ2kO+BXv +C+vbRjPjc+Xa7cxpbIf2g5zY5sZLW1Yzw6dkB5WXv/Ut9y0L7DmFJRGawPA/0U1b +KadiEtOS3rDN2UpUFvFQ5X2RzlvR8b6iv0Cl8ZoCmZDJ4PmBJxNekSDIpjIEOa67 +5nQWHWiHpKpgTXZ/heyx0Eb4CiJR3RkxoAty/EAEz9qQQ6/uWbqlwaBrqQuYFUfk +VSCZBNEU1H7fFHIOBtub/r0gD2IpqMnRP0R1kx2+M2FXF/FSBY1W9WaVj+UWE8we +QmdXPNiDR61MIm1eMNmjvqdmeoVsA693a986JAvTKZtfk+jgG9cDZty4Hpi9el8n +KrnGRN4oU6nN/VQ2DlKmJyLzwofZFp1M/IJJ/V3iOQjOEqTumwDCSGF+n4crEyxO +POyGz2M/Dmoa62Txx4uMuO5t2+sZFHpJTbExjI3uemCkXkh2MdvXY/O/GUxlPKuw +HOXeM2YvifcAyxT41MEh4fyhbjaT55X8+1dND04Gjf5nVjnE6LN5PAoklIAwzfnx +513C+JAiY8xgLyOE4liRW0PVxoswUMv9vSLF93vk2pD1irAqPAf8PNS3M2OGIDrB +/f36E0yIQDViM2m0pKBNCQ4wSMCyRF6HmVx6JsD1ZJTBZcuZOIKV+ux2Gtg99tqe +5YapyeNJeExjFZnISynwJqV2pBGjAhsMiDUiVyv64uMg6dvbo5dYdJmPKpNeyDPF +ldr0DG3EtECTkPev/b5FAyaU/htBJ3komHhRKqk07B5C9dogVQXAC9hO2aRUJkAB +aZFXd0LvUKRVj7bkYKRcRTmnKt84R145IohXq/CCKYB/kNx45j8bU2bXraZs4HSK +RPKWTwyITgedYmxcPNt03hhUFCtlQ3YH5zkaa6pueJ4cgkn3gvJJhjvE+/SFVYq5 +3npI777nP47Ycl3yiHt4p39byp9iqjS/xSTcLELjgK4soUsoQvsc/0vDexIE0BPR +TMYMDa6egVdw4ISdxQFqPVN9383p+L9PMsTNvEKrhn+nuozL/LwRcdZ0d13XpzkJ +7cCBktWGez1LdQYaSinjoeOGclDyPF7rOqGEyzNjAcREVFZZ2hQjR2OkdRp7iFmF +bQ5VaP0L7nybWKdvk8Xzc2blwUODqq6EBNDQIdN3GNSjRRgqlpG0i9A+OJ7oQ2TZ +fwEWdI9H94RGSEFWfVEjTCtiaeFAiDpNg+Q2JHtoBIBRq2vtEbCc+QvlBMXJ4gI0 +WFA1OaBFgwVdPYUyugJmsEyCOxxHk5n/nxNSqWwPYo/EDHy+EKExXH2KE7F7xwCp +YbDJ6rMS3RuxCEF5V1Nd0wpuz7Fm6sPICegU3Vo8PfaF/ZRDIw+e7M+KZ2sKKg/B +8xMiFWEY/W7Bo/6z8u+705CByZt5CXLrd6q2Uh/Xpxcl2Z9JrkT8kDc5oS42q2ua +2VWPudt9mRsLhrpWdwktrZG3zCUFPrEAafwTh+SpidtBqFq5G4dl3+f2wEmXyM6L +FyJYKOVIAQu3YLb126DhX66bWSeaShxpqU3Cl74HisqeXDGwDm8ysdb0fGjidKxR +2hRNscCTLS+DyYKh+gHbBCoCEDhqKTZhOzT0lxG76OLbmnKpHyOCOLQXoXzCHH/3 +cbufm4wxpUXCrILWvL9O8TtyCyTzDC2pBy2jwU2H9qqT3XIclhU06sM6TICF4JxM +r0uq+1NtOpgAOo5gBHad5hF6QnLynX1KDpJvlQ5KobH5rU7mAtyCVxx+oohPthEn +D7shMQEBvQjfapPxgBHDXCfdS2ylsRS1P0tHJIMIFguIDbLLfXQ2xIpPZ1NMiYBQ +tMvZ5xWZ0uKi5ztEXqerhPJBfGiBZQ/vlgaa68J65Iew5/umQzG+5wLBLEBUi/tm +1sybpl5Jh4DvxoHSqxMGHW1+A1nI+rJ7SDYHK7aoKp/7VMCAL9mHxeYLVH+HDHDM +cpOZVyTsAkt1xyyVrnXoFjBieiVeWJvTFVcPe1ktU9iuNKI72LkNPWPsVUbubp7n +MKs7y+hl0GxGQF9ADANyaddunVKbTLpmH7n3AL+1b7jESkKXG52ilx0jjBzm27IL +sOfs4rDPbbzslPrrhAoEodYFBAQbZbi05wWS7UT4x2wysM8OiQplYpKFVH35p4Gf +mO7REzwMEN7kvVToihHjAyNT4tCYhDML/sBk3R950GJpyHF8QbR64LSf8Ui4MuYW +3eGmqyjVrnERuEYiWtKS3xsZJ+95iQFdknN4nLRzLkTBXkjj95FGgp2FM1SAPU9U +rSL0gSBdjFoZobAou0GryhVKYYvk4ItqMfxR0rl+fiAQCVpbYIei40eStT+HUBtI +uk58h6PblhatXLhcQaXKYFe1FSQE3Ypuj9hl7T/MBV5XgZTG/zwQktYTcbfenJ2A +CBC8qDheWm+xd9oa/2XofjwhDHxj9bny/GYioUC4uRI7kmUaFcl+MQgbHqdtp1x7 +75ve2+E4gH87pX9F+1EEzTaPcLQJEBxmNs1A4hgeBZ84S1vx2qRr3icVmDUlau2r +AS1gZkSr8C8/VKbjuVuIzTw6BWfj2q95A1CzDyxXt7rKZYe0W9/UCEzzmmpNMUiR +v1w62X4iHBDvmTl2xMusxTRz/Sh6GczQ2xnI4ZfoRXBj3NCph/afBKvA8dFBx6hj ++OVEpHC/ak0etfgSwEGvtbJrVWfIXCg+r8ZoSMLb8srA+GUjqunJNFAgKU+2Thcv +jMwajqcy6yDpFm3YLNo64HXWSSGjJKz4EXqHUSsfc5UtceQpZw1TBz1xPiT6DK5v +1T2FLz1co4Ojwfg920wWTk9SbRnyXyuHmhXSDtvgyxn1hTYgqlpdC031tdxn3fda +Zw9nYUPMBZ00KlI6LOU7WwvMrAUB+XDhClFr0BPianhca4WAoYGVwu4fofwAKX3f +REw0c0DOTPDhkD0SN6h1AseMt/cN1enFCNxDb65MaB44ryMhBcYj2jp6SCJPfqSK +Cc0d9bdfcKuG+v5oSGTPLGXT6MUapNn8qGnm9zgxi1LyCTnE4G0kxXt6DFyl3by1 +w/RgXdiEZapQTtwUakv5jN+GnT7di9zuRgp0zcmxBcvtHrvcBMmyxIJC4t0xWSWN +ac2oGvOZTV14WdTlfxAw6wmz2PhxGLz3lsBqHRf1XCOFFI0UMwH4JhfHU/LkNO9e +NGNHlKX2UBQU3+7tASuGQck+LQHh1bzkTKBmoSl+ERHwHg54ABPLMUJSVG1TlhHm +9PrC6/YIcixBgbwI88GQU3XltCX3ZZy37bWE8kJsxVsSR7r3EbazolMy3/wwQSe8 +nj+AEJnvLR6+B6D1AnvQjhIM4p2lLVgDur49feqrvPFgqzrNV4coJ7qroJWZ/SRc +5p4F+yt9FVOhsHB2dApBK277eRoKq8LKOYvhA0i0nU6N3ompRJWKflH/S7AhG4/U +uXCitb5LVCBKgELRrcZyCTjMJzBa/nxaXuB2mBimwUbuQIewSwVIAWwQgfDQ8k4h +ff2hxeotte4EtYzApQHPyjcM7UFkj1wjxlaA9KY2KEIg/P2flFXq3AlIuHnPRqD8 +4Tf6tHGWf/JSAn4MEokkDdvjJZdIdJZT/THZ9f6CR3xE+HKbbonXYzv7yqGJ11HV +lLcbyJV+CtJbX9KUBrJWGHhmnvQoGQ+HHwgzpMLjwFkL9LmKEJf8RhglbekpvYUH +T/5hM0jl/TizZaD+tCL76C8O592gooZvevi2Z0PE3CarKvqzZvG2u2PLwIwj3Epm +mKQqxDuwNTDP7UQQ7sbzsYduVsyrdT7V2hLkGhkkbjyNBVblkdpbaYuSbq7bJxeR +S1q9Qb6+GnGkgapmxP8bWcT88BO8I/76jnBqoxi1pTWqXL23sehL5CphEUUdscAC +guYvyBeyWI+BPsZxCyQKhSZNbbYF5I/cheVA4GMlfCuT47foIPZOvfHh+ivNDLFg +hUovW9AgawLki7HH+xSKhT/cPceDIoduPV1VWsIyayziPCsT7i6LQyh3qOHrbdRA +0C201eGTyMxIkLCvIwZEnGiZ9cVNost0Gpflv38HIe8ggU1S820uyqXOoYPUR80q ++LXK1LEjAVZpgwdWG4v6BqHafkbWIOmcpk0aVWll9h/n/HEIHlT0lt0sozgU/6Iq +/YD4ZcJvJOmjPwUMB2g7gF+LGMBNN///aWj3iFBman52Te8Ij+q5e6Ff5OouLHA4 +DWtCVb2p8n9VJTX1Pkwp7IjdqQwo1cd12/VKN2golF5ho87Y5x5WD2V1BsFp9WDz +se8DOqoFYaA1hd91co8FROOrtcRmK9POVooQGkAyxA/fLptXc3aoAaSVLBMCrxk8 +rw16l/kbNJUtowW4It+Oh3UbUVbHAleQbx3b1q/Kbp/RMAuX+pWAF+sr86vVpcjb +YCfRXhdotr7tdmP9b365uk58/PaAwdQklxqGkE8XcCvmLY3u9POQHUV5t+UfvQ9X +/S1Wm0Ll9wsCPnoMspkcU9nrAbG2AM8zaUCd/KaU0M5hAUxbex4MfLQKPLj0rWq5 +V2zqhDS5838Y2WDiRNZBq+H3hV8i4jcSlVptd7IgPkGnYdRTHnWi0qPGuhkM72+N +JPqFp+Do0VAPHhkvIXkqt1I+H0UCD8L7R1YfPT+vZlghIsKP1ujA6uhyu9eZ//xZ +UjprHLBOLJpXKZGktoM5YHd871bL63zE2lyXSBwUlDU0qq8qLJObWmzycQS3Hom5 +SyM46KMX0IQ4bHW9BLW3k78l4GdkTFtKQJcwbtzqbVqtVoOFFy73BnkC23nee2lS +lBMAI0BJxEdZua9MJHGQeR20eEtsFc0HrLu9dJnl0D8enCjVB8YMoQLhsv/oUvg6 +O/6H3/vuYCcDKIeD+vNzYUy26RC6Kezh7f15/abBbwCjh0pZ26EqrldOmSnn1IEW +wgK+mmwhPYtQxz5LwWva3mpMSuyDlWgsYF0vW0Upr0P7K8OdWQdc+qWSEcefJAaC +4QEagD9FNpGFqAIUekSs/KZ2UafbzM70M0eMqhEIU+m51zXmoenQO57glpBnJcGv +iRplet84wx/oY9NYChqM+gq4ZXxHgYaEo8Y4PQKcr3VLH28ToVUM78AAQZ94tTJk +KVIis0jBGi0JfP87EXPGPK+dHn4Ppn29XK7c1JsqN5aAMpOPpwoyWn/ckS9EKZvH +HRfWBKnapKzDCR/SN9dCJaUPajPJvf+4aik9KIFHIu7s99QK3yOeqbTkHTWjjlNm +4yEPeLobSIvbbC1EteJ+oPPmU7ssp8GpnmsY37nhiGqBUotfxBv972y9ZfPaTypI +i05lGt1z68PeNk946cKYKC2uWKK5TWZ6DoDNRbEaJf0nojOuV1zXzygo0ncTVW5R +BtBEyZEQ6I8ZljedaNgrXF+PFuLpOhCUh69VAIaFm5Lt71WPo/G3A9RXhqgLgOTQ +C9T977f79VEfBQL/MsGvURQptDUkfCTPI+fPi+EoUybIW3A3Dg7bDe6MwNy7lP4w +wfbUzULDp57Mwmj7Jyjc14bupevB/sRw4OyJoB+xarSDnkoJS4V+LUl+OHIY2wp2 +HrfxKsEPGT43odUSdcFUwbqCt9NMqHt8eBR7ql/yaTH5L9mRrbg+mT/9BKjsf5wP +OMkfWrqzLM6C8DYM1iddKTujGDFGaPA7vNYKbudx/l3aiAC+seabNSt4ALDHDhAj +2kX8CptaGvX4k+tO40e1cBuAqRT6xVw7ty5IBoiXeV8OlFiNCM675KLactGNDIBv +fSvzA0N2tkCZArKHYrTOkbO23bYs7lPhdqHvpqnFA7/FY2kZkbIeyx1HTK1gOipq +9hes1epejNa0gIKXI09Zz7YMQbJbomcYh8wDVEIL7+XicSKVrOxHgDYCvqMp0y/P +wLWzrB1nvL0xwbkHM4Oc88WO6QxyGpmpdJhfzi1oHCgZg/WZV0peUYR1mUdS3mak +qqyg2fAxrTdzCtMFInzU13mb42MmliVbLl05x3oauh2mBsY1x6pNrDQpBoOMx54D +5W9lHcCnvW1ouH+hccGfdzxwkD/0IYAcLyrbiLWaLKy7C4HG4q63RCcIiQFpecGs +lSTKYKREj+1WtbyDyBVa/7BRll5+DyPKS7KngvF2SfcgFxad3ksmlRMcc9kCauHU +DFqtQ2xrTrkAnfoA0Yr5vuMVk4Y7/MNVgkLrq06G05+vY4tmPBEXG925tWCq8RKS +dess98Ig5q/GDDMxxcddRqsGcCaG4WAslCUF0Jq+NBetTDwW1/x73Duh9C0CKRLI +ahpHZ8U89vxbe1CFIhCsMkXi7Dy4vYts8XCFdqej2BHV2snHTb7zqA5up5kCJpo9 +gI4pFPXlOU0bCbGIb9UK3GOwg//4ofj4JPE8UYqorMLXtS8f0F4YRdXCzS3kKsKm +eoD4uHVlG0hHcQ+gaRLqpfldGb233OKoebaLkPQlvVVuuGk40mnPQhGkeNjXJfMz +OHg33K44KxKT+7MtzYBlKfQOkNzFRtLN2641Qooc53LIFRmvFedGm0HFswc3b3Ys +tzdYnAReqbBqG6bfAXBAcrEdihlB2KF95NwGHFIRqIhRD2UgDr/NkTPbnnS6qBlG +r1X9jdsogtN6bDBxQHmPFX431r0jLEk829nEpxW0q7RRTQpc7nqyYtxY+5W95MLE +BvDnPOu7aQygjL8y7cmkV671vI51iU3r8+wvQjtOjzX2mlEe7m/NZAuiXe2rgY48 +GQsQ10eDPSxk8HZSztD7np+ubrQcp3jlVl9g/Q9TEFJJd+6zXJYmFg8EnUmm1l88 +VcvKp+SW1uLFdQElmhcDW6oiwoPwdPXoCRSIyxXbyDqnIDIOCQUa8B9hpjwnbnqu +CFRs6zo9pbba7/D1S5LBJ0hqOqhMwkGv8VL6hwYDTiCS91iYc9QjGhpJTfzhAr2Q +JFdx/XKyO109VO3+ZL88DOLp5Fe7+Xl3tYoXpI+bhCqAkxalWNu2xoeONUaqd28b +k6sVylMm9bHqh+R+KUuLMwurPoXG9KZdsOb+4S2lXDuo4zZrDiw+dGKTRtdut6XX +ACGY6ja9IDGuNjzafwdFxowQZSBNCa4kJZ3xEBk2kjw92twMdJQqsld9AhLsF2wg +nleYTCFfMitfaM1FPuno2eu9ytW4qUCT4XHODwg1sh4p1A2ixWPONaSOoZt367Th +lqAWMUc8eVAZpC4dQXbFBTRTP3HckWZZC1LenQUmf0APLsvUwA2GvdYID12VOdhI +f2Ldzc9o6F0P/p+aUPPc29RoOxISp40f6GcH2fgVQBxFH1tMeKaTZytjCrPU5AV2 +tLM7m/D2ajXo4NLXmzJ5WD3o3dWUAopZ+//Tg5JhSkiATZ/2e+NeokzdzxYIu2My +30V8mON2bBXriOtpWFu48SQ4PN1PSnqR7UwBVdGkzcLWbg2TLaCwCJ0zpB/gORTH +ObGh6h03gM9pDNhDVFsXYs/AAlaFdnJ/2WWjh8T8lT4yI2zHjTQiJEY+pTmc8Tv2 +j/lQNjAJE5Tttjd2AWVW8MLhraFihzLKX93WI9osyM4ofoFjCWTW0lpB97WPLPlX +wrWqA/7Ok9wu2VhsBiZugcygMCTc+fvS0u8DNUJ3WBFwhI6xwbB4IHw+1imRxF7+ +HwZByp3FfszyTWCNfg/08tw5Ag7yqml3qvXmAh4tklLJHgpmSFcPBv27+5jpom9I +xsxOX2NIy29O+BvP2cMIodnzbzd1ufPPYFNaMOZzc1a8HYVbfAbvxkq4d+UaBsmi +E7VSoBOVOsXjISSyCEjlBfHg+egq+YwgxIhtAM3J+mBoBD2Argks9zaZ+PgiKlGN +EW5pMbh52hx7uuRP9TRpe1/Ouz9AWax4eqpGr3n1EnJ1gWEcSv7jT+vmqA0TxX28 +RIWJStnP7JEUwhXmeiFy+MEnpQPpum6rmQf2W2fvh20t8TsxPhGcB3lE8cYHbeD+ +DIN+DknMTk2i9EVD8teXKJOXCkMyO8UpvshvFoHYs0th6GawjT/Bg8DTP/ebP5hC +c8YL5GNrxOAzzkPcatNUjk9lrT1Yd1o+1R7hX5lL4oVuesu5h3yAy7kW2nXSR9tx +P0Cb8frIQbss4OyUgY48S5JWGdFG6SLItmPII4eDezJRokHPyBhAVwO3GH6wKuY2 +C5E9eNYcsDKC97zXslA7sUzbdVc+ILL6+UJAcp4avTHep9YtEUAXV6TLNcdwVFq9 +uE6/Ihg/Z7AFul4h/blnDx+kVpQXgt0YaZ+C1d5AQVin/WHONTnnHNCoIIR+3IuY +J7L/dFR7RsjWJLHow37b7PEU8R3xW6nFXPbOkzIJcZC7zesvd+i6R+GCIXJvQF/8 +zOH5ucqkKTc53frvcepBz9JyxopjFKcSfj+PV3GRHK5+EQmrHBNQIRE6diiE2FFq +o+mugxjTuK5KVA+dc7C+HEKrOBv+qXEGEJ0WjPKwRB4cwZ6h2GTCKl6qZMmCVwFZ +RT09CpuxAC+04sGjOkQMISWFjVPV0LigDHaQQwerIW8KYZvZ4/lUx211aB6vwukM +kGF0gnZvCBdudYeHc1zl2RPWNm4gPijk+bloJvePh7D+x4A8CjW8aS9uE863/7LU +iTnR5kfH7lj+d7VmHlxcx4/J3aHoEDnFggHg82Q5SA0/cSHwpW/CJKihmieUaDj5 ++yP0TP+mr1CZ7WibmyLBFzy9WWIVPBkQ/A1NF+0HO5u2MW6BURwsIRWBBhFdvDcS +DVDbRg+/HMguyN/1HO8gTfy0CpDOxrRTfc/lhBRupa6eBqQoTF6SvsT9Gp+ooUlj +siCdE9dNqG8aVUKfyGnKK81jNIsc0f6nesN4Tse0S561qzqY0v7nGUnDILeZT/5+ +ttls5alXsQudejtw3pDiJpBRbs/Dk/2JDr8M1Q9AX2VBrcFUX5vXrF1xIB3CFAT+ +CWofyOEqtTnkzwmopT2fGu97a6W/ZQqAY5B9oEy+KZLCsPgqdB0i7fHeWsKVp5By +I28Y3W8CoLJcfcEzM9aDBNI3p4bjAwaGsz/mLcghIKdkLkqUGf1Tm1wE/vwhRSws +Pq7IUtiXxKkXSe1iGIF0gkDjcTNUtIUSIZ3q10sb2vZJSgz8/bW8oJz1t6wODz8t +/koFn2gHngFaIQzRRaveU9UwDjEeExvRL44XvAkGl+SmBAqtTMflwpW/fL+pLNu9 +W5zx2VlG8tC+rJh2pX6dLTZfh3Jb6wG0Flxmdh21texAtckvCdgXduii9I3REBjc +d6lxBcVbZsVZhF9/pC465bbtjQ0B1UY8/hKzTWXu1DCP+XkTbKgxhTANE32QP2et +rFqk7H0IvglcQGTcQ9bguZze/eyTP17udX0B4gleg3ILRBhOhlv6AEiGCzW0objE +Hins6Et0OWwRxeEAONxn25wOpqGVVJv91McjFXr5U0HyGaYHOV6jhcq6pKB9vE3l +9BRmNRJ43aYdI9KwcYfCVg0IV1BYRIrT0U3dnmW99w1xCglOvkzmIvKAK8agKyDx +vR8Met0V26N6+9692pyRu6MzM9GFMMaJ4srRpf4/v3B9gIUoY/w49wdeiXxDacTW +oETrPqWT1MTCpqOwn1WWGL7qBpMsi7i+DqN7VdF6dQCVt8hrUH3gN+MrTucO/pqZ +W9iMiMF5aKB7OXgk/Rcg/giTWRBbfUF+yg4UloNY93QA7umQdhwnrXCQHAlw7xSy +4BB/pKr3Ej7ncJy5g8Q+AP8ga7sssaVsJMQbrK1bSuaDrwmSDchgrJWSZeEE2KW4 +/lGxJHiFILC9XfYZD2iN6KKxHr02QhUzo6/76oSXlOuLS59/xNBYGgTpY7yRToNb +Sfz4gSIUk1LRH8RX8tJM+L0Rp2/TATCP5it1ir3lHrQOtZBJ5GZDZtB8p+oLOQrD +1EZS6NNKN5DWr7zMV2IsDufOgJCrRlL52eM2WmEktm4eHVZCs3s09PFurkq2ZiT9 +CDv7rnO/2A6I/m7oHG8A83BsQb2220LJ8JIwtPW/pD4ZurvYfW+RwEngxU+S6k71 +ggBlmFvMAPxCpYchDn2LI/7jSBIYlN31hzMaOMZIOcTTYcrxw2cUAfwgasstpeg3 +TYFjvz82u5lwH7veYehS08C1o8rhzmyOF7vwbikZ3I5H5FyxOBOysH6RgQoGmSGo +G4EyCDHdzJed8hqt3fJMjIg/wi7WQlBQr30bYCJVZoI0IzxF4UfFvQ019P/aSrQg +2PiQZqYboE3GsW3OBH/y43WMBBI5QWBGnFadvzPUnEGbGBuGTNC9eQJPdXSO6Zyb +A5svLUP11v4Ub+b+q0gBsGMJ9dJI+jNFU+yzYkC0LYJfxzYOGptpvMf3nTb14m0m +tu9VD8ZYTjeHWSC3t269gqQxxE/vhnxY9/2jbalko35YcXAZZjDe3wGd84s+/ql7 +ji50dhF54SqBvLgWOkVIC/nFg2RfThXGkuWwJAI7QvtJuHAjMv8INLdUA/rUaC/V +fH5H4S5n4Tx5rjGrcP+VQcrZEgT9voTI0317BunYpmIr00IjXEhpq/UcAjgg0+AJ +9H1+xHoZmsvI44C6Hvb9HTkpaHoEkFatVFZ56171hAttPNaVf29Tf8fOdHL9Iab/ +sjkBoSiKSkCRuron/jdzyqj5fBgbmxpvJj+5nBqMdr/wa3fy5ZNE9vwgQKpDGLEa +F05jTgnmr9wkGNMJV4qO63sd85O9/LJeeo9KhezOCC4D1lvk1aNwNhd37puv6eX/ +BdO56QHxzTWS/WuQeko+1lJOGiQcPK3a7SHuxziVYBVevrIZwdZT0VDurWkHc8Vb +AMw930zNgI+bLkpS7oCq4QcPO5ABkeSeEvQjOGJl/7Y5jVUP4FJzTZlTpa6hWTGE +E65Dw2SdFOOFVDOM2f/vcdWgcPkF7fbohoPErD3mBMKTuyPo0kI8BuZsui19veRr +igE6+mWWXzHxNBGJEImy9xsY6vaQVc+fcLFloAx3VkduZR1GsQUkt7keRDDNfjS/ +bQxe8WQQJIG2lGkqnWjgnaheuvqC0mqfbzTi+t3I/4NEYF7fOjVuapLZGjVppEJt +wycnMiNFbuOEg+Rqq+MceOvoQqILPOoLQomM3JKK8+xFqFJR1C3TcKSLJRSqORiP +ix/ZFNQWXKpx+QuXHamxkDsqly9Ra46OXH0Ub7jF7ZgisoHE1pFj+UDJ/NPtKNAH +Yn5M8yNM4J2pbMWLT7UlQuNzWh5Q+kNhPmEvB1oR5IDhZhpR13vciwhPSaC8JHC9 +C49Qi3cdNWMXGJtj0H6+0tBCwo+BvK5s83jk283FVp+Q5GHbSxwLmWbBKlQLPKQ+ +YH2vB7nBIQtGLhnSQ7MZXP83ubs6dzO7oK6AYH2bkh+IUGvyOzp3YR6IZZY4iJr8 +1OB15MJl4Tn0CjillAuFk7Ea4GciH9IKu9zEc+M9zX1h37qBZQ/bgULq9FktnQGr +IBBmJMbSI70JDjJC+iMvm8Eo2k13RrUx+I9D0pbcuJn5q+uaZlcJkdIKz6PEVSsF +/advnyHHayEjj7HJgv8p6p/q0AKCpKHGzhz1DHMLIcqf8b6i+n1RK+QOMMrES9Ar +sSd7M1belOjLv+IHCVdLrFnw6odBBE9Uiv1W3z/blSKj6p7f/Tokr7mfVpjcITKt +TABGPNDW8+adY62q2+S9WkPo2ioz+jXJaDJ6iQcoPB7Y5Mc3EwpIS6HdHWQdJFCN +icnZdsYcGeVeUZQpZtTZ5SQx27/oQpk2jMK0dD8hMpyNLF0sQOX1vQx2FFkstTYR +q4TH4FKGVAxIJODNgK7QAhoScyys0nSpr5feKt4DSxJ55jTwUAtidblJ2nzoMu3c +9iS8oJP3t2/EaW1NKMBiEhpdC54Eb3UZr66uo6/YKmpyNEqsO9IcgpT9uWznSPDT +JQpeS+CROO6mTcuLrqqpqXBoNHTk3UCexjhJoxuuroETyIMkRExFdyoiU+ePF0/3 +QetvClVIZMFqY643d/ociGhE7kv0W4vk1Z0fPnDRSvD5MqKpLOiBF+7xygHcvl+0 +N7dq3roOZ07pBZxXTUii0iiBGN3rB8McrdhpkABHG5nbfo9NMJ7kIYEzqD5ihC8l +XJCD1w04fvZ+2jeqSUP/OBNZgEOa1nIOQNJX1mcClygjOfrQPF2mnzdFLrLJAXQN +n2Hu5rFG4xCX6/n2CZF+WcioYfVUNlbEkoIViTWcvDNAlUyIzVsmry1vKavAvHFq +/WHJksjhCViLisYzLzD4QzJMiRiK6z0dGM458S03eTAFBhGI1eGv+2G2+Hf3GZ+H +k9psWuEC5R8OA9aKma+AlU7g4RiNaxNC9aBZ0bb4tcAcnQkSMh4aLq6vuT6I1/fU +D5fPY6SDuqdOScQIQ7ROQamlz7aT3ySALqkrVXxmhGY5HP06WWbFQpXmnGACv/yK +uiuUOONxedGUIz0LAGepz8y9nlu2RH8GjlmEG+XE6LLASWl7IN43zDmUQK5pExpU +DT//K9/y0vrGLHgxkqNtaxflSn7BynwXQrX3e/V+ub4dxz5nzeEVLs4ANDxnhOG9 +J3bbjmlXKINAYkYHKf2AAMiaIonOFWzbN/h58TJaJNN0fmZRepOsuYheKSUvCBw3 +45+JWSL5/H3PlsV9mtc3yuXrtKw+SnopVkEqhVfT0OLxhYT0crc3+ruBJqU2r022 +AZbz0dEAXABzYy8ljujont+rx0E0GT0dzPpHd3ApPuzyqDpy7HmbOIyZ7P3cnqF5 +KSg6bMx053o3ZVMdfa3Zxfzs+Orv/LwyHqVOtnUpQ7TU9sv+x4CgwN5OFDJiXt3/ +IupF+R3quLyN8Lyz+6e8VGDloQ7hkR3e3bxaOSIFtSVv0WGeueZjSIiu2JkWI0ox +HJir5papdoQ3y960vsxgObYEdPgpMKOIb6jwmm2x3EabhRulOZfRt/WlxzHU4NoG +IGl0xLb2Cko1YNg3/OHl9hv0ULqDs1O5aT9Op3IMZIRw1Ut3WWAVldM9xqgQ068l +3QRPpdDbgt0H3FnULcsUCtsFjoHWE/cIPQafoo03oc/MtNsMQkJpx1/AfhN21NvB +FezdqQu8LxBXjaU4ysPqOLk47QfrFd7MnD2/WoF0+9oxzoJuFwHDlrbsHKXke4up +vdoaBnbIm+pXq98VVii6nzM9IHqc7T88qDhDoCUPxMpSfalQSYb1tYXZlcJ/Cmaj +faeWiFvfSWLEjpuYqqh5YdQSL4cFImDjkbqALlPtsnbWtk7CpeW1sJlTHKCMO2bT +LXq07v7bRRiQ002Ai1nEOG9LcUd99USRo7HPAJxJPS9DgfJYfb/fO2/mO00HnqCM +XzY9RSI7+qElq5ryn9emf2TbYZcpCRhGlkt1Hdevfpux4HBRsFQekRcvnnzon28A +wPJnT4h8+XPg4bxRWrp8+CQooYvy3fwD947fMZDUd8tA0cshhsrGUSgj/SvIUly0 +UM0NVXB0zdvIOLwi1VVnKbXttJOJzk41ioVg1nn/DhL1YR416LZsOD1m8oieA7Nq +FlTCbotQCSthTLrP/2zJ1fZibftoazWnyr5ZYCNbOKzowg2wUYSPhBF6co4ThAUW +Yjm/gJDxll8axb6ZqlbwssdJOlSlfHRbgS2kd87APBNTg2nYw7EfPX4aupWhs0rT +4qOpZ11j0yOoCs1jvqyEoUjaNEIWKpW2XTNkKJj3mkGis0k5PxOGAXaQunVGvkEb +DK83Tobg80Htz/AV3/TW+dhJmrdAbmewU7HaOwHqNwLx7zCY09E+uFNVXJ5y+KBa +M3ejkLwuaFRh5MuVWa+B7SrG1rx+ARyx7G5kkafxY80znTCcMQ2s/7sthMOzxm++ +uuDFh3SKmB/rH5dV4IwngU+83sCK971KUrQM5tL0iBvZQFEwJtqNzHKynXPeQX21 +JUL1BUXDr0QJL3BfzXehT/jhGmlbMAtXqBbvGMATDR8xEDPLw0lATfu6AzHOleKy +YCpRyIsqJmd5exKuYxrtE/UJGIdUykVNimz0BLiJLHkrbnGiH/8/PjkGfT1qb7hA +RirPlKPqZTzbLB2/7Ml41gP43t4J0PvTYytf7WWiXqj9C+5JIwMmfqOY2bB1D48X +Euo2iN+mkVZqXi5fXpV+UxeaNSqLxc4aLqKB/C78usiHb/29dzFmiMoRD9TA+13S +or3y2ROtJgx5UD1ktrSxUmEbEyELP5MdLHGBkiepxUjGpL4bFd6ayNH+1buFNlI4 +6kBwit/I/XIyPM6YDWK10Cudf3lVeLJgYPkAW9Jcs//X3gWQMUwzdvC7iNn9iIEj +pN+VzO9QkiLHHG/vZBdWOk9NfZLovo8XKp7+90bc8BfXQhLWF3x+QtuvvEduouS9 +JoMhl9gmCZu52chTY3+zMvMdXBZ1ltmAn5eGj1PO2VegVN8ULQMjv00s1v5HlErX +HolDm+PPT7+Tbae2pWeYEhLALzLUvevMKite5E9fKML3upRIN9Hk9UCu5+PzcgpH +JSWn32P4vZfKykqXxndYpkAL15pRrCVqi71C/Hy/e1otpoUrZTs/3zqpG6bczAuT +drVwdc0n8N99PvhnUHlgwp/yYVfKZ7gfPxgzL0A9gI+f3CvzLwVIJ4QpFil0LNS1 +LvxloUGd3N5VAziamm7v05nHbiAtoeRBo0xkEHCBUASP1uFPM1IXvtPWTJaYLFIF +0nnQZeiohH7bDuTN/8TbEIiCoqtI7F+DsZZu5CN74Xj8VN9dZ+fYIyWUokT5Vc0U +KVfbjm7PgUZBXJr1FS85v5IYZ8EWblcSEvemcvdwrUThI6sOw/bAh1QiiJKOzUvq +ygNeBiJa6JB7kqvbin9fjBpY3lzYecqkZkxKHcBnBeZrfYSv3N5XlZkvLihRMTKT +ggg/ZgwaMgjNQukF30471bCmtARB524nWkZ/9Vvx6MZsUZIeffDUc8hSPcQTD4kE +jW41SW51Gfx68GOi8hIWBIfm/gVXFdaYlA1iyKScWrZNhlyiK6MApRWF6QXCBxMe +yoKMCAQRnPfNdLHxoiZSDhbF4NQf3MQ/30I4XVSd1IGfIa5FN5LlSoKkMtq0052+ +OMtfo/CYUE5W/V0ku960f4I34hG91VIef83/xLXRXHNsV3GVNz0MvQc8raynbBWj +Rhr1XzJHSRTtsUkSxwPhyXApVMoZlG2OwCzPjA2L8EI+rokEp0WIKTKZS+rgu+N2 +Mrll1CbcN8wOT5NJ8kWw0/xvC4iosd3gxpCAqRvDPlnBCa8l484FB9eT3WFOcMc0 +XXElYAb4E0ZAQUqRjex/np5x0pywiyQIQ5yAC0iV1W8UMPPEiv9Ht15a1uU4hYey +a/th7SWa21nKAsotkeuI2luIwmUx3GpTUgtoif2cplosn903Z8pSFJhPWQ3ugWvW +fTHluzwLz9tk0lX7ty0a+aLKvN1Gzg4+Sl+zbzGVK4WxL4AP+t8kwNNIvl9tiQXN +Df64qUOjIlk1mVFUolnz7qnIk+5USblgBBIsm7bJcROEIqzzNNbcXwZKnTUu9pza +oLIlvgteTAv5mSJXgOOp4uP5UGU9B0E0ZAkXcY30t9KRyrle0MJX76KFuDHKSOQn +/U51QzWcGYtcRAYGJXdgLouEN0KaMSlcfVH6XjyCvWc5jBcncQ9rY7+lp8UD8+YO +1Fqe+PBhUErnbOxdnJ1diZ7UUEP0XckbPMGg3Cbg9ItCuFY4STDqKSVFRDHwazWL +b8IWXoRBPgRmXMd9KWMuLfk/VHthRINHQEuf6+dMMhnSsvk5JEZMAUrEAf/W76P2 +EhynPShdoDQJk2hH8/qBW82VdJKs+/9VxZ/S8apPnqaXjVlxWdkpS7xJbGBX88Oh +27l99i8WeGStfe+c3AAUo/aPdUdzKjt1pbpmj7zClL2+iGlHICLhgTcfN7rGN8MN +ovUQSJowxpgN0LQll/vlg4lJIQ1BkL4vKuLWyOQt6WTFUayUpIZW8h76xiY1ms7d +5GdO/ZXgdNRV+Nfj/oTybVcVCNc+jd7w+y1C86hihDJhNtXSmbhgeUdl8eYrbQpE +WV+0nLTgEBB5XQmVD9NJclWeCJsGv2r92F+87RNvSgPptGzyTTa5EodT1nRuCmqr +QtRx/ZzP2hVg/5haBi80hi3UGm99BjnlBe8c02lyozDfErMXKEDyMi0BrpjkTRo1 +dK5RT9IitfsCnGqyALglnYNjjueNaTOYF7eOY8oVZc/+sCYSlErB8FopJ2Uu2lJ1 +kM+DgFJkp++T616aNID6zXkrQzOv/CUD32y+ZzL0MNL7iDkbllptw+ZJilSrtDbc +pH0UFXHyUffb6gwrkymVstPW5yXUcCJMAG+eNFKMrpjxKoGTsByKVtYJ32CBDMn5 +xK7/KoqpRVZ1lXTIavIqo3ylXlYNZ+CSqmkmniuEK8E3lqJeBStQmfqps9piVllm +2RPYIOWbjBaZR/QbwkvExExB2Jbba02FPd5ASndBUOVmaHvqgLyJMzAaM8tbYJoP +sMGLQFw2YJPHobiJ5fiR9V2IN1ooEn7ietw85J6nMzgoDCsbQOccY6jxZCqHy2xV +APR8LW4H2b71WWlwCH5OjFp+zotNt/7qFj+sAateoHU7LwgksiTXlcg9uaOaQeFf +UQ507CGYJTlus5AK9/3/OTxOCIZVsIU8Zao2lFLdAtus3u9+hK9xICT/DilnSIe7 +n4zILpsFNJtG0OkP5Yl2idzxfKbcrz2RnYcjkyBVWc50sTPlvRGc+MSx7+lARz6G +lwHVnR9c3ngBR/TG7Po1qUCO2Z+i7aELI5gMBHaKENckIafCvKqDlYmXNOw6UdIj +X1bYQc0XgZEb769l3ck2iZKF2Zhe2hJpI02qr9aLI6ptgGml3iAtoxsZ8T/h+6k6 +0vEiq452S/rJcx+gceJuohDmTCf2jYwC9fXHjvr4wzE1hmtsNy86T3K/zu549qRQ +x5GSSFw6PpEK/Iqr0Ka46jNlcJvqa87WKudgbhkEhQOj5YRB9HCBpDCsQFTRFDso +A5lU8QZdKghfLDXI55RyOmI9BC69vk6aeMw1uES4etSUgX6+3rhY/z6vyouqyZV5 +qBsyZpeB/BxPeWp/QxN6I5LzkRvcg6huwNB603pbNKSmf9ZPXNWyQuJ+SpVxRgs0 +l98jsrDz2+VPWPkKuBrbhNsV3fSjiB5SPE8PpK4Cvi+FRvwPkUeWll3ETQBWmTtZ +65giV+CjJouBVxJx6jGHoDUK4Ga36DAhcu88lOVMzWms6Eu/KdP6kZUQc453XQrj +LfAWms3jJ7ZnvN9Yt9onPqPKk24CgXV4VSDslPj8CpGS/xDUg4snMMZbsMxQOW0T +ujAI/o7uVXciBZR5GIHkvv8DLfvYZ5xlp7XwPT2IPEKfK0hy9sUkiD4tWNvgRe0k +MYKkRAi1ZjRPyLrFvJbtOx+WfZ3G9Dft8rr1JeOCBdzXfLyuSAXXULlSC7DjQ2VD +Gz+5THvPwmGx1lXg/8E/8eF4zTD4JpGhL7hIleWW7XK+NlkMuR3Y+gBuKGlixrwq +yArh2B53FckWEYP/eliR9OUEQnHSDDiXSwwvLcvO6Tb7LQ02yV54OA6PFH1K1bGC +l3X2yhTm2zXa3TMXVhN5BhsbAh2N34CQS5Kj5XdkbsSg3MNn5TBQ+wDrvJ19F+JI +XWSbKo4qlt4AwFxfutZh9aFuzA1SuScb7eNiDEj6TUhaa3knC/hYVVhC5L0RMQn+ +HppmZ8XlsrS0dEt2PuleBIozFSgfT17stx3ENXzwbH53NSLiklhUVvj/dmeE9Gsr +Si2xk3bVMzKx4mpP7yNqqGQSJsU4bSmqGlPJKXYY8Y+aJmHWa9GPXxYALD42DaEm +0u9g6/Y1s9sj++mKMbVckcr6z/gaRS44AYhdVrGbQzSaTPdApe/MYK7QYDtmbHp1 +ug5+zuoeEmfj5ybgIp/nNU2aXDmm64Kg3dIu5mT24KTmVXSATzdDOvJKUsUP6vo2 +wCeEDq0U41VOm/RootJpWGuuVW315pvQx/xvprneMl0bOg1U1wi3subcUIXKvlzs +SpwU57s/t4K5dSe5klb6U7BHioFWWU40tQHlpzXvNbYJ3Yc3Eot5IsP3FIZIDWr9 +dBZLLOnSvshpB9zNUOqYfIveu9tDzh6HrlkGRD/DfrgwgS/eS6k1Zs9qHksXJDTb +plYeJlga0KftdEUOqBILyZoE/B5b++KM3fFiDAiMU1WAsmZ6EjTIRr1WQmWJpHgm +U/fmMwsFYfcYKT84zRo+C/I7KntbR+r0/4yhvSR43PHx/zRipBq7KHnh2YK+vDba +LkXAA2g/tsk0FvlWzm1LcuCpjnOjGf1oW/4WdK+9umq6AMV+/cGluOnw11xWhASm +nPTien/wKGYdkrKVN8ZPLQhVBU7901+4tARBCnikT14QHDGXGSBZv5E/MZeWbBVB +cYXLuIHCZ/bdM8BWGKyiWo73k9p71X7GS/f6QWAjjJbgNBGJfSQmuXBHsfp7AFI2 +eF35+i0ErID+wCDL0woncT1mLgAVoC32Mo37umFvndqnBwxh+nG/RBMPfMKuSzto +6pEzP3WWV3Bm0WfHQaliU1lzy5RSgsHgqTXDPCARi+9/1oZO//z4Y8hTTyaUg+QX +Ke9EqQ8nOeWu8jEAOxy7S4RL7wjZao+/4n6xXW/LUhbzP3F/A0fIDpy4/EOjEO8j +MfgEyD6tNgrKizIACdc7/CGtrW1bnMz1p2OkW2QbSXpnCB7SjwJOC/hQIZtbH53J ++gX7kwREpCLSl7BQhIYlRhKYwkQ0m44QMLrJNaeEiT5r/V06LttPBr+ESxClt+lp +k0VAc65ayg+rG8lh2k6xRQDbFo6bIclNbd4Cz/nWQx0h2AaqS5d4whuvPz4KCT5h +4ne3qEqRm+/luIaa9Ui/SYV+qcgI1TEoVGb3axYTh2dFPcYuBmVSB4WvzjHc/M+L +ubjxAp/7iyZ4zCpTwLBPAKiCAPR3OplbSNk3c22saKm2utHgeeek8VmEut+Cfhl/ +kuMrUPyjKLw7ndRzi92Ru7/Di4VOy9yjL8szHCactvjWN/YNA1tiVeVp6XfCqxQE +QyJ6E0ZKmE0Wt3ZF/OSt8lg4j96t/9QUxdfSMmZYKROnb8T02nHDioI0bZ+aKsyI +pzJDSqSIxojobpZbPENU5GSXi61Om4Ql4e1EbDK33jpbwFXAu+wWkvqXTd+YF+Fb ++bU0d6MYpsxL4+78dqsYWI3uLwzTOnc55IxuDnddXWd5MOjkoJ2pN6H6Eq64jYZ+ +jY57dTe/1kb05LQYnF0Sf/fjS+eDTvv0pQom5m8X8DQ0vFjJx1tRd+m1UJ+tAN09 +cPbbs0g9+jU2GFjJeK8kw5xO+inwTlTm4wPyHIMEhHMsGJLvYXuO4nQjDg9jpcLb +ZhfbwJEjLJVzvVw0I/WlJ7wk42GNIquvpr7TuZqI4p7W2mCF7+FkagE/k7uO23JE +iF6IHl2yv5XxVmeE7kSUR5mCzOToaGV7km5lu7HAZrqNhzc5gyBUrpbClj+HrFuw +TfFNfGYW+logo1yPwVrrT4MzyAyhJyRZCX4k9oIoSpYtcV4Ib6MLgmeBp4bIU+++ +DpFyB6LkoxzHkUPEceU/KCACtYxVE7qHq+UR8JCXyba43najZWibakfVKvaUgOb8 +bNfKrVn1pTVZH9loSQgDL2wGB2TbrvWCEBl+zEJtMaVZJKjAcMYfD1G0U6IS0gQ+ +F9ON2Owbk7gHUEBlePmcWeUu9sf2KERgM4uHzkp/Pra/GFZ+zfaIpc2YyWy3X6Lp +xMAibs9gzO1BVETy7dMrgZAI/KFymKdVzrO7ils73XBnojG5m1o+0Gu0beEmldk/ +Zl9ntyCHR4mjNWRWAy6rLUaj6efwVoQ2CKOi0/AeqhJn4yBgSub053wM34lCy1tw +O9j8Vhc5YInB1I4VLEy7oi5oux8tMyp5LDTtmshrV7GXuUYKj7Kuw8amoQXQhIE0 +8Xdv0rTxLLmEj/4GZOlu5/xXB32tsjoKB5Xs6lCCa/EB14pIALJrwUKMSKw+hKgQ +dOAhKU+6f42eVxVSqGZBNPzUJKklnfHShZBncJptQIzMBO44B2q9jl5bVWl72jwz +loDlD3200eiR7ZN3FP4B2jyNbm/IZjro/6Ku5HusYoHDAxZYbRelYWayER5YmmtA +BgLGZXiDtGgtHk7081+aC57ZwEwVnynFo7fjJMZ0JDbNfMPhEBlqJOGUKXVwYepV +EjWsUNysZ9dbTqeXb43yz7M41Sqm+g07ssxm/o3FqBVKBK1iy1XEDV03iqPmI2L9 +EteWYwZ7ThgNH2eSkMqEDOUIBXAZQh0Xoir6nBM1f9CD8Q80mNsSNFGNk/xjq9Re +/Qegk9xK6HCzJ/MX3W1RLm0hnLJtrMFDYJE2L5/VyjS6yOognOhfmxKdsx+VazW2 +oS62RjeFotbZA3k5HP8GxsdmP3rRKX6aXISOxm+qzLxronTsYtzE2WNbzdvvOjLg +JE3MJdiF0hApP94gePR649PJ0EpHYR/0GzNAeQrhKUG5vcn6hOPxGpF54BeB48vC +6fpcNZMXG9daM78yDLSOOaU0YNNXniD3hu5P4k2cVc/Oa5Hv6XnpndwTSiMU3nPO +MsciN4n7xkYRo55DhNOaaFf7Om1L4YLevR9Ov9bvOMyUGg+MY+yY492Fhhf/UmZz +CP+LipFxQBCHNlYteNI3ocp1eBQzJOpVHW0rCY9cg3ixhGtRwmmFwsPl7jpVBAjr +4Cafq5wxSyBZVR5WemLkeUUkDXROv514AKJdBZI6X8mftQZEzN3R3dBfy89jw2xT +59qNWp07cA9dbc/Py7UF86S9Dqr2sVv5aGhq7cG6rIuN40SoeLikBkhsTdoScQn7 +5sObvha65cM5f5JlYAcm6nW7u35I6iErZvztlexNtP0LByNjjU855AwmXTUIJ2ot +0AdhFBZtWZ/WHky7Blqf8s5gVLSxf7pA1Oj3LPvERaqjK58D6txhoc1//mJm75ik +ZSq88020QkghzcVWJtWnwoL4NyJI1HqYLVUQnz73cKnU/k0mLuEMYy3lgFVrY18F +p/I1L2c/hVX+Ini5F/FYyXbu49NB4sNVx6VXCaBQieiXr5J+JlFWsrd0q32oDjHr +8gPT6DlB8ul5n6SnlKu2sod3l7YksdIrf5pwYRCr/hOWWf9Vw3akcoDeb9mcvME3 +GkS0FPm/7hiexSCuIMeA2V/hCuRsHMh7ENiPj8UBwbMYJa5NspgdeKaxc+J7mcwZ +yEPetRZpbv5Qi7yVhBhrRR6gJlbtw5dNDNqD9mglNPdTUBPHGzLNrjDOPpVzh4Jl +UQBkCB7dMp+tGR0oZ5h9ys7I2l/a7kyL/JgMgCNEjrTZHR0mjvnoCXX2g/25rAt5 +wJZrVrIQQE6txCysEgFfnzOOyuS1Yk1FSDWIKXS3ZFGrgIWBozP85/6JQqhEgNA/ +rylCtWgwQzJe0Pw9tWjDAIr9l0cJtwIqCSE7LVfmBkrMa6qwkIEHqapfcRMgmGK+ +IblnI3Hr7Lj00RFbOWx81JK9mtO0aFOlXf50qA9reGAMmXdLD6apdvNYPUlpECht +ekBgluj4sIbXLKgBB/EdNS79RJ0//2Njq/vjEHPkfvtvfWrmnMtk4i6YeAb3Z23I +e7vQByp3Og6AHe9wbR3IfnlrMvErbOPLmpgvH0OxFVqEPc2zaYKlcF3b7CbYpS/2 +5Gea6VFykXGQDgx/PtPxR9FArA45ttmEWMEwfUhD73Bw+GpLsNtxr0G730ZYqhhq +SkpLgjKTyZ2rIsts+clYFkPRYaTI1eKOoFXELShSyzKeLoLUs1ibhnWcV4Q2gsXH +6aeaYw4wxlxHIxnEwN1DbItsOSNSST+M4CvgCZ4M82Ct3MANiXKWP0BoZvALiSCL +atftoqtnmQS103ZgACbuxcIA20mlYW28CfVTaeK7j/px+gGaiiaDioaTwgsTojoN +AljsIFxVHBmv+Wkl9D8wq4AlwRuCPYO7MG4FHmgFhc/SkBtaOvFs/y2ApmH96akD +xuYpstYiXxzSfvV5OaFcD75gmcSdw4ZyyVFNXiRmpaoy+ovoZnx1w45XFt5X1BUR +wx9x8jY0w6LEDYIAagB+o4K5qC+vDQ9j5Ysy1XkR7C5ST3uCZFM8AiWzIb0NFk/t +256bF07MFbKK3NqPjjQuTw9OS8xHZpbT5ieLEqbu7ZXW63rGjW6t4p1hat9WhKsS +1azJ5cI1W4Dp/83/1xejvXsArlKh7uptDWUvQS3m2k2GuZFz/uEWrSUJ1Zp+SNQd +l+AYcf0MKApr4j8ABkEjyL7x2juLTySFZCPbqV5sw3ABuKioFJR6DY9bYdQnVBqs +fOGD7ENv8ZjLctPefEFz3JrgM5hYHdeZLWVLNdVJ2AAwXWmyTRFoyFuXRXgFDL7n +y64R7FQxwi/7uNaVMznljWTGNzWcO8IuNy22VFQmV0ZrJ9dsgUoEfZr7bg0f8ZiR +Tb5469isnn9mZMudNKoHgHnFzT4X9rf+d0IRggOYyrQFUa06NXO77+7gJgesHjB2 +ExKXuWFsL1atZbhk6rtFYJexIO2uKe8btoc/4VG+sdotZbKEZQFsWHgtqO63E9XR +ig9nTvgItW5fxVCGeA/jxZKU1mPBOYaBHj3LLcavkZd3POoVCsqexHfORevPI+wu +STvKDdlOShfqrhTFazg5OsVOds308rmC9eFhmahnQ3X12IiSjFXop7F+c4YLZ/ej +wOIHfyF5n1qdmQc3U6AE7T7uK9eDkaFGgcESYwqy6+wDA4bPFc2ojYs6Kpv0JDft +oFF7o+YcCgomCBBWbE6FvZE9M2HmVanNOa25notJIs9IC2KA50OuhLH4TR089t5q +cI/ycKMKb6sAxTiI1aru4BQ8aGzG5v8kpUZWR3UoaXtLo7zfiA2vdT5aS/XpanYb +mOGWpHO7z5Ut7EHtfSAhBfRt9XPQkpkE4WyR2HHINZN3i5zS+uzCbefM8hQ1QcQe +vqik1EGfQzMUfZ0b2lOD6bFpGUwMonCm/SuR9RSxuvolTtBwMMM2au/JgKzHORls +IFwgyEJzUG8LsGw7Z62RAgGmOlCWlnLSGmGhJ12JD4/wpvH4Jt4UHB2y86HY+Nf2 +eup0kClgCkait5qKfWZVN5dAD83fR8BqfSHjog9mHP5gQ1jdXLJR7ko9qhtGlLcd +9r3NZdggZAOmUISbMR8p+Jf/1wDyyjs0QFM8kXuCXl5RIyJgUZTJh5NHJegYtCmL +8s1HFYed53Mpp1bnhSOTf7YCoi7o+rxAbjQjYMpRZi1I/3i6fJnzgYTW6vRHztx3 +YXClyja/+5GgEWwAFzG45bEJ4a9EsU5PAnk0rRQnzMszqNyy44knAOhnlV/8CS5o +7spNhxynPdLidic8A42IZTkbA8rqP4w0wp3JIZ0NUU5moIGkEr7S+mbPg99ZXsxk +YdFMWR/PscOuI4eVeYQSj/rX6autGUK4JIQvINHYueP3fWLMqVbYAHANCm4euGEt +fIPd46bajKP0zFXvnOeC6no74qAcORtlkCZxsi2JUmXgPYurzFzGlLkrL7SOKZkh +s2ZYTHyNkY3KD/19TaEsQdZFWprPf1/S5u+nWW53KmvJ/yG1yaPhZ5rc6bU5UOT6 +FpvpoN6xXP7jZWlSQWEzG9gX/FF3OBFnUyMSNEFI981LfY8txKsb/Uc3pvOaaFMf +X0TakAFQsYgFSUHJTQfe6eHEHKRSGZqWVpaoZ9smxDTyW9WzgMgkOdgHrg2l2/yD +wASwciaMN7MJKIcymHYGXuoFV6WvlkkRy8rrBUCpuPVrmrC3h3Yba2pUjVWPY6LR +eMw3QR0SEgEhS9jCyL8IJ/d1kwsAPv2kacQdSzZ7lEgA3a+K1fgGLebnr+nTkuHh +KDa8689slYtrFfaCBwQyW526TxevDVQDYyNb+RxkuxLc4n9cY1Qn6SdqmZGkGmN6 +jhJ82+r7G4Pc3dlY1ZIFVfi8R1Yrmuh5wmVxM8BMDWH/lpFOmqpaO7XJYlzZv4nf +sMwT9cT9ovQKvGc3F1mWBTNyaaT+fJl/fOZwu4uWzoPM0HLE1LCsbNLAROJ9A2uz +aXIaauryRUWnNVHo+6bcQEyg5oGce/+d4qkTxznkOt5TlqmHqn2EY92B5+LHjBfC +FBceLYgYJ719/AqRDWWlD6ma+aNqum8EZQ7QH7VNzUnkO9HO9s2I/YcQI65PwwxJ +ec/RP7f33gQBTamCTYPoqZkfkESm/mJcyxQJWkbp6EeJoZ8UKOexhysR7ReQVNjP +uVydhc3qUlrCK8W9JI8N3tYZPzT8Xjej5MhZs8R7zye1Lqc/O35JDjQiSN8aunEb +6Mtqz6FP2JKYtUCSoK7GqSpWkHuRWLv9JIZfqynAu1x+Ef09SROOhLkzn77ThJTF +/etEG8DvG76IT39T7stx5/405wA/+JDdieFl6jp1qHozkaVR5Db/lG36vHBGt7bi +kpLPk1ObaC6lxfKdB+4EB3MghUVrfOKagFp8PK7prNxSkJqXC0BXpAFm1VZIqj4Y +zUJ6th7mzeOoCkp4sX3EzCA7y7OWwV5QDcq2z8mWFVBxzUzGNTG+it6KdgkzYoAW +xexZh6evfz2N3SENO3ecwKYkzmpZBjBZRFzYLkZ2VHdwYEWCZad98ZaXWO/3DRBX +ilav3dgolSANjD8kTrF5SvoJIQ+xyNBKFbcVgq601SQ51dhoy/33GsQ+oeCjgBB3 +P6YBmg4v+DjohWIwb8fBwq72LeHF6qLGQeMBcYh3IhsjZPsMOZimap8bWarbGXxK +Ur2OSbXexnqy5GKd9qHcJ2hn9gPLVwgd0kRlxXZCkikIA0TxBY2p+FK5AsbtgdA3 +cee0ud1WGxbKt3MGeUUAsv7ZLd+tbEiC5k7lxT13xMI84A7uUU0h7EYCpu/qVsq6 +HpGEiyWuL+w+neVCNQaUQJoKdSzM9OFZVCmkaVnPsQ34sqXxa64G71B2HGU5faAl +RDmFK808VaW8uAgJLLGVek3sv4Z/DbXh5iRpj41ScJn3PE3Uj7yrTr8CnxI3lw+7 +2O381/mrteZbwJb+jB8ICGMDMv98EIiyV5imXqPlwB5muNZt6iuR3qAFvotBjvz9 +64Q/of1Jt4Gt5PiK9+tLvS0x1WFO2NqfuLK+R2lMFnMCGQ5gKd5TKGxMMdqDHQ0+ +cnyibPTMes1wt2vfTlZpE6WN093/aNrLBvu7sRtcJmV86UnxV20sh/GOTMwFKOCL +IMGHnU4AbgtYDhBwinz7uJ06eAEsWxmhNYpm5CKHjfA7Wi9mcKrtu3wDsHo/Bf1p +YNUxAl46WSvIR3fskOmRbev1NGZtcFJyQZpCA2ESIeCxm5qYGQg4aAN0mXe8obTU +hBAi1WjtqG/9VOS7wG4h2ThLvZsBI5jIYNwqo1wmD1i5JzEB31fM/tGX4rDM8xy/ +YbgosREsfs2gAm8dLswV3MM+sin6lC/6RhCk07UKisD9yYhwnYeGYrVzM04t6OSY +F8/Vti6hoPwrOwpi72+z1PNUYlCPOXIV38EMRXWbHHJwCixI8p6RXr+fR1PV4NfZ +Q3Qs3BLsaTi8jleLxrCyzLZNzceIldTut24LodxekoYxN9gbhICnKHdQ5XAlnsjI +U3S91iObx9m3iXOKv72fcP62PWwdNCPTsMfjuJZCjX17qrcCrrLh4bLzR3f3U7RH +7yrCYT/Jphw71yQvZU6tRhQ6TOhSS5M1L9qlASFZiCqdj6RBaq7M8kDfSvpZ5EzZ +q5NT1z1FyX5xkAFJEs+OFNk85dgVT6+EQAbAWkgvOKx/SyNvXxhKHpM0PzSK03EI +h9481Xd9YFTzDVFXvjTqGURpcz8dP1KM6fkCg5jUFf59K/lU4fY9OejbOMaaLTcb +SYByInyiIxKVrrXiLt1xCTPznrh/qEd+GaDDRhL3V0T08m15owZXyrdrD8gINFSy +T3nVE7CH2W754S6ssCqz7e+1Wofg1DdnF/uMtN7N63toiXZvdt8FouRrY2qSjrQB +Ly/udCzTMrgqfhZDybK88ZQLNVs9qI3epve/vfSdSaIFqk5X6nqbQ0GGY6Ei8bEc +GceXI0Ns/sWHCMCjLMSmBDkZ+w7I/buJH5Sjs/wLA6vjY4ZaCMWPXfqIFcHUchcn +LXzt91B00TBR2wn3M49sewl3AkX0pqtfdwz7iLrQh4qN2/qsV1kM0PkknBjMOwTn +5HnrOh4/4S9qdeEx6Nn0guYrdMB8Mykb8hqbm3mWo7VgC/zG0kftV3hkkixPei77 +51hbVYZIYck9v/5EL1J2fx7dYJAfUpEZ0Jn69U5OM2QiuD4X9664JJHi/zYndVfN +wI6gEKw/rL2S8N+35/N2uF4RLOnazkh5XLNZI/AE5qzPk+O/ynJViQRtkfjJrblk +AdC4d6zImVsO2hCDrF59l9UT9bT8uFBsiCF7i7fS0xQfuDrOIW8BwJM6D908DBtf +Wppj71yVL+rqONi5YyIcwn02IK5qbxTANXP0F8aqQgLrj6GBn4YARmb3+o2Qu8rK +UywQ9igCTgH6RssUNeu6CfFnTh61AsZzXZsCN+GzJLfXo8YKgOMJqFhySvgeY0v7 +0nY6wlhaukkhJBZZcHegr4TmEIc+xDxiApzcy5qoUXLQ9MnT8RpVyZjCh+EN9Jrs +Z1CqgYz8gs4AwZ0lA4YlR7zTMJVUyJV/mwZZ/cTWl7wamnAgNumBOum3x6dGvN2s +8oRQtuKUQgDS7IwWeRA2sadhREnR4IvZXyE8NUaw2+kbfIodzvxqZSjK1+h2l6u5 +O1Wrc3BCiqrgGf6xVk9FxvikdzidPtSmaL7VZrg95kUG1UlJ9e6tpIpw3Fg9fIUj +x7iqzqSl15FZrGSxEiATr8LpxZ60DBwtEdWyOH3s8/UaC9rSr3hpWSXVa40q+zP5 +f+T4/PQIF2oUJMXD09KcZUQk1nY/THAcK1vynxFXgCQuTORYvHofT6Nzb24H1KvN +rEId9Rjm5raRZ3FA2bP07LKxKeMuAF4EZj8iSCybudOWc1ZQZmKdpkpz9/8TV4So +V9XwUhD48j3Q1cS8UV+nV7bG0TcOFJVIIHtE2i89ar28SqClc02RQcSsSzuh9owJ +I/ZWWLuiTRamfFxBapFCSmmCofPDGoRhrlRU5qwN2BXkgAjGM58ui4UYTibr/gb1 +Lk2dHYdx9kJomR7lbzuU/DVQESERh+3Z0VEFegh7GGAKnzVNmxQWjeRJuMtqb7R5 +gmScMgyPcxzw+5o062h6Q1JNaoYELZR3ShSk+EM+Zp/neszkVgtb+EzwqphaZywk +EVoBzKS/FRePJTzQ5VtPDKhN8HKFr8+0EhIXEukFnH8sMPdOnNBrTloOvx/AV8f0 +y5NfAXMkoballWP4Zlt/1WXESvlc2iThWSupalXYVRBDz8KHBlbLlY4If6AIQANk +lczj0M2FZAdDHVS5URqEcwR1uiGvDW7PCzpE6vkAPlHTZwVmNs6dIWvjVak870/Z +UGYserorXjWsMwnxuWio/u2H583ePG0Ds7A3aR1AHz5pUnRDSZdKeSDw/SV9K+9U +uR9LztT5fnP7lRWz9oP5pzAx3301cG7jCpCfD8f8GtV6T6RUaCams0gbj3DdzrJd +XN9SiPV2dwofO5MFiHAgFLEFViv3klhBKlWNCmYu+huaZu5mZJr5IhSXOzPGcWhZ +0gIWlpZuBrvzhlqW22KGXvU+bmDOq4bgJdTKzEJlHCsfYSUx3xTJOYSVCLPYOazh +QB7bw508UR5M1olPN9n+vTR1RCTb4PJWpx/d0x44dbyy8lmxPG/tqxPlJuiH01Tf +XsivXop/Jzg6zphmqH/tM+JHt1g33K0hE3GeWrIlW6VPgMoY6f8rHM3uT95XCW+E +GLgssD7RqQbQHp/HvMmi4Uv0pie7VaG0JBGK/bAnfKCVOlFZC8TCKGW+6ZZqJRkL +IsZuZ0E9Dj8rLVLIa+k86O5R54UZIZrUm+vQGC2YneK6w0d8yff7CpYkJbnhGoPN +REPQnXwHzKTCyAYN/acdABEQ3eT2lKnxRbnaDpLxEd2o8TRyVPJ8gG0LpyN0JRsY +bLaq7/r2MrQi+ZcsY+pFF2jg/6Il327LbmVhT8LuqOLkag/bON47PVI3J0vfhqcK +RabDMUqsrzZzt5+KffXygtHKsarpIZGLz2ZtQ6nyJyt6Rte2I6xUoHAx4uvIOHDE +Eq9/relMWBzhH/B1j1TryyU4NBwPc0UiV1dX6zuXhCujk/JcRoVx5JYH/Hl/yuFN +TQbOMTKfzXQeWMH/R2HiWm5/3OMgAKll5eseYMqZeSAe4I3phRo1kJ7qGQvDBc6k +07TUU+MPRkf9mC53M+xBOZOnEt3Oh3LRRhGNjJ4NV364y4krtUplI/h02cwGWP67 +Qb01MaRF6x75vWr5sKXMiej2milTEYhcaz8c3vbdI93jNNBNRn8gg5HIlzGHAH2G +/q2BAHXkwkc6LVkgqsOtINesvNBmoJISS/0r7gSC2jjF5zY8huODtMnzJa1sYZEy +xGKUtqgZf88XIbjkIb+skbI5h+nfSZMyWo4xZUyt4eTe9TXEIAqH6yDdMzrHHX2U +XblRSrTnAKsggzY+iaMTnm4VQWHAE5y88nOoKHiiHG579uCkMQV6hD1pS/Zm4kfs +Vb1FK+N1wibTuSP0DnnIyyjF4HP2nmTOiB6OTDAn1ewmN/K++p+QcKDlt5Tbsu7B +l/+CxYiDb/kQmPl26iKVHQESNz5GlEI/qoCmneaac3WWSv/11yVn+DzcVP3P3pHp +tNAqzQrvOXT6t5CoQBkxVm4zkntf2gsTItdxGrWIxyGA3w40jdMVgRwA/kB0QG+f +2y0ro3+zgCKgM91BzUSW2EPbJ0UmMV12SpZD5RRJKLQPpTRT1PkHizhteZ08E0En +fqSRopYtOzcOEIvxYZ7/PJDDR3jViG5BmQ7+bpCrzoFveJQNZRb1coEVAoANInyr +p7sA/QAuPEh1R4pvbbeB3BjyzIu3FFjIfmo23k2kBroMcM5XPr9b0AVBkqzUY8e5 +wRIfrFbNJNmrYL2ZNaUSi8T8nM+dIHk8C5r9iADYxgUVa3OtjVe4AWMjvIStrlKf ++IkJPLPj2h7NFOuAJ13DXU6YfY5F7nhSNJHyA2srngzLICCwG0OmcuGWfolvZJQo +IYoMDoSOoP1hPly8zcBdEDRs3zPmA+mB0zHi7F/y1GbQYR3ZJQhCePlGE5b+X13Z +o7cUdELihygSPMeKzFJ+Sh4+EerofJr8GihrDAtek2yp/lFvvD0f8OXbdaLTmqg4 +WAWZf+ki06oPHbvyFVAMFyiMQ1JV6DIwrQu21fWknGwTXfwLx1dw7mUyXlxCca7A +/r8yVhhe9G6cGhuktXmkw2Uw1/l4ULnjZmosMIGeJ/ATIVsSv8fClqDyUHDo234L +GpEQ9w3lLimG5aPAB5S3x3+3WeJREIQV09aPjqWIZd3EsFzup2JC0lIpQeGzAaSx +uCABAnV+AiDTnUzGUf8GC2rMYQ7KyOTB9OBjuCT5j5VQD2LZ7UXwlP8sdqZpLVy3 +d2y7XrjpYdEk4xhaQDU+W4XE+9+LboV1ExlZjsJZneq8zsa4NmZ2vi4XNDSuIky9 +dou58122ZEVGemlDwCUEMecC9ayxyg3bL4rEyWHaygMnc4LbGSKmuWs/Gt4TpyWU +xIlGBieoqrg9Fu2f7dHI+d0csk/HSJ1crNStgIXefeRk/jd7U1nSb5G6Ygc2Kuys +ihsSD+ssmNN4XSC3+5fwq2eWJNjjytupQS7N0qYV8JAnffNxgo16XGm+PW7f31Do +9fkY4tyNurwBpnKD5ssBvNpBZ/Gx3yi3ql4MjstyUQOQ7L0tiVII+70vCieyiXzd +debdGzhSvfX35LqQxELaF0rev0wm69OW7qsZ6GaAELolsw5Weq4eUPZsjTxjmiN8 +0TbemBZsduvvw/S2HqNuew8eTryQb0NYEfg411H0yCtGaYGA1z7rJA3Dp8gOSPEC +VevpObWaNW2GJJksSimnQjyBSDhSeVUhcxwbDq2ymm8/cu/CLZC6vJbgSH2Q84gw +B+SZ+KuDFJfw/3AcOtcTJZ33Rckr8vHaPMucBGq/+pwjCy/xfnDqrPTNE8WT/y2J +8l+wN5TIuNiPBN95F6eJ1MnhaEwWovtC1mWgX0pldLxW/Z74XYrSCsTLTnLAFbKt +Yh3jpJXSq1upshGc4I53iiDRF5AVLdVxL2p0j+Ab4uDlQe3cW+zJCpQjHzXR4aR2 ++29LodcO1mzikMy7nLvyVmU23IPpAUfdZn6/8E4AgkaRrGqVuR0m8MLnpmnDIjdO +JHZ8lGVD5VCNYh37F4awERvhE0rM3RmneSX5VwnO9lGS5vNM355ErasZwvEXNk2H +YPS9SjgpaZIP9gZqnqWHcI77qpsgZjDwz3UW/FWubX75kyTiV8/L87cDQvk7FUuL +6uR0Yzr7JzjM5ArEHey/r9O39T/0QftxLkEZcviiDFBuxezxS3+oqJvIipbDuwZx +f6EgLg3/wzErAfhF7bBrQ2b3frPYr7hh49NuC9XcBMla7DPKtPfRsx7VduNKM1L5 +GAeph6+qp+r5XJI5e7ci8oPFuWOGK6T+8I9zorSKictD7iFznHVkGWky80Ejto78 +cfg1ucD4FxbN1175MMlLaz352iNotsBiqEWgwQj4lityMFOH+Orcr10V41Z/cGee +h94aDILFsKPOwlTHDYuK+ULuzth0sKpq29wBs4m4kpvzRS7tBupw0n32u0oQ+RsD +Vk6zrHdxgV7YSt4DkMUAkyHVoqLl4/WX4vnh5urVQmpwBHSdW3B89/vjs30flGug +mNmuf0SseOaYBsRCF0hTrIpcLD/ezvPoyKsIux9MF35KLZLEQq2sfQNXAhQkpU+x +lulPJOCgIlcqGSqVQgJ0SzJzbQPQcOTy9MmWzWId/k5QtOsJ3hkDVkSIGmkOmRLc +uBx04hyun+1QFNB9aKlxBmpt47cIY5+QMdBx02/Ft7IiE91wxUK8qEn4XsBgQPj7 +vxEYBAg/X5ycT5cNggSbFc7x1nXaUw4hWf5oZeux3JUhGLsEt7qKpzkF2IQ9XV1/ +k3BvLDwKjowP5saYubrrGYvz5PhHahSu3ZmAl94U86Br0JkwPzck8Fw19KYuvDK3 +yq5slm6wumhyUJaxzJEhpOg/pXliER8hl4tviCOcgOpe1SRUuRF+6VgJmMsW548D +qybjln9bw2B0xfLMK6YHHqTmerD1nZ11cWKx+LXrgCk+di0rrrOtm08TI0mbVh1t +PByRBsWfpOrHTblB1sR3+E847jbHIHYe/nfWn72yN4LD/jqmg44I1Nbe0iH2JYlB +jS+wR0S1aP5CxGntuYPRgmYTi6b+GUFed7m411U+sgf3jRcCf/eS9X9uU8vG7G0y +tPRJXZUMZFWIfmAp+BfVlhDe11xnJgsTsNBMGXMWXQJCB1X3CwO5ArfNccK24Q66 +18jkk8WLWdGqQG5plR9cEuotdGY7nY+rz8uw0TJq/+lt8kLjt2ThGrkvIxykAcVl +oFzK6yYZkZtz50ry43Sp+alP7eRdizwLU71Exkhhp/uEZ5/rP5yeBJUuUqE0IAEH +Ga4genfhsAGMyA2vyPrmeThGdBAU8SIP7cvof5gOUWnsgEMc4GfAFprquWnOuilZ +RwW15F91lymeAyJheH+R2ZXa865NrnXPEHHBNNAZeaQvloBLm14ZwSTqv6hf7HD0 +vOUXS0UXOUamWeD0bpN+qumOnQ3FADGrK3qKH55vYOw++hIpj6Tgte36Ege45VgE +rCY2/FQDQdWyv4Dyzj5fLT0WnL0HZ5pfquv/STBjF5oMJauybjDNB55BqrnsvV/l ++6vnjrundVF4sSVMhElprjOogPwEIFIgRJ/pMEujKpZVn+M9uf4F3zd7XiLWjB/o +JLM02fm5wnjiE8F/VJu8WVfgGw1tXFc8fgaOqcMBcqdtZkoBYN7JuPl60wUidVkv +yjxZ3lTS0swv+LtmYj5Fi4mDUznPIc1Ek3etRvHI8KMQYJm0hJb08OB4Eg+Kr6nq +s6WxDCtwjZtEgMf/6GCFHjPG2PO2oHOmcHvncQXVTLXIHd4ykS7DReorqC2KqLLp +N7/nvKwGMpXWWqETMvrKMhzo8f1hX37UkJQZ9i5k+5AaWXu4gybBrSngwP3xLcsx +Vpshx8qpePUAqw27pK0HDtLIK0xpwq+nET7AFanfVcfkMH71QKvrXu5YJasz3hMb +utGs0YF0g0wEpWbnFKFcayk27cTlrdXpg2+bbPS8TFBFESW0EOYk424ICJmg8Jv6 +VavbqV+t+Jp7NrEAShXlcZOKGlOErNjJ7yol0Lnwe2VDdM2TYHhKwviWnlgD1orv +dSOXhRURgffy6+spAyOQ7hs8t9kxMy6Ac6TUgGJLeC8ZQW1Qvc5JRlv+RzcU8t75 +xTAdfjuiEdWto+7ANHyvKBP3OSjAZqIwz2ccQtxQDfRrUOxcweiRr4dXuLe4KTGX +sAZcA6YnPCgkFRlYlwRc1ryV/KWidiSHmMe9zaskv4hw8vPuMJuk10PknVTOIIPI +6c710ynFbjoK2/hn22tqJftpZ4vnonLAVXmzUCDGZtMKEcTUYH5Abo4HOoGByphC +nJ0Y6DVCHF6Nu/FM9fTIj6DYVySygX0IhZa3cH5YtPhAkngzfoHjnJNzjWezk2vU +/jTYbI6Ydi+jM5hwffmDzD2JCbSfVTjajd7VAdH6dFTjuNQRfzaKino2K/xFwvcc ++ELmdSAh0qA4qG4yTgYay2MFhOWpJoweqfkpIVnO0GHnowYii+3EGnYKpkSBIENX +n2s6+tCQ4B5m/7UtVG41KxEHTKKkq4QfKIjp9Ct3giKBdmEYxL6Vg0xdP1XU+mMS +dVtG2D5zb171Kxh7ALEUyTaXSOcrRK0Z4UWD7SlvIbtKIfIlNjyjsAcUwXX5BMbX +eOyUySTmxfSmnag+G0CLvwO5yUz4lvjK1W2YNz7mSSpoGIfEXMFD0oz0OOmNLoI/ +wTFA591dRrn6H6xquNaJ0VwodWOeCk2QFg1NyzwMQOD7kn10tGlO3/yNTCKOK9QA +W0vk9g2QWHmwotKfSAGgw71EF36c+/5H19gUVwQvP1/9eWE/kDD3wCPuidl4SIOx +o/Agzqbrru3ljqTiajbDxJ57RIEyvzJxV3102fWYrRsMXcJrkup/Ij4iMKk2bfqN +fvzwQOYuUORR4pdV5bBA9jACwREp79zmneCTrH2owbZHsr8XwFr/ENxQYkJIKg7q +Y5bUzHbzBjElNbB7L2UDcrZZCjc9DTiv5LBGCqbfhFjrx1hZar+g3rsgt5j8a1k3 +i8/DzdJyBMn/6if4W6F5lecPR3W7eAAX23rXTVWCJKzfFBm0YaCBZw30t3z+BMxT +QZR0lEWh4sBSZ6uKegU8JC/sKZfkODgKlBSqKv39WdiRgvgRzmigLjPYVW4vDI9/ +4WrQwg87s5+7QZAd00jdljTYjqgXUXxc/KCbvTLzvwm8B91b6IVHQtvuCvH1M3dr +5crIMP1/uEBAicoeZ0SJCtflaLDQ+N9yMM3yChtGCDjuny/Ymnem22nKVl5UuE65 +fcXvCQGEQv72zNl3XNpKkF+bD9KHqSAY05+PFj2x3Zp6kLSfn+q7frjJbyGSxrY/ +94m0/e1gs+SdWMKApFqkpfL7C8dZj8cNYzAEjItu+GxlLiBD26kvvXlK7mhXeRhL +cRV5L/ejFEUJxs7n/WFmab8YbY0w9XKp945fb2Sv0pQ+UyxW6RatWM47T2O+ZfKB +e/EmoGBjMvAAwKUPrQoY8ZwRsMod/OztY1LAWH+3QvHwTLUEaanEBwmGzpj3opZT +9i7v0B5PKs0yHM1pSiBD6E/xiLJ3oVlOOT5nIi3fM5G0zL/Nk70+LINQgn7lMW+4 +UBxS+lXvgAZIoaPSRrTAuj0rSFL4Xsoqhky6F4LBpbBcMH/aseitfs5lUlkSpI/k +fVsbIPAUMb6xgLzpcOHjwkT7bDSEazCR3eO/acdpDeAg6UbfH40Kej2ndRBSOR5I +4YOLEwCWgdrqoUjtqYtJ/k6igD8aeJxnDAg6y1i9tiT44OHdMvhBOjDOnq9M3jqH +dSgUmALPGQy+PzhiTqq7VPCAR5G7mdeEvuAeg4iVMVuNCIapFe2XaPff9TyWu3Op +m03PjTmf5grMgpu0utnY5ldd2u36Ce14Q6660qjipDIIhaSPTHs1koVB9IzuZd0J +WJn90h2+E3IqWdHjQElssnWO1uNt4+mjn+XeHBfWgBaSthM29i6eCOCIFQOGUzoi +QrfasD8cvt3joXImyUM5fDuL/nfXPfuol2DOMa49abRakl0OeNJyLbadJNvaxi+e +DSV7xSJjG3bET7OPQVnGRn4Z7j0+FGY86rNchM/pxlvuiwlfseEWDJL4hawrXQDi +t48fGaBsJLc9AAw3bi24eVTdZ5WaSmhQdTOqm0IFvX8xDh2dx/qzBsJSs8JwDbap +Qd1HrErbCDeACo077XlBZ6ezhaZOezTxVXZp/kPamRjbJuSM8Xes4xTkXC+O/tex +9FAbSvWde2NDcuDUcE6xppdVey0gjTt23eU2zy3/yAefERSZm+vVC0HPRn/Yad1Q +eOlEP9GBJBZFpFxAiArUqq7biOHYWNHNMV3B2JRVysMw6CL0Eje295AGcbPI9Yty +9vfPxcTdeOnAfHWmfuNXbsNljtNmEJXeynAH68luAhYbgbjXFgXaGV6oUyPoKIJI +bjuY0ulm1ArBcGhHvwvt9RaHBj+n13J26KETqSuojMrwT+5N1OcH8Byv6pNBwvw4 +eQRBf1ulKwsqr/RzzJmtCzgceIlqfGBMnctGyzrA/tY8XcAb8AwFvq/QR8cCtSef +WzHgz7cx34qX6YmxUxKWs6nvKDrQt6PepYpHz3T7vSPCGczm4hWB0B5pfTaAcWGk +3H/xqrSupxmGDokuyXBFzPUY/jZaDl+jX6PhHkqOvY8ITpRMBX66p7+LJNfjdq40 +LPBMP+Mf38IGdqR2y45412KFHFDsLWuzJlngIk857DE6dDFdzrtKfdrRl25whwHN +8PKv9L7z2cFuucTtpZdLOgaqPM++N/q9xxlolzyDKG9wNfFUXyiw0rdYYXgZCMw7 +4vAtltRNaPlrH8hTUz6rB8BscBP7AgAwaiEggTasaV5yYzc8GwsJFLky6ZLXCzSy +USB8c0v4JIQOVKTqwOxsUSdKrWns1xq0lrFkBEGkXgrCgLSaGRGA8SkcTqRxuWqy +6RxSn9r6Z7KU+A8WoBlSY4IXPRVbJpaK25Xjhl1K39tS7/psTixYQR5J58n6gobd +crLuPvR53ZkBEtsGUCTvRMR2oJZ922+7Z0kQiMSB1Gh7RDFXqZcCua9wqX+Ay1Rk +1e3VSV/k3bW5rI/gzEzrP7e5hv66CBim0eB1atNPg26FSEm/2B4Mu/JLow6lu94v +5L20OgCGvUwcr60LezgHBk5jjw32YzwXc9SwoxcIkHzKovBkenFQW6OXHEDchK4E +MsSlUeQY6xVVujU2B7y088qDn0HPNdjSIezwhKWUlIsjsOFGfcltVjI6J+i16l6i +WnTZvLtHmaJ//EiABpbvFwMdSgb1Zi1D7jrVhKCQJCT6VR+CTOrwq2eSzVR8I0tI +kd0P9k2EFA4DdyVahXAi7jXMTEDfFdvwdegjdIPOV/+ERQzxD58ip2zTDsSaD/X3 +eoxwoPAv3jxRAdFXec+iKip03p7ukurEAnXbDBA0ZOsrJznJdB4qBZiWNJE+YFkE +fFRrAGUhdr6m2TQXdE92YbMcwYQHcZdGTDTHfpTgLWgN7jy9i9bYgH+G4OYk7bFi +XvgbFE9z2U8/NUQOPF1xqWLdW2GPKyczVCAEYdc8LspeFlenNfzbKLugxlNu5SnL +mBcLk0U47EfNHJNjwHIj53tuK3tGRvfuJy+qKTgXnFGRUfmiiARCbZ/qkB9sHRQa +PmquzqxD1QPia5gxwr2HJre50qS1HPRI+LpWYo6NJblPAFxICU2gx6nLPBkIRtpO +79I9LJKGk0DP4jbBSU3I+eOkrLiitzkqMpI7dCwqwWhVC2mxCwrewMiNrhG6sCqQ +8wr+gqkhgyUYZWel4iK06rRUnNUSxvhiLQkGhXDVea1XquyvEuh6t45Cyvg8gt2T +8kvt8mHpKjB17j5fNqkGsKSI6lvS13xCzC9NKLHyqAtSgUDqe16DGgLRB3Qk2uK3 +6EjjCl6uvV9rs6A5v80suuIYfGwdL5MUOnM04CnuHhGNiO3zFhm9Nu3S2Vj8KWyx +4sww1JCa5lw67slceqMqoxmAngaxbbFf3TfZ645KHA1zTJ6qj4fKUjFAR6MNc6hU +Ev++ApLMbxxjWtkcoz52MTF3D0Cmn9hy6jV4jqeoXKfwvKB0rTJDD8//cAKd4T77 +zVENrgQZ/JqZI9ggzCNRftjf3STNUhx3Y1nNPYA3HIvMYjG4WvdVA9JNcdllD3qz +1vyJ6R9iFcSBcW/ay8DsqkzKbHIJprvIqo0A++mXW//kgSj5VSBeQ+i0D6QyageF +nCaRfomnyoh41rgCtwVVvCjeYLQ8LbPDHQzMjkevJdKL26y52vtgrO8k56LWhStA +GKz1cchIsQUqZdtT8PlZVDZITcry/v4X38B66Dh8HjoLSgUZW1UpktAWmaD/im53 +gxJqo6OenKPtohLJoK1XxI/eeK/LcgdtTGLV3q7itDRFvX3+liUrqMXO7gw2EqFE +cF03bxX4+75fBG8jcQIWj+oKSk14FoeP1/WUM5sgwQJVSvclUjyqgkeoWvXGqGsz +6UT+7B+Gwluppo/oJQyy3mISsk/oPwpR2Jj3wiw5ii7JGh15VylKUiw1xgZIqzJX +AV+0oiR3Vhd8CbOxiVDhAw2inw6BStG44Uwy66yml9ULLkJ8DP/kfGziXWgNAQBv +4kJE8Cz+NtDMp/J2Rxdb+KXLDFC3P2UEtO3fIdJOgO7eu6zdRW3CIm1JAQ1faKXn +tMuA+eg+KI4L8ZSOpcgmFhE2bQDZn5z6RCeYBRpBbHejM+TXqP3vbL5VVEKXjw4k +t35YL1p/mno6UI6U5QQ5Y4dQxdh8dW3lj/17LiMUozLr+zKW4sOcTYg/qk7g33fQ +bjfeJZjA8E9c5FVtT2GsO8+ZgeHoIHQ++rnWN9ucRwx96IsFXqQG1+XeqeW/iTv7 +iyhuotUK/2mo8pKIr4mgXTzPZmilRerlqIXuQqgc46oRJ7684lSuu4YxIe65hMTh +iXoneS0T9sm/2OLXn0uLUCcWZSFPjCNpAeio/zv2jqYh+vnuzkwbCFrFri+qYl2U +a8OQ3Do5K3yi/f4e2s/dLnGvw6qwq+pgtvzk7ib6qPq9uvrXv2vfEEc06BDXS/Ug +XYvVxiDIita1ga70bKWYKlNZIWA5G1k2WollK9di860zu3NZ51tIkZDvcwo8wJCK +bl2L58jDOHFa+/O/gvtlGV5FoQlQwCaXoX8BpjE17Mi+XLtsS81HJ9jAGvX4Zozg +IZqDxIWVjXayxkywN4lvgKjFzwP3g5RcYsKneJlDMsFwnz6p+dKhEANECACTkuo1 +oSvXKC9T/NZBvNuZ85GK8FKGd8YmTM6s/lNqqU/1vgdQnN9HGbioa9syO7AMwcV0 +u8Vv8Fo1SpxdpfCOg/b39+VA15+ROYc2aTTS5GT85U+/Dktver6FudbHONggi6qx +NtkiCUJm8rkU3MmfNc3fh8MkZSJKRmhdSlJq5hKHxFEFshHzzs3SADkQeTBeT5x/ +pRtB6DH+gnJvKL6x1DrlDUMXnXQalAuV1FFgbBeQN1z8mxBltLZxImK6tdjcYt+U +KfGsdD6Lv8TCyHF6kM/aIUmMxzIda47zP/+gTOKI+oIt3Jp9tT3JsvjDdydiWL8r +UnNO5QtBKiqEIADUhwuf6UOnTf5/Rh8uxYWb03FoEkTMgqH7xc6pF0+1LuhRjYm6 +tjCJzp38/lRXtUzVgGhJevC/Rlxwx9duGI/Ktxt05Nsf4IVmHB24x+DkSrCMJOeW +cqw7aY+um6lBLw4i8d3Y8LEWld+1Fi2XBzludOtzOyN4mwDpPVCBa7k26Tm/k3ce +KvlP3Gye23ZeSLsCxgQfPD/PuKQ6QhKXmSB1CfQFJVn0iVGpDm6lDXKywc7XUpQX +o6EyojN5nYjJWEDhkj98B5AqGayzxgNR/HVx1ORu58vDcJebo0gCgBV8jzFqybO0 +8gaIzfRFzz9kWurx3+cYTiT60FUwtht5FXbdmurG7m+XhlNibAQXQZRrTElko9t+ +3SOvDhwSd9NL4yjJc9l8OQoMBV7tEDPYsvl+LlkV7FmkwB/gR1twAzsFNHq3bQS/ +Ka7doXNCH67wSP1rxIFkAKq48BOIi8p0K5GrmTJikukchvsozWXDA/ExfFNdo1H/ +xotW8QsS08iH1xEsmXxGQZkN0UR7IM31CMkBUFrCr2LMPOO6KjoL02DtwYUV5bLK +ObVvYCqzlVcEHwqXYWPkkVC1Jo1nAnWRFVDLusTgP7dZ/0RdDvPhDvQ4WOHRFhwY +3KJVn1yw8qLepBjQdaGQodGq26XMXmplWmjUMrKVRTKC+nyEjo/PQegKdhS6TtfI +cVZB+7pDsv6SldWfjIhrgVz09Nr4OzqulW4c+PUfgae2HyzV68E8MFH0L+pV8RSZ +9O5J4O96uzfSWGMZT27sRiev8qHUN96fuGLRJV87kkDsfJwD9xjb6mCOghwn1IeL +x+QVj1Z0KI/tbr1FchT0yMlqd2lU4tzw706KgLDO+XrZkSp4vjloj1dJV+ga1C7g +02bcJ9KeIc5M3fEVUaPivYdyTt2m5QqIS/pMd7HaED5N/VGie/Jp13SgDzUcFWKd +P7HdksKdswqKmBJhnKVpdu0QXUnlUKsZ+mUngkNp0HCe4vEtAAcVvpxlZ0gU3wMp +q0QiQitxU+uYSMGR4cPsC9dk8HyEWf2NQHCTcW6D7uupRkfGZhq5HdqmJ/hAOzF5 +zPpnxi4HidtDPqqCqEZ1jJLT3VmOweWPceV4Rmjivlv6voZStiuO4xdSTBq+M4Wy +BJEqA6I5BS5HnOJCeWsply8V4rbdp8M5AWmeKJLYqrQZYkLqiRa6bmFldgIIVNTy +mi08+TGZAGojB/hJzT8LXbmD+bNiJs20+UWPTbwJEPFWxFz122C67bRyZGwbsSsO +/iRvtj/KusbReZ+izlNkSRv5nFKyS7OWQ99ZHXU6FRhYExzwKrGbcgyT878Hi40e +V/Rk59ma0Hr06FnOWE8jiseMCS0p6w3iLjmvyNAX2FCvadBxMVPe44vRbj0vMIFy +JtL2BxRlMCaCblwyCcTUJAYJ9CxWC00PRMcaZwWaf1MZ0EZbJEhdvSC/+Rnwg5R5 +Zp0rX2ht6nAKQBVzqcshpFOhAATldDn7rhUBSCG8+CMNkWSS6n+JlxdkXD7Af2gU +54FSZII4V0DDtfom0aOPHo/Y75aPBfJRgnMYaixi5AKcm7noT7n7v2zPptx9IyQk +P0O/DHUzQIHKihEy6SovVzKz0zo61w+VdbYK9h+fxcQ55Sn9xtcHkNPEBbq31Pzk +ymQyIvCW6fACsPqeaU0Rlvstc4Rw8zwpHwGW8vGWSq3mTnkMlfPf3UnbfrCUlptI +dpQGTb7SZpUzf6kFqaU3oBWAwCDySgwmI7FdZcTRp8+H3hqPvoQRgpgm41pSz/Rq +inlXtzHBmc7fPxYAhKcjfUU0T1lxh3Az6m9t/GuFj07+ssqSe3wJByeSb6O3RVLS +TzLkRXcQRK+HA3/fqjErjlwlqXkk2ClvZ88XXk1qS5gOjaXREXXP54CJz7m585tb +SpZxSxbJ0xAyKuSlyNkTdppAwAnbzmL50bU28dAFsBiwAwA9YYxUXfNqDs6rCOl9 +4sq9W+kOk+3EwUwftCUfpXPmG9RTWJjGYNNYZQeRc0jcv/9sThTo9vkB2B3RcK7Z +53g+ShQ2zC8n1qYVDSuaGvhNX13XnW5a+eefVTU7ecFfUkIk29yC+daHy/zylI92 +5opuBrRK4dwoFzHVbr8DkLNVZU74NV2HS9wOs+mwpbSx7w0szARJThlRyLnaV9dI +dqPa0/BZH/WXgQxwAwvYp5u73x54ba5jwpC9FtTV/mFCQxKpTK892H66Ui2j/2Ch +/m8+lwc/rLhPk7qlHXNxrNbDLpoBlmXWX2Nm3hM7FqnM6lUb7UVmA07aTSrmFGLe +JWUYhxUSB4a8lFB10P+6jZzA39CkeL+w4aesmc0Pt1nqH8fIiX6F8LzqLEM59reE +/0AvQf7mi6ceV3O8OaWWzu7QKJJcOhG8efiBpneuzCElqG7wyReTcEcxBkaJsQAp +F4Za5MrkaA3NaVfoShPo5h9FJMwLCIBJXPEcDwLzwezNTTfywDk3ErA6X295qAwJ +OqNHUN1f0ISfZWz7/XqAASKHzCc+aRUa3D2ixcZh3UTV6EXOafY1w13OUgXfVQQG +mTxQvJS+pk+EE8cHu8C7NgqaaJZjO55d5bJPFgnP596oCue1qxfhwl+Iw1sQm9MF +YKHOoXAOhuzDLRtAGRY6pL6yD8L20eXqXCB4TUaLMA5l8mZt65UdzaNbJTHVURei +zmIZcHFYlMmFtEss3egmZ7lbbX3rk+TIMWYokW3bVN1thwbFsgO4Qyybgzrv3Q2x +rtK3rz0YUJrlFsITir4/3NdhWG2JACYCLQuD5D7ky3vPvvhXUmYhSrNMxl7kwDHn +h9fG3ras1ZwH/hX0tgQ203/e2fzLgeYBIZoveubvWASzxjK2g3HJ7l2tRDXflFT0 +Ox0pVxuHBj/okR3FPkRDG2dl5+wEoFmsDC+FCK2q69BvXpre+mHvMJGCdMkEL9Pb +B5C+qIdcd4jAscykCc27ht7evJ6LoP9V+F7fT9Wn/GmRCMTuaW98L6JCRK94kH4+ +1JP0X6tKpetiasUjLEuUzrjumuDUGt71NFaV6xiibcvG3ttNkC2/mHgncHKhAEc4 +4Qo2RrNAhYVq6fywWU/e/f0wX/5gKuOefbgkSgAlhlOQ+R8NskJyGd6g/87L6ZJM +IIgGqoFnC58zIdcpqw8BKP7alv59nvUi8u8ablTlNweML+Z69l/AMXeAKPcOy4zg +Io19v2HTYXfig/sObGkiAz6unLzBkDdB8lrJ1dFp1bD81K85VXgADirClWW9P5tp +djQ7SNNrStw823ldnQ8Q3lQkihJMqM1tR0wmcwsT2yNRfqDC/C5tfjRWl4PCoxu0 +2m5RKKaK/8ivjVxP7hjcszKVlCTVAnn8oQdyn8PFA66AE1s7X+kjh+0W6r6if/vE +ej6Xe2bZyJO7UzolGeyf07c3QHgcQIjxggZO3tL2KcUsPjFb6cdiVyTg9nzHylVw +kZS4vJzmArjgLFcO9kphEunL+aNBUk7BEpYCbhhrzYI9SYpf8UvkeZnrQA5ylsBc +9+bZzFvbJGTMFv3YF52G4ruMKJDFSIJ1p32jFvyQ7vfxgclqPWagMPl4YuOlnpY9 +/4ROTYrOHlA0qHqOBH6yYknjLyFOTFYYH0JxeIanix4mS3lN5wRwqhwCSHKFPuj8 +l6QnvP2vkkUfwz75qx46sgZ1P4cGoPpZYmJfrXpyDP7+C7QX3oCSA0CQ27p2m+tU +tb9OfsbBxkWeSmvq3QRoy85syOawHfoSDttd4vHazw2o7aXxpQ6DwLWI8X30LR4s +4PiMk8OK3ugSqP57izn2LcPTZMJvlsjfcWpbXUOAZDo40zMr+SpqyodXzUGuNwD8 +2V3+KfiYh+J/Iz0O7noXHFzcM6qD5MCr8PFI2DYuh8VDjs8CUoBoDii5zDDe9fRF +FpVtLkcvHdSy8L2LD+4j8AvIxcO4ruow0qN7Z+NDwvg3KiChARyMhK5/Lf3WuAq1 +GwXLyyHfCU9ulP+nfzJkqxZhCm3olVubuFEkgxJJp+9gNuIaR13K/NLAexpmQJbR +/iWIiLkRTnHdsxw4UnPv0GTVjfR/H5vrcB08bxDzKIa3IG91X9v1pNvYy1tuWlgc +eV0Y5FcvMKx19kQxw3m4CcSQXafhWKmosNXP/NzE8mPbYORdVlhNo0LeBkm8znfr +sMXrMCIgMPTjqmXn2z+UKCivCT/HeKlYbYYMRW4CUOa3yw/rawiYooxDZtg6xJ0C +hWiD/S9EdFhwoUzVY1LjseDbI0aBdKa+Tzgn8TbdhIjXrd34b9eHziKHq0JsRBya +FWB2YC+3ptGXiCBvBPV4Yxxqai9z1LoK+hac8BVle0xlxBbb0Z2Bez/OPktl1J8U +2BZ3Qzde9AlnCBr3JOeeifWE/y1h3tCtKw92EJq+AaUq2glDXTDZ/sX0gq0Nu/fn +pOffW2AKVbVhpl6na5/T9DVN9GHM36s9y0IAStiFjN29puxmHy2bjNyNK0h+23JL +CdsIQrrzzKEMcMRKBfhyA5m4cCw/CpGFRcBsMcJZKue3mSEqqdLNF+LGUUO/rjBi +DqjNSDrzNiFf2+HtQmzKHGZ9H7I7pdUF6z1XLoAz8OR6/5y7A9E4WGwalAstqmua +hEcAUh2Rqs7hZX9BQlS7sohLsuOMJ3fGcdcSQ29NEEaOwooL9GgvZGBkFhXTmdmR +ZW2L3syvJHWCkpgyqv/EZPQYs/nSEJ0G9cbflHUbaA+pNYLkbfTQwSCZUvMoynK+ +pEuSFHMoDbg4Oh6ErxR1dwSNVFQieSUMXdlXlQEgJV/0NN9k/4Pbu79Jd7VJ9DaN +onrj+CTrfE74Sk86FULBqajkEeErf2HWjQVG5dcyl/GJVmY6ur1Carsb1xRWUoth +dKHaX1gEM9Mi8/tZFW2bq5IZ7JzNkLRxY+lZpHGk1NZmRGZoxXtiiyv3qSA24TjU +o2ZN/3EhSW2b6B1djjUqF5w2gbAP99Qq1zGdIdWjC+1cHUfN/mqfnfnCWUxq+0fJ +Bj1ArI2Sz4KuTHK9hr5Y0kR2OyHOu6p61q4w2nt9/NtpxK2kLUiJRSqTWRPytMq9 +xiws5RNpo15+DrZ5DEH/45PIdI83NIsZ9MGn+kz762hcOye2duj1enkphaCOX50/ +kypQ7HZ6OWPzmHsxUpae3bEuIerQqzyZNgCKyDwffzCvKZ+6LUUPzX/XNkPMNxx5 +Vu57AnNnpKnI9hXcgfYPEJWhcBt0sV0518OmFXIcHhJDjviplAb+DJ4f5WVzmo8F +kzpS3nvT5I8R7XMPvxRP75l3xAE2+08eCWH6PQrG1f2854swUe44AloCvTbDLtAy +QvcD8Efcofjl/p9aipmzQgBMO3H+PQSF5u93MM3q+Qx9DalC22XH8dhMCNXPnJhI +P8pudNZEMG/jekoOxgxM/+AlTh2RFB90FolCJIJoGnnQXH2kQidEUJHUd+jPwlFf +to2vtWqo9FsMR1X2kT3SnMbNi09LssqGO57cqDWXt0Ag1kBHy7g0TOTSU+IG9Qyz +0c6uWS9u7YwRk8dtEjzFZwpZhyEMSfph2HE8MebJkw0dia4IMMEUzSFyddnvV9Pr +w5FWlizco+f77kZXg+zo2s97A6I69+zs8+5YC6Q1UdNE4OwoCWeGDJnmu/Qf6Fqm +ehrfX0qHGz3HS37KfpYTzc69SrIEAAcddiye++5Vq99F+Gd6hyQxZGztF0iGWzcJ +iuCgDCucx/PWKTunUj9y5ntyV4wcdDz14Xk2F2Q0tsK+7Wz9EcBcF0C+xd3qaHZZ +ymMeD5YDAk7KLD6hpaWyH4CVAPr3WkM+ezBiOhkRjTNCswwOYpd6vNsRbLtheGQY +mlvyv2iXrBb84G7v3Ai+XYsWfJg2Fs3WcCOoIw50S7UiEvQCGx5FHjjPBLK4u1PN +sWB/ZKKxlfrBb6ah7ATF7Zzyqm7k64JKz1FIBRqK+clWymlyoO3lnSOyRtl6Me3x +oZ/PIvs3EmDs1Ff+Rqrvik8kpEdLusYgO2txJpArJ8mYuhnmMq/eK98ZMcB8l3vQ +L3/0+g2jq14JUSCRjvtUQczWRM6SyGQ2aaW+0TLRKYKTZt++izyHs1ugqM+mZ2tG +tfeWLU/sUP5ec8WDT0P2qcqN3ZL1OTUbm5jeX2jJdfajV12v6DNQ7ti1QvBP9k9P +MykfQ0kBvMGi4/p6N0nINZMhI+qV+o0YppLeJ7UkprmhXPMBtKVAeXhboE7d2DWX +cxclyku1KCO14fxff1cjto2I0MSLEQHDyrumz/SeISOoJf/kLGXAGo43Ae9P//bM +WHl+C1JkuPZrY0lh5hdbkawShBpKCCMta7bl7P187RohRc3zCXfz9E2iIc4J3xd6 +T0924PhsmvlKxRLVwSJOkmCsdbbg3A9rjc23ftEFoUbHzxvX24fuDZLn4atiAux0 +9GBbo5hyPelOTy7jVjyAkT5hjX9DnoHMVDLuCwiATEHm79BIkcWZemYfSXjHwlwN +lPUb7OH8Ljf8kRIXoHqy4gpqTNCJG8HqhQadIbsbY+WfXqwtkMmAffYVqKd5nOwB +QD+aILUTka2EHrnCzOWvqh2qg5p717iByaqokLr7e4Kcz/x6hPfKyNJs6Ur/b7b3 +8gjjV1Ahj93dubEprRPDTcPwOQVJEQSZPePduBKMHyF6Lc3hMbJYarFx4wfvnURA +n1FXDijsEMcW25vzpHRd6EU0rNvQn2Oa6H7MygkSNGgVEdkPjFt4LUZyvLFFApkH ++0x8ArJNGNNUrmsIUebWerXhU5pzxCg9UPLBc946lSFwoZcGjQH8yhCXMGlRJ0eO +aRtB5WhSECjIKDPKg+lqj2ZcCtYiSYH//lFeQ4DGgiOy8d3ZKI0TqYRcmkiizcVE +wigdGhwcB4D/BT69erTou2V0ZRAK7K8Cksr+pU6bfd4ICwSZESMaSSOUhnQTTuPZ +er4SUCXW2LRx9cFV2hP+97ZqJ7Uj9b7jAfrLiaAFIkKFS9MOksn8OR981wg3VGUx +Xzi7gL4OgfKWrQvpRhF0XideTchnZaZwWlY4MaABs5g77iSatL5wXb14hdIS+Tdb +ioNkGr49YCwVi49ai58GZcSu/yAkc0ItP0gkmEfLNsJgADVM0S1A8s2iDK0jXWkW +hk+I4WJROaLkc7dHWeOhrreUfnW5ByY24NoJ0El3QrA4hsmUYQHGB6zj0D1l4s4u +DmnhdW/qKgGUSzx8/Bf5msIwMnJAEoEtDUMLlxJq3ES8WX7bh+QEUkOJ8cI6pZIn +pXV6wYk48Jhyd9Nrn0/BxOs5kknbYshEMHMGUZyDGYQA2ZhjLSCqI/iq5Z7/XPjH +fcjznj3Kg4eKmt/XTO/KXxoUmV5/2Rgjccioweq6z/D69R7tIsPGFEwBByKSumS8 +hjNMrc1up453JXJy4jlgmm8HTCqtYWfMVHjuU/2rpgh1qNpucko8Va+D7m6zWuIG +mudMk5TqBGaVTkB9IBqUbU9Ub/pHkoBpUGHIpAQ8eYaNnqhdkbkacyNeiJ2hlF06 +A+m3vpNAWGqVU3z6XpX5pBSPd7PJ9Ybp8q7oKzJXm022E2kqbLn7ZHyxj6MWyTA/ +xNy2qhLgN79ELkvZs55g08OirtMQEYLJb80Cuo3mNex773u2TTCJFTgNrJYkdXre +tetKLA07WCiWdQvz7gL1562w+FuGuKTqyar/AC41i1qg729b//3OZcjSKexI+wDg +nuRsLBPza2Rc5e7IWEeICL3BiLs1eylfXD2964JuoU4pHZ/7HWnDGCcbMDFHvgEJ +VwGQtYkn+lUQU90KWJykqj5MbIc8TFD0fM0HviZAIKBa+LuGk5EJSCLEndiddpq7 +Go2ESo7Pp9M7GrShT8P5X/dy04D80WHPl1joQXIqGIoiwDkVKbWlNJR5W3xcLULE +vun9HASLU7HO539OJblBqaxj2s8Myv23PGddzsHAczSEgh4JHs/zsx+T3gcVndBX +PCDG9MqCp6wj6stLPUYMlPpTNN7i18IOkDbb8VoG/bIwaTU5Kb5xRU6xod2eR38d +CJHVvxFtjYn1lFwKBecyQHBGKnTr/2DAhq+OQNyUGzOBo0szuXt9CH98Fa35bbQA +x/byveo9I6VBpHltuy3KXrd0COx7AEfcy4O823ziLX72S9SdmcXMql4zY5bpkRQV +ptSp+KUTfzsNXVAcnUPVE2yzPKqDQ7KzU2q2efP2kd5552ku0NbGssilG2UU4vHP +tGTg1BNnttBuhAW4Ct3Lf1O7OG/aO1Z8E+yvRxPGwdcGuytOIX1YkYHoBg7YprK+ +GtuJyW+IdzSeadIYZH8oPHIX60vyusDr6jvlquFztWR90eBK5vVjEZ9ku5k2g0aH +78ibKGMSI7MMavOGq++bvl5DJsW1YumU4tyLhPdOOSnQO6gApIXg8RzBvWlQN/qt +OryCVE3CMwle5sUt8DJtchFHxBt4K5vB+R/Iu9xXQm6wx2vdewBdKDAOs6hjPYx0 +hgLna2DObtdWFtnOwJtJMrw5nsVC+bDReOld+q4ojeZCKmzuWfZUupwgZA0Vy4oj +2l/jL2QVQ2VkwYeS9lyjQ1e0GoTvh4Nxpsv2pGefmBP5e+PiOVU7KZL5HdmJicGf +axinTGCthyI9wX0nBo5n2qRhb/QJIuWZq4L7WmfF7hkCcufyQQRs7Ewwy9oxyVzi +ZOdWZIFYBUTPD8DnD05sMpU5NaO87F9zfoXFbO35eqflHSW7a1mpoplwyEA/HnY5 +aS5W/6dQgKcZ98wwGMbtjHXYrIpYL4YGzYQ8NPOHHzZAlSiWI7GFJIrOWaGaYNS0 +RzrA2/sUHeYZVEj6kjhQ0AcKonIOuFBEJ9UaEpYAsPTX32Xnm2gu5cULo3Z6Y3Sn +XxB7sbWgpHVEujOrCTKetycZc66F6+HSx4M3lZ5ncKCErU22J9eAVMcEVjobhjJk +b+uDyvPe8YlcPyezaclASkYLAaDrGOz8kdwpJHfstZqO0fLJEBORfcSvgwB/2rV+ +l0OXlIbgLiks452euivlxluyqrDpYg8aKuyml5yCUd9ilvQ2CXpFRkUVFjGscIx/ +XBaTLj3BE7Oqj7vPEdAmW2MGIcgQpEKZQ75bVHK75HnRQaaC33HpD4K92F9SwqwG +xNLzqM6hAQbj/agxQDzEqgYA1vXkUuP/IODGa97rXgPQFpWUR/tAM8E+x8whA2rh +cfgFLtHEwHw6yRRx0m0RDWNd2xdryMgTWKiz1QNhLC+21c7c3gDvfBoBL9pMsVgm +rWDzYwxoqaeio0L9eRo4nk6o5MQV/P1AcvRu8F6PIscRew/wAz2yP2sCxjEdPYe2 +M5s18dCbxtRtTbQmx5NVk765zk1X2O3+j9v0ru3knA/5GMiU1AhPVqU6PtyDavOp +2iKOBIm+mVQnTNmgVfoUpGvm6usOXFQHT/2S4w8OoizLV3l3khxUU3F4vvNRfodF +xXoQKRFgHEJW8mvL2ZEgnVG3jSHz7CMJWH2Im7xo+4yCZThqlciil304iU0p97EJ +2Ui6lhKKR/SvCFIVtMpsRt/KSzbLo17jbi7hxS2YbcxsR3T55fIJR3v4w80Op7ZM +ht9hJS1EQ817yfHvS3sVji9162EgtbK+IeB5fmaeBvC43jCWMPk5ahMtKsihwrWA +Z3KTx+2lvbdZ2VvXbrXgKGaZJQJA2LH2Z501o/U74Nj1zTN4vpfDdbOsNbZi0QPA +JpvYqAwkXJicH30zKtRo9voHjWf9LgRTf7Pp0Fgz7nzal1iPBuMx5HycZvHA8a9D +VaXwa2Y9CkfNQ3bdEQHPyDwm1TvNwHviJlaXdNN1s9SaTwNxXSdJEl2KENDAy7SA +wQKAE2gF/75GdSf+zu4PExucvw3RczNTNCmelH3GCh7MDNpRj3sqMZ0AuAPjP2yw +kr4J6I2IWPVKoDZY5hiYxgJXw6F0h0vHZEWWgTcYNZ1/Cyr/ZDeRRprU0aTq8g2C +wVQKPjNAzUQP1wnHgvAihvaGVB+2B2+zcfZEPU3zV7tUkDMDy7jz033isU8IC5hB +bRDb4CzPuEhbDElc60BeIVS0D7bBW9ootXl+SbQQYc6aoLkwVh85PF9F1tvHLvqo +Ysz3sb1840OUR22mRpJ8M7XZTA1VSmt127baVs+FLk8zdW/dFgF8rT0EEwteyOQT +YkHcvkV+ylEIIR1b6mLE0w+XKHggwRdZ2bLJaxbVsTIYp3Sf6QGGEHM8TagVIwOj +WMCRIR7pDpTI1bYX0kX3rTDDn3FQXp0GiH0kW+/6nme0cpKowSlVWSgET7zbo2ez +5v6JkwRDIv2BIphcjz4si7z6a4GSIeqMseKiEfX4E7qgCy34lv81v2z6LgOfyBDO +8S9yo2sc5eQXvCoYGyARW98Jj2x5Rs+Y/Qx5kBapYi9mvRXRYgRgxtvUp8ZguN3f +wnthuPW0oqbq0U0YX6b9fp5hxdqh2JOUD+rUEO2027kcFAGSyK5u07ySGTWJ9uR4 +BjQinE7DYUQ9i/s7nWIT5e5mFdEIEIbnE/GKOUfogEd8jziDZdyw9iLHDmxNAEH6 +SEBjGrSsOWOYM0+SzRxuRp2XQJwBhoQfvXw0WTAy8/6HMxXwaNMXGkTygetem7Je +1LC/o+WG/KPuePk7Zk4OIWVCyEfBEfuJk5UNN3wmf+Y4RxmjHlvpOny4xVy2V291 +SahovE+yoJDxX3MmbLJWcVzvAOSG/X1LVtp3LwxGrJxljoq0D5rHyp1wmptOIpXa +KV3geEImIVWTvU+TzHBTxo3Ue4PewtT42PnQ0sjphQBrNO/xWW3lz5kGOg/YRIWv +1IeKHxZ7kHzhjiernuKt976Hf99PzUtZH8OCE3ObAPck9Zl36ubBM0QF+4fCSuRe +8EHMc2Vaw2a6eDt7yt9JQq9f2a7ft7I50C9dLFM3Gjx30BSp3tKvCo0DL9/KcQjG +voQ81Q5m7uGmEXPQayTYT2TaHh6lPTViB/LMeGPjdnxkgaD/M8D7QFxDOXS5QdYN +aunDO4cNPRxVxRpxIwMYvk4DXKCwzpd2J/dvrgR7M3D468Zop5/Uzhi/G6+y0c+U +sCK4xUG9ILrCZjknDarn9FZT7ylDnniVXAyxJ9uBZA6vUvuuFdcmh6AYPraRIrUp +oFdY4edyd+hnqxlZw0zyZuOP6a+H7BVfqIpOxpFuIOqa24KEpuuUiyA0mBHveGlY +62tvSqdnad6iQ38xZgmr2ILRYQKKJnuPXeM7Ea83ObMjaMIfAiKMZQDMfSEdw/Qv +5FGixtntP/3wcO35eVwkRGOJnIRKIXyW6+TuP/U+un3QckZPpRiLWjorXiBr/+7t +RXn/B3JivDz1YDPyWr2HRdGlTZzq1HxYzUdnlmYjD0j6y7DBAFAmISZQ8RKJdTdG +rWyn25936rgW1picp4AiMMt6KV8eHctOiL1KQqfhdrYCqUqC60m6AyCbtmzvLAFI +Gg+bZ4exIMH1G75+LcDFUCRkd2hxYMkptVR0F80W7HVGb36/K541a7nY1i0LuVp2 +Bzt7L+LBSIYlWLsbGsOPzfswxNws0dIhlBE+4vbY2WxyeG2uyFt3j5hhIUs+F5ND +Jtt11/KaKZYU+OZep7K3MIIbhYvhEzqmcJxXAjd0SVLRA6eygjVy6nh40E3j50K2 +TraKav+w1P2sHsoM6w+wLPwOCVWj4YqolD5Kcklo00iKSXOcSMMTE7vIOQ1KRzYS +uxR4p0VbB7ICcq9fglFZz0g5EEPluDJEtMY8JAzwVQkItV/viYl+jQMmPjyfVj6U +/XMSb6wSpTn7INvwjT74gDLKCENRMO+rTr3zROEQ4pyqasqsb4ZZ0AiZ5xyndeKb +UbNt55HwlMA9aL43kaYUTjKzEJBoVyigF0vEwVWJcVYe22qxaUWzBoBrozrLWfmo +ZID04uOTRZyypXen3M9m1ZQihhtjDJjtR+X5sMxQPSj9Phs3zf04iEYEtD4rbxiH +e+RfzjFvEhDKTSjRm/WlN7Z1KWMDZ/in/fMv7VYCF1XmYAo30+hm8x+XNRObTPMr +IZdEDyKcX02+c4Gym6WRL/6sgexbVmi5S19x85bolimAeBFed165PDMkD2aGgNsX +s2HMpuVanqviZxskDSvILyL2KQGACkK3bNph74nz7WTKotu5p67ulSNtbD14cMGq +7vlnF1G961CS+p1H34E81U0ixyk9Sfoj5JDeTt7LWxHKOi2ziSD2fcN0sqt+2OGY +SIinVGWfl43Z8bYgdpDAYPcLM5VHdbsyBB/QoBaFpmRjKprQimj8KiCrdKID+VoA +m6QI0Qi/bnycjKigYB3iGN+30OLk6k5Bl81VIkKqGq5hts+BOjiU6tVn2Egz12Oe +zM+eMkUXJMUnta2bT6FcYlB65+/DNE2oztqucxggcs0IzNaxkXN7rMVOUobZMl1J +Kuy1LlD4jG8BBqcPSLloYcQfQnkQTQsG5jotIkamd9xwOe74LK0U5C/jGWJdVwPA +pS/upp9EZoZS7Q2WGQGDOn8blrBbyLMgYdzxhaPXq1n0n2yF4QV6R2YVEn0Cc3hO +OCLBDC8KzR3+/uX5OnWpUov/tqgdJHkR+pGKv7rwUZDBVee7XAJWy9xFEsnwTnXS +S5qeYFZjd4GFHMIWta0lBQQZtbJHvFXhc+ztYUEt72johSUlkdtvDDaZg83ArlXR +wJqazA+j2AUb4J9DcENdAoF88nBcTbqu0JXk9zdWJvHItITV+B52Vkri3QnsnNQs +vwZCUvlQoIYrzabzRO6TiPSuNviROFFc8p8lSM/jV/EKq++I/6y9795nmjSF3hCP +1A6yb5JXJs4qvNdKs0EJ6MlZDuIvecFojiff0KtbGnFkXRY621ZotUA4rRyAtYH3 +3BrZ6EH3iAcE2yC/h5Vl/MmMhoR5/sH34bpzgNlcwZ5PpxyB9lOa70gA9LwujAHz +DgLD1bcl4/tVKv2Q2KNakYqvm0+xYH0BJR7Cayue0xt6Zk7T4LiYb3GNmaU+2fqd +6kr7C8e07LsuCFEch+fy0A1upiFzUPoP5LEHbSMvEOSW20SdfW3hM0dwxjhZpvkq +elUV4AAIvgb9/OXcZsFx4ZvoRS3cjnAF7Rjrrlkf27Pv8vo5Ea5LO1wG3TWAGeBv +doRcXPuSiWLmCkpbWMdEymvkn0G21QIaOTvdxC/tT/mAwXOAHLw0T+/a3TWnZ1eK +jGaJR6E8CQrlAvj/XQfiCI+4YNB1exnf8tgYtfOiwFc//mpeEVfMcLLBAZ0UqdWD +31wiqvMJWarchcAmh3q952rwymoknOxmQkSsUQ1pMtT/vMV6NhbEeKHUylqxfnqF +BvIT25wFJxBMH89qVQcMMOkhcuFC+WrjUDTZbNVG3wW2eQ2DNcxFfdhANnYQA/L7 +yg0a/EkKzI4/U0qHWMK5npwR8H3y73aGAotKe4VaiDazbFLdZGPfJFn91CqY/AHB +WWckQ/vux/+oyA+2Ho1KmX8findvGG3Q736sCxYtrS8MjFmDU4WbeegpN4+bPO8Y +fDmjEtPV5C4TIOwlpNCo8d7aAaK01Cero8VANrEXg9SBL7GHNqmZdtY4NpR7Rdvy +HF9bezuyW7Gl2KUcaPxEvWdctp99zEojpPMolVJbAf+d6B+CjnnAwELj3NYSuutG +XfwfP4EuIqam2UUqTqFbGp/6fF551PSqguXg6g7/ayy2C5vxM+LMx1nU/kZ2TR87 +ytk9axwQktIz0m6fFDWf1/N4DCQfi+MyzSJ3D8TtGTY1V/mrMmIun57dQhOwxz82 +NkM6/ctV+Jcd4LljMXkljzNn3NvOL7PoETrCK7XZ6VFmybjACaioZSv7Q3itPQj1 +ke5pK+5b5oPoMa8VeB9Uyqgc76aYxCQTlHxGsdcTfYUB4t7i0iGvkcVd8NX8TtnM +rATS2u+LcM84+WM9zAjvT69w98z2okezVM0nlwfL2I+R6aPfK3GbdWILbTyjP1J9 +/Tk3rxd3vhntBoVFWeyHeEFgH1fW3pPKM+FGd9+lLIaeFHPJjylCtyA4SjXTckXu +pcrcpYmCaCgU9NRMeD9N2iHywLNo2VCdwzjb/R1J9c0nGRsqHA/OTfK3rhjpkc4a +rBMiYf/YxUAWohqpyiMr3EcdulW0nvzsXq0pX35zSEPgU9KzqQIEx3tpLuPOUH40 +KBgVQjHD1EMiIF73aHSYP4qDYdokK5dMTbGPqSMZq6UgrK5pALhJt+QJUcDRVFFO +GvlIZiNT+/WM73lA30s3PBFjlhwx1KereSeixDaR1BGCkKjRzVostQ/tBNPMq34M +aNryh3aJZ0xcS3JlbcYfAkTL4HMCeLyLbSIFNYbzWVJWi7+Xeq2BcZSROr4VcCF+ +FUb0wlsdNfflr06NYTFMjdhqYFJeEo20DIPPNhalEPk/5mO88Ihl86+lOlnnZVz4 +u1cCxCNceGGITbZ6FfwrmNkQLzsVCY1t4xR+5TpQzprvYgd9sXZkgcHQYKFyUYdR +wBSGgnsJaOMqgwJj1LL0q7nJU2e17PlXLsFjcVNkpOqezbVyav/7kUpv8Nr0tSRH +s6M097H386wRQyvNrBCiZ6IdenOzb9Cm9i+r2nG/HXUlA7phZzul4EI1kSEg9Tqo +qQAsNi/dptypCvAR1ips7S+ZnTdJRK3gznSeNKO3AWg4VaGC1EmNdyeI4MAwCPv/ +uHYLYPMIVx+wpsI9vJCAEU5WiZtu5NyXC2lSwAT8/EFXUnFFybqx9diZ5rsH4MlR +2qbJdyEz0xpO113vHJgxLn1JUyDsudRjZJgnuU5c0ysAnk8J3qYh1KXXZigTGLvY +HRvtQsVt8DGer9zuehYLqyIDD8v+9TmLmeLetcj5tE7EBK8hRX8PyXmD0COeS8Kh +g4qXL9ZhkVCRHqBPpxbwi2fKJ3w6K6tAfbpn4j/TiU7674PqDnbe+fiN0irgAPxd +VMjg5+ApmwmX4LOfjrB5mIS7+H/2npR2+gehms1erxstCQDZfCsCzBOs+NtRQWG9 +qCmFG9DaZnKHHPm8W3mH3ji8/hILkVjwRKdRWtOKs8FbdYNTr2LhKHftmobW4X9W +ikv/7/iaZuKvGevO7o05pm0g6gbQfPVDyXB4MjUPXVFww/1/6YJNLxByFzlLOSwI +TZxwjSOdLQhvIWxG1DaRTG5THiKoIi65AlwQxiP2Ib8C6O1iDjuNdMfzOOVIQ1Dw +VffcFwSk1uTxa7fgZBqqZPxwKG7bHxjAvtNloLY5BGS4ck8v2j/H9+BSap0RrA7s +VHaZT0LtimmKvcVDOL/ysbQQQrQ7WSfXUeLSsGdLTAydsky1xgI33kUkZw5k/GXG +Aw+9FwsMeytCLu3xxVvu3/7e62tMKGAJ4yISpxq2ZaM/fpKlDWnOXVBMG0pkK9Q1 +f6CFsEfStA5Gnk/gJsVOWxynUX0n+Zw73DkN7RWT3o87+2H6SGjTTqg0mjPjQhpg +MAxdSKGXG9tAEl/3jrtJGD9EOlSM049xDnqPjwp90FXpCE0PrG3Jj3iBscv7Si1m +TWg82WXwCiYKZlBXNg0QXW/hjcmR8MXrfZrIInSa2EtMQDk662uvDXhpsDLyRVoR +Lnt38RYyFn4uFlrIsNRdC85Sz4fCYqvbAZ2u+GqIjHZRwEBbqErZrtALQmv1HkPQ +88Kisga0+I2vidfofKdXoYrXDce3IVMWzXTut6Ck7WjRaZj983XpHc5IWjwilypL +gnzhNV01vlHJRwiNnR6AsiarIesSo+OHyt9nI48ZelmOfRYMubLvAiwxarB1qLYf +uRqT6YwpfdLOedU1jiNznvHCGHT9u5kXpl8pX6sTJaTf866Q6WzI/p8RSDPWoAfV +6jjy7rNE8x+fdY7XirSsCjk7cMCsxM2pUGMtIFGRT+bZe3/2LQCi4N5Ua+zq4Lzh +7875ls3zlr4N5jmENaUQKb7ENAgvW74J+31KE+tnVxws7MGGHrdb6/LjdA3II+1e +8bzB1tJ8eVTYNqdAVVAeE0HytJF0ZsmbBBPKj/7zTIKR0biEE/3IYWJJka/fUlLM +3o0DnAVYxl4QvG5zMSnbe2GzeGIAdArSjQaUq7ZwEvk8Ls9CXJgELJxZlnou1tgb +kB/5GC9p4OJJ4K7eq5Dv2csTf3NZFmppr2jXDL79VQFj9NpnDZ46kqwOR8d5/SBY +knW94kHFjfnRP0iX/S3csAGrKKpd5AxnS5FudNglZPlcYR63n/tl0GCkGZf8l9Mn +bRAauoVEPuBe1fbSi0IsjAHJPpOoKR1Bsv9BkbBQKsnPBx0Wb+0CjAVzmgWYmGTl +DAWY3NQ+q1qtjljFkFMYsV5UlcI4FAN4GnI3dy4i77TCxrNgQLxb6eetQiRvpRVQ +iGoxLC6cDL4A/UyH4BinKjXwvRJB028P7zwSa0cPMBLCkXx1SLiBUB1JJQeC6eBL ++Org7P0AtOr3CTzukfym6sv0SMd+vs/IJ8OujjI/BH8v0GMy4nG6IEba3vhlCFMB +hVlZKBGo5QZLNzhc5wgp/SM8w4+L6uuCCmNBNOnAtgWX7L/d/RwivCbaRqIrbcs7 +ntDR9+AKUddpGQEU2ic+dNN7omTASRKtRas5SLR9z2cWoQrrHuNxKihnDWnsV671 +F3guxXiczI41T2NsjJVVRWuV2tABMEZYIkUcr2YYldST8phqfPiAqv8FaeOOklQn +ixND6TsixWlnFsa5e0ML+EA+cUUbDzyqvdS/r6XC/qGNhJ3Xwd+mvikMoHNyhs2A +/NCPn8XsO1EXVzuAmZ//8azy6XeVpFEawA1KfMtHFJmcn2PRrXIYH5eV765zAmla +9fbcAUwbf8IX8dD2wt3wuoRw2SOvDYBtgkiQwna42Am6NynU3anFQftTOh7DWprj +Bg23c3aQEtKtaatdADx/b/7icz5A3MCiUkyfO8NucvM6AHIUznOBG9avbKJfKa69 +daiJFr6yImbykRK38Fb6VoMhdw370c2pya6lY4lIYW5tqmZFKGf566SyS8q1sTa2 +ZWJ75nfnRkYjrNidbo2eNCkm1YJjL2lYgSM+YonkmoE8vo6bmJMQOpJplUhvUIxj +U/X7YMdU6p53lH5S+NbutRtxhsLXohQ2+bacpG8rDj9S4DDvoNSwDsT/iEtT6V6h +YKGqCp2d88L1dHtvBmoWrCKsocO6fdQEvHtpy7kosIl3XlstA17Z2KoK04nm9Ew3 +5cx2fmOqt9BXeGfqBxxyOdpDorGN3hhVpH0ph/79VuzGEqnpvqfJnQ5SgsW4mDay +oNUiGHgoz+CDA/Xl3g5ZfQ8CKaIJoadX4LAO6W6OSD0CUuAx+n8g9i2JtzQJx9se +dICHykxA/6ucIWP4OuY95TxPzu7jtuNCxQogu+2V/FDKPmrWEb+Kh1HGB1Zyffxo +EPtg1T328tE5W39yMMsvInjB50Sz/9mDt+LRn7wjstgLUpW5r6xSBfeallSLhNxH +vDMn888RAzCsNWc9iBHthAZah3fyzriLbnViiYa5FNwCyBVqJ58ezYTlo4byZZcg +sXJO9C3DygugydoAMC6yJYBkJgVYDQ2fZRGCLl1q6b3ybANkd5ecN+kNcfrnIlof +MMrfB/HroZprobW09Tv76VrmROQlKu6cryjyPl1l1RdSngdA3BXnqyjNUPMc/Jmc +u572Sn4HJ/bmkMpgXeeUIiV2j8ueeF9eFHt3NVrMrlUuyYw4c+shrO0bGH0H1COD +JHJhxoXXplWHZ8zeOChVEbl1CEtf6WS5nUitKi70ZI4n81DfiIbGZ8w4hpg1VEHB +KoZhw4UHqqDapsseWV1YahVPZSAog+HMWd0O+DG0BxGo17fPkNgbqZx/ZLQU94SP ++SH8tqkeF2Ilgw/GtD60Y7J4k12etg7G/qxd3B/LphkbQHH3+zb1wO3SSd2y99dP +vXaqmfE2B9VZBwLqfyBmndvlsPH+kX6ujz++yG3OyFiIQHzNInsdEePyIZ1tK3qA +Qevq8U85eT6hMV6aSid8q+ruGBFyydE63EQ6vi5QyC4pZonnznhKDhwZbNFAPicW +kH/867p1Y3wovYKXUSRO3MGYjebNzaMNhJEtX+2O3lgAsAohUiPoijAL+RXKvNwY +J7VV+y4JCxqJD4g7u6DJv2R5Upbx+CrhvUVI8dy1w1GkTHzAM3a1iyvrABlBudwM +ApPHHulQgQviia6e7DtBlNN8zROblIX2Fp81rEHmTMKpBqLn7yLQqGkq+ZOhK5t1 +qIBkIEzDFZmeirb8Vagmbp0QSLNE13nuqUpm56HrSYJnHec3ZLKjgVVs/Oq6KSR4 +NLGnqpUj5wgZoojhV4HjlXjGoyCCKS+BP666k2daPROz7kscpyFmUaw9NVjfVDZS +jx7Q6gePMshsaYPCG6kArJ7Tf4s1vpnCK+xgF1N0idHpr/hIQbW3JxTx1GFrFOVm +KSGtcHAaNOhygqnwzNhigh00mGvWLv/Z1gOmjVAzM5NwxFV7RHUgUlX6PEAj+CIi +KYOIIV2XqC9OmBO9lO2DQd7F9k4uyMiv7Nj8wxACDz+ebyT4wbi2ZEPWK5Y+0nfj +JPD4i5B5F0Q2Lxvu7rw3Q5t0vlv37NwKMfjI7MrXHWHrYKUTFzUlFMVLAU9YxawY +VSf3heuElzEluND/p0NjEVzAxapgnf6cmQf3k7klbSezDTE9xbSDGvA213Z/BqN+ +6c5EnpzIVDGc5xO2KilLD8VHDLWb5TzplwnAdwla564JJR1eGhkQxpwx7gNxH04p +1u9X6GMA/nh2//Gp6YKiKpzdqbJ8OtBxizpD5Q2jj+ylkx4ggYtMPns3AQMcPST+ +Xd1EexqPB9/3VeMQM9HJdp4sMPQmsRGf6KuK03RrdpZg85cz1o7AwNW/n22Ttwzu +4EU2/Pv0LhuVDLibeEVQMD++V6RZcETqAuHVtxGJiRDRyiErBWyEmOFtU5jfoKFX +DsQqDrWeGgwQj1PNx46yZDzm2X8Juat2csUy8cLUS50wOMDKCKBveb90eMF34b6l +KBhYlQH/Iq7HuUP+NcKuWhz/l5wRYUv4sZhoUCPiVJyQo2/uVjjOH0s9ViRI9qps +flsmqBiyhAPzS37LVf/xkirwPkEJpcP+8G/snVXjiuLhq5y3Pv9tek3VuHBSDB/P ++3xueFkwx8ExWxyo7jnJu+pmvAgRaJTQNxB+UT9oxXXIMRooZ/74LNe8tZlAnGKG +4Xp41XQahib8DIgrr7VzuNwXAVONPuCzJIQvno7NAGxwuhO/Wc5+FVtZQ/GgjWrA +A/qWhS6imGKlaMXs3isPvjB2ybQ7vWtaSAt/+1iV3S9KmYoXCZkMiOMBtzIZM2+S +6E58v6PvWsTGoAcjFMj+HtNYPofyg2tt0Iy5UHqYP2yPFVOEF7SgisXDFZlKi4B+ ++PxJlw5i1v+pTgn8Pkkqi56x71D6lR0Ki14HeqNSMRtCKHgghGouLU7LPJg+W+EQ +KC0V9+bbSajexT6DkxbpHZ29clKbQEkA+68tC4zOW4+ZxNT1lQYyXYqFz4yB7uEQ +Nj5gItUYNubHyDorEBy3QPBooE+P6ClAoBN6ifGOBKhfuknLhZSTFRp/OCy70BVO +SNEuwdOix8Z16SDHneuf6LiBYM18GVESR28z8RTBDobWlpW6pmb635a5qTcHgnEQ +X2uo/Mjp1bxFxfDK7Vbml4o4xrPw/jqyZRl+AUdfp/oOybF1qULKvs1Vjroi7psE +D8NZmpv4kCBhmyNhjnfpwtBOlIz4WGuWohPQvLMzwbcniL9Mjk7jXslbso2QfMhY +L1PgfiKNR3d8gz33TuTungC2BAVU/5BVVF3Cpw+Qyv6ghhM3ZzMMaXjoZoNo9VyT +m+iSRCat65chqjuE45pjVEN2ALtrVeasR5N3Xoph46SeJrBxS9HSLYXFiakT3o0a +YaVm0UwMGTjlietnC5GkaDEfiin4cIMzjx5o2Gf+XqQEly0cgebxfh7iuaeB3sXU +33nKtQoyNI070cCE68rPAlIOMRhQeyshybMluKQlc0CHpQ6UO7JAax5psgoKyCiv +GVvb/UY6J1W3jji4CoPW/VE8P1BpqSAxQS560gJKufpqdLncyvfNpeiCTwUXpM+W +NUQABoQEmHAuWjw4M9E+3QEos8BhY8AFX7TxxB1q6CoLhcSfhemwhZXcszCk0gug +1XML/XrqEgZy1wJywFoOPsKPGmr209y4IFDotbh2Exh24Sl1mmYFBuHm54noz/fY +U09NB51YH5vgByd7xGJbpU6PrJ8uvOOmZm5MdXSwAqRl2R1B3TceUiweEv+Pw3IJ +eenmjZW1v9yYSo44Hpl7QZiQ8SW7xwVp7tPSw68zgtkgr8uwyFPiNCczXOFTLSnK +D05KZYPD9uxsnzrW2riju5GlLfGq/elkLiQAyd7sAtbKv+lq9a82cLoGyXh4dc83 +L6RYNXgxBTJS8dDU4g4qJPj0owYXQfjDNgHBcLqt+Vs0uIlskaVP8PADOZ0LusYb +TLqOirM6p6paQgwf0a8BK1M7aqvdu96RkJFf4FBt/8OjQs0dAVNnrRYZbIlJ0Wcs +RmyPOEPUHiOURZlEIA3lV95Qvm6u37+1Elbf5FQ5pCOvSdPpjTvVbK00rtv7pMvU +3rilds8uo47ROn55Bqo4XdixSdCnMIY0mZPxuI8nII47xalde5Nsdl2wf4TVcWDX +ZxQ1iqKw2hwMuLsf2d0vAFoUUJIJbp+eqYc1uF4Zfu2wV86BtYABWIuPgkV5fEdB +0QTG+34w9Aipt0f9eoenpBQ21NaNo83tQ4bBHbXjA+RrOlXX2j5mNiIzqCqTUcA8 +q3T/HDqngrOco538OdxR1OxmOoVR4vFvxBaRxWpQlcjOiBIYXMyr49WlXJRxkTVx +j+1uy1JEnX/bLu58rC7EvCkG9yhSfVlzGbJzRwx8WfAU+xqWkYHkTokIL7aUS6V9 +K+7nYBAt3JJ4VQ3DSMcJRbiOHRZsal5An95ElvTlPGR0CXf5P4y7FpSyDApAcyk2 +pWOXVZO9oQpM6fO6RRkDuDRaNi7uivbtDqIZ+f8+GHvvEJ//hY6c+jZLYmE3EVoE +AIp6Q4hgwPX9vSMiWeu80xBbmecqxbzZ30rG2myV0bika1SP0MXmdELmJ+45N588 +bTRoGMIyCTQxHs/AYPT0fDbTwnZE0insu+9xVPStOC4Bw+wXFF8q9bv1NIYz7WHO +fpk7zeNiFAzexzd9+MzDNIXg489lQ+xsUlt0cwIBq79uw2YruDSTF6ILkzSoCg44 +C/QJKky1iD2uDJCsXbeBksbu8aMP9iIviMpcodq5jf8xapZGIRUKvl13JGDDH8Mf +2oU0SEqOa6ZbsARYC/1BRiFhXnO8/TxljKOScejD3k7DuPW3RYS1gMIEZ5v7UPZm +Yg7kQlBKf34yIAHzn3d3S6OY0/SFQRA1YKscJuG/eBK14Rx66291d6LlTRtIQiiN +CwxViOBNHrRUUABN/laPIx4LJxwMYa4RNaFMJ4yboHflwEZJZaBbejr69S0kpMD4 +fk2zBsCEUS6hlh/xKGYMrxmrYm1Suc8HuKDVJzZBTz/sgQOfm9MxubKwIlqrfI5B +oqMM8OaRUzLAAsIaATtxLeGu8pVvWZO/8/LdXv7kASQIv7WMsyEp9eqLteV4C053 +tx2l1qNYT1c5cxSzwdg+cRvPKVWmi5MtbnCjYn8HtmjYDfzYVjp2amJxger6OTnQ +cMlCTo8yxImwvvxQsrswgWpprxcvV+/sLDDpUu+7GtB08V2vmnWbd1hcfkRGvvW+ +54UuwnNJOjDh5OmO9Yj2221eM86EigNcGXuSPPLeQg33rF3P+3c30oXqoU3d0b3A +Sw7NYOqZoDU0yQP17i6TnNgvanXR9u335PAcN+/4piYRuYDyh+S+VQ0lkQkcxZN+ +XlexeEUPGASCnI2UcfCD4/n6Y6twogsXuWxwbzJE3dGMtw33kJbv56j3WieWjcj+ +vzb1jn/OmKT94s8PLHVVjpA430hYIh23+qbedhpFexqBKh9pszDV/ZKy0gWUGTjs +OO4jkb3ID/Lcjib0oM8iCuikmgeo5izrZKwE8Dox1i4EXCKLeIaRpp5Nh+T92l13 +ndVRvC3s9c7UBjjrOoLW5aEyJJjeumkRDIxO2fWxmDPnfnkkpSxOh/NKwzwO2qMw +3aV2djb2m4lEq1ewzu8rFolVbAB/Et5L6RahLJxwXRNiLVS0dv9762WIen2/UC7t +z/kQxBvwt1UKSO14E8lvsS2ad+zbTqKUOLRtwhMlkyiL135YeO/DCGFsfv+RwcmB +vnxZuYU+5qRqv0Bfqb9i6CKiEqbG1Dds3V2vFwBXGD0lbX7NqzuqwKBBA/NrwT43 +b84gbCClDLHE2V65wI31+pcBSHdFZ4/YP/ALlaA3t2UIzGaMM+z/b/74rA3c3tub +2Vd+d5FGHlT2fuivtwOof4MMGxdSC8NF3tK5shxIHRWcJJITbapPQabv88b4F9JN +3tSKELUWCbl5S8QWbczXjT6pEdearTgAlCxgbJ0BP5sVB9YM0gXSzgVVOLT2nvyg +JV1Pm9rPHvyo2Tlwh99lZmKKaskp46SRm3Mu1AJ0zupRmHSioknkn5I1wBXnDvCd +SJtm8GirpAt0KkmOH/rj8Eyz6TCjd0xErUNCjIRQ9oNRijx1C0CIubHUCA3jgPKg +BjrdU40cB2jjES215h1wW+5y2kbxs1W+fXPH7QZyU4f2Sz4nytnJiFHyZ91hku0L +DGWVtFi/of2s2aIeLS2x6kBHe3w+3Re1l8YbzxDRIaPQ+Udgpqy0dj/TWKesBNwz +A8JMOufpcZi2e+qs7veM1fXla4sVnqfWELiqUvry03KV6enwQ1nhzisS/zQ16rGz +EBDLngfV7hOFPly2blY7JZcReWar92vTVbneQDv9Wyl0xhZKMGddqMEoDKtajxzI +9cgqL5Scpg5jQw9plDCd73G/7/jpCDseDDGzEXIVWxIUw9k0XmdwaRaRJD5pMjTU +tWKT78Cq0iQS7jwkZZin6rjtWfK9QwDauDYvfLdFBHXX5awT0A5xIm0NnDp/HXnv +ZaVySphCM2l7AJa2sIeA/F3s8IKuSkdcq/0VnrAjLHzbAOgmd/YShdEhVYWX/sv9 +OQi1489v3pKjYvlmMRiuISLjBk0GNuuWvyHrF00F6Z7fDZr0Kj2Iuzh2Hs4vKdxa +Ca/CfZ8cwqnsxsJUDAUMXjdsCRKpkTulL9PbVUQpKBN7xxFO+wBMgzEsfd4EqgG1 +OnRfBtMz3HBA3fZcA6AdqxkT62+9LZxLVW9uvoEgaKTMHRDmOSowlw3rqOQMHQrn +UWKQs8c26nYzpMXOj/QxTrLRcVYKpytCbAvT5j/Yxpg6o6+xb068y6Vy9fjjqrn6 ++dK9sbP8OMw2vpQi+tHFkOjaLY4AhOrFMQjk9pAXdJK+s1HARY9Ho9EhLDB3tNIE +HEaDbdeWir/na6VTOc7J/Zut4EUZBy/JNEPNMGYNg4dqCgyisRqYe2F66+CQf0pa +0C7T2o/5H8jYU+fpkzWSqIBmrnlud+QDTj/CTNYBdOonLGqBA7F/9kBVShyfINpA +VK5Al3Zf7pDbIvh4BcFroxk6zSwYYf/DuGKwTxP1AVk5XqFF2GyqS/NDITPE0o8g +U9QR7iVs08W6i3iD7iAH4AnZewYrNwFHxR//E6uywC3SYKT6pbYoKpxqcxZ9BXi1 +Mv8Kt7GMAjttr7S9ijxN15ymXCp2Qv1tckQWk32OP23pO0RsdHKRkjA8WDcSnvhw +qKd23dSR+QfdhRhy/jFZiUMuA5rnvozgmRm31DYIJhticEHFZ8Bazrg+ATCrrSVY +/mlYsrc7RPyRoIX6IAAC7qNw/9nZ1559Szo3VB/M6LM4Tsz9aKjgbirUeua7jo3i +ORL5p5O9l/UpvUc7BVFBnj/eEB928BbNUbl9eJ0V/awgUYpzSVgOq8cNjEvFw85B +6R+JU3hbH2KO54NO/Hb5lcTb+iJuFDrJPRVW/Z4ylIocZRk2vnUzQX5PYT4DisLL +qa+NDJIKyJVB8bI+RiI3s8BVWVZok0KXXUMOmCMhWM6z5bYYpgm6ZokjLccgxt3t +kAQAuI8jcGPHeC3UaZHy/yK7C1g/JREp5Sds6hxUFoAo7CHw/scbs9A+XyI6bUQA +jZV55tn7YhY/IQtm+mmywZozYctyXAtp6v4VOK6/xicoqIcEkTrFWaf12XfaKyou +6mGTKLi0seiUZKM3m6VRR2K1hs2uBtNz+lvwWgKN15X0LdihU+OIfjx92Hp1kO6A +UmbcZMbvurk5nXyDDKBgIFiSCvAEMJFIOhaCrYyqKMbkzNaiV+rznu+Qhz7fYOzI +VOxdxCO7pkCsL0dsyQpU3RZS+fyHeJQw4MMbWT7Tfr2Hhhqzkxu8Z6Ri0kl5D1dj +JZMqzHFKUwo7H1pkWhdOwhXogd5jG+//y7IMRovaXRm2PtEV90dHwWI2wUW2fwPH +dJGzQERmBt0fudg/a9A06xR1cJhrb7JF5HM17Cu6JLwdFxE4NU5qBruz7k8fEMiW +X2WOqKEImx+1umON3ggb6bkx2IhEHkBVYXzHFkarUgStz6ZKIqGFt5MAmd/StfgA +AGeS7kYB2mSKvH5H8RYDKQwMjeCJdwM/Uemo2HiwwvjB7ha5F4jjE8QIkMGPIxvf +RmrgL51abKKL+O3VSZdrgUaT/duMEALBeiJTYO2q6XZiuCr5lXWMJb462dbJ+MgQ +Mq/9AwOh5+J0Z+rpPeSYIn+F8Kn5tjahJH+msoGeBAcczCa7A6TPDv9ZABETXr84 +00QMf8v4idictgp8YoDG5m1WJ1373QKIoo3YNgKCccBOOR6zqyU55ys4XEOuQDgg +Qij64VN9eR2/vSKfqwzMxqnC0qot8j89OYOdcwUZ2w2x7ttn07e3Vf/UNBfUqasU +5VK9UXJQ5uNIekh02kV6avNivZcYGdKY+CAJB1gjcHaZGIaDuTe7FmOndDjiAUjx +1oK9/0YZ3fJEnFOOHGpxmEDUCr1IeaszmyWCEp4s5qbGY9Loy6dH3YXVTeHOHe0m +E9udhK56dgvCGhbRXJ2tOsZLJxojC3V4xX8lHAFdbEg+vdfB5NKd1SF0AIsV75cX +yojzz9erREOnZAAyCbgRAeW9NCfklJOoFzeT0mmrsETj6+5fnHIsE802v5/ZN9l/ +2m6JQ4bwrtU2VIZKeZ0RUqjksjakhxa/kZnROWMJylo630r8dKTaAz6uFLpxok+P +LSSOQQFpA129PfdTFNYxbLNvDpqQUfr309dxDMvSNjdRmN8x+6R3UD9HG09gro7N +odDsW/6VMsJDl5cj5SVg9zCR5FRrX3s5nmsQzH0aURVarqUFAlyPE0wwkyW20o0U +AprXIwaJsBX6nkf82iLrWmwLlJ0kDmrPyVsj+6Lmoz7zqzZyV3mNjnn0iq2M9jTQ +t0Is5wsqKnNb7ouGsEFi2rjQuikOsPeHY31g3iMiDXM3M2yIXYUljRyQBTvhfNs6 +KqXUPuBmRraacTIoOgpTXgNTtlMzB+bl1/BKBJVDanlhskFnRMH4tEUo6SNO8rIj +uH1s0lp+FgaOzvaw09d6RQQe/x9IBUOdpWbXHKlDDqlv0FHsgS2SkJhpzPIk86j6 +0QfdZfsMZWuXkpx5h8Lv9LUAoKTTT7rpj1fMtKf8SiaQ9TMFGyPtw1bqUDebd3jZ +DXH0U51heoaKFLohC82GQwEvo1AVifRA19xDiwAYry5Y8B0a8Z1qjkQLP2ssEJPt +0noI/GMnRBe6nThyouRZsFvC3IqkwPEHxmQRHMFsKxHMQzUR+54catzrDJSid9rh +nX/XTCiuBKd6t+aQNX4Lw8iPqpHwffDcC3uHLuvFV0AT+suazAOu8deLp9Xb83fO +oatktia0zFwpgZLcn60vHJzW6J8JYtjiN6VpPhW8VedbkQQcr3W4SbYhux38zDFQ +xBmEtSY0VcSfsb9Fb+MOnoheZ+0g+pm/lUOu0CFuhUGvcYlrIFGqL5lzGuMj1IaO +tViBnZU9qB7sF6wSH8jQ7fw472+caDr5xk69rhEGY7fvovKfiWtpPjEMaq8ZlgP9 +Q7m8GQ+RLw0AG4RQa7aSviWoNlkBQ/6GXPKXtBX5Cg5+vl6F9rofzHc3B8cz583N +cxlecOioYR8XP3Kqy9GL5jZ9jjKqq+8fmESQI8S/0c2rUWFwPTtAWT7QwAqy6cdq +E2c9w9KWiZugNKVVOzqRIqc74ozMwZ1zvnTom8WLZSfW6E5VKGOXBRXlx9GOsicY +sODVPsXVzZa+h98S/JhDO3pGwUO/9V+tD4Z+mG9f7+x8BDixS1+GkiiMZz5VO2yG +uwf3VnlZnaqT6hBjyDFMqzSf1FNssr89n6WDUuKCEXRi5JP0elbrgsTa8V/P4kAA +p4Mvhr5xwwNVKtr8AlMusD99ad22qIcH8QX3kOzbUAZaje/8C9z+hoPBnn/2LoDg +aaBk6/WttuS87qlM20gPLyYtyrOiUqjeuRvZf8KMazgXOw4IXIFSC13/DTeCzhhs +jZ8Jp+341t2NpI+gKQj5AUpKmHXEK6Xc7Dz105A37LtLJjjqcMbDYQ85s0jOi2v6 +2E2dhyvw2p7MWvjB7oqc76fcP/7meiPPI9ZBaWDTC5lwc7V6oj5S+iY23tPblM9V +5tCgh0sZXNlz/yawiHa3UTaoa+PEZXlK3Yuc9uW9vDAbPna3BqpCeyscwQOtGPGJ +2sWgptlTubKLdiTQxC470s+7WyS+5XB/jpZff12EQPGjbOdMigPzwr7pWBUDPHiX +EvcOnc9kHE2uICUbdetV8X4Ke2hJiOeVvIQ3TyNX9oxqXw++PII/i0VpB8CHfAOA +gGcwb8awAAHssQ4rRGYGNff818B0tw8hHPHhiYKBjPtpePIrd0yvH8luAhDA+EUG +R+lPJWoyXth/hPErE9sX1EsMNfU8m1l2DFmDIItr/pMrKZnYOiNgonRZgbD6MpBa +3Cq3T/WCaXmjA/ij+wztOhK+GyodDTTzBFScFQcbs47obcklJqbVcg+2JRkT2oOL +vQPSiVZtsjCphsB8DUlODB/DqUaiijYTYQVTZ86eKTdEDImSrHQcZS3YAECuX5Uc +H2SnqBeurLFBYrt+kwOpr3O83v1USAyST4XQrcRDutCpSuKEZSN5i82dVNJSdmqg +WT4RSVXik6Y0zQlV06Uat8EBgZwum6IXS+bg+uYtRRyHDah4nQ5kQj16m9HbD0uC +K1rEt46EU9+5umqQ7xsepwtluMre+lCF0IQ2qOBP6HncKWFqYD5NyqQWUm6F2FdD +080PV0qub8i70WKf5XIZ/oisc2x+s6zC3D6q5Bgp7LfBJ27blLuizMFDgEbSiAfJ +8mbu7Uabpm8A5jAp1xt0bAVZ+MuLwe8n4PUNUr/dkPXeU2vCdaiTU7YvdkiBpb7d +pvUMVjndXgBfgd4TNHHw0OE5ALdrboWrp/U+cRJTn3Q8Cz0QVT4P5WMwmfsQs28O +4PcZh3vnM8ubC7xATjzn3upRZhEQqfjvlll2yVRsu8tw0CQZgu/C8AJ3jK8rX/sV +m0icV9kSSw7oDtfP9n6S+GaIQRVgfmwMl3K1isRNG2IIABaAeN7bq6z/Q3pEf6ww +jpy4yVg5bFLSueSiBQJKvM3Q/Ia/cIbSD5gO7zh7sKgBT1xftI2ZmecWwRSbH0kg +z6EuILTXOVOnUorctVSpM7AzZ69abQrEJtoB6rZIWOrLzTSq6vbHu+Be1OmoklAY +9Q3ZrO7ZH7bNSPqFp8nygWms4dsxRvv4Il+zGtl8AZCMZrbrzCfYBoOvbt6r6yPD +cRqaJjaw3TKxfBB4BQitwL8HvvRAfT3QribVfakRf9Et2RBiQGcMET5KykzUNG6x +Vwf5/i9VbYFL8jXVGg/QYnH5prKHuXYzEQT6WgjhKiMvZ1gA2o3fdletx3YZnTLi +TxZocfWlipBdXvHHTAfBbEfYPoLWSYKmOPzOQfm08AsLDJQosXDH1xv2Ykrzj27D +DhrKq/xg4mlFCDcvHTweMIQwyEFfeYnLVN0AV/zs0yuWbxdETCrofggfcKxb0CZC +Io6Kk1gLBmz0No+nEGuNF+eOHLs/iqfm4FJyQdO/dCsxnd/res9NFBNN0I0HiVFF +KJ97W8QF5yrRkFVzErtqojQdEc41E6nbrcktFkW/ybbh36e7fBKFB+r7c0poIVcu +6PEsBenJNA6YiyWKXods54xR945dd8+8+IdwMcOiHMaOVL1ljxceQcKimlhyHSWI +QnVSFrlSCJFjrkmRdiIhszltYbeNpVwb46/353Ja6qYchqRQBGAc1E4A0hYtQH0g +iQpDxVHLoEJNKmFT/aemBw/faxqfsvOxd3imQiU6y0vg+ENiOsrf3AgvRghGnNVS +LNnP1ye3Qjmy7AQXEoOnMMgiDsdQ3Ga4AYMWdNcIL/FpLQPnAQVBDhHd0HavPH3h +fpxhI1PH9e/Rd1MdyjprH9FEiTbfKDuXFqSeHyocT59bOeSOt2fBh4Ir36jLZoKM +VR1FMewUKLsPj2tjA9g+lkO2nHw2O9PddZrJlRUmTX8kdLHGXZVhZ/5DHHSRou3i +bo0cUJCvZKHG08uORjY3X9IPezpVa6vxe8eksb1LYUSbkcJ2KZsfXArVPThzAhBf +fUlYs1msX1CIraVdwGeflnSQ/KLXGc/0WbHU9FmjXGYzLnuarxTi3gGBpvm6x9yL +YA6CsD5Pz6mpq5I4B7tg9klrrw7+VaNlHg7uylsyQAd1/tmQaUNdAeEx2LYhKyGo +1XAeZiZvZxj+Bpypw3Lxno9mhLqwZguShOGpxU8us0PlARF3kxpbdWtnFWHUoM2V +UfY75Zn/KX6y39FoPKjQIITdnejbI9ZRCMmMqBLiAYM93d/AllWzaLcP/paCeS+D +ttVRf6Icp+Bt2FZMCooXAT9WrdDGfv0jpWkM4ubxPR07FUfjYJh/MqDVKRIZBxtm +gSSRH0pd0D8Q1lLXpCiR/dtqJ6m23NBgjnpG9p295XWp1rPXbkAC00Q31+H0DHGp +RW1/BQCLWXKjaIcjdupoGa+deh+iNq3ka1K0FSOAH6x+QJcpxAsc2sClxQ6/tTtQ +gk+2OrnrpY8SyceRb1oq+slaXD0wuaYFaXggYVSteQZTzqunizki5+yXyM0YwjNk +GFK2jrYwUI4eAvmTSOFucvl523bAsC52rFuleU1cxZMJ+Jz6LUps+aCNp11bx+5x +IKG9OmL2D56rWvfMn6nxoHnPyBGNmJiT2J16KpZmQRBbGxLOIJoi46G/a+6osfRB +z7ASu4Qr2O2y5iTtczzm7xPb6HmTHA6rQnQ3cvKatGYiiU1A5AHZqZnfkifiyEA4 +6L9REl3DXz7rrBZFVHmlD5EfrqYHw/S1pe/CdWkpRLLpCohuzZThhcZcCh/H7c++ +lXEOA2IscezCgGAl6VoNTft5hgspvNkX4G1S+fhLLzn11j04VZL7iOI3/e9HCRPa +ASDZ9Zt6nAGkyAI4HJH9/qcFEYkCdaQEnx6sxtjnhZzit3mzWkzPjoNnvgEoxHNY +/6xSjzv9ZZwzHjJMlr1g/zmn4V5xruvEhOd3W7rX3kGRPGA6+Om43LoO0kxHIUM3 +f2yJM7cM3mlddooInjyvyVpKHiegMQYIGpMn/P8IS8LqNsjAFild63qU/d+uta4i +RMDE8TOezqKQIhfeJ7ExAShP2V1E+g8SjIc2Rwy1/xjYKlYi/eZTJCFrUWfdCSLx +E7PGYR6+W7odyeTrGHop9k6FlisTGKNDoeFzzaGfxCG05iCBR3u3husgc2nX79t6 +a0tJeC3T/xWIj3XcOqx2PGDNIIMZiGXWvXj1phG6+GaUW8hABfCzSzOlkcRHkLCb +JxNslJmuExZdMpAtvyxqs42AXx+9rkOkmzrlgoVERsh/UZPnjtzMJskp3A6u9hkZ +EvkuH4XYFoFbm/4eTCSvoCmMEmK4cwfugyodtsvpYKXwbq9qnDTIn2oABUdM79EQ +sZSu+mi2AyQ3s7dPo9gnHDPFkf1AOztx7bhwxvWC8M4nWqFGRviAg66PvqWehfXM +lX0KFGKnaYvlHB8OgoBOdRpwJIefw/OQOGfrnRmXiveJHPWVLPu+RzEliaEDfwcV +6T1PcLbGfeXX5TfTamSVklwOaltSYz/k4yv5g4/ftiGWMnnJvlxmcZBsMgwJf5Zw +QQ3MhpNsFADG5XMVrtdgJPydS3iYcTPWTNP1PAqgTa9hhDGFuwMEkIHhu6O+JPKs +Jc6Q53KB4uJAgtxLXfprtN93uF+YSWWgN5gnwzPqUjj4rxe+5zcA6VDbFjk+5hgL +FUXtb466LzHrh7+fQbqOSMvpweY51EkOpHO+2dt67dxnFChYvc8CZWC1p3eirFRe +k2J4aaRKWsmp/BfjdHsbiSkn6To69v1SKLyJc5s3Hmwo/uBrCpjJjb8jV7VeKvZ1 +vgtmM2ynJQUatr4rfse+xKmttAPCfIOqQ508hwleswzStEtqMzthJtgS7REMhjy7 +ksD4AVsUQ/KgtDTJfJ6U2RjDDNFFMTOv+A+raxoZA85zqmlL2eM2KV06dMHndCxG +ZMXtxs1yViY380+hcCz7esRen9PkA705g5CxXh4KfyUwhMv8JBXZJPTyROOemBQY +dCzhLE7xXkV4g2LxFZ8Or9LJKS0C9cvd66Towr5xlPCS1RZLS4Dt4VsQeP5Nj6dj +eCd09MRKLsy6JqXEhbw/SvQ/7Q10UtOhgqTqlC9ozuappO+p26KVgPe5V7aU2efx +NrBz5rD+S3GEFVDDxoKClGZ4uEr251L0qNUL3LdMzFfD4EPXBkwxUDMWyOKQx5hZ +XuyMe9yhKnylsIgK4s5incocdkahQm68vXi8HvzPpIIvTV8h0Je3y5wnM5lJWT7M +Ghiz9aiRFiL4lm+QhPL01FSbvJ+aDv93zeeElr5BxYuVB60vIY0e4cq54Uzs2vV8 +FhFKRHtuztm/oMBYU2uOhbWmKbZoES/8/EfoX7j7sQASfHNbIr+wE7LmRJjZd7iU +NyVYLB+Cq54+2TTn8dPXs0FI8lx62zr1WWqgxA2f8xmOZEm75KK5Nqxhoj5dfgU0 +jUsl7ek19+n09QdPQkcYDKYPm/rW9Tyb3FVVEJJlNTp55Uw8HC4J9j7GLbHhGsLa +TJScGXcYcGjILdx5H1/0h2RreSAs1GHHehxLBhUbVzvj0tesqGOhCRa1t7UjgDeY +G9sXztr1Srqx8Kf5dhT9c/pSq64+X98CIwgREQ7XvZlW3MUd3XylN9g2l19dzHej +iolnT/lf8lx8zOatOUHxjiUFPZ1aPJijtb0Issx3W6BGrDoHI3PT+SA8m2mz0wRk +nUb39M7nxLcmlDTW8Qr7EvxLNYntTBj4cPUd/gET4TRy79hAbaDJ9ZLHU46eLQRv +VkjuquTtQBfdHsaFjhKOPNlRPN+22Fsk9PbVXq/prhT+lhYPLW3CnSD6pNkjCODt +yKtomwAtHsEnoNF+JXKVOaftUTT4TJ6ECY8jvHr37a1fmPle9WkqYWwj1nCqLM1m +51+3t560x7r7ReLiOrh3NjdLNqGOZVeOFR/syhXwes1uVqveMhDSkEsXzDcSTG3i +JoJ1jpzMVt10FNV2q2KGLj0BUBvmKU6c8sCrDzQkPWIB/v9h1L2MzlcXW9iTUGM9 +rL2YmVK/oZGBOeN1RjGpKBYed3D3vHW5tQCYiXb5hLXSS5LO0UXLewNUw6st6oTq +jkpCRtsRIrbmA1lfOeb/JTsqp561rPfYIPau/k7wijsVLLqH8z49YExYySqoW3dD +PymckaMktkAJqoyPBWtKQf/GaDFo9Ko/FuL0cMXmKOTFcBeb3amGHBeVU2GR0yZc +X3ACm/yRYQUx+/KGGialm5VD8BSzrwCogyT+1Ibq5vX8NFJCkSmdXIoYsvMFQ/ZG +Q0ejSAKL47kURLd2wwcDSuZRiiBx0etzkixuIct1RlQ3aE4LJO1AmzDBVgjPivc3 +tNDkZ8VIoRmtbnCGbIn+01JbQkVzcoERbhsSo1txUO3euVZyTdSnF/UqjSvDqNgQ +Z9X2J06RTkDL0z3seFV6hVQjlMOgYZ1Tun1nxzEZk4AaKMXJ5aC6u/XDR3kSEDki +VgqgofY9fQNBm0P7C1v/kjG1yjRKds4vIrZjAYspmZ9RAjFL1+seFp8AvmDq9p7S +4rnF6wk+KzSK8ucJuBK93jgszOevIbJGYVaLAwpQ4N07VQMhmzHKbDBWubxTccdi +IHPbwPInM57mfLTGGUOHeFk9MORmp0+ey/t6CFc1xdhun+jvy1GMxvNYCshH3swl +lBZ2j8luyrgpfDAMb6ti31i3rlAE59oET9uw2l1rB92Jds3rp2UYr23YYwzDTfHS +//pudyCF6CXG3iqu0/z6Bs+Lsw+tbug7HNYFORrc6cWtsFFyhiseDtTz7cQ+E+Za +RH5zmkRi+qxMweTfmPTMiN+46SwVKHa1pwQKi32faqDP8bO/O+mztNaxREAOd6aw +pcQig2kosfYlD/UmaqV6pEL4REw4ddTicpZbt+VVzv41ySCo8i5MYmFiC+I3gfFE +OsIU0zNLJgmoTFeQYJQOaqfevb5p1PZPgYJgxHe2zq4foJd24LtoszX6rVTla8Ki +C6mWiy+lB4V0JsVb6gyT2Rrb0/qZ9P45TdqPxPl03PWEmq7jil/+Axrj9pgdVEiu +mcNMr8S28rAAKCDSLU72+c0Nm6DFApOFJD/ILrx4aIv6SS1/p2VKNp+05OltsRia +Y+MBJI2Ah0DccnX1AB2XAzcDBakYFu8d8KK/Sbvqp3H3SxBI+fcixrXUbL0FroUp +cgSGJwlckMB8uHV7YzD16+L4FEzT+HSx9otiwjNrmwKKYGYIQmnlQxrHSHKBvlPU +y2w7LaXgrkz+RGCB6uVVKgVpVSiRXo2Mg2xPiZ0tmUZItcTIIZKzxh54lNJ+M13e +3+DpDaFJ6sndaxsuVcs0+wtxp4FzVEGfZYZ892G2we5Mi/Gl7Y4sACP0Tb4ZQ5tY +PEoSX7PC7+QcbnWQ/fAqgylS+XwA0motO1q9Mzl9kKZUs5NqmugVQhHBN9osn/20 +WHxiAYdQT8xfa3tDre0ljsZd26obz4y2hmguC0o6GP7NNNtQ+ZqZrHK7MbAKwuOM +BPTUQp13YygfGkn1gBrSytiEL2UeIXs69U7dN6L19wOkRJFi407oQDLYtOhQILaG +B6nLlkEfllpKD0fr/odLIQEYQcwjIx05SCh4uowIjVdq+4FX2x3tVihlJcTDmlYE +MPGf6ZwL54O92tbv/gps2oz5IgrS49dddwjmzUpt7Gpup4iWeURjb+ynqow+ZyHu +UbFwuSRC2LnUek4cKLLWwVCsuVYki6+Dw47dyNOUaCmGuIcFgiMSfFAQg1SPPo4r +QgOZITn81LmkhRXEoyPqhBWKpoeUbXaq2ABVpIUeBaMhPFcfO+vs/DDVooBAKTRi +apNRx9SmdnhqUzpJZdfgs9Rqx9gyJQ+9dyA5d7xZHTbriIhI2GhZXaLdv1/GH5cJ +JRll+NJuA5SBwip3j0/ezFn9jVhU2QrDcaOU+hpyIAsTdWXZMzr2HQYjx2hP64vD +7ff49PatDMoL1KQEIa16ujnlbAvltSmsNn9uU/CteyzFwvoKirUHBEszemHjZlyI +M7VP15h6D2AKSn9eykOPFL7aP/9hugPmEreo5r8ztWDQLGZQIciIE7q8uqu5YVQi +nREaxdSYvvL1l/h+dBQIi9JeuMqX9J6yXOYS8X2NBsI1qME9XvDX6VB38A5vYBHz +hjBKVU3v/PaWQ5AtEcqpX3Zf6Ftb4JxcuzshclBByHRGzhZQ6XYmWMFONna49b06 +oEdlYI1RgIIz3OvYpL3bEfzPDCzSTtzvIQzHOfbek5aEGzcNNVRFog/NkntwCG1M +XtrKbfbDjtjtjNCpO6EgGjETRgAeCb4TGrC/dw4iBPbrs2RhvCUkJ0+eIVafcrRK +YjhZt/zBMte7xUNwu4MRzAllX4rt5rSVW0wg9kVnvj1mP/BDVWEaUigfRx3Fvcg+ +KUvJBfmRUTj/+VS5h9rIH9oJGBV+b3FCxAv61fx34BPyLIMbZO7k4qiPsycTRG2h +qjzKwRHFVb5ak+i/kAijzd4nGo98CcxvXjb3jHceNINsSgQKUSyk2GLNmAZY1bUw +h0Rj3grSMVsBiSPca3XoKaNEROnLmV5BfAElKidvlJTI9vjNumcbIZ3MOvogV1Ov +ScbPD608OKLQLMm3ZJrYhTAfqod/A74KAMfljvgo++OK+8tYL+JB/lJYc5ATLtfB +uJqGIvMFpSdjFX4Kudyhce9/VngwMSEudIq2br/IVwHMR9wE1Z574Pmpxn0RcWVh +cMsCYVxdBFoaJkdxH5q4cq8YIhmt/0ikmTYXlzBRCzKEaDetHYDOVId6/P5BDUaI +vAadZis//7z3ZRKlwwUZA2WH0vYf7jxXr7tiE035v/JH7oLw1dx4dPzCRWLjTpku +WkZEfgBoF9GWpg6KqZs9vHpUKCu9Eqb9EYXXqMA53u55KbQPIFPEpEKgMXOE9ZEH +RER9F8W6KrsI8FT3E1tp16p2D+vOzmDqWl+zj51bm6dqXYIkXyI4DkMaqVJsUuJv +tGIclJiKFSDXgpROxWPN1wEQHJ/TaXRl0vdwOs1qnWyN2oiUQoX3lZV37UFaDkg/ +/bD49u2UF2pS7iAsg9+kXLCUTcZGZFvlF5dx6APRGTQ0hkTcK+G0rU3Xk1QkglDE +eCkY7mRKzDU58i8nAKugc+jYLXZsrijgi+3rDZE54uFqFyYpcX4C+ZOT5x5RWPqq +NF1nZ0rKk2P6ZZsc2Zpnq7fNpp+oVIDbEZ8eqG5C+gqASZG8qdUqkKcbu28ra9VB +VbQ/gLbRv7TZyU66Caxc0E3OxGwlm2oVwjKn3RrfxFJiixd/nUxXp4zgKl8yxrGO +V/xWtMdNN0AoAqCjNCa8lJptpvc2ZwYS18IeIraTZ5oJh7fzI21qoM+QNvLA1Mq8 +UXtwpl+EN/QzFC6nk4JbbRwFb9AvRcyS71/aJzaHrTrhpipjkb6qwO0G2zHUUry0 +8gRNB9aK4bxS9/6q5OaRLvgOLUDxiZ8MRpA7xFBe0hVG9BP7H86xt4M2nT/bRp8L +t5j/ss3mKdu/429Qo15qC5hsfGZStFHNG4ewUsvOhjZSikZQKJEDmGnSskdaH5Se +ohjEAfte7kIdoRNe0N1L1Kd5AANIKEgXme0UKX/YJ2MpQs+BJbbiUuNBdmStMMbY +awfULRu80cYGe9gH4mTzSwH/CxE5wXZVstlRAJPSWigKVsUTLnVVvIdPxPuW4byb +TENl6/qs+8MepQNLECdY8amK8/vdC8cAO62n7tdrUnku4PxQko2U7eGNZR+Xgygi +PaMxSwJqY5DGbgcN1hB2ybM8HsWPhkQBkKPEq2dAZcQUKKPw13c1SKeDZlQKrU5b +yZ/9az+xGW+51voFkGBtMeOEB70mXlATv+PVa3OO5L8Fo/FxNT9aqeul+Z1JVEN+ +8AYGlKWl407aPVeUVh8MDZ8Ta8yNYlkfi/Lqk6v+9lIWokPcSx2JWo23Cc2YunfZ +KsFf6R1Z0XuSfegw2c1vyBLHTk/NWv/WpLwjpexelnApHGNsY9+3WxZA6cOeRMJe +HMhPHWJa9mDnqmoQ5qIKWy1j8/jV8rKcTdw3Igb+WTCZ5VOW4nedIhgZeJe1+tA+ +9LhnKJPaay8JOxYVm+9mHwOUtkut89YGEgPxj6efRELHHaYP4ASjKTJDEpLG3vCT +gTZidzhIzxDhdTW03MvJtaAV5OQjXPSRL6JwFF/hnlWZ4W9gfgEqMpfTT/oCoCe6 +Uiq9FsKyJCDgos06csBN5L96UmdGXblXF0E2aNmEsHzVEPZQc/17W56BercvlKbD +Hiud1nuvERTxanI9fZAC6HPuA/+qhC5DFc5hR1EgFp78vlZKCY+Hwj1gW6Ghslgy +moFCisztS7pe/N5EwMVvRLFqVEH8GI5FcsFz1qMTuAT7AM4lbH75jJldAVhQLQJI +fMyu22UFphzVR7wziKhqfFmojpZ+yZ6cwjAWrVsr2ofqNwS4JE9Sox20X5MS0a0v +IVVnI9X9Q/qoA7CTGWQsFddjwwrz+aNgb/4s98L2pSTOU+rLoK3WsGkyxf0PVMr1 +3gikxj+e/kVeWFT3vYgAbgJXubR6u6f40iC+OmrVjMEDc1Df7Qu8dIryr7ANz6uh +qlTfhmD1moG9GJFMQqOcqRV4/QBJaD+8RBNxZebibQkmYKQSdiTLArjAfPh2yaOv +Cg0acEh37++PB2BIrnrMLl9j9033N4Yo4KYMcvHSlpoFOkfbcyl4yQJgSwBDcHHP +NXJt5BnIpSGUPheDfHx2nJy8psHGX0d5Xgva2i/2rR3VVAc/NQ/4JOYl2srVbK8n +RWdoVM+hSyIWQQGqzzTMoBznOLoA1b3N/4Iil7Tqp2jyRU8jYcQDWLNrUFAysjqT +hWrzlyOIKGTHdzz+Pslx0oiTL6yrq8WJ5s9XTk/B3ifQ0yRBrv8IRk9gSZVVupfc +zfOAwxIyIjYffoRwwUCTdtZRXedcwGuW72kCuC3+556Xyr0ibad2t61udMkhR47U +vkIlFQX3xx91gdgBnby+8/xjpLv5v2rUsFwcPxdRY/D+gL4ZHc297qU6XxPhm3o2 +fxvjVoxcJFGT53sGylcK80HwfOAjJd0ZkmPxhpA6yHhlHSm6wEwtsLPPJPUGAFuk +htPZSdZMMNJkpy/0bDo4/6IIYEphETYJUTN/xLLHN2eMGOHGSi/7yFyybN0HHQyB +74FKedB/hLpXVTG960SHvyoUCKdqzksEH7lQUmSwLUXhsAq4J0hBCdR11La2LiQF +2rrjX9OmmctD87byxkMfSINzRrcYSvQSzduTQFKaFyCSlhAf+AS4KQHxIRt2WeTN +te7cQ9XzjFmek3u8nZfgN10ZRmUrJrJQaFFQ3r44LRHqdG/C5xO+8KoF8itPa03J +RhU+kzjAWdd2M0HGlWSDeyPPdRqw51jg2KyZ3XOpWuOhUY/kbSzjtzhRO+6Bhx/U +ATs9MAtdmeDoLSX+BBC6FrfynKr0QJamPMxJcgMnMoWPgYOoCW4TJGNDg5U4lTsH +DuYvXojcF7OrGmVaW53WRxCNKIxXo3eDuudRlhH2Mg+wuiPWEoqETbkGg20RlZYg +C97NJ6VpNtZl9VkSV0Gn/pblq2YaTh0oBAyOeC5m0n/aqVBTrFOI5QOMhRWOs0+i +oXC2roKs35rGIuGTid0/dvTWKEkHweDuFFJHkEPDNgdya2l5LejLxxcACvDi1Kvi +Zv51JFTHGVpxmOZ2b38444aJeDkTn+Io0S1c4IOtA1RfXci/xifmMn+iqinrHOA6 +6Ibxh9GM3rpHuke78xLmI9bqV2JwULDo9M4Jjdf6lL5jaVH/YbnSsh0k5Ko63Wwm +Cv0HmA/NfLtgLgt7xE4KHaEMZ5Q/K0KvPZS4Jbr45WKODnk304tXsoR32Rdh/qwr +BHG9546xDNtfbYz/i8wydZ6W2Rfa2OUSJpq2jgrhHTZ0DgM53YNMm/wSV67enpRR +PZOb/ejCbyCjbZoIIywuvbuMTY4unTstkc3TOAywsOI3PSg2DmL8Vr0iiY+hzWFx +7b+Tovkrn9vGLMzcCQdBpvPyIvShjYz+R+2gPjtwHuMy6l8/SV7VwrSdYZrLtsnI +BJzW3q0NGyjLhteRe0wqJtYlNRNx+v6bIlyfodESW1CYqfXHRBQ9aK87is+aq0us +Cb5KTzBByh/1EvFrsMXNQOKRiFy5FlBK01vF3zEmUu7QyI8+OftkT2IzeG17ogOW +XAgUZp4zl5U8CvkDRrxN6on4PYlXUUdfpPz5EcLGpn9FP50EPS7yzIGxe233vj5/ +5pZ/9cTuyQnMsAg9YDUdXiz9zCvAYJO7U1R8B9K70T8boOK62tS/Oq8t3EjgJuo1 +5DYVa98mQQyh0KLSVWyYQt4kurgotRDbPTSfBZxB61g2UpcaCTdZScAygmvuEpDq +dLzWOMs5i8p+K2g4osB9erjxMLm0gHFMtNweBtyAYjaDIrjtPJeWp/dRQUcbA2id +uT+aLx3QDHDDaJd4oqN8v0AHjl0nmJ6nVCqI2n56/MqGw+108iNW78zJbO0ygrGY +93Y+mhazygvYWcvQLA9dYm5jG0CEu+ARXuJOMwk2L4HGV0Ta5Edk22lNjtcpNGMz +n9w3QA++oP1l1O+nbVY+3CRb7l1If0Qhy0ndlVD1yhCTDHUxsVpuySeqmEYWMiIK +GY68sHe48rsItzqME1xWjyXdp1OkEMXlIrOD8EP08F3BJJ3KuiVR/8hZMbtSnbM5 +4dFIUyz5EK8GNX7rXTJcAFfEXllpF5lgvoyJoULGdD7c4khkigUUKfBg1m/sOpEn +lZpeezBbPfhAB/E4YELslxA9hdy3shnHPgVH2hsChjIskjANI8Ekaob4Vwhh9u21 +uWng2pDoZhRo+9oYWgvyG73iHJEFV4+oJBtGBPVpW+aRiuNTmmVqTW7rFhZsruJi +FpdRNfm+NIktB0NVmDfrEeLPm3np3Gv6MN2AGNTpe+gyRfpEo8pGvqo46oJCRxUa +guRdqm5tmmwadaKS9ZfQyTE2HXUdzErm0W0aTbencwhrOSPceduqCt6k6Xr5bRba +4yG2M/cv7iT3lsp0cVloHpxt69nsLsiT2n0AKp2gido246ruc4jW+9rOKyWQ6+0n +MNodmtCVyLqI+y3UUJklCpSvkaJEUd/vCt5TnhT6hdj6YNslybe9gIbBUewnjqLz +Qk4Rkb8RK5+QrESxoJrbpIXdZCG/IgmPxbnh7QuGsRg3BWKCRIiqcaCwDjV/iDR1 +WyriRHQnnjKHhysdUCVT6ytHidwi8WwKoUThz/rhGUtG50ZnyKxIdqbqnPQAR6B7 +C5KmwZoO6YIZGReQJTFrIYtS7wM4PdjyjrQFae4FZ7iXQQpZ3MQN1edBpnjoElyf +x7zVlOa4ZF8gIws221TkiXjOueb1iGBH5yaJKWrGxNYFbVdL8NERe04Ws+dsBz9X +vTOQ/CXwYChrFLxfpGxvaDdyEo440TzkIdv+oUFZBu39wRgcz+htkpxGLi5HnPke +6tcXZj4NAxnBJqlqWsWoPiHKe/n/8qAb3LSf4SmhVRKAhedKWu3aczPRUdKcSi0V +fNuipzQvUCEVNmjcjadEG0j8pUOz5ucJ6Y7c32oclaPuVAl/dmlWT09jMSayUmV7 +Iok1PouDlBrGr0OscTkOHQSW/4HRZZCem0W/oZfHeiwaKQJR4UeYL5GXnCVRaCUN +BuSS1Ep5T/JCvVtupbLmMxk1D9lEv9joMhvae7GZ+u8rN3W6nrKBJSAIHLLX8/HF +/0BqgrpQMBX2xDMCwHE0ueYhs6+I8xejn48uEtzgsp+a24CNKy9fkeRCUl9lLuoP +44lk6j+QJTznbkr1LipELT53oiirtUp6GwuFcQ2N+yfRBzC0YgsNCuL8whL354/q +QC6fDw066HEgr6A/X78qFGQUB95z2LdrcFytxdlUOaGYECpn6biGomNu4yaLrlI+ +CIADIrA7M2z7rIwb22rsqvRHzYNeSTq0h8C1lsmKRwBTxaWhyP6ebtbL77CFgwa/ +P7EuM/eY4R2sVrbw0eRCV7biHnWfshhpc6HGhCmFDuW78KiTxqaXmgkOGx3bcFe4 +UnAY/KaAIhJKhXUmv9Uc5sRJZB7hjd1w3ujAwU8c5KnFPcjHv0MSETa6Bzj5zYOx +NooESjCsF62KHyJFCa9ktkXwySU6awkHN4G38TVsYdRoOsZ8X3HtgJo6sGWROyBh +soZtOrkPlDaXp/1BwpytpAioqtNsEzUPLIZTktIgwiXCQYtkRjcg0QJU/16ttsw4 +AOLtTvzsB6ARiaiEx0Dkn0iFacD5viCJUZAIaLoZiu1DsCMW7l090Cl/lprxt8gr +TA/mGny8fQRbDtlmGB/O7QPo0cLfvcvfiNlxiNTsYu82bWz5T/FqkUNL2+KuDyhn +OaPVZSBkIKaAR/OxVSfBghSLOD7kylTo3pnKcSu6I+ttDIWIK5Y06Dke4opDlK+o +3TtLNOERCiWGbhK32VmZTyv8ddU/RttHGtMjVgBx2GV82sddsXUBgAZ9QJg133tY +8tJHbSegSDYM6QsajfiK/6Lh+q+yD2NqaAoadpFfSqEV5aKI6xDDfdZ+S4xjX4ev +t56ZvtixoaCb4+D9as6GTZCWGWuEEoySPfgPUVuXGIEjrLNcl7OiPFBdzVHPgfLa +xC4uoyG16nFnwpaiSkdLR0pTqv1dhOvRqnSq1GPMufn3szvjlHM57IGtxQDwk19C +WfhG6YzDr3A+AVU4t/fF1uq/be+CqZAhqar3RPpaFiKwVQ7hpTlKbM81yHwW4erW +pnJeZ3tODRRtSEua0DB15lTA9zeT9aBAm4myGHqFJj1X2mWVZ7gDNCHNSC9hLSOh +8rIXAPUFTrN16ZuFm7lPtlO4pJNKekvyLSKrtrYxhVQivk1dR7GgpcfjXnoxlXLq +NCzm9jGpyshVAPtDfCM2OO9F7qljpUQO7NxyRRKZyZl4tA+UE0gtDRK1p3GCNRCl +T67+LlMmD+FN0koMsWIPOvSINaE3C1V5Xt96sQwwyWmP4m2FYufgtN3HR9Q2rr1l +8WsXEvfJNhfihAHMRh0ioLetsy2k4/3OB8cijMpqxhXJRHQY7YvUZkCVfbYrb/rB +N32cKi/pg1QvFGmJds3CQoAFG1WmyZdHdH8EZE7loBYHh/DFs/mfqRFfyEnX+FUQ +Doww3c0gXaqtebWGzk3oH+VxGTXTIqaJ3I3oaI9mTymHchsfEZgYuLEwbO6MTsSW +gaNeVGzUzqI4KvbS+2p4xhca7amdAmQlLhan4jCNwNYNQSPoWP56lFteZDzxYpFc +rBBGVQt+xCySqNgaBmnxny9mej8179/05c0WROTtON7cpFuLKrHo/5oYWuNZV77V +aoc2s66yhcFHB640ILJehkLOIsgIUuQMyASrYzMhSyEunNX8b0LebX4yacEJRTFV +LxnwFyF92gAkeknjml6w1eGs3JSR1Hq2lMTgZ9jw6dGG02oVgqW6iVkhaZWvj4ym +4ksIDw1zyE026ellCt0JX8xtJCXZvXfRMELMpwRf1sF1ojaxg+/pu+FCRPOO0QTN +rvQSvd6KOgysVFXIYWuHrX1gpl3U3Q3ovpc/C2Kshi73MtiRT3g6UtU/Uo3gyVbl +LQHig0Bve3RIOVgoa5GVpgpOjUuO39A6jbkJEZoCIFGYtV01Z0X4D3j1/4lYfbFG +mo/fS1UofOsP9xVSKZIS7irBNAd9jOjWtrkYuMRNFoIGOijS4h7VfjZVZeXYFHbv +l6OskjBISMyw9w96iWYDp8uRgm37hCCiAB4aaKCo13U7E9Nxh5M/MWxd6qK6EK+n +CJ8C4wb5kUyhK/Hw1ur25NWZhxFfC11AIWkTBk6l6krKWDY+AHYDio8V2mXQfdrG +qTczKyVY7BfkC+FXiK5clGGmG27LAeV4o4pABhrSP5/B0qFb9A0eZjm8aCdhnbRL +PsQ+O0GXitji0iN73frl8HDnWVHFU5wzyu9tr/whoTtpG6tB4Mj5gnPVfpu43847 +I+2tGfEJtnfTN529FvPH8dTCJw9xh7V4BzD49YUqBSB/HLMU3viNn7pOj7hLVLlB +5Rw7luFCyX2JfGRQz4kr9rJF0vHONlNRhgPiaYzx9kMhJ1lHjej3EePpk4rW5W28 +XJcOr5xtIXnAOE9yV1LK5Dqc4jbILfDTPkyZlu1x4ZjgRAnKOSR+U+1W4ukkl8jE +f2HDBcluNI5NsD9ePpfijhlhdOweEvhdZpMrb4Tm6bCsr5ehUQt8+JZ7la/rebSq +R/kqSAPTvDdjH7er7t55cnqFQPKwcpcz5J00KAcAfW8AHgh45yya318FwBChgd1W +9nBoBUmYBVdDFEVMpN15sTF+G2Co1OsfNc7oYJQZtf6lOOvhfQ1cNE+igUSeLp2B +J+c/lYGf+hSTUz86100CFsfY/rLXaBCwkkJdv1SiatdrF3hG+15m6RzrdJlUcRps +2UVP8HS4hCxZU7pGA/5/yTg5en05uZKYXkhtsQhRU1SrFVrbGija2ElIzk/3pG/B +N7PZ+jkQU3nQfwvUzN5WKiAMxQPIPt29uNXEfXWTJXspCUB8D6EMyGflUQ0gpFsR +WwbTUo9QiKmpOHTTmoAJp7crkWv4U5ANDdT6UTevYIVdtwWmND5AeDefUUT5sRWS +Z5LqIjk38PFHJjuFT+mk9q+lIxRS1V/fGj4Vi2tW0pDmt4kTiv8pXDuYkl1VC7lq +zLDyn4gctSvHC3OitRDVl+w1wDO84JsotDeaHvqBVbcLEQIQRTeAJjO+/QoJ+YvN +x4GrGy5CAs7+J4YW9rWTsaSXeQYhyJyaYBucO4bPfh3WKbj/e0ABc5+CjiJ61US+ +By0gyu2hLTa533aQPxU8SReKrJR+NGTcGiA3XlC3kA4DS7M036JGz/vdCJ+xJd7a +GIfBIBYdFqY7eKg1e8/8DVcAQIMv3BEHHQsRbW/CTQaIDbM8aABYgklxL25o/MnD +YNdbwqeHvEt8pOll5dIBlqeMKJaXkdtP54B6wJ470ekUdYzPW/UzSh5RYEREtE1b +nr05tkPo2iCPuKNQDhVP0xIRRkR4CJj0yATqk+gcx3Od83or2dihbkxy6U+IenTP +RuLS6WpvzYBIyoP5bfQOesKkm7K26z87kxDvSIwASRuBCgIVCM25L9qU0BSzINs7 +nhktg8PIbO4fcFFdYmL2DaoE08BI7i3TOP3E7EwPmbfaCP10BqLr+EOiwztsk/RO +9zcc1hRwVdoI22CxvdMEtZ0lj74fzAmi8AGE9qS71Xc8WnQ08rTvTzP7ZJCdO2WG +xFmzbq5M83kAcKZY2uBQVkemFyZqa/F9q8HWaV6OklI+Oyg5ay3IqfYmf8B5IX1f +ksHI8xWdRzDIAQA/lk7ZUG+D2BIkJkzXfB15MECzTxnZwp2eUe6YWxsBFvCrflN2 +cOvKiO09q8OTNHG9brBe9XQCrwfK8NC9V/t0rucsnHiHmyp2WXfEhfsHzpYqmoBY +x1c6em/Tv3OwNCuUWsXRm2AdFp5GpXBtC41Kr1tz5nNgzTNgI32MYLxz+UD9MImJ +MWE+JMvjthDWtMatCNi+MepC2xGx1ogRbMnoEIhXmKhT+pVOLykd5VFVbYLbSf1b +x/vmHxY2+4pJir/Z+c8Aq9+AuqZYzIp0M2rjzco4tFV7R9RD0OHxCvNng03kHn1r +2BsGy22OZVYaH26t1jBkqJTPP+TKhOnsZZBOfVv5w34NF+h39VdCMeWjuXJQF9O3 +lAl1FWi5ZeB4RjXGvGtJWopJ5vNw+a/gchSHeimzP2k1vOKsgQk+E36q7iHZWEC6 +vrSAWOrDmN5ZxFOtHXlapTocOr/69bfXDG/HoU0qK2VR2QZLG7Y0WobYH5656228 +73X47q+ufjCD4w5cUJTtP3xRtLaERYHWab6g9/r8rEc3WQYxNCES+NvLLrh7gjj+ +zEdFkApy3ua0Xz6q57+8g1s3OIMuZmNsZ5zjVhP92oq80/OnahoF/yxM60O9A2gX +GzHE2k7CsJMMOwhaMgEHOwl5FWi3p+8cYjdlvwFXRG1AvGpgiM/REismEY5ytnZ3 +RpfH3FBofoGpTAmiZ9I61xuFZQi9CbXDLUGHMTtHES6M0M76HvLe9RCx9RG4GvUZ +kvL0XDVIZEumuEfYAr0BJw4HBcfuHK+HYPwuR3yhASuDTuUH/SzNHJYoeWY/TBGJ +ugRXSi5DrTadLk23A4Z0S9/H71fY+sgtNmOqPBB9G+QwVaYavruMQGUWL5eI+Qk3 +c9IX+V+dVvy52P4uJbPqXVAdWx1zyZKriCmIYKN3Krn1w0x90969R+VPyySHBzLc +wMDcvM+FJcVVZp7G8E4WiK9fOqovhaqXP5KMizQScYl3byUOSr++Kvmfr3Mtz6Hb +kJ3Ld9/8nKUsu63ZtmwaxwZzjyzMmTK5V4HBeDOIXioMSFiC3juuUnARKwXM9D7K +U2ysKMMJhqz4kJOL2zU7vjvsko4BAqCQPzcFWbd1MR/9p0FMZwyvtdEGXsMFqM8b +0p2oRAAiaftFPaFoV0IP84YhFvte9qdv64AWzsZvzR519uapl9d/3JALZQRiYGIZ +l8vXZEd80zMNk6TiTFGkjaWZAklmN8lzY1c1l/Caw+PdfxuwADrb4dsdqaKQsAvF +zdinDZLX7CKPMWyilAiHhJDykjRyY6uvTIutSw1YeCYU3/RYTKGLh8XOu+Xh0HRm +G28kJ21wgY4rihMTDzF1bV4YN/Li8EgKViGDVGnkxaiaBq7LieBI3eSZV/LM+CSN +0xtP4QGjPzwNcmJpr6rcBL4Kd07pcrQXdGAOKrKPbtFifPR0XToNhTlN365eH9pi +Fk21Ig5gSbs4bdjDE79gET5tJsnpqJ5YiV0PVLQFD01rJO4Xrg1dDJBHhl58x9EM +KJF4qVfZPodeKwbO5NuZrSR1YrP0hwX+L5nTq8Q347uVc/lBUBZBRTs7w1f01Lo8 +LGJjo7zL5Yb7iF49W4l74bA+3H35YJ2aZroR6Py6uxUeAkSNxd+LzErn+yRFlrLS +ObxjIUTlExnggVXydW+THSQiUmQ+bZjMLSJTlhjtLt/FKtgNLOEhJgMOweVxsrgM +z5c+fQz8HxPs7xeNEpVsr2Dm90BdhcB59gq7vqJdj3YNJg24rWHyaGUdjwNzgpf1 +DiWc9KgfYuEzE96whyv05kmdy9O78APx0pBjHeI/SyRh6XgQ5Hrr82nBLD+P6mPn +6toblauSBbHL57/5UyOqGwCCNKZiTpB1NdFAz3ylYZd8viDa+/Qevz5N15Rzl9If +/R5/bBUSdk9Fs6PZIv/g4+K97M9x64XamZdUr5fVLcUTZ8sg+2h/hBe9zDMDNQJX +2znoi8zRVr+jy9o+D1tA8HlBvUUK6h2T90IELdrSg8AeARiInOGiDad9W5HC2K6l +glSUojIvhGg+L+U9Fv1/UFq5PlKWVuuCBWlMqtyxrqGeAmHtskB5gB/kArr/yS7X +AWslY935fxF+SjTKI1Vrr4tKrNO5s+g8OI1PvSEA9fqWvcupv54Fpv2+iKJMDWzJ +OsYlIonoFZNGNQb8Ye1Z49q1ycpF6fi9K2pyosmX8EeMNbVIkwiC0Ok1KbQJPSjY +vjNb5t8zuGdxkBcM09QUlYkSnXZlr2E5FLzSXYsmdcLalJWB4jNFoGKBec6nAmUI +ItbQNsEQLLTsR/36IwYxiDEeXQ2QIilkyxRRbxyMRMj/yB9Vqq0x/39iJzPp88eP +hkOjhU+s7NSs+53HnkNaTz9NxG+0+nmaX3sY0JHPQ6j/YG6nCz/XCs7RN4WFNSxt +WWgaG+dvp2Jaol5YGsdaWwgfXZHkz3Nv0lVxpZ7/iocOQ5HfaxbRGiJYlourKYDE +1kSYRUrA0Rmcm+ctS7ju97P/BCNiaHatqDCrfLTxXqcPtbM1LQ1cr7iGCQTNH0M9 +R3VMHoRpJtY4qnUtyRo9/Jt+OakYQp15Blry2hHJeCtW8c7c5vHebqSlKQea6yqx +ORgF1o11nilKEqN2CW2+NfbXx61XFAZK2Lr25mrxrcGAatvpAX6NpXe6vVweiK45 +1PxoR2KAHDDMlO8RsbUfBwMGhC9Hj5xtgEYyNFTjLS7eeUYiYYLrrC7XXlOHsoo+ +wtncaVdQZG1tlsFiYmNHMRin52UQcd77VvcjhNObCJUyZZUo0CScO5ohi3ucTLdl +1btssyFx3xvuyixbqEU7q8I8XbJBg7vskaZwu16Kukp1gV/VnDDIrHWyrK1V+0Y0 +7h/JJSfdy4uNUp5GoqzRMLEBa3MYW9U+BFfxO21YCctOzTutxw7V8Hq1Qos6kiUt +1nDTjhLmcuN8Uec2FlYdmqlTfbE/8uKmVuVVxQuBj7m1b2f/Mh1HXrMPik3Y0H6+ +AOn4bowilkjLnrvhNdNiHzBQnvT0gc7sjWCioJN6Ne//29Sm2gBu9wflepvY7BgN +w6O0a5BB0CfYvx59Fm4UoMBmm6bvVsI4YTYDsrqnEv8Zr/eTXdypoYFhDubKXfDG +/YexoWzDUFSGAAGKmBbec7fTSAhPQp68A8j3jzatisoeZmGtjy1LZo7NjX7XJuYi +wGpYSKuGFKd9DX/irjUXze/XAAbGNBKPM68t1cF4Dv2pLEGDkz5I3uVWqV80nB63 +pKXoUoeMasZ9WQXRIm90fV6zVPLDbBXYyr4sTgyDvOEUT/eA9Dn5VS8U0gZjc5Bd +eTpw9q9xpTdkrrlFab+VwEWk4R+CBPf9jj7HoUtkMwe52NS8mmV/gRGJxeU4NPz0 +/5FIpV216ZN+urNH1/nUiy2EwSUdgg8fdor8YMYRlPc9bJjEaIYucKtoowjRauNn +ZwBXPKkgx+xnoxxa42IYTKOsA1lkvtf7gzXaM9cLszwSoF5iysbDADW2w7u+1TbC +OSjgjwqnRrOpaexb7MJmcGZPAzH9qQs3LLognHtCF4TXteYVsMN5164A35h+kgZv +pmheTWmAbr59jTtQ7+0mT8vqvRPesJvQxnosb/OAg5GJMi09wyjpg/5ZVMQJ9TkS +LKOBFY+wSdT+cTZBL1XTB7WgXex8rPbqqX8nba2E2Ci+8WzThjqSwZdDzG2Noeou +x8EgUaJgNNnJk/SPhIjKizYxzidPOGP4M8hups+q/W7rHtamBKmTp7zGPD7gqrVw +G2pCYWpScP0AOFPA7s+AvrDCKLzn5m7T1Do6gVkNwOyzIIv2Xkv5ltgTEAIIZyeI +HBcTI5sZx00j5hOda0rNOFw+lBrFM2eTZStEWKpWbUGpQfOaL4zNJA+IAxCtyqKi +Ny3v+edFRXq4MHYPCkMA51/LWDhguZy/lhT1i3p1wpzMyDSpVtpjFwbqxRZXMVaO +5SCNSueoAl6VV1hp3eYxPFy4GKBNcN+RS3PRRAXLbwVKzo0vzHXwbWHDEHaeF1jV +kx1ws6zzdlwZyrmuGbIVKtLb0AcpZxdcDSM/IZxG3knqD8gBilwsRLlfqHRr4tA2 +AW3zshOauYncFAmRQKFUXXZv0hHAIoBV6m9/Bx/VVC1LHPZWD7DFcAe9p4mWkVZo +ffalHCC28oZ9z0WbQGS1Isae/xQBF2xrD/XWoI5ENaff9+NHdhXSxhZvYT1N2ezZ +io17b7yXW3rC5Kcmko+FxL9VAJDDRw33Nqjdm85+iUoY1uRVXyFX42xOeFt88gus +UY2L6JKOA3HVtomSwksg1rb0SnqWj0FarwF70AoFRvo3301EQOZdgeznNXaHST9S +V7QnX0ytQLgEE39O624PV7mPLVw2kqfzSEinx9N/Wdk9WfroGFx1opa9+R/T/IHx +JIe2L9762wrZYe2mWG1QdSuen+fKcyYsseVR0v8WfivITkz/imIKbO7QQVUh+TUd +pmp9h2FgvnADvLAGwqb7TauQyPunInAp54iOPa2mN1IBAUhgl6DJv9shpKVNTZ42 +vaFd3tGX9Cce4qZs9g2rtdHDMc4m/8GuLVwp5QOf6mdXxXRFxbOXFRIjaLoH+x46 +LSPmpGTzZ2YsYxoJtq/4RCtxXxJwBheSN7K4bVK3h3ScRoDPLPsZEPWfXhcoTJR7 +K7TsKtmkhJ4snj13TbI1UT04/5SQHwCw5N23V1ir8+lcI7oh2/im0dxPdSBEeMi0 +O2OYHSg/4DKS9M3/0bbVEGv2etZ6j9ed8hGacefr6nKuXOGM03nbI3PBF7n1k4fJ +k0wLkx+p2NrYOG7ErNErf5kxN7LzsKZxjwvlWgm9k3bBjSXC6tiGQrwiy0Xf8w8c +MAqElX2URVgOeiOZQKRfAeTYjKUsNrr05YZr0TLb2XuTC4YH28udgcCw894CgYbF +z6oLoj8Yf1xv4gt5+2GtjSyf8OVj+qkwmk6pla3DdcjbHgHrUqiKGFUFDfUOt9c4 +WgsIJfmRCUaux35aRJrz/EgKuaur7Tt5ftG8JzRaTf4XQlPXXAPX8icfDRO6nmSA +PqkQSfxScQuyrLrDsDEd3pVRsjpvlV6Fj5mBowmmqUoTUGHkB0q15gKl3OHWJ1Fx +kEBVIp5E4HOpv48qfeWw6vTskWcFCsH74M5tMYXWS0zfLTvboOfNOJYfwUW37fOe +Py6z89LOmC9clt4nJaTDIw/teeoyRrGyHKPTNUBSbRexPTJ6kJXF3+8kUsbpcJDd +bwFF7TrmILFCj8FGB3KpB1Pw2IwG4hHQf9J8HTJxA8cY7ftgODTGQwBpZtZCPnKN +oZ1CVrFYGDXd/wIR0cYvJ66CH4TcrtwlOzcqV7yifHpQrctaJTyo2bnALsPpH1EM +/GBnDOMJq7CDluNg9LQ7q33uI9VMkhbpIhd19/iVD8przx/9OqVJRjcql95bLqDD +JmBrNjL953yqu7GVgc0OmHBJkoNaYBb5fi1f5HrHColxmgK/xxHGxaA8ydl9Qk63 +S16jUAHwMpuXrCT4kgBlHf7rDC5915e4lGe4ODpvMz7sBh25nt7l4SQTPxKyW+BI +1bk8e4Krdn0wSt2IuaHnM9aT3RyPyHXegEMKSmmOLldmwcfrWMMfnrzijz4etSTK +KYd3ELDSOieoTr/r9EskEy3lE3syDVjvId/RegB5rVSmKNqZM1tsP/ARboCYFDby +8dxehlTyG2XnQ6kCEaBJAlAFY+SjEHc67vd76CrqDJlGvo8ID7ganPdCr4Jsdoz7 +HDZbapI+p+zwDDELZlpT3A3f/Sk4rrYKtFnBsTz7WIvM5+WqBTlqzT2wVOM83k1J +4BTrkHxK/Y8NxJc+IeS9pbv8RBcC63xrDsDgPtZMPn/p+k1YM/Cmd9LYun4Rt6aF +ZYto29HNU6A9u96HpkGqrCKM5XZ+AqL5QP7wQt4dqgMk7bVw0PeGXZASvJYU5riq +izcgmwbQhBytn6E+/h0ZuD62JXpfGmlGU/dMeh0RfIjxjKAjmUrX6dJk+IFUXjHz +tCfXHxnW9zZVuEUo51kaEVAHDpC+NlJwFLm1vWAttcaEYnGxyvEtzS7F4V9Lc7lg +s4+FMQFrzk8t7y5zEKeKXuTFXbVtFu3uRDe4LL6G61O1vB8uA/RvKba2n5Q3CLNM +BjcXbi95tcb7utxFRu05EdwHYbAEVzmjNslg8OrM8jDpBGoIfGY0k9qySamP1VFs +530PxuCzMruwoJT5pdn8mGGbxuCU46vrYh8wFljbXxXWhQXRXVg1h7Uyp5Nmy+fO +Uz4Q8eZxXzzZt8mEjchVUSIOm96X+urPiZgGDeiKekI7TRyv0lhUiod8cHA0/a3u +EjTq2pWYTLGE9zGV3ovLkUO18aUgjyQ5CHepPwMEw+4wqplggAWvWHZvW8FW7AFN +x7cz+fy9UKLu8QXphpEV2wVE+vNnIqE7NEf7LFHChP/eH/EL1fv2gKVeODEa/PEs +DukCFMmIKo/LjGDj5i99OMBPUutHW8vpG8nqmqzOoZ7cczfuVdsY0RBcCBXoagHv +VcQHI/+VyBFQB+2QKNdXik8N5DtEnbayE5WqAOdeR+l3cYGu6WdMDva8HfUq0LES +12Y79Ob889jjYcWAD0nFzxnfU7zPX5tWuHVkN5ZogVWKYSExMOhWGpNtLHFonWsb +UcsWDDn3247xir10JNlppiVTcsuzYpKKCPQNqSsJ7Z1f86EG8POJuBuxKuvak206 +pAaJuxj9lFx1sVSMHpDQNRRzUJ5XoOUQKw6Chot4K5/5tKy0NkIPMZNtkrNTJSja +diZ8TBodEPIT5UgrYO3g0h4LZdzHHosFrBShXIUjUxfF/NchNKq/IfX/1QdyA0jl +O56Xe4rKi0Nk9FL09QUy5hrPJtMJ1AebK6nyYb9yAhhFAt52C4gtODZN/rK7Yc5B +3ViGazGCAGqgHkP2n0Wz1KGveOjWaF8V+1Q5qiWdwkj4L4KG14I4PYu4I0aQ5jsC +G+xLyeJ8XSNiijWZsAOdfwSMMWmkqTOzj6SlovkT8lwLErOwL4qrqCFHSzUJAgey +DRIZAu7jNzaEdNibyiJe1yJL15hhDAC+Fr8C+/lPLGGYcnawHyk9ClugOMXSdaMP +Y/I5I9cVC3EOkbI/WsTaF5oe3e3FQDJu2QdJNWFDV5qGnUm3Rad5uuRLh82TYf4C +HwjsA2AfDd19mjzxC115HY+HKIUKRCTgeUI/oNVa02aF8+2MtFMvz3ebNfbD+iKq +SCd0QhIzNL+SZbcKatTioqNLwVoesJM2hWaOhfew+F393kfXB9RyTO7WYBwZEfSb +d/J6Q7YzJRlKuIIrIWjBHWNspy3e6WLx1Vh92sts+I5H9d6V8tDbKu4g6pnC9TEE +ObLbneOYVGsZcevPqsnl7BaYQ37Thxo9dUAD/j0o+fnoGraA9jcLrfTgqMf12r1Z +oDpmH9JBs7tDLfjQNFWuBmvmSH7Df/yrrHnM9mKhHbcfHQZGe3aYmFLvkhkMGlqV ++q14PxXqCZmu0QXHAg3WjWJg2B5q8V80agC5vAONL4KO8Ooqr0gvD//F3BQY2ZgG +QNHtnWeevJ0TYXUK+VQ1HTFOqIf5ae0f1lc5hG43nYpdmFTDVQBorZjFx4CASKWV +6fFQjQOW5+9yXxohoLKVobH3Uz+T1/zpR42I+tC5eSFZVnmGb/1QZT7A0ljhX1r2 +9EFTYv/w0SQjVHVHFnSvg9sAnGTnOjPWbSOllHjJ1qXuZHlz8jgTVQzECBYac8hZ +Ygb1ItjZEk8JbLUgNiUdUrG/npUetMpNmGfjetYP8W0v4G+nXUsSzitAqCFvmKfJ +IetM4cAxga4D/TcfIDSZQ28GqB8cGvYXd3nvBBeJ64qxa+1FP+bXmKIESUTVIdm2 +dqewauaXHqt1vkWac8PIY0pk2ZOLKSoXMHv0MQ/abp+0chR1LeybNlguJjMWG1P4 +n7U9hemXXfkoj60n4V9Qdxzq0yeXd8c56mRu/0yieIhlJ8OVUnvHc3oF4Ky467iL +rz8u34qGZ5KZSQ+xmfVUD1oPpb1slwHl5mPs3N14DPtxfsb/y+8UuoM76KTSEP/+ +rbr+NZ8QPZu7DXDzTcqjIGe4pz2F1pmd7/FhMpw73JLsFL5nXnqxhIrR7PUsdCBK +y+YfSEmn+S2WRMO1Id0mjGn8jShS93DZ7Czst/3YHI/oz0yppJIpzxHdjmH45HOV +sDSgxtXAPGpKapnlLOLgpJqN4jqZ0+wKC0yp1HAMMz8/nWRedhdWIniJDl0ptjIp +B+NCdOOk4LYy/+r9q1GCWa5FeowJTQlqEq7vnhL01NEkoTMdB2Dwealelh5hbJHS +rFpp44wc8B10N1uzC3Qkr5xxSBFBR0TSJlrOghKfBXCDUkExynM05dwSeVnAMyYj +W07cWykNKuKBw04KeYW6tfuo/lhZUt+cpbSTbw2kpd0dNgwZME1y5YzaVBTZtLlN +4oM7v9yqqLQUu2vdlhbI+MtjOEsP2n4eJStBUiX2G6iQsL1LBK0faqTpVJtfobae +s4dyWmhP7n9f+QLlkUlOQlaGgAZDuivRDwwvVzyw+BrzRSnvtlDUXC3meNqOlv1B +LARwaoYmrhBrXXLJRD4AgqS8as9eVvrlSB9LgPJvtmdIg3Rq1WblUlNd9trftdCo +Hl0nHdeXPUuOyS0lM+zyD7qwZ8oKAzlyj99ScyI4Upss6VqhSgl+kl0J09OBsDCP +lZidbYJ6UR0f0Nn7IPlzkp+vwhSnjZs8eSuKRyEIrAfiU3ZG4sMRffQ7NC3RCXeQ +A6dByc7ZLuHiPFTtiesvd8jNbBzhT4kH6x323OmS7hVOBePaSBwuuoXGL1DppVvw +BBGzurZZdRoxi3Ln+Kk9//hZdF0bcVzNfpVfjG0g2NO4264y8boKibP4+/WlRdDS +Ev3mPPKuCgC3CroLJzzAR9wcw7Vg8WN6PbbygSzYRKiobL8RZWkMIun2a+UibYmO +im3jog2oXbeyRx/vUSiucRNv9vHm+Tno0M8v4VOUiL0F7EIKcWQ4St6IKAj4fS+s +EK295NFXg/pt2nyH2KzdTPYlIhak0SCq0UUDzk6t2GNMWuI8Ped/IxhomsiU6GpZ +RU1X4AO4fvKhQ9V1NXcI68Vy7k1iIaazprXegp+klikh9FElF+QI8wb/0ZlJjMzK +52p6a7dM7Lq1bueW/oLY2OXRCyE14n74LhX21oObNcU2w5qj9xvgP8I8s00Qiecm +OnVptrqKmAietjBXa8NQkaO6/fgO0PQZ7lnZx6fWU9A+J1QwaMdMBL5tIl6MP1Fr +ie8r/aEahGE9cQubUtE5xzNH8YOfhaof4OCeWOJvCLc3LrkfaEEw4qNevb5HSxJk +tmMiEcqE9Ic9ZeMzS0x2OYyZK3XClpOUr8NSp1v1HLurFqwhmTpq+u09qPpsAKnj +IGMatczHcq6rMmqhSKBuMZZ+K63yUe53eeIo02NV0pxPlXu6AteNdnD5gk2PL06F +W6/2u6FOWbctFbChCHDf5rE1j/QL0qP6Sq1HIg6Se5tloKumofDL7+uVU8Lhk8v2 +XUihMlEPEq/BPkPPzoXuVvS0Sms+Kz91TQw9MTDYqKxrKdPAO+4UkGENzYw5gqgb +wCfNx2ygsuXoOrx7Z1k8qkRrAMv5QoQ2U+bnt83J3i0a0x/Q4y1z5VcErsbMCsC+ +GRPBVeo0g8sJowkg+yrNdVRzl/35AI43spdoya0aL4D9Q9C1tgU4brPSRUCXeL6E +G5FfxQXsKalgykKME9HU5sY58skK2TVuOSKc+zlYR/RlZlHhuZghIPnhYRtcOVnf +7B0uSNBzfGOdBw4UbZvdDIHhBfjVDM3O3zzIzfa5Qspr3F3izr7DqL96KED4XKLA +0VbqvYmoJkYGC/hMV31tTlgnBo16s9dpzUziZ8/dmFQXVmpX3UFIg37okLrgS9ix +vWS3oiAD61GsgxvTRN4YG1JwSoO5rkMo5zvkzA7hnjETRrcEoMTOyH2pVmGrg/RN +47TgJplaJIF/m8jHYicElhykvU40RgU/pIOBajsudZiDwro7Fxv0y9mOWOZMnhTq +obhtFVMSmmxigtHRu+mW33CO/UhSVS5ESbBOdcUG58zGEu14Yqe/FhgLWaGbamwY +Ytj/1BFqQzSWPwVkLHT6Y2qpDeAua1Wa2DwVRWsg5E82a0vblpTL+VGeYhXxJbf3 +CowDVcUp8cn/LXMp3BmdjsJ2wdMeRGpngMpWv1B1HV+IuRl0LX9lvox7FEV/wozR +0J0qTCSmVNJBmJO4KuU7Brs5hhieeROTm5MkgdUV7NvOSps5hYdHyUpcXY0wE6wm +qVpZ171HKbSD+pPkwaMpkcuppfNWrmRQ+h9JM46nfzZ8N6Os/nyidfHO98hWZ19a +oauYBrzfm0oXg/wa+/HzlhWux8pE09Eeqbd+gJ1GMIGHpY2AYfnorCZH4Uw3FMDE +TdC+eW842D8jTp4Vuqz9gddwwcJG0JqyZOGAT90UEgaH5ckg696GBCgUx0yD6glK +hgxkaA/I4JtbRv/azEwsDdjXDKiY2RSRqgKZGv/shCC1r39GbHGdITNuzcSTq8Fg +q5CJEm2uaa/7FFu5AEj/ppN4Mk4FiFuVW74Lp1btGzFA3BIixR7LyvHumV2DdZqw +QZ2gygQA4sTxdLXQ3b3nLP0BOVAWSGX4dQrcL/Awp/WNmAhRDx/yVElfcq0gFS2N +pow92Say/ceOl1sHJMVls5WILgTNm8t28ce9gYw8slAkYUSGKgBpJru0YuHL8gj7 +1V5vmpLScBP2NzQc1oVWjptlTehPxLsbmDvTe7xQm0g4+lIadNdBwmnWtgJf3V/w +BBwnq5j6dwLoC1mDI+6vUG7rp4qIGN2aJfLcF9R9bubUKfEwWTr5KUFgwNxF1Sfj +46/Q4H1rubPuGxD7U1UU7aH7Ldk7a3WLoCqc3CEv+8Zidb0mzMeGmxzKt80jrP2j +c0YKPpjtPXon9ODcB2H6BKkrY4nCiupSKAOY0xsPq5IYOvPa7+g/dYaLY73Qh8cC +keKKl4pNDo54VgvHf6GrhuA9C3m/sFxc2lPsu8g9D3aR5DmmO/asK2RsupI52/nF +1WXO2ijg8dqUvWwZZLoZorkcPY1D1N8oVMDtOUHcVj7N/PjFSavtqP0yA1W6EV60 +KKqyVjvGQpTt7QSySlcGDhm6KVJn/ot+eE7RQKWHKB1TYbyUhyULn3ATLsOeYHNk +0cVPamOVjPEjzWCGhXu3MxcvbMxXky2EhDqbvbD6o+/epLVxvrzW/baLPDKcCRO4 +uRBq2evkfgahxCfSNKIaJDiDxDEe4+6eybiI0jRhE47ffd/5AktJL/ZOrGrIebUf +RenZ/OCmlHV4tdNLfnO39BkTvEMEIkefc73VnrEz1GAV7DOIw+KwfYLkNWPlqj7s +1dd5w4NMi7QSJJ5/jYvTpS9FnaStB2Vke/Xoi5Cok+Nk7t4+yigeG32HmDVkYTYu +yblBgFVpK0YnC0/v3TsLifCcDVUZjkXG5LONYyyCHKnxn4/7IUjq3Nsrja2aqUvJ +nUzh8w6zxo4fqad2IZxLvoqRecf8nCDBD9SVXXi8FibSY0DdEt3nD/3WcqLtH9zC +7Ld02nVCca40uuhVFEWnvH6keT0CAS5CTrpkwIuPeeKX3FvjCjfXusvEhilwc/A3 +yP8c+vdfr7hdkxXjf36hRb8jxYj+8shzpEWhjBgq1wUAHD9HrXLVRMg0DhQl5aVU +4ObZ2vR2IhgWrvV6USqvWeY58K5trGcDjWr76jAENq62DhzISIBMzfbsSHhBy9LP +hipL/MjDiCgGFEtLVClHD+IoMQM5OR2Ws2noyp+GsHsqpOqZlTZwvXP1XDPNPSeN +BI/uxknCanzqHaIwILGsYTB2OnzT31Q9x+xAOboTjAOnLq5y+mJvwFIo1BXLG6Bs +Xo3Sx6KBI2cqYKrJ4XL7K2DDV61feHLE21rDLkZEbRHHB+edqcJovToXzrFhlGS7 +f9UKSeuLh7RwhOQu+JTv6rt7q4dquVxwIV509njj7K8M2zrwEU961xiW9jhEyyRy +ETv8bvjciVJWAj7lD3CKfj+QOnv9PHXQanUxw9Em+D0BGCBWaw33R+pvxKc9MpCz +GSGnj5K9iKG7w/nug8/HoLpjTtw1UqTFDxHMz/dlIY91GIYtW0OB5h/UzZIc16/C +wYYpbijy72PUYtt1KDCKwdQ3ndWSN4fVIjNJqPpBDELV22FlZOr2UnVIb3U5NCrK +8hanuyEoAC+zn/+8+UvKNSzRdyDAP9d9rK4avjBkfZN8KuiGr1LrDpjjUmtft8Aw ++yQ6ZzoOM13cWlr+Lia6sY88jBJuqFqvHm4Yty5gWlXwTUE5nb2fZZmboNJ6GcbM +G6XD/unHIkMTnqdnoBZJnGz0fDGu3CJF50NJsVZrXi5wLVwd+esgXbuO3DJcoaxF +H1ymb2R40WhmwUhMCR7LTv30UQAvi7JFX60HSSr1ZGgzHZxMqerZUFd7urHbZu8e +jNKEVq2aU2FDUzIwORYx2P4v0/EPgA5gHxzSu7zxW9n7mlEl98xIZ/qmIoUBMo0b +tTzQ+zgme6k1dkLY56gXEpCqABj6VDuYrjpUsMQdMntT12kENt8wIBIgeV7ss72R +Ozl/cw3A5yLv8df4g6eIGe7IaA6plipN9VbGh2Koznv2xzfc4lYaandyhbNK4BaQ +axR+BVkCvg6/vhlaGc33A4C1O52SM/4xVCEGJeX3mRBcJn4lnl7/myCIWfzXPhpy +jgSDxpcEOddNCu1rTg83GV2UbI8IFmhw6hpDB57RpMwtUyI1YNqer0hj1ySHxFO8 +3z145QNath0Eq6tsRT7zdNqjYwkMIUtq1z+K9MTu/bcMOPhCCeMJZI4fACRQ9exZ +AIrRFa+PH013fXWCsW5+zPeFTWRCF2GAV0BABscpsyaVu9GyxhkCB+0G3iNRRe5F +VcstUdt2JgvI7m4tP+udskG+sinkPrFD8dMVla8qsd9XYkJmBwYt1empjj7lJauv +dqqDWBmP9hVAHswzymsDOJ1gkWgy4UXcCy6VmjqtBAeuN5EFYgQ3kNDHgnOMKdfA +eolBb2U7PMxHb6yVT+0m9GusCOQGxibtl5Wb+tIrUfsrz5yWsWBdmnGMFz4+jgZe +JJngv9wHouS00VTBAHrGSHNYa5HnzceN4sQqZQ3bZtvR9OOSNUF5ZEnmgjIJ104T +3Bb5KmYfHF+X0Zx5F60ugaxpoaBT/nUx/XlUfsFWiPLUFBls4kCSKKjguJGFvdI6 +BadbKoUTGR7TtoujU5kqSTZyFX7zyS8RBlS5oZbcAL3gFAxqal1Rhtz7STHWzaer +nkzjTYN8HK4JEs4kH6T6Mo9OVHxrsdvmKZfujeO+kbHLafzPeaIN6LGmmo58SkNO +RdBCC1La0N1QxHLaN2c+1PYkWwPd+/IZ6e/qfMKL3gWDZ7haY7/RAmP6bnPzs+If ++3PeCyqM1oMT/A3nQ6nWO8nTHXJx4CfDtgcJFTmjTUrkbfridqdDgv4hLYiN6HOj +0I/u06q2AWsOqtEF5mzaF3pRYJ5wAUJ2MNQ92abj6PFNdCJ7BUXepWan/gnLuZC5 +88NcusCGeQgkjHtAyalGha3cE3vFZmriGA38Rn/p522SiqmFIB1q7WkZsiiTrcWa +fcrqrcR8AqlhiW77agdl2DZJjeIm9c9AcaE0lSpetHNYNL1icI5S4zcwQrTaTbCH +XK9fhUm3fgvwEX3L5KwlwicaVJ+dKxjXC5OPuH2IThJs9tKh7SJlJ4tcPrJvdUaT +qBR8kGt29LyP3vVM9kfOJb4Q1PYlHELp0mVU7eIka2BG31e9KQhoiwnh9c4bzUD4 +y8wizNYuTc06cqEK0NEgAz4BDGyE9fFWqz7hOOZMcPM/KH2pEo4WHc4bF+RR+Iv2 +v36Ya3IYHviFLQaJTKRWGr0GqhUxMDllmLTH5M6zJsTRCZqxhB/oJRnjcsFzcOlV +SdtZgToPOfMoGazouLmvU7rI2/rNDD53gqj4T+CtH1EKqMCTH3QEPzIpzY8D/H95 +6S0Lwx3SYvVisS2IlPJKU9NCkK2Ox4ADIsIiBhWxzZkiYWy2lb7881n5oogYNAz6 +EYcFjEcEMi5IQwof80yexkA1KvP46CCh1nKVGX4VKWtnhGSYFy5/URDb/WWIT94o +iVHu4oqbEIHiAQPnmsCCy7an7T6Sb1yx70pIy8kB6OSPUzpz+Rg73FVbbjlBwNW9 +ARVYPIn4jt40wwgBiuKbRMD/zkAZRpAoWZ1AhtxwcOShDPeEU0rf2u9VDN3Yg6g6 +DvfNBjWF9hrc0BlX2QXYIfkTru9AuGt4KxcgQrQfW1CGM7G703hMtE9QX8LXukSP +YWkHxLBc+yvxH4Y4SJzaXqepP9ktd5cFej3i1BrQcvGNPGeKaHlSx4j0GxMXbMeq +zYZhxmMyFZF2XDePAjxArH4N8F9yLqJ/4FfJY68TX09kn7FQ9KLFeSmS7YcTWzP3 +HStvw7EpzltlQQj2v/I8VI1lRArql4MRsbLveQ3W7vYXOhywajSn7M3Lfss00bTJ ++MIqG0TBTGA3WSPdx5LVIDLaj1cBkU1RJHLntWLuRhD4qR4mUhLm5Nnu02Hfae+P +RklZgjHNDsJOVyLgqUpEkPD9J4U6yyjxYZCFnqUwV4YxPr56Goad3yRanCkwxLN2 +ec8+QeU4jiRIp/Sp1aDHUujrYdhIly1XhGx5QhAYK87wtz1Gu2EvvFFJc+sKuHQ/ +dggtmz4ljQ+PCe3dv4cTMXqsZcfvffKUF700x1O+XiyMXolHrjFXqqWcXL+Y14yV +p+sQiZeHDgyvGUkCVHbGpaqhDDlIFf7k48gM+r+YmscpaUX3PhHW/f4qABMplJcn +xTUhmfu4ihGB4sPZTs21IMx+SxW+Cwk3W3irrsAEJqYXgXL8cEnMfmektKzozHv1 +yPp4kEf7jK6XzW5Hwrgsl2nAoJXdNSeclws9sVe5F/vHAKf3+1Z9w8ysF4pYsFfi +MCBRVyzByXg4wZOAh/NifSNmJIJ0yzpPa4Tf3ztuKdtqA4TFEn3YuuAnM9dLgU1p +JyeLjoU8PyMx/IRioaNO5RSTjlpgzeB+S4PyhuwAgHqnbw+hnJTYah3Bfm5hw0EL +gdckvchktm2fFTlRbf3LjMsU+0yuozlqSAmNrg0KECd654V+ZQPtTYviyGnoOQSg +RQo7BDm1BLJOetm3qwHZGIW8Q4fLex3VOhglbXY6FlefG3Ez2PzWrvb/kRsz6j26 +QGRe9o36WO2LNoI3Ty8vU+sz9cx0MDHqHwjARWEV2VQgwAaUGE/XFVOAcnOWDNUH +1+s+AwYBYawCs7s0akEUc2FlZSdvB46B9nZykySo805WseME18s7baTlH/3u7fmV +g2TOmN0YxMhQ0TF7YWilRYzJzdi7c1KEYZMmwbCwEVCgmvh7vYC8T429clKlE7Ei +vUZhHD27L7vqaI+ys07rQFvpPwPVxWIgbcPO7aZtJgM+74ZwTUuYZOr/w9rj6zJR +cD9W6CLE55qKMgCAN0/UjorDgHwQxK/QeAneNXE/wH3zjkrhj96fLzC03Y6acP1H +c/ETVwpAjZ0vD/XY4Ut2cLfK0dBagk1PQIMkOuiw88Ww6MvH3jc41HCPTPOhiptx +TgAzerP13arKBWJnKa5FGfwwlZ+2vinz6MVYmWl/oWkI8647VJ5cgz4T4Oi/yu0H +jby7Tws0p86Z30lPGs1r2SH+izWeL1BPT4rbA8s2yIKO3jgRNjwh9YkRNAV8kZxs +qni+pKCLDR9xcDmqvFrbEhDSoqdSIW/l+rsvsayZ8Wbc1Jl0fI+SNRc1//bPHEPE +VlsizzlPZ597Cs64guhDbe4CpjJJPx5wHD4D4PvuCiNsWxgZjwKyQJWC9qaW88Yd +k0tPALIE+fM62foRHYV5raYOSpgDF7Fg1AQKXGdmZXXdB4jw7Tmn+aqebCTQl62J +IorSdLEnV9WXNgWNpvFbBVMrFQ7y+ZHSKdMB62SMl9e+2DBC8AuWmiZXET47b9nF +i+dBXnm4/uOWXH2V7DFhNSOZXBGtYMH8bGlsiWUeekl4OZ3nIkvq/zcIpVhvPbpj +fsLFQWMIHbriU7wsaGPbJsOlxq91P3Dwb+DG5e4VgoJnnFu1wDmlyI7ZI0wWugRV +zqUGGO1HFs1ViyQdhxoylg4h2T9nR+QHLiN/YcGHRWi7Xbt/si57PfV3Ue/sfip0 +es3qjZTbEJ5P3Qc6virpsnuln4pI7zcYBxLcT8SO5LFm+f7iybknDYtCBd+xo4z+ +xWKxWlX/8BoO69Hujnbr+rY4WEo5hnyy3MHxV80BGJ3LTQb8gyjcuHVHNeE5BV/G +Uo/2b6AyB0CK0oSu4r9bXKPaWNKpcEAMZU6P7M5az7+0ns6GuZuGeEtLnPFoFMqM +5DBPYikxdbgKZkERlaTvE/PPd3p4VV/vV8Gt0mLTE5PCXFNUpOzTRA2eCmzc1xck +A2tU7KpwbHD825dgLo4IoMSXmRG7V0E1tC1C0glYeeBGJHaXg5Am6RnAUwMrYSQ2 +XwLyGDuZavVi87BQ4eYLTw5R8VfxRC79uIeMNMlexuzE6Ga31UDv6XDuQsvj0luM +D+dHhmYPJ/K03y2CT4AfM/Wv3xu9kEMV6FT1McuplB9wovkFZnWpPKqmslWXjNkU +DFq3h9CVvjKsfQV638jywbRvRNJ8j6+j03dXEwgEICUJpnbooNNYfgjzAlXkwF8b +JV+r62ccDZAFE3YnRXvRdgYJ0xJBkjDKi1hZSXDszWyeeUpv23yUSvcum+cY8XoL +k/KM2hF9Yn+oo5GX6efTRQzNNnlHhIK4pmcwctswoLfni581ZWoYmJFhpxfzI4B9 +dRv50Fwrh/yR8Z+XmJ9nM3Pfp5HSUZRB2jsfdwGac5PauPnEqI62FquZpcuMd+Az +sFMy6e0rPdKjjQw7A5pYgy2Vs6Taz0oJV8MKxi2xJRHORDb15kTQ1+wsMHGHkPjJ +oYv8tYOW6yZ1BoQzg7clZKwlDIUiGCDq6EHwI9bbauupiVUWX5fRnYOWJhkbpI3m +APww3pPz3liQyCddo0AZl5aKMvfTKcleCUlu7jKqOyGzVKrOMgy1aDNt25jVZrER +SO6TWgVrncYGat659+isr+Qv9IMzVRbjSwLW1KuUlLbNNuk7rOEYoJldlHcOlpUf +ZWD4g+N3IyJ11thhjJLKgF5Suo+C8JM51n91DHcMvArSvu5bd5JXlXdWqF9ttaDj +D+svVrm/gY49NoMRJ/Ql4Bf2scOQyKUEvQpWvBnb7omTGwn90rPezqo5zEk1tMvb +bME1nPET/rDJIZ6PdXQXIC9yHSyY0SRfeVziiVn59pvDCqKCL03jX8UWqhMXTmuw +dnEl2cNw2Lgk7HeBXIOzO9ZSrmy2GquQoXd626NIr3A5bGvkSdNLYg6X+i/KA9se +pPglao3J0cPVn5cL0uY42PhpFiHQhr+5hPJkany8UPcgH96SnGnmz4c86lE2yL7M +su0h+RpVo0NNaCWEamMGvJOqAgzbbrLEeAd1vhnMlydHQDDdpg5y1f2jbpslwNz5 +g5zsNCGLG/rw5QryqAIDfomqy6sOTzmL+ZBrRWLIJtVLDcrW2A538PLjyhJv8M7e +XHhM4m1LGcWhcs5khvgUKGnAdyLc/AD2gmNxgt0aijjG1a4GAx1VHux8PRjIil1O +TVaiJg11JUsKtEMUElvoInXxZzWGfkhVgRvUkR2qMTCOxYng4WN2nS94MTc9FzYZ +CXQAfZQalUOhxFk6+u/QYvdEqSxrYQ9b+ay9TkiI+3VgVHztkX2mmoagvJc3Dnhq +QzAs5Liwwysxuzcpoidt6GcXVi9PUjfGmJr/PEndIfuUo8igEsQlhXDnngAwvQ/K +Ni+0cYq6ogONu6kD9WgflejYrgpAe1nImj3m1NgU7eNik3A0NBuFHYdqJhoUm5/L +u2QNFL0PNccViAKoCk1LTu5KEaq6aQlf+MkoKSfl+/kDzWekvNlt41CCfmoGjfpG +x7p+FukdTB1bmBOkgtqStktM9uMZLwvWgcn8u3fsxqz14fjFl1dHhaNu3tp43cPb +XvOl1pjnJocWp7KPV64BwoLO/F5fEb5R+hnwmWjbiGO5qyYCt44fipso6n0d602M +FKF3AgHiiwROQcIa5ub7x5EFHfT/KIB/4oLVFcLLbMhQ1cKpt/07TG1hfWdrDHX9 ++7sThoCPhLNwP67BAOr4c+OUDhrsZPk2xliub274GsXKRsDRnHcQozkcZLoNvrmz +e8FDquFhsfirjphNYg9cc397fcEpHfibZoMfu4hORe7USZlv3XZmxDKc1CuMN4FB +XZtGoknG1vDmAJTAbe7Ybw3Cq7WShj1vKi1gOCoFcvJBRv8Fje+uGHoGhirQYpqb +NeeNWFaPqkEV/YOzhpCVd3GuftTL8wZw9M3Mvf3KAeNvMVPvxXZ7T+2sX31q7zkq +OAUftIPf3OMkUhwnILQsWxr9ithn9UT1BTF7UWmJfun/l0lXWcv9e/+vtnYC0KxR +4VJqkrr4W6tFu35kFRpC8XLke02dpWZZ8evQhbCpax2Dtzgae8YrbFoyAIwMUVzp ++L38GLdDvUnLNhRaaevhAbgCIrKvYPB+8SdD9kCvAzl7XVWAZ8Or0FatgYghNNao +Le1U0bx5O0arVd3L4cA+7OGLsCfi5CIVGsX5pnHX0y6lQtnm4dU7CBRdqPHeDdQP +ao+l7hHbN8ahB4w46OXBFgBC1b/o5GZhLF/bu+j2JAtVOXmcBN4TE7KporIWQevc +GWzROBVFtiO05NuvD9OzQ6CuwDpQrppBSMIvf+umRg7Jtl8llTHBQXeanYhALwJy +tubBVHHpJSBZJBGKXJW6KS+kd9TyxbNMZdj9jpRJtX92K4m4nKoZ/ycslQNKQwxf +l0Yww5rcgHhIIqVuo+zl2yCL9peDsJnMGYtTLMka27ekKwzJHM7tNy/eCNkaviwP +9iv67QJ53CO1fgRutVH+z8WoWamSU3sYT/uUeZddFeDnG6wq/wszsBjwLy+NrMJY +8nNCP8m7RGAx4NSxFnxQfGDEqlfvbuP0iKE//jfHC10Jy3ExJ8zUIyK4EMkxlyMf +DYXM8YFgt2lL8YSA2kf9NB4mwrEoRRpL8aPnknnY/HIeUUPcSzVtjGQav8cn2Ujg +vCvdfHGjbmNA0oaGGIBRLZu5e3zqCSAf0wbByqB3lxet+eAizhX+HmnQvckgJ3nr +zK/qnGMaSDeCfkQDO8BcOVJfCLqnqwl14Fj5o9awNgwfrbf9WUiYatMkOO1/pwzw +AN50sGgDUXc6wffwK6qh9x7oMhxZRneTt4Cb9524NHJHeJC8z73xzigjvbT7wWel +le+rWEnyU3xztwT3owx3on7Xr1Afbh6bq4HSCRjmZfKqprJTeQiZkWDoHMJBFgl/ +NaUF+5MPxwb+Y+lPb1y7MOQhTyAw7y6Fk0Eua9GQ3Szi0iccZKuP/UdgO9EF/V/i +luNSJFkq9RUcU+IMdNRKB0uliliPjmv1aoUP4s4U4nGoN/kfh70rnq+k0Vd3W+48 +f8JyVYaLH5WhL1+f75uyeZENFDh4kLdzsC2qm+n1384+ZgybXSIEWA9IwYuAA9VS +1EfTHZWAuoqJoeaSijZA/TqyqG1Ve6eWcgDbn6GBFXLxKp5Y8KN6JClHzQWFiwKq +tTRdKy6OrsIiLERQATuZ3RPytyBtHlqZtKBlpT2itr4Stu466FnzvAGU4zx/AtYv +Ki2qs+iXex3vqYNIbaeHjDySPJG8s3n47qiiRIQqcoHBA9WvXkjrzVOa1OF6JVOW +ILg12+SOVojKV8Q8hiRBsLDDhg03G3CR4s494TCOwaP2sIfrINvVQ1UNo06jjPJn +B+9pS6F3zf3zN1PDebJw7kKqP1R5UN0MHy6HboNaU1NPJaBtkQCyN3+gXW3Q9xmH +klZY27j5LnXLO0NLHUhK92tdGe/o/y5uosnImaWOKLfnaul1qfbEDz7/06PJha9S +zc7dNCw9hfHkmCNp4YaA7e2S5CMJa/Qsmyta1AjQKuQNcTONLWiZC1uUBA1NdUvo +Wu/OmsoDJ/oY9fHB4zVmv5gq7/Yb0WMEDh5hHZ5I4y+nzmkY6BzCGLgMMs059CSf +KL88H9ezoy1FgDdGIvt/mm4+B3tZb3wvA8Qd/R/LMD9WZ5bd/nl9gDYBvIOOsprb +AcU5c456bHDcc70Q/qWZIL92JYBVNyzjwmfOtD5IQ53noemjy2m71SlrBwMRj7MV +pOzNFT7AK9dplOpZ4Z2vuijhNN3kEYjvazgc0d3TLpMsxfe7zGbCBtyaHHIyonBJ +i1+z9dQnURbax635LqF0Nafp4srNYdQKqAfHHQdFDmsn9DK8xnn4jn8+rz957Psm +VLdk3+F9IcH97UWyqhhxynCI1JO1rNqjV/FqxDei5fS38pn8bKEgy7CtAunMw3RE +zNarrzqLNyZE0HYN/bAqTPSi4JNCmuFJHEjYPOSHsnKbUX0sr4TA4JDQMRcrPfVP +KSWdCVN4d6GCXiRbI+AG5xTHkvtR9TbYGjHov0Ml2LBbeJnLWfhSpHk+XsVJhJbL +kFQia7iPW29LPbUBGIyzOjt/REk3cSiOXDHqfmYyNk3L4kmuuj/bkybFB4FXSHdV +83V0rDAdvm1J4abBG3C9Ll3CPuXYF9kThig9jKPu8pvuFB3GJf9xeApi0kuCkkPZ +a3oDMXRnTusKiPii8cmJDf3CbqzWmSRMNDVSc4kLKoxn5JTvvUC1LT+ICbEIfhQd +9IeiXjzP0lKHWYDhP1zDV3orSQTMpU9J6pgNnWqXGQOQ0OPmDUsc4dBPHQou2VtJ +rqzFgAzR81bttdZeATG3K9wEY7+BvCfLKfjbsou51kCHfdYA5P/odwmGlWbUPKzm +Zo8IvMk+CLKkzHV3kmid6OZxli3eGMecvA1e36w24NYT+4dLNKXzGlImaZLh09np +XxAoo2JBoKW18dahix/4hOSnTgnv0NEr6Sqf4j4pFmnaGdfcLpkBvfZS6daOqMQQ +0nKFovhT2nFEWA9Vik80aeWEeEof02CiXOCNJpiOLpbqZSc5bvway1KoKiEJZYxv +nEwV5yXKUMRsOrLDYiW+uHXiijlLrx6+W0EZ7NObxjMIi2iGAe1+9nAM7RBWKv6J +7tVwQt4nrmEwU2LoJn8gJc4soNP1UYSLtRao/Vntc8Mz5/CG4ouN6N+LSZ/7EycA +bSNX4SVFklT3uXqaZBjT+Pk30T2mcGiU4TT5aT8MGP84mNKSsnpSc5o9a7LRoY0b +izT8JCzBTDCJ3fPIDsJdUSYUvv6/BZxCXDK0ia/DjPYUZx7DjGXNTFI5Z7TlVnUu +Bt4cwT/WhUYFKFqYJyiizQvPBWbooXKZt5IUFnlNYwm1l9xybGE861FsO2+fFoas +WGNAr3ySbpZfzTvhAFEBNWzlwAVUzqPSF7eIK8d9xjSp4yo1HNbrk13yIatPReuh +66bGozDjVD48cqIbAu8ysJ9tqzdI3cxe6GfedgFzAq5U8KhrNPe2kdNEAMlQ8+cv +z/HVzGtNSb0h8Gs2dhEEL2C0k9+tSXjMTc0JCdmL2NNOFmZPrNdZaUUrvT2qu6dU +j5p9iVsABTcDPchkwh46s2zjz1IplHNX0ReVDA3I8u80VTQejVlgDuf4wPl5M+ZH +0vEQFKVe4Xd9XhN9BNLq+fL0T3K24sBUG67Uc+JwbGWvxJe/eFx0ZlqyEni3FYAr +thCQAiwnhnfmQvZ753StjsmykrNYjBk2m/9Sj3abjPuBsBYvP8/9Hqys/iEkYIkq +mL7K9f1ccxV+QzdJXoU43uOkWujcTMDwEdlmZtjTnP40rBTLiNimhS+fQcBOvv1r +13AxA9N2z5so8tc2iDsDC6ihwe3Y7goWGjlzRltrGY5MEhY2DDVUQElBdbs9Zi/I +kIiJA6+pouB4Mz77NN/j5D90idaRF20TzVrMogKvfuUfrt8PkfyLQX9JGepjwi5B +hHxXIW2VU0uyGkbBsi14jBdOvd3Ym1qNAm0qMfiSLE25wvWQuEzvcmc3nd0peZQ4 +ihAowNjVVOQ+89PGMNo/rzsvVLfPYT87FzefiqT5e5R5/791/zwbYeQktbx7wrbC +qMPWlQ3jtsobd7UrnQv4sH0dO0v50fBl7CB2o7p49bR/2GZcaGLQ9DU6aYq2kXTr +3bfaXQ6OUHBnj3N1DPwk0rCH3cMyRcEPynbBgtB8EypiQii45vHB+fxp4w232xo2 +fJ7Ad+Yhn1k95tX5J26wfYqcuWG9obIyYo1bjV77ycN+l7QV2nwTBJ15cvvdDlEF +UTSTbRRf9XFEKitj7Or1zecPyeHrslC5pX0pTPvtYr9NrWoGYTkbqIjK20AjQoY3 +JQSjIACJsAkTpnFEdYS2vTpKYGiXRDphs/cgfsR+KmIXpve4aouqAKLzKMETQRqA +Qx8w4YpwGt3hs135zxrE7S/bEAJZK5Ws78UrrYPejBlNhOV47CvG1bRGNCruVDZ5 +9DYPill67xniZJHAqRz6foGcAl4G2n/HZq6TLRuzmbQkpxSkht/aLwe49WvjIRXc +DU3WBc5xdw7boUkkKQMZrewl3Kj/5nzypoAy82h+/ipxBMG2pHeGA7GZF9RirbvV +S9mv7go2GDLzTYXcD+amX0AaoU+CbIVStB7DrHf1lG1An7VJcMQ5MqbKxlxfnDQC +vN9lhwWpKI0rCbZj7MRq43qscHfcjyfWi3jS/dIoTQ7twaoviHTLBZVbV4XhJYs+ +uPlHetoMH7YgvRlNrZ5cXL0gfSvmf68VlTnefTF9G1m6Y1QZYlY1YwbnbWLztGRA +6hOq5YOXP1ERnJwWvWJuO9gp4BndKtfgilZXV3BP89LxDtZ1dHG0bqU/JWOBYV7Q +Z2p/WL13BRuMT5eMfcrUHxKs4LepWQWJA7G1Rlr9byhwQXnaFbaVUx8yLIMg95JP +qqjsv9/RI9FWOomdVsVFOAfIvbLPy7ZYMinrI8QVWTeV9kucp6rCoQtnhqdHEJ9C +1To0pOTX1pGTQuKrnmH5Cee8FRp+mQkkzpSrgW34sQ2ljvk+6kl0ByXcKrBw5Hob +MoTa0OATJQpUfp1As5ldnvHyBeY8RUnBlXFte7QHf9THz31i7RvgFd68MsGPlr4E +FLnpI+xY3V+ymc1O9fU6PEqJ0l5rQ+OPE6jRx+2qENugYS9Mk5UaXIZedfkuSBjr +H75ICoIQqOXFciV2LFuohNFuK4tjwTWslbqgVOQMLX0BViMY6L3NypBkTfogMQ5f +2W1PQILOoYh4IdYoaD1yVta2PQUX0SM6anekYz7ImjjAr6yn3FRQ05EixZx2TXbf +hvU2tTYv+0Y+LXGOPc9GYD1ZfzL+7EqFr1EI3lnp/KRN20Rkg2yAHsleSm2XK+lH +fXuHEgeGCL4y9OTaJyGNOZWkIRkTiYvfxUBpRJbd3TD35qQ7qZ7ocKm0GbzITKj5 +4AC2bKreJ5X8Te0CmmKbiIe9H0sgiFY7E5/I1hazIkwYgLsPD2aSblCxYlYopiUd +RiK3ybj8F78YR+k+W2zwB/z1A+KPdpRe+cZHyx83jj1QYZJ6nSYh+aczEpnx3F1r +9yMVlV01pqx9DTwQEOmPDdd1hB9y3QA1U5jovduh8wGbBoifk838Tlh0AEImbl78 +WjyzdKgqncqcNUAVd/qi2Rj9khDG/Y0PV8cWvKTBIG/F7ttDVHVkhu7CdLqi1tmc +WxEDIuKu5EuEp7Tf+TB0tKY6Bb/uD9+fIOHbJ3zAbvRjIGRgDAY8gvPZQxWM/zkJ +3n7Qvo9sd+uFdGzuxos1I5NpNZ1TDfpzZh67bdhlkjDkgFFWQrb3T0KU7eKnKeNT +sgCUqVFN7MA+GyKiQiTV23xQWaKKsWlVCmw+pfHjDD53b5ebY+tRoyAfJXKlzdk7 +nyVJYfWnCJGZGjdkCQ0hYDWeVjYqH3dkWtd+FvoZk34W5ng9yMimKrdL/3nE/ouE +852icIvgA8XR8gtZoSH86U6IIYV3jTsLI900b+9K+75PDIAhJaZ9Q46p8Lo2PcPZ +0vNAAhRovRkm1zcRpWc7ITKTlVJVVexvBhqo7LU44iwwr5mId9qLkSQGD3ZYW1IH +ozOiEj7Z7U67hgiIzaOqtwtw8bfiLqL5yjw0XRZNFw52FMXYFb0mLS2QssMQicGi +CoO3ycOltUQmO/qaJNyibQeUokomeFa+H5+pPGcBOxfI+VDb44iWSA6drFiZvePo +aDzPB63xtL+809w/hr0H0QQKEkqEl0HVfar5r2W98JSA4uonjx+xZRQmcjO8LNrV +BvGvZJCowJaVmFkd+p7uqOCvt5dPLwb9MtmXABw+6e8yscKWgyDtzv6uXQ+lfwBv +jiR86bLWicwDTHuguQgneo28BrfAsC5jijWSOgPaNKUg7UllPC8GjWeeziFjzYYP +UPqZIc1MvP+jbQHJuMqkSg07yDZWLdi/3nbbcbMVVG7wz2TdlFrSltQakAUCR15L +D/mk4jilAW729yWpGYWAshIxi5u5bHvhh83886kjnWDXVvTTBlmlBtEXN7fyGtBg +AnVDTUOWqg/nsxMh2Zids+4YGUijwNf/NrjcnNlbb0RD4DDPe9axqVdNdlxvFviW +1MYg2ESIHL0/oh3bMTeo0f/nD3EHdU+jPO8s20vOc0lqYgRvEjGuR1AB4EjLbJ4B +BT0J6Hs1yPP41XetxR/LmU5feKFNm9iM/qnbwr24YHDxcw+ipFW60CbHcLq6doDt +fhFRkx2S6haVjKu0kOb+VItetgejhPJgrcOd3quYoMFjfdBUdiksULzz2yILtmfr +Ee82r/vuLGc0/Oq1pmc0yMzRJxUzeSftwxmohsc6/iMnQ9+9ILw4nW+dLNwfZPId +Yfqj8tQv/D1on0/7WqlPcDaMYyFKCuk0LeAUp49otzlBy7l20Y8AyGVlMox2sNtk +xBVJnlLrtW4cQ/6AHnNTj5zdxoW/qBvnqzc408gZlyjStRz7v9N6oLujCu2NuWy0 +bBvW4ew1fBakqOb16Qu4R9MTsFtcCinnJ1U4774lz9dv0W3A/oNqa0iqEsTTargT +tCH/qaHTWVg9M9PRcHm12XX/jNYfnhBU7oCrdHAJNl9tpK0HJFcVbR1d8x2lc2p7 +fISACTgpyykdo8VXkINhM+9m5SQFrt00tsAqMJZ2ISXhvcOd8pngMgHUjWfmwwSx +2uJ/QFI34lS3qyqvIWfmV2gw/BD2C9NWWipsE/VWQDJ+gLI/xV60C8eI06JKdU9b +5Ep3ZS7xiuOsZcGvy98P0iFqsbmkCbsf+G8S2O2uNJYtt4ckzxh2ACgwPYfIQxdy +g9vTb4x1SewoWCjK8XnqSUh2aWb0jfYbdwftQ+1Y6rYJW6r3CtP+bw9/2ONlvb7g +h3raSLN7AgL2jxzuCTw7HPrQL0SgCHVLECuIXuIClyOUUonKeWLgLUzeoxt5u9Gq +clo86H7yhuaBwBSnyhagpTcSKdm0rWrB77rwHa3lRptfB0F5BEsiKfLgIF2Xvfiu +qIfUBpX4otoXPmR4VcZd5V63Movm+ubFX7mJHQ0Jg6b+iTsGmrHBh5gvzzeTDzqD +Ygp0L14Wg7MHBQiuy/rtTTRdhQBMBFy6XmLyBgpmYhQ1+uRdqT/B3kx5Ro3BSWR6 +5c7L5578JCkGRKF8vLxTXaVtlDGLYWFe5svVMazy/RsFPuDRZycrWf37zT1QKy+7 +vKHOLCR2wDG6UNahy1Z5bfmuJKeHqhkQAdrsapstv8zAvETUnGhcaUyGJHrFeQeQ +ft+1r5e43fHTQtZBCmxMvKVhCIzuIWEDI5fK/G9zZWfF7xc8kGuKW8f2ZmPHWVEd +sjhdddYnw2jWTvA2HaM37y16lE8q9hwFIiOMceaSkMRAtdY8bS9drM0+3brvqF4z +1m5+AOgF2Ahpfq2zAeMIvvOZkxw1OuyWCA7Q5PssI6orxsGyRECIYsIpqcsXwNYQ +2rYOCMolhrSHmRQrGOyUkm/Qc9D1ZJJ0FX5BEGEBJ8Cy4Ge0ZOJ9T6h0dd0FQwWw +g6zwIKck98655tgLSQ1Axb4WL221xrL8uoF5Gm1F2AOzxACm/30NE/x1fvYM0H60 +pSA7WQnqrtIcNHNXezjQQ+Ug5H9dxekxYplG4tGgV8alNQ1m85U6g1NIXssuQFQ9 +l62MubzVORKGF/KmmNSkwdyfODiUhT2593llY7oaVClqFn/QatTkSVal6oDEjQQm +DMc4GQgtGPk4+Fc5Xd606YCiNUHkb15KK1rawlnZtilF7vJUjw2fRpjKHK1JhGFx +a1tX7SD3H7ljhZT9WotfqLXLfwfFZjgrcJMDRLBWPbvUoj644bYXgFL1jvLgDpGf +qt7KcKQLXVXF5kzqeTBlUTdLzCHRQRXP7HnqAQRSn/IXN5aoDmsTdLNOw925lr3B +AvJKvh8jyiQw6GVqnXVRrB8zMhOMz5ZC1Hz2fbm0s+ZiBGX6/EX22mvgk4T2goEx +4Mb2WA4j1lyqMydr/WTBcOFl/pu3Z9Vr5I/GSJM6ZSoV1m6dmbpBGa6qg8Br9ZJm +hQKF1breS80cXD9nwp1BkS+xIDXsLAG14J7USrW+paVC0Y1X31WQqiK0f7oONwo+ +9MZH+K6s5/L/Js98RpTXsjI4op+WqIwIb6hbMjl3/GKJH7sI3yAK/T16DxvrQG9t +jt19EDgKRV6yNvuoprWTZ1kQrFj/5zPavEY2Hc4+yMfDB+mqEIZWn71CRG2DU7Ov +MatGEDxNyv5VpjzFLYaCePV7Vvrk3KmcOn0toD6SXi5L2Na4S7rjVOJAsO6kBsW0 +QoSrPPStiSIANv85fA+sTWSeDRNz0VZ6fKQtwBqDz12atXnDdR4mJKRLkCqhSo2p +CWhgkt+uxFJzjrdxltdJCZ0EpoJir1LwZ/9CHdJ9USJhc/yT5gkrPYYQPJFW5XZ6 +yLqzyK95W6k1M/iwNiKDWwghhHgp71XZvgD2dCqoyWrrmWtnqIxPc+0wldi0IzzI +mbZrhXJnkm5IfbW+SBr+q9EgiEQFl6mrkzgGTielXswQwhK8FbboAOoVEZZmjx+e +joGNqcnq+kxYjPTTNzuMMma/fY0OB2EjM+MWW/Xd0XtdkK+qicm6CeyKbwbAikfU +AhnpH4zQHNbqBaJpxbeAAjSkjXzZiSbHUIK5zScAgcTWBgJG4arGHVNhh8eiXrkd +K9Z18QfikneTFESj+UuKEG65vtChrMr4mdqJTQneFp37NpLOaXwuVGtlVlcRv83m +XB2lmY6O4rf66T/p1isvKU2TFzlhlOTyPpawIsAu0kMJtd1XAlXR3WRq46G+M/fX +pmMbAiIQHI41kOy8CYLn+qxXjRDAClb+459fwSQylZx8MULHbWx1dWvt8RDWeR4a +Gh8tXzkvnb6K23kJue6DQjudJPvRFi9G2azuDnYgPDGe3risjciNMK+U7v6wQC4q +jKEWitDtPU/J6nFV6535ouja/lThZHo444EuTrlIRUBMmJRNu7B3OnIgIRhjRGCJ +3lDGa6DnYjVYYc9B4kircSfd61nAxhsNV3booBKteN+pKfVPf4punJEWUG3uQQ3R +RgG7KWHGyuPF4R65XTGMzu9HPGRvCHLlWz8AYOnYLiVxpNzAYpP/uLaXNtM+1lNZ +GlNlmYzBsmuZ5KiRBH0csumWZUvCYi7IvdJJtPPPJuxOWxrax7kQPhc5Q2SQF3mS +faYhwCuUAl0YrfRkRh0HsjgNLphChGw1qX+5qqPlIoxj4d17Lwn5wHPBvV11NthB +3ObWd9gNaDfFg/4W4T+tgqm0F6iJq0Hm6qqHA80m97kRZFzTaVTJJQTMOB5flaI4 +7Ui9U8eea9+uPtn97UPhoEddQ8r4XFXFl7ABjKZEpgdRuQhd5FJ7JBHup4pwUgST +N1xRfan94H9h19koVHlUMWjX7TUi4YByBW1IBWdCI136coyczN1/PLNmJ9xZe6WU +0XtYqVGi4E7ntQ7CnAYJgbbSYFOh9sKgNMzbtP2aUEs6V2NQ5KJUyImtrolLdh+w +aZlz7Jd6P5k7FNmYHKxLGdOq2SqaLn9PGKz0gUgpUSPBte61O+uHCwGSV8aFv8Fc +GTCY4CsUxBobeaFnUvpeCPMcg8H0BY6Q/eF34LLm7zhfbLzlp0KuI+2lw1xutK/b +KjauSxDPN3bPy1/Eb6DdB+UOdbvr/MuIKMxLPrYPwkE4rmsRatp+hPNWl4Ddkh8w +uxZJ/EB4VoCd/xEmvxE4mPjYLMBMimfhbgirlXRDXSo17HMsmfTrlLklV8Wq3Z9U +QqWqkWG6iJCt+pqXsoBx1aKfqerNrfC6REPDf/bCwt4+5ESd75GcdPGMRldBc11W +X4548TkSb3bnYb03UuXeD6seHwWZh+iIUc4qu8E/8HPAvQQWqZBXNbvMHJkfoUXX +rad9YzMMy3hRXTTVh4mVti56z7o54n1OgohrHP4Bqi1NBAHtmfmlhcZlS/eJ5z/X +hYxVeb3+kdQPXWexepkJr2uBE6k5/52r9hB/vY9eONfDtV0q08bt0yj6ZhJYBEK7 ++84YhsC3sTc5aQGfhv3sHbt46ejdIKTwlCA9wHySu4vpNXjTiuidOIsuF1j9jpFN +LZ3bOji+JakezNi8Dmy6eySL4xM5AOE50eaZHQjWDvHpmhkull5NN0tb8B0z5ceT +vfXzVObC0ov0z52Qo3prtJbdwqCLnGrZtouc5avIoGIDKKHu+QNot4t6DHy2Yz3A +JL31geSum5UJDVm8XogDp06TBaKNGy/u+zs94ZYrwdGP3I4mpy9JplujrNE9Zvhm +xZCgdW1xqYWBwtyWl0PyuLgViOpby35LLQq9eE37AMimIe08AiFkaLx5EQvKiGFD +aEar+hm0zvsFeuylcToqfWqtMYDw76YB0s2EOf14JlehFknI0rNCRhQd7Dw4phCV +vZvdS8v+6KG86+utPisV1sIieVJ+ob+gwgVaW28ShNcCev9rkRtoAe334fK4iSkH +SUl8QWJ/wRqcbVbhWqi0Nl8/5Tll9gZ/S7jdpeVEfL7FPtLW78u+pJvE9D/ZoEn/ +IBsj+ODhKrB1pp2SfctnL/LjdAfWfpBuEMohWs4OQaqfQqtFiz+b+2z9voegTEi7 +9/P8UFT9X/dofNuFES+f7MXJOM0oqoASayOyMNNuYtdeeDLVTL6g+fyzXqGfM3Sg +b++pfq4fJJ4A0G+SehFRAzWLQpIuhbYoHwgjfoG9/UMG3sBNSWXKaKrNtpBMgtWg +26sWEssIuE1sxJfWxUD+IxsopgNe5khZtjVK6tUvmTNMukCzWhpmTKjhg7YVU+2K +KXCHIPrdZ5OsA3tTa8MoRvNhnmLep3pti56VIFCOxAgIdKz8yRpU5Pf7x269mM7Q +6ANHn9zw/nS23Yp6ZwnyE06rIRbfHIvykVcBl91uCM3pW3g/rWN5G0hb9m0jxM9s ++ZgO49daK/FOTHwoHEJ/z1FnC7XjnVWWsJxNmK6CeUhw6fydDEywy6TidGa5Juhi +a7lr5n6+qCfztdVVXl+L9kQn0FC2s2ZD9pp8z7JblgR/9lCW+foHf4OAmySjFHXw +mTjZ2rLBgu8fj6WiIRszJmVxW4OT/G09KHXGMNqAGpA7e7J+to2UBnxMefiFmbob +fV40OGA3jFudjQH5EHyjIq6zcb+EEomiQtLVIo9XTa4VzyvQu00zbzplze7xD9cf +gURlbZPiSld1Y9mvDChmV0AHd4yK5YJov2YjDABLaLhFkpuae/bRiVxjXiW+SLhG +WsrOvFSBsuTpHbRcYBz4Izg1AV+o1nAGVeY9IzAT8QoEX8HAyfTS8jUqGhu88Z6s +38jgpDWNI0zi+hPa7tgwEqsQkQmsgTOTGns+h0ppEQMlFepLp5GNPZ3+oKGTmKbn +j3q/fVpDomPBm8ygo3UqVjsLkUMGwjszIA52cLSuGz5ONQqYyrqn64Yo1t5eQ+cg +5TglgGXiUg8I+n9qBE4Ueh0YOQLcGg18hI0BI58oFiz5wjE0lyzuVl3ghr+MrPuh +BVjao4OIdEL3bkddq+GhodzTjINAP/h97zvP7AvKDVK88mcUSrkWV9qyxItowp4k +vTgTDNMMlu3351/ugqg0C1+qlILuImoYMj55HY5NijJzRgxps4bxs85/xeLqu3nP +AVO16VTUpwi1j9ZTTtLuZMgAtQfJeXd5TusRTzGEk3gtaMsQk3Q3WnTIAGidzCAI +vpzTqrmInrxZckoenXnAaXrVmvuPmYIaggSCFRWuw33q/goT9aNOcVaBscNaXnwJ +B4JIPrwP+V9oa38S99ckTsSHNTe+aEnSRBSQ4rM9TkX81hpG8uybDD5UbMuH4G1R +iYRs1H0csj2X87oggoi83yMivLJchBc6qjSGlkw1rAWnoSQxvpAcnx6pVMEa9VD3 +q5xdlC/SjWk4/Mb+pHZ2G2oyTlbSlAvcndwzcYtadu4zGgQeR+ysCsrNpGwpT18C +pQCAZvPMyC/YJJ4fwB2y7syR3SHrDIEVpYXufZtXq0T66NPZqL3NwHGoOAUrIOxN +zhfwBLiQ3uIAPQ6WJ1CS8kcWkNemsxqCQPo5tnBbv1V1NdD+AJ3+Z9GOsNtaRaTz +SMXDak6bP4lm3pBEMrglkoiUdZzf7UtSlMl7ua5DUCN39cvEikEm+n8/IO/ESsB9 +nm/oeovLzZzDoorbi7hGIB/1W9yUZu1hpaXwBSS4m+UEyKwegnH7XQn44MABuxUf +ZwP7Pp5Sg4N+LonRl1S/HB/eSZkSJjZxd/BYlFB58J56qwA95cKOYMZb1C7x81V3 +eZhGSUY2L4jytQKJ+0UWEIPLt8GHWqwQLIdp+BN36uoKM/pVkBJ4pnUX+DzpbiMB +LtUHoNQ+g3VCJdZCz9psciWLDHiN2m4AnCct1NlcwEEXj9fOZRblc46FCRf+k3Ou +z3tq7hvZWjwOxBQnDOF27CHcE9k2e6pj6MoA0x6uxwNpW/cnJq80nnA0DszcNkTX +8xLlLx/1KU4+6t2pWO8zwHNn65Zg6corLWO5hFIv1p0GAzXpRzQR8/W6SK9Hi2pG +J2PY2l/eifuvGztKV7pqdLOoJws65uxl+75pGw7iW3W1n2gK8F0kazh6cY8OcxHa +tFapgVuniBl5ubusnuo/VcfV4U+q1i9KF5FTlHklQ8xAXRq6eSpsEwyndwUSdjdS +P0nZ2CsVL9ItFmq5RR5G8mj9w39HoYc2MxLPHUoFPpB72YQhQUb2H8XRBEb2GT1G ++QfxI78URfTIKXf69dX88CO/zpDvRR2kYxkg469gwORHnQYdzRKl96VTtA6ks7qQ +5Wepe219kRMY7Uz9lmheGDRisMrugIhYTdZ+ODyuOgV9o4VDwgtfFQyIaDMuD79k +TWCPS3EPxYm8xpXUwMcpbHUPX5EYGj0DdJ/zFPe9fxjqWbrjxY7mb1y2EOBuBCx8 +4ytztqbqysapq8wzmlaadK5y6U9CsYjasNfy/+OZn6th0EfbIM6Fn4gkEI4bd7N9 +jbtBE25dbF281JfIwxD/fcBduI9SQSSq5W4+ZUxy+elAzJUg8tRWCiqxVsF52U4o +/ixcjOR6zjJfqLTLYQj6yXNuOQa0NN6qeCz+MeZi1h/L5buPAgOW4R/rO3hKAwuM +2hnUwDbuW1ctpXGs+9qJVsnN0zDxzbRYGH13oC0HLMaElhxmTmdVvQ+JlwNv5o0W +nKW5MCVQXVNHdXSpjBasVQ7TsQ9Ensoee5O0El3X+kfE3TlQqkthxqJROP3V8WQ6 +S/irynIv4GqBy0qABhYj0sPtf68tx1b/7gk/ZYAKnF2ytvLMb9RxOcpr+rAtJFhR +b3RGoChSoLAKe8BfsnqAVVCoIrw8DFS2IQR4ZKqh5VK3+sP3q8ETa7fRPCZOENnt +QIJRA/QJs1S3uxqziM4QQYxhdwdHbAussih1reYm7aDmv3culth8H3oXsV7w6fDB +wkU6EDx8OSF9apHJErEFU/D7CALWMRRIBzd50SGe9Hs7efBrghcg5dR0XLEHw7Hg +gdJs2YdxNes7BfauffyL4IrVyZT8bMEXF8/6G/vwMzMoxEfsPdkrmszNa69mcwIC +uJCSBHPwlb+VqboEbNCV3dXwuaEm1sh6AEcciMlNtVtMcKbQUVsRCBrY2zi53AiD +NWgwsQLkh8MT68FvmlS2aCTxYv82pYUuzwFGulksP8I9NUePSNZqTZL4pH8dDgto +eWPNPd6+pkhdejf4jKEutLFm0a1Pa5u7YuVleMTGJolj5zKvSlzM9PZrPiEG+Es6 +Qi7NsOHP8E6Jd7RyamEJaQ4TtDVRCr8ROj0Vj9c3juQqa3pra3utPaxywoNSBGOX +Fcin8ClcZWqQhcmZwGIdmDaUh3IRhsZxX04LotBz36opo74qwefwRdbBG1POUVi8 +J4JsnyNvrf/aThOwAEDP0mEUOThDJUnx33VEImF8XRiol4qFvSkVaC3gNsiokQIw +o5JG5vNiAGM89U5AsGxAKk8tnRRpzmBr0bIUyJHhJKx1dIIZo4c4OMK8snfIzeeS +dmfo/P6KyV8ict5W5h7SaDrWOasxHOciwwV8eNVvmXqeuvw1E7FKKT4B+9pHUyNT ++VDG8uDuegi7hsEttlHSyJTahF3T+UdoED98AMFv566YRhLARoLYCqXvYtGocee8 +VXLUiwPquCpxUNAVDU770OfcYueLnoyUIwHY+2ADTl3NNA5ruEuC4HboB2mkq6PG +0K3AVmSuFI9JuyEtIRAXd4Wbho5JfVWWrXS2yH7Pw+1NxC9Pp2hino9g9nBa1npj +WB87v4ksQd/SAezH/0m9tFV72xs/+E41LPq2pnzo6OVg/PofdBvE+ECDK8rZHKH6 +oCd6z7pr5qD8OQF/cao4hcwous5biaLoUv0v5YPe0GyQmafer/sjcysUy6w/oMYf +QPX7unKgwOjC3IpdFYa+bl8BMjS2gAw68c7QrCarIvZQP2d7jQUeLpT0FAyuwmQ4 +HEjzVn902AF2c9z1X8rlZtmdLCSTZdqXFjXRya3MIHnSdyg2F1mOUL1UR8KJTQUX +gY+y/QzCxPwn09vKlp6HXEq6F40v/DuNLIU3GqpH84SCX6qLgNxrC5bLqGMQHjY3 +TIWLkD4Ek3mtf8yyG8EnQnpGlvafDHZEdLQ2GuuyRvuTV4BXGOUdiA/J9JoBLOQ1 +AoJL9mOR5qPjCNhOWkLn5KxKt8OdRL2/4QO0Nh7A8QJ5b2pxOOAffaPMWumDq2OM +naPdJPtNIu/pjDgA3d107sF0rmpTnTGwXM6IFTCHzuR7D/PyhNDSJDYTfMFAY/d1 +lkbnaGlukXkpBD957C0kc1qdQKJB7X1K0GHQa6XNCZfzt98RlP1ibxEx1ol4/JT2 +zl9z0QN8OxLcLjWfXPaE+O/WML4oZkiIgg+j1qF0B43a9L4XcAyILE7TFetJCQpP +bIf/jfwqCHLiwZEUabYnjiUyC0pNCq+5Q+fBnc414o+wvfDD31w16FPBht75Tjjj +BVVcP+XuRnW+hALmPj4Jr/OcEiL4nFlFvY7IhvISrYOPWbjbJk2EYkTVDvC/qj/r +PLZN3WBWbYT090mtir2KG1guPydnszWXeLM0jTGXDQCf+J1CwWi/lgaxvH6qpBv8 +f7YyRR3BrsqTKhEYliwVRCp5mLHRylY7lunpTb/i7/p9qsQAbV1h7jbIv7YxnjuV +AQKAjwN1eenFgrIbpRhYr9TQekWZtAX8ofEtGZxGgi0nz0VjvDMpTGDHdrQLxx8A +FCoP6QZu1yF08+eObwP41JSnRGGWG7sXysO0aDmJsCV1iUPjhwJpE2YnhzPd1BNG +Bl+eZk0N/8LNB/QpCM63tMQ2fxd+f6277U7bR0IIFCTTQx1cYivXRteVxkX+T3MI +nS7rEpX8uiRghqQ3p2/6t39xJ4U87Wj4ELfPBGJqKCM52T2I1qeNI7uBy5BUKy2b +ScSqBaauBn4m4s/6v0LZ4IK4XVgeUSJbnhRP0f8mYtrRN0K1tu1vf+IgY5dxCYek +J2UuPWLqx2z/WyNZ0SI9Gkh1dHS6hstsO7UiK2gqaUFSAA5n0b/eTBZqqoNgt2eS +vzIPwvpQWin+Dn2sfEnfXWVPFZqbRdolCuMFcfGaT0BF0howikMuzV+9S2wo0USD +ZSY0wouD8+iBbxym8TrrIlP8uPNjXvkTElTYHu49Lzpra/9+/8+eK6zpqSMSAhUp +onPElI2AeNTDnsTgO3vzVftmJEeMeNAi3Ws/3o/ip2MlA1teIYE4Etk+cAOMabcD +vzKjN4CbcPrKTWzK+3noTGMoKvYOnU4jlWYJ+UU4ytzs32t78EyWKOFN/MjCruF7 +SMIkcsKXQPo7n6q5jxMAnFDhpiZh+NUKypyh28swE2Qxi0b7XDJLNPO2bgGYyvWK +y7eJKKGN6FBdjknwO8uorXiyhkE0eni0jZYvK9BGcDnifCsqtJFFstfine6Uxn3V +TPR6ZzB6WchVB3HnaG9XAsFQr2++xcszoEBZFAFcSWqQaQZ73qc4kXxgPuxARL1+ +WH+8Slo3/gzJSzNd82lfy490T1qvTF35TYSxFbqVHEAS/MBreIyu9ocGtfCyj+Za +H8kRBstXwxFuRtymDeKnrJNiHxtTJEOLMBRWJtwhwN/R/g+KEshQ+OErCmmBbJ8A +m6j2eoFfoStnOlSL/iJ3tZLCtO6e6148ggeXR6rkPckABRKj/Kqnl7Ua/2kcGS1w +i9FP4FUbOa7EXv3REFXUvyHb786Qmxx5Qu8SBKF+cppJCWiI8W5lk7qatmvZZoc8 +vNbi0XUFLDheuy66G5e/DPgiNXYAUTr6gComuXkd6Jg+3g/eESkxxRnV9WDiGo9g +oxPVWuRCJYiPdvrI+5YR1+t2TWMOQVNBygNLNyssikyXEkb/AKsKld//cNurcsLE +3ZuVTwOadapGx9bxrYEtdb0PBos+B4x/s5oYpXdxXS4B2SZ8tXx06paOdWul+1US +PCkIr+fpyJFCZ6tGLk+cwW5MI9XrIMNmA7xjVhYL2vpwgz1oNgsbjorzUu/wMbzL +QRpVArq51FHlYxKw2sW0AdkOL5Go/cTZNLCYgOuiEyA+wRJ7rM+PlvJlJJxs3a9u +RIircqyog6WnQlwi9Jm7JbstD67vQHEpyOP+/ji4a/3hHIqo0gJy97X51PRPUp8r +0Nu+X3U+X+YdHfF4qAGCmZsHTZq955aQdc2mKO/ZpZa1+D0sMbqazqPlUTPQXnLs +Kri9JR8cbnNSecK+PEPgF9Be9+1FvVSk7RJOokaqP5L1CW2OSWVwHzkuPnzGdHO9 +O8iUZtDNsHLohcS+RnJVHXCVBeBphB9u3TqqtwQs2kbPfYnJYGTt1oko3fcGNIN6 +2ZNXP7eZbJ9t99QnLJEaqU3e0ZPMvps9cxbVuYALceJDNMWjmpBpmO6p6uy3P4V5 +yrKkyHpIdsbG2b2BYElzFzySivONtY8ofokJr4hjkMvCKworwYsB/1P+MpxzgTQw +oBU06u1OyjziMH0e6bVl4RstkLiaXWB9sHk4G+jeSApUXPG1+0Vxw7ONRAbfT0rI +yGjQUfZF4WUqcz2lxMhP0vSvaIlpWgGsCXnpIRQ6FeT0XrtFFXcSD+a9GLjueGPf +2d8sRewNWLlcjsQmGwMiM8yrCSdwiP/Uw51YRqGVaAcTtgKZtk8t6RwGLO46gkhN +yxQSbj5GvRZ6IND8paQ5kvYyWDTCMNVoSRBOJw+JEM9csNrpkFZ1Cs3U1ekpk3KP +iKodmXiukgdAG6AVmoSv++JOHdBQDBTRz2coNTi5oa/7QT7xDjfKe/bVeGcKCdoA +W0WKwqBpxI0HccwuZvPIDvmHII3LPj92eRN2kIjJ9EKolX0DZgcJdFbD1ofncOzh +sM2E0wClGDe4n7ZJpdDHA7DV1ZSDQBsGOapf1mIb4mQ9uiRAXgPDmq9iUqVWuFTT +B+TnybfE4gWIp1s9aUvvkPC+x+uFRivxJWALWg/Dh/CuhvxlDbhvCCXjazVFluJd +iS/8VdDel0GSd7cOOBX7LVjpladxH6xxGOwWcJirclIKoNbXbpqIspfJyQXvtQwa +XFLxeHtVcG1a+qwP4qXmfOY9j0NoPlaLc90FW7d7RzncABnVVLDvms5UQeR/nyOH +4VhAY9U6d988kg9kzegVRLPU1EkeUl2eadmxGLnNufeXxULFDjtLvGqBd+D4qLUL +/wg4t91PTWst5NDd9oHI2FX91Wi7zYlbsV5rzZjBNXKBShEt7jIFoqAbJ5BzTySH +CAvKdx/F4oDjGbKnY+VV3ybk0vRLvaiNHc68EcN3zwTczoHGgd0eHePXsfXUeyWK +lyojoLiCFwTHae67b2ms4EWQRMV1BaW8EkHLKzYklm8uAD34fZ9CoWzrSJZ7t/w5 +T4hEAfwJpMqFQ7+Rb1jBrbIdGVYL1qQmLQinWrfCzI0fBHLyILlzRw08WFhNhsOd +kPIp0DHZ55KCl9D/Ys4nSZnaJa7VPZMuZjhhieUo6iF8bau+jcj64N+TbsH0tYh4 +JbackbGrW86yFeOap8HMY4EAjjBQX+ABw3mjj3kfmulswNYwwQ/MMFVn6kKRcqkE +fDqhT6wEvPAEdn07Mb23kH7r9Vexoc00qZHoKCG8XJ+8QLwp1JYeo3uHvA6I5GSs +HhZ5djpVt8fi4EOpr6pAHL10XieAOixXCOIcFzV7ygrKxPi76P4y8KRcoEzRJpwa +jWWhaxhv45VD2n0X53OSAIPBhBEs1B6eh2K3kwO4D0i1pvH3qP8bxX/9VlDdEOJs +wMlLPRzY5tZs1FPQJAQDz4UyaXrVqtUBmTEob76M69WUaXRAquQ82r0Uhyt5Y+6J +nTlso2mpnK+TH/6TSba0YUq+gtKa/Xg0TazZELDuR6P4vhT3OWutbiqacJGsneIe +HlEbvdGJTTAl+kQPglddb8gljeNJpyxFk60ly9cJQVzZCYdGYM/vQy00XvEdzRPm +y7yyNMJrzgeVLRxUWdPg7eFGGAN7P9h+FXmraocrdhPG24iAQTA5JJHd4KDkmOvq +nsz0ntWCkWdBeUZW7Caf5Ddx+uKfeXpkQaJDPpjWjXjEYbS13RZhPBJKr+HrHeWf +zqFrrl+ddu8XlXWnbYBJjv0qI9n3eSqjWRWlMVv8g37VEwUVZ1wGsi1W32/0JG70 +1inpLbB6qPMBroKyygrbqZpA+Upt1tO8OIu7ZO0v9MxDkCir88XWZR4bSZ8OCOz9 +lh/OSKM3kbV2Oz2X61/sWBg03TSqYl/CQGzJ5eaLvMMf2OAAuDvY8WkLQcZg2fWK +sFgQML6bJxyba6J1RehTK2tiLgbQZKINA/sI6B5OHnEY49QKhjvPbjZnwM5IJxj/ +nhWwD0hsfK419rYnBHAoPKlWH+Ais7m3HK2h9QrEaW8QklOicHl0kVP7qF9d1YB3 ++jPzfEvJqDL4kXjrctUgPwO0wmtcTQuK7wzO7pAz9g3CXGQ0MqcnOdR43fZa1NFh +gphg813z7U3cA59aDZn89Ee8H7q78fIc0kvYJZWU7lUHN7vJ8Kj2FRU+FRJkE35p +5+ekljAvI+SV9aBGJ7g3nxWWii7A+Ex2xi/eQU6KjjtufG/GKm4DAdf1rDrBGHr/ +OR+Cdg9YNzS6udHTeE9uAij7bXT3Lm4RqvzMZ+E33N2tAfdO4qXzSFqDQjaui99y +NLt4xE2fR+D/h9qN2bBmH/29Cwe383FA8SoptzFBJImUXrLfdDToFjXBkY0GDT4f +0jvM1Ehyl378YdeV5DgU/D1lixfsHtx+5RX657nv76Z+j/yJ/buCr60bl2YfTE9+ +stEmsRziK2agpyZjtXCrlZnJARhRTXBLjGqZpH/hP0hykVIrVGnuMqRq/xBh1W7q +OgZrkxQhYKNcKug129RFzB8KvDfmt9bHHkb2gBppNg+GL00F7WR1D0HSUHmJC46y +hxMlzYc4erJQfsMVQwJieAV+4aTyZpo+MSIQGVy1E4O/OgQNuYERU7fgr2Va9sOT +zDbvlKNTrc9dUA/0fOT+65EN7W78m5Snr4XGqUbiG8L7+kwR/1vUQT7VNB91BgNH +I2vkfWjCsA7o/3mnR8zZyJqU2r5nqeQqiPwPhpJlEysNszpTqJkG4dpNsFgvDB+K +6XOkrfk+lzQcA/BbBF6tI3ndGDzka+L7gY5APwiGGzbNlqxpQCSXc5bP+GKxJNsv +ZZ7xHNn7RZYsIrzboHwXBEM+t2tdp0Tk7hnV5fm04MJmRDW2HF0EHv3El/m02OST +srd3rfRImMPwbofQkDaCM8nfvcl3QJ/g22qqL+syJ0U04dQqzTFEj7WQF9ZaHkTr +DmJms+1u70Y605sVIvYy3+F0FcGyWvU9NFtlJvNVMZX2MKcgvkB56Sy+iSXYuXPB +LYyhm6fZjI+Wm6cg3ZXUUM6wY5dpgObb+8TCHbCPbvMfYsgrzEw/N1oO1BQolWHU +iSSlElttnr/5OkgRmjHAtjYkuxz2dhh7bnFP4yU59CHE455//0WLtSIIypt+BD21 +pqdyYu4cANXOPuWo8QYhKFAO/9hE6Z9pi/N95CIO9KLc+cKPWOT76xHpdmkydE2E +erCi3598gtMZEhqRA82KAMXAsR3sx2juycDUxgKIHUTMroXxTROTZQApGFd07TQ3 +PBSd8mRLyC/IRb1BfWYgBxEm0NIiovaxjvD7e9zIwC+Hp+I89WWJ4hBMF4qy44lA +D70sA2ckmv9wBSgKgPhczdi+Peaak0YYRr1q7bVHtYRQLncqgCXnEZ964ejKgqLg +bSlmxWLCybdxMSvNA38kinGZsrRwXgasST9/pDsOgAoH22McLX54Q43xbPIDgIzf +2SV9pJEH51owpsrW76Mrjs8bouUBbZV4R3oAW1KFj7Zhvt2g7FzbnuS7jVwdAgUQ +xsxQ66bkBz1CB8ID3dYTVTwCKEm5DVhMYyuh/uEGYigAiP4bf9FrtbjQzgppeiec +oU1YnuKNwLNcOvRVKsvf3V987AscPljkP5SfM29WXvA2E2aB5yKABBmqcJPwssve +G0YmLHeWtnzO/ivH/dUbKln4Mf+T9DIq1mOotqvcXINKwPPVe+VHKVqpOYuzy4mQ +9c/BBtTnuLUPmeGC+0a20veB20A5rgFFiCGqrj/LTmSrEP67GqmVXx6igLjQZgsO +9c3DLaLJw3HmD0CSXHTq/P3b1bdlLYOH3xsNNDSz6PpqvE5jdJSY3pGnN7cKp6yR +Hg3rzYXAQej+s5F2ZXw4UNgCSXBuQTi+VbsD4T1t8YsNCDw8VvFZcSS2yxgM9Lbb +5I6Gk1Db+Z2qnZW7V4rvyVE11uMVMf+RKjhKsCNSYsnLLU3/hpWmp0mWXK0aVb1K +oE33tmgOPHj05Q6E07XrsoVqBh8dm9jK2tKWEH4zPCYkUhC/Az5lV4HZ3M3uIsY8 +sao00za5TK3Xdg8cOOTW6rVxU+yOo/XYizkFG2EBXWmzcv5MxVNZeDKjCtx0mjPZ +2KfH65DweS08WSVPvoJCA0DOhDI7QoZqZX3vJXd4zeZGFWcfiwyBzZX+jmiGiV3l +84Sl9pT9RtjrkaeXOx6OfC9QMO3EcFHi7WoH32xcw3zCqits0IIzskYNj2tTnEoW +DMchI7WqMfiYdF3pG8bWfxo/5EVazVzfsdZoUCkv4mqjwTEgQE+g2/vlWUAJctjt +FstGe1BOpXm0jasPyoTh2EIe6Kgditp5CsXyTHC83oVMKxnKQqmjGSgdJY8C3NsR +xkYBFOiDSHVgPfwjYh0G2Oud50igACDfXtn34Om9H/z3LhrX7WdSpofCx/ykKjcu +wxPourSHL6Wrq7BS41f8SElHntl/OL7enPJJ1VCD3hog0U0tweIFPmlFRY0T8t4Q +et8BFDYqiZD+5/SuwPkM1gUkNvgsLHmq8h7E9WkKfxx5BD1Pr6/AeC7Tuwz/+PlU +pqhbYQFzjxsaeHGywfnDg58U79s14t0FPyeTMnWDZ78jQr3DNFBrfSCeCLAJb1ap +IzRxx9/wV6MJQRjQ6IzAjMu91+/OuUi4jBb+HPucnrqyxBLfAMK29fJdNIVgvd2a +WTx67ZhkkHNO9t0br+ajs7rVZTBjOVFSaFEMGPhBWiO0xqja+AbuECoZ73GxO7bV +BfLgrFauGsIm2xN8bwVDaBW6xrmEGgiArLFqY9p4f0JCWzyiONIjyicsO/PvIEK3 +0Ct+ldWRZ5pYP+hTUuia+QcQtxvmqSPsLW+cdHL8YPbEoa5y9iETOlraq6rCxXKV +80cHZ7DG0XZ2EZnGORd1wzQ/FqmoOu5V45fEx+j6Jk5t1zirnhjD8d3CQQNw7Vk4 +cfgbET4Azm4Ra6YPy2QDP7WZJg/EURERMLiYiy3bmcR/80ORmgmlYrCeAKGVqjH/ +uS63vBNHtvXF6yKCQGENuyps+Ri7I85luI3PlJWGgPBv6YADiyShUAHfoWnqwRGq +detkfjqdDCj8mmzZ5cafSK/MtRnsUTZhq2yM5qX6zhDv/W3tawlUQe3yEJOGDQmf +Zy60qsSu2OAdAqgpjmO/1Spi7AaOycnvuuipDUhGxOD7fJyty6/sn/WdzArwxKT4 +Yn2a1+7+5XDL4TW3DXKiaWhmF7Pi1cwpOxMSVzOCl7hDClgHgJ97Xh/Va/PO2TZq +m0IuwOHj7Oj3/bTgz8pk55OceI3TTsR9bb1ORx/N/2VE/x/+IwhZrI+weQoeGG97 +SzxaFYA+lFRbNNBukSn8CbfDd7L7Cc3JNhYJyqsC4cSOaAwXseDq/nRWTssULvIu +4JeyDZKpvQSY4Xk6QiLN4yVazOaenAZfBdsSYAHPjL+lHoTdkmPeqaDWm1BDpP+M +Z3yeLLU06N9r1rw4Nz5sIe6EuJsCE7IShBmxnwLW37VDW7Cq0ZNcg3Jsw7QyO1Tx ++oR912Sl/MmXT1/jLEtmlEcrJpkNuwAWzPsPlzeOyLuuwDm8AOGeWwtaWcyC7SFK +4QpLT6CD/Bdm560z5rsQlncpFYDAzomR43I03J0CNlcdcL3gKT9FeXzRjfTcsmJd +CrEueVsMg42RM1d4qDw9vrsLZVfCOK3KfiiJuoGTL3gBJVR7vSDgHxI7TrNsxFEz +bhmnehk5c4XlPg4ni2SNpbdHpWHGxKU8ZPx+4hDTJLXXRZltN3QBF48pi3JNfymt +OVEEPlzx2Mk2ObtiD9Qbob9LfGNQf79EKSjDdzMphUUC1GFR6UPVVzVZfxCDfeAB +yHqhuEP/AfwoDWaZ1BMxAtiwYZOmUNk41NKUUyB1dASjGM5gFeT+hHtplTqQlmNd +DzGXdxeMhnz/gY3tiLC9xYNfWylRYj+L6K+bngiia5HqUQpZxAROPX364nqBwOGz +tt9bpZdyEWZSIOiQEoiLI76mDdWPUruC/NA2ao7PAHhLTLkHMqIyJ6I2ORfN2i6L +wDKCNGeMtu2UJ81/xs690yiedUqjzBTDsN0E+etGvSVjpFVwDhMZfoNhktru8WVD +81mdyhWjn3FVQPnTN6oqDkWaucjviiMZzJTzETHS9VAGBvx5ZDeeGvjx/4BKwm49 +7OWIZT0TfIUOZI1BiCMaoUR3eKdlY8d5FHBfLDt4hBtHenCwcIGhRg4gm0b54+rv +OhLdz+D17j3yNzFF0MXSGswe9lZ43yN4ZQzH50vy3SKsAL5zRWXtD2aZiIx1l7cd +FY9dCL56H25TnVr2e4jaDw4OVmpk9IceREBDfKYcIV/cOFw0YMkQVe2nhkaWFsod +L5VKW2eAT1ik/3RbYg28CA/s+HFkHhY/kAXmQ7r9B4nxNk7TMEEVCsyi8cH6Efff +vG/KBdIvVrHzy0w4gXJv1vJwN0lsj1GruY/UIOGvdl+sYrSIjiXJzyeTuTTkpDF6 +G4sgyO2m3xy4rei7cgQjwjaluZvqsyhnu9uTqRlkL8MtBx2fOWT9lKP1+AE83+d4 +SVdz8yXTATnlSZGZCAY/twvrGyrikO48J2tluVQoBKJNUYPdjr2Idi5wMVYwjgFX +kgi5btm7FPaHUNoOEdsnPSZFVDq9Zl+u+ZC5zPdtD4X+lqxLUfo4koQS3bgFQn0e +fDVH/43e1wHeaT4T73/8DRw6jYOcp7cnY/NVA1T453AYxECOKfnCCSQ1rkYxUJue +VxrSB5ExuaGgl55GWKdAJuAxSR6W+5PxvnMtcinlkkqn7x7x5zqsfagQBdPUqTVB +CJTwv7wlF6T/MQ9kF2pogBTEI8wSaizlaTT3au2GCNZ6kyYcgNfC3D1o2eDfoEr9 +5Cwn6t0DitJQCc1bzLv/rq2mhs8qbuMI9lJX2+oFMRHy26CzexekEvvpTEFkiqWd +S9kxeIXbvDB/BwS/d/Lx/byDHMeKaUgspsUUqtgyBq6KQw9BOqRTMz9HEYpZtWup +y4Vhq4JQkdHS4K3pu5dZaHlL1znnSAwCynXU2YR0RPx2UVITTkGfvr3IXi1HYarN +bUZttO+qOwWiyRuEHw+xvW3NkM9VfbVHsXIA2MNJGdhlMP44buyVyJnhug3TPCQw +MHLGjTmip0B6BP4YuFdccW+CCCorfvCJ3IMfww2wZWJ+9Fv+ucNuZWLH/5SgSPTr +yPMlogmsFQ/NTguyrpLk6Lbx6RsGedShKZMzb1MqJ4CjogrV8fdWH2kxyJmpPYjA +Z0xnDRQaHURpPEIaN64EFFRXYJ4tsqNimsum+IgVjly93iG9p4jmx/M9TJQCR2g1 +OIxFgDsURDbfOjaQRLmaKz5x8JBLj6tDMpHtbWxP5Com7Ykix3F9peQ7+ObUWRGt +vaWUUxSGPAf009ZU/5qXV3V8eXN4Cb8mlE31yT2skcr2+HSIi8aO0T77Tanr4ofO +G3vntInzKcyPwUDvomj7MC0vAjDG3CANvF2GS45BtbfGRY1OZjJffuxhZUPcohmh +EVvFgBI8wDo/fn0zhEgUsG9TUs6NccEtMeaA6DyhQ1a7IDiR8JK7jLgFENQEYXkh +73tsPfyxxbweuGhLg3nQDnwg26IcUuPpt/DNNr2eRUBZJfJnJ3wm3deQw0PbT+SU +TrFbCvi2ScKKCfIZ4+ZPQy4IOSuPDW7SgdCHFOyI3/Jj7RK3tnE/n8i9Idynmheu +bxZLXjohFCd7e1OcHjMiJrxgfZYkNxeebFzZbEMgY3K79xD/t1m+9w2Y/1yXu+jD +2DZERoh+mm9OdpA7nioXG9vehTnp/d2XqIY5t+PMCsWDbCtTS2YvVp3Dmx3msUbt +wS7tI55n3YDHRAYEzVMUDCXBzl72t/ixr3NmaiCsG/QRd3Zx4XIh5K9ndgJTjr6G +5aKTiKAnAjisv/ZXj6rkAsN5rT4bzBowacVbHlFfKNIJ0hxAWqFWcroDnNGhYNsU +C8GCwCqRmgNseMLGEp3ZKZ6aIk7PLHY5qQFmvEJo0uE9pDSSHzUam7QO36m5Q7Eo +3k/M1YqSuDiXl54xi38nHyHfyzDSIUzJYT3MEIHA2PvXYwMBlYxa2YTLgJkIz/SK +vbr/t2GL6CYa6NGjJi+h2QZJKgbhgVFSnk3oUKqXFyrZx1Ik5ceezU1lGutK6XML +7MlfNm4LamxN3k+i28UsdLy0fEzYuA57u3YmwOUIVBUnl9qWBbYycIGlfkwlu69s +RXHkBlD0tZMHqe9IDFOK5JCUn83K2OWN9/ayF7p52t5HPhqvHjd1Iz/VJdd1ORfT +v05JYAP9KY9OVsV89JidqWepJpMupKZajORxHpS26Vk8i7QF2v6fnQxkbU5xy9Oz +5Cc9qb+a3GSPo34si6UeCk3kkvwU8kK5tkQOA58P4Shfdh0eGeKz35jUPegoZv10 +aEiIiXHeSs4kgOJMycNyh+tIV5O2e5+6+94Z8nGYp9lsRHXNxWW1e1YwKsFW+77L +GgxaW8Y7e7L/TVpHKyUY+8UE/FB3iqz34cnul2f0JcCeDhv761n8TWd3C+7qVNyA +/zaHx8Zo9xGWaBYOobz3KRf8Ch+TMa0cgzbXjImZ+HHCXZ6Vs6hFBKsq0GomceQN +lWgC444CICXePYkuHYhYImhN435LpUX+YSOYIGRdVkAaewqMiNd/uRsMC3dyJkxg +YdISsbU5Mv4szUfWIgu4Jn5Mn+8jK92virYgkTQKasttsq84xvf+sGxIk0d70vun +DuiA4wNJbLg/4hAcbyzlHvHqrRTzq5yAhanyQDzRCfow0ZPv6wuwrnxIvJN55sK5 +0vTIfDsUXj/BDgrdiJIQO2t3FSIwaXQNh8ZsExsGkf2VcvZQppJZvbcvZgaclgpl +lmWHnXeDlqN4fcxR5+JfrhR3Nt3ivGQGwRTavspdcwgLjUBHTunhN/lrgVrdY2N5 +ooSe6MkWNYhcSNWdd7v6HnsnK7LcoPlefSnd5Yor77dJDGStFfeX11GaASlToUQr +v36Mx4w9oW8VDHTgMxz2olJu0zS9jvV8XvnilDPax3qONUywJ2G2l1+TKGSrG5UM +sBzIp3YdGzXSyRQhbGpePzZZaIw3J9wxMfoT2fvA6F9v+0++h6AE972hdlBv6rfy +PmzWTOUd7UKDdOoqwyCfGN+KErEl5bHnwnCpFmbKXuhbm2GE5GHv+x3iv2c1lkGy +n3NsiPuFnsUyTDE7hj6tJ4UU07braVn2sCvJ36Gh0f0jEJiFPFZ/QHfq4RCpVUCN +dNsuXveMhy/poSjYhc7XV8lhBVah2xOzx/+uQIAeUrpIV84iEGOuAw2fpcr9vYnz +dizQKyLURQv4gEe6ihr3KK9jldMTfhnvF5JIta1P8tDrbCg+PTVAd+gKrhJEQOgr +lLsV/YfGHp0VUxIW6sc5Q3kKGa2puXr1vvEZ1ZPITTC6au8eL21kNVGXPNzovstC +vTWuIDED7CwkRh8CnS7XgRaof28EMgf4ZS8dDhh8uvnWmXU8Ng1SaufD7bDnF7hK +9LfVz9j0/RfVnhUBj6nUsUjgo23Kod+cHzbuJNv7VrRD88e2z0yrECU5gq+eZRNb +UKEL+QqYEgXCz9pl82V2RHPlKAXQDntGMK1sRRHKitGKtatpeQj3m/11xuoNprPa +FmDS1NsJpIF8hjhtK230GM8v9sO6B3PwRjtqn9H4Or3t/gLHjj99M3abNTd8wHKF +fXbgErp5Cwoku1pgICUwxttvvMPXQlmpjED1xULfrP/xxq5ZEPcXuLRybVqM3Tvp +s7cdD4JuEJxnSVylVtRCFaTIHfXD+h/wfo+Q1tPH3tsmUnkkF6YxBa8wAm1Cl9tO +62Md+f/tEWLFWSBkCQo5g13R2+ct+C35jYRyfRZee3VhhIL3qNrD66ATcRE+twVH +dEZ0eGd00oQ3+S1ALSQH3r5lwckrtelyXuYD2wPmai/+gnZ3fOoN72XtCJsrZOjE +VASZ9hMfh8T5jYkTSypmC4GbN5HkQJWLCSOOlScRCyiDAqJt1yPfyasxj8cdOjOx +IFIUrpt6smn6vemQBC6c5Vq0gNObVyGucMfOMNZwHttEoikEHgLZeW5BdTETzT4L +HV+8ADXtoaD11yvR/Q7xT+2WIqRk6NRKnokhmGQV6jwJWPm0LiHB121Fni5SOfLN +N5JbnGFZ/MAZGbktSU5KMYPmCrH5Kz19y8nQNJ7VZEAegKnZUZcyv4wWSJzelrYM +Pc6luDgImJHWyFddg4ASgNW+KzUejppiWMO3Q6AsCgX/Va75b6g5frk2c79sPNDy +oniJdqU9vig9pFnYRelxcP8irFayhb2I1cEPPA2pFwVckwKY9rQTeRvWuy2ZU2oj +FuAHWJY4Pk1Knq2fk3inpL9GTE73d47GmZS5hMSOQYKBofXKkNVnGGDxZnA7FnAp +0LshSW/THCjTV05il9DiDI8oN6SVNe/UukO/LVspfMm9XlrBhQmI/Bs09zEffZ7M +MVF/0VJrlYVH2QAYHHR+rgyGRZ8YlesYs7omIxLOU2YaZZXzDHqWEh96kvaYP0uw +YteMHbjkxvl5NWiUEwuoJI00ogSGLv1NVWrYZNoNHh1dUBapL3PtO+0qGh3IuFYA +nD2REF1MdZRQeoZrTsepdx50UN+aHPKIhsIB5TV9XzJBp8q+rtfJahkeJVbE69Tj +wGWYCtw146lE+PMHwF841TDmeRXu40lw7IO1bfVmGww3TQt5+grQC6Ve53WzcKIf +d8lqauC4aE52CtdPZqAynV1SUIIMD3aDYtKeJGp+i81i4MYlDos2JBxZdciUoyVz +rjRo5hc8yP90A5+aoju/SbK601EVYOneyYD+tugEUmUGhvWVYrK3Kn1XBuTR3bhd +rGbwqLUsEx3dyUaM8Edk1AUFv8fOYulKPD+zl7YOYqBFP11uc/9Oc8rFJ3WPXZyv +75CxgIfRn/8RdwE5xsAckpDCV+DiQiNgQN9EQp/uzcVZnogsympzQY20R1cDI6J3 +JI9kCZXojCxM+6N4FYQCG0OEosVHOOC6dHXaPiEqHBdo2F3cnATVtwNwBvwS28mk +xHJbqjH3RP2JOCiBCDsVnAQ2jpNzR6DJeeLhDTgshoJa1z+cbA/77rYYomxYJwYL +MMk7ZLOZiyAAC91KAnLm8dKB9Hj0AEqkYFNQXwyWuMPQ3StbOxYt8jHSXUjl1aea +QjSWiWqddz+XkRnCquS+eSccNm6k5fq6fuTreSxMoi6abHmE7trYze1YlJyCr7WL +PL5BulovP+dhl0ulLNkb0vRcvPy0guOnFUapaYx+8ejnr7TePCFAFi5q2Ued3/zR +KQFupTBcmO/fl3ULfQ0pTF8GE/gJT7NhtPw5KUKrg0f57Js40DoVNBi0XvLaAnY/ +UPfOLpBZ32i87kp5f3TOvkc7npCnwfBGLNg5+LfeRN1fWRupiFOnk1RqGLwMXtyF +5AAZaq33Ya+F4SMWSRVedY0/SyUlZsWumhuJ/ZuQgZJFUHqJvUlXAApsKHnBYtQF +ceIMLR7wtXVILc1KULzIFJJ3ITvrjsd+08exXkWU1v9SbEVWIHw9ZQhemCdx6HrW +jb1kYvVWTLVsGKKlXBRfT4crCfAWEJEE5bBax3rrhwlVOUoti4DNtAAAyFivNY/S +du67udspXhCndyEqZVT9cgJ5pTVTqnqu6Ahpa+ZBQe8EXevf2GlOF7NtObC5HoJA ++2tLh49m/FI4TuffdxJSJ86fobxLYhL1BW2Hky/a/elscMM4HZrF03s6CMH5MsWj +zi43VQCCjGa0IEqk3o3ZdwdiiIdZL95mGy2QKnPEmpcs15ob5c+2/PBbywriVgE7 +OCnrHpmBRCJqxYUBITl775YsRgEghm3c6hg8zr5G+7dqx4Mc5jtMfVtBJF+GNnpN +zCl66suDQiD77EXP+cXB1Co2K//NVIXZkKH21hm35tiv5muoNcE/Ko9UlbUAxgOf +Au4SpuXsMwcFkE1D94GSIcqC+EM/IsDo4krIza+M3kKAqMRd096rq1nnU0sPgxg8 +YBOdWNosrhpTcw7Rm0UsH+/NaEhgxQlwchMDnGEcFAU55gvwA4r4lJQER0JWgv0f +txcOqxlBrvyCgtUo/V+4/daoNpGqX6SAuWuc2J3YVv5pfrvabQrKdYWPbG4HI/nu +MdKO/5EIuZrIqybTA/PEtpRrRnrTiEe2dPXozzYgVQZp3jHASP+hE3Jse4YCp3av +2UJq2Rqnk+lszI21W0THiHsI4fu4QzJk9+PuVwbcqteB3hNpii9WvrrsOXolyREL +wtaIXAyPPGRYbUIXfXvJ7a8EuzObuSUZ2PlThlNbzKGArgtTyCxTbsoZGmm8xIWg +r4YMWaHRL3bqpBlDJQhTjCx/S3u2+5qMWHVkKM+wFvdMYhtex9mm0zkYqyrXSiEL +4MN6D8WjBijkyt42HY4p+YYbs6dIm6Yg3bgXAE9DTxFEJpUqgV0dkSM9Wn3sKC5n +PJDUYGMJ0DWGoUfOoBm9sbWdVIcGdBO2HtCxYtaC8UUJZORCI4DAArY4FZvCQ8My +hELpaBST2JlYhszI46Cee2I0J8hdhh/5M8Vb9CJSD6vnBQnfR1fb6fOs3d1Er8cN +KuGEzUSPJMTa099rPvQ28bA/QizWW03QCVa33rMy+yQSPBqPzJxRLqYMS57yC6Vg +qhbKPqDX5o/pZd3AokMoStP403bfTD4i2wFMS2wnAGv6gtHhhzSnoehfl9CAfPNN +SAwvDAK2rquvKoukOQpXefT7TTP4Ag/iIdZX6is3kLAnd7u0Nq19SzkCif46j+Z7 +CDCntomyT29ffRRtPAb9HaxHBgrOBga/ekW5KYYbja+HAw222BBY/VQa3/zpazTR +qlKcI1ip9mqz9w/cO49lry0CBtOJXpuJ+iBBGaGUwazrmYUyOdX+FEazmZbpFvk5 +bY8kXwv+78eFoXAPFXxy7qZ5LNIHt3CgP7QtXsnLtTVXqRFKexqWe88hbBPsSvnK +akFoaLdPiznD70MzrAOAvAzzppSd8jy6nwQqqHKjloF/Jv+kVtwcuEmNz0OJ697h +50tlt8MaerKoR4CHUAFymx4s/bNXWzZcNFAfkmoeL4Dka2xYNy+aUKVODSgQGwdW +2idDz7yDHH7hgdB7znvG8jrEQGdZSC0vzOglnAdVrSU44Er2z5fGgZZ71llOMZYv +E2r+PpLR1KBIXZMY7PUmnbpDV5ET6MmlWOnNsATyvaYbgt7kNCTOyCCw+TNjaV12 +NVxoMoryzTemga0lRUxHEL994Ap7mtaRhdKl5lceUAql7/qxr15IoM+ZoMoZ/lI2 ++qO5Ug93jMybMpkGBAvOCF3cdgF2XHL2iCTutUcmew93JyOBpv+E8j1KMzLZ3j0U +scyF9+/UrnY71x+mWBUg+g3Ar6VHDsyA/pFMGdx0RZ3dTBKDBJHUVUc7/dyavq5y +giPbXPH25jY3K9G7QqN+PHuKrQIUSSnuqT0iBn5KyIif1QOjhqGBxbIwmYfX0qRT +F4kdv8RtJuV8eLaBMmuW++Ks6kfQIwX+/y6JRx2zfeK3jzWq9nQKan5D7FD4oWCv +3s7boK1Tw+JxpqXfRnn255nOUcDE1RD9fOMR0do2R65PbDItS1W3pmJBeNdAbDCR +ZOHpZDb22AVVupJJCkMo2uBbH7+dPlB2I0nouj5g51bB/UObSmfXkqrWZy0XJ+Ra +fpeXGeRy0PLG318ovozjg90oTETF4MYPyafXlmh4/2ZEZddkggVcUYgjPOOYES6/ +1FrUjH9YnvLMCJAFvibQTCZfIAuye7g7fHDWDjmkm74NWdZB335szVZiOkTa67pK +yndlkN/ADaBbFXQUaeNp+pwAv9mxnU4VRmJkOSvQvsqn0CDpeGAdSUpWYZvaB4gl +Wp2y4uZmiH8FrMfycs6t9dqW1t4n4azPYkBL/d3AiKOM/+zWFJcPVsZkipYQSAH8 +Gr8L+NNgwgEkbuCeXuggacXzcCu097l+lxMWkHWpt5P4BJKnvEM0fTjlAjkpztR4 +8VPMz1esZVKtp/9AdZlHFR42BsUcWEc4fSHB3dtpSbVG7oHsVwlJVfh4EsZnoeEL +mPR9WvTvSu7h0gRl6bb0VqRQBD61j35JnwFI5qaGmFWEb053K14u12AVa0sHqrqa +/lor66jz7IPT3Lpm+VslXkjRgSxV4pfbdHzZEV7O+qVBKBGnnB3D2buPNp6TvlOA +lGW4THe43+wf2s+XGWeKkw51UQbZfPKzdXVY6iVPJIeXKatjjQH90eawdg2cI/cd +8sPp9r33qDJLUbD9YAFYYbYtst2d9MQcDZmZBT+ATXRSJVrZQh/8/62vBZTdC6uU +6+hlchxhGJFe+zY0CKizdi80o5dajpd8jK3B1BAZArxt/qnPaIrJxUM710y+QtPC +apVOpUjiZixzJcEg0rR1nokdP3W0STvAx5uF8gsmjIKT+Bcp/mxsbidHpmLrs2ou +kVh4w0EZflgxEyrW/GmwyhQh8721kZUwfQuD048qDtxowz2+FB0r09lULxAWDeji +vsmX2em/vjuZZpkiVoqktflfTOgbtEmo30z3kQAZt8TItp+NqBUO8xRm6dotWKPf +HU+V9SZvdSE0Z8lDBpcoQDvN/x6Dfu98WkjLDZyvIhqjjqHvRo1sJ1uStSose8YP +H7uKYswKBi5ra+JOyGydGR2tJo4H+vyPjJCLyEPb/CGNi+hblfSCGcXHshlQptUO +ADI5Pgdt+D25qF7CzzG4dNIw7DCFM64Mfmy8Iohgkv/Lmr9x0MQIfNaAc/RM2bpL +1BPSNAi8uW/o65sb/IbaXxuQJouzfWPQUzEsd02pgIZsuawT+I0MaMEPhz+EwOX1 +yCp8UYMbILFAh8UtSIlUxvcVOZMdksXZapcRnHhnohga6yJTdyip2QwnEIeLReiy +bHZ7q5ElrZPWms67cv7G97e/jI8tX8DKG/hGhyOEMZYi2DO0AI2pA0y40XgoUAZe +cgrvf93iK0Zmh/BDfALUC77GYTqfEdsMGNmdtjOmP4TQPo54Xpj6KYO+d+1nQGYX +IKNb+S9aH4f8r19XILjqbnIjH6RCieqLvB5hRp8TP/bTuRA022QlFGEIbTVyNCC2 +APmbKyMcNkq7ns8LKeBPwYKFBQ7gxrbevVn2DR48NKsuFY+PVwDzIeUh+rsLEU40 ++8X8d9WhNqYzOO4f1DzF3WaTrLITrppLdpL9PaP22y4cv2kHbNdn1vaSQH5XQL1u +onEZGDwRW3aUiB6R7Zf4dY6KVZXKPx/v/Ewu6B0KewB/Joz27La+D4bfEGtjomTi +jlTvrHCIG6LnVL/0N86Em1Nth5LsShQVAIvP4ISzDD0s2FQvnV4J2HUE66Ff7yaC +5WZU9to8b9df5Ov/nYAsLNWcM4P7h4E6dymYeHMHn495sH/al4AR1k2FMut6Zp0S +Aaul54zQ06q/tXQzuZqIVPRfEtp+w7nFz38UTxiIrZfi3h6mST6JV4vcORZiAQo4 +EiXEdSZGCr2Gyxudg1la7UH2zr4Pan4eM72ZPmTvPesaTkmJwSLLmHJ/X786OhTV +zo3ZRVg5tm+AtXZUteXjhLHrPEcefkdO1Dd6dFAzcBkEdz/XnzurYDM7z+gR8WaO +TIx00oG92nnYLApzfp+XyVa7yP9DdaLQPmPzuQQZ8WrCJ4bIl2gXtu9sqggOsQch +CcrnVIiJJyv9EXq+QSMJL48e0Xo054ceqII/NQDWyndRFGrT4lraonTkGtez6Ikv +69NSh19ztZKvVXXiXWL+P+iXAMEGuY8KrsCqa0mGQNDiXTneZ88cBdvf7bUyTOSe +TwUQhCd+jlOOsu+StiofdWr/8hZhGHPbh6W6QPexwsKa9MDqqbSmmriyzwsATO3W +4IG+mEhVMWXXjp1NnPkm+QkjhjF7oqna+ea38iyTfw+u5UBiAdVJF0gwCOlVkJla +A6S+fnCQ7Uv69uARfAjLB+h0+iNyiH8UtuU06KKTWMssh4ptW5Znp5G+lSqxYi7J +9CYBDq3BjLbwcc5L85F4NiufqHpraGrgA/S6N5ilqDxfMT+XI2kSMNH7TgL8kOIE +9DxwspY1ieNmHNwNZqZKCc4//nmJI8V9NCULD+bxfLZ4OMScUm1em/Il+8Z+JLV0 +kjeDhllU9nkSk4wMym+aP5rDIZqNGqS7ptusSLqRyxP67VrKZKvaZORetkmM9Knj +mgmrJ+dL8qywsnuImZf/0B6xoA7tt1FbRuehddD+0c7CIVAxd99F+0bbM6NJ8fwK +pFLnlG1XcxtBuClEsPSWIGa0u9UPjmXDTYac32w4Gy6uZ6L15v8GuVj39SF39KNW +j1xIz/37dXRJkyAOlhd8jAyZpWezjJTSEnFtbmhroHnVsqb/aoJN4SJUdwkccIbR +DGa3Ndd+rf7NZR1z8B2SZtpXin2wN3NwTCPLqODCf0MVfgAG+McxsF4z8ZQPZ8Fk +bJxc9AD7ygxEUwFKIivJAghLje8c/dPihsEEMy/F+siVlbNHxUwXJtyJbgEcqQ2T +XEvB/QJu6FP9z3oI3KjUXfnWNwYRWMO5q7KyfaGbJFPEgJpBRmtJAretAPfpNOFs +FYpPkC9JHDkolvzvtTeldmdHKFWZoPfhngVQgTj6cnOc9LO3WYWWX2x5dUafFrhm +JoUQKxyMbepCCdVuxiDIFj7Gmol4Ay380o8ULJtDq30h03M2Nc2khb1ex8/J3jIG +DVKfcG5U+t0gcmT1fVOdxg4AML+qIJ+5W4fCCaL6F3kxBBBBhSBQUKSCAl2ep6QL +Y/82vXrfjA9U5QuqhN4/8hd3FNSgD2HsvSA+qUZsho3CZ//gg3jrASvxX4rs+aM6 +5G9rkODq4H6NtXRLWmno2Z5f3nMbUdCRdIdHQZWrV1iW7ZWW5wXC/w0RpAjoxY/5 +LcNI9tgSTMvWgWd1fjYuJ0zypFg74q16qKK4mNXaEqhHlw0miAbFZxcsz6nrj/yy +iBn8gVsavjxZA38qaUEGJro/ht+mSi9KxEFf/nG4O/is7+tiPvSt7/K0tedebro6 +F4tN+GP4s1dyZMocIKL2VenaQVox/HlexA3K6lkvn27gfVSJXHvYzXkDAf1c9wAP +iKI1zOwIMJz7QL1n8mA4sPcPYHL+RuKoLpiCro0GfYN+Jrvb+OrsndXbSGQcn2Ii +3Uv2zswRVe4HmklQpIKSn+FkVaTbi+SqlbnmuQ6tCZ1lawgk81gVG246I3nf3RSL +jrIJ4CVKBVzQDcU+oDnF6h01Greg6hHjrZbQSVkTynOQw14kzpxo4bk6TuFRB99o +eucA3c/u1tbKzBGRfh7ATe19djHU9v7HgjSQM+bPXR4tyhw8qDaykyzlKyh/CDRQ +KetzW/k+IdaE8cbS6VkxMXil0UKr6EU9tBf3PhqpfTZB5OiD5pkccEPAqq3vOW7Y +vRhbpnnGfCbNx9h1fSKWhEqvuVMRHm3QnTzWMONwu4dmzpFDieC1q1m4UnCX/v+L +iAD/G//1gkUF5Dnvad95Z9433E0/JHGVAawysYiXksd5DH4uhNkk1o7HNckYcxZ8 +ag0NbWdRsmJTLiGTCREg4+51GKtmkbx+o/fPtVPRmizYJH4TVPYvP5lNmkMvkett +czo/ymVvpGjCmlGvs5GMjnUOHjs1U8oLtVj7gpXtbgvPW3PFFk1GQ4nfAykWwtrg +e4tAVL6DAEjP3o2nbgyouBrFDZXBBEz6OSXfgmNNHjd1R2eiTavD8VVMv5fMnR4C +S5O0ESlTfTvHxYy/DOmZZ97VFhgSjIVsvdR1YtIhiSBe08bIn21zt1mDu689/1Pu +nQJKsbiZYGbJsnXO6qrInS2wZA9UhLyA928rC/Ct9QjqlntL6RMgVzSN4/Jml5+i +mDAZf+n3IsIsyscacrLaSRgJVub0R5vPsPPYrsycd5Sib/yl+3KdkqpA2+2xJXNI +LpdYxfyl4BU6O7dorsVAO3wHI+yb0hy3DYvsCOPk0WI1Q/jG6yb0wQG8GNrU6qeK +BkqxasV3nhcs6gAhicxG47nlkL2mbxhR+Q6fVqtONSjiFLM6q7IqUoUdByODaaY8 +klForoNLefgWSZEbwy/2HzqCzACv4NE0+9gAPyW/YsoBLTxWpXuDxGsMoOirrF5Z +k0p+EPlBpqrWZdk1+dJNPqy2f4fRMQnyPuGfb2faJI6OSSs5g20B/vB56ijHdiBD +7v3GAAIQ4+pIXz572F8DeUS4NJBdeZB680BVIBHDCqHja8yyFJym10mQ+zVab64H +0R4CYIMgh0zIqtALW/CduINMDb8BJsnq70EgCyqQ4OWAiUkF3iKikuMYvnxbtZn3 +0yAlJm3c8QVdS8KJ/u2PdX2FCpevs/tK2AAa3ptx3ETY4DH32B6H7JPICKAzhwOB +FUGUI5C3JkB9UUVr//BPeNf1hJImrSlBy2/TLqjyi4JDp7uBNNSTYgFCs+98mLIo +ygFEJJuKrUiHSUUXWaypqozPu7XFV4Ut9rL+YPB3sn2Hq1O4G80o+MuG0l+5qLWp +k1GCUTWitPxC+Cwo3v6vffeq9Ucew7O9dfgWpjVNTv2svUQIouW3IFt6kj6fJNoU +PDsUszcRJjiljqlt3NBdCmCYiQnxff9JsEoZR1EYw0MhyeHpGwaripk3tqKN3lV9 +bzvfGHNCENDVkOxhNe719VGKj8Ya3tgHe9mF1q/CjAWjmWkoJ3B1GCnoJQIH3hOu +LGmY59oY7DAYX3J4i9FdxpMQH/FX4305kQKeLFShqKo+d0RETBphas3QOJv7K3cm +hXBge48HZ9lWwIm68j6a2vpfUbm0Z/A/rAG7AwYAwyYtg7TKKhY2aMXH5gh/nM0U +DhDFET5CHO1cKRLXXg0PxJ1bMRharJnpC68Dynttiq1xj5tMMA8+HiMMLk5JS1ki +CHrTnl1hQs7pb1PXtiFq1ecL7ZM+2MwQKfmwhUwTkvBkqNFZsKYgKdGV2qr5e4eJ +cbRbJ0xpVHwtearaHQRrC4tcBIiSYL4EWsKBuCl0Po/27LKjjCK1POYmvA614Uks +43FgDZbX1R7stdK/mJ6YmZB21uxDxFpTHBb8OZthcrXfVNtS1jXCNRpyOmJrvsxE +n/JZzKpgMBHDUtIfs6Hbs1K97iXet7cbVDRczVtvROP4aqmW6fj3aw1N7Q0IrhR/ +cA6Zg2ok+V28M9lj/LosIDybxkTXNIyFpGrwQQz6cV2pki5M01vMAWsC66vQcou4 +fJl9adTmPrXQewtGsHRnObgWd+F5U4W+446vIMnMbuXA3f4i6nNRUatlRMxTDEij +dP2NnXhrDskUNFR4Vhcw3ZunrwcBNOPp0o1vkqRuuroZUrXXorBGptNq5ArTa7cW +tvJy7+STNdfJ9Q5dQpjoOm3DrBmJS9noCK10cPRv9+ENusw7MRP5Rlk52l7kvybg +Pd+H6t36WvhTcLgw3Ju/akA0V1+GccW6gj3JsZ1wOWM2o/y/QACXZk4NgsmejzEu +1wnNZGcGJdGpnj81/5euvMvqub7kJ1DoJ1O3iR8phLips1Vaoj+8Ek/+A4JVWlGX +gKEesiCtGi+Z5omUazN/Ugiv99s4Cfk5vrZE5jk8qMKWizizZEeb5aoEW1Z2IKbd +fI1U8Q0e1Ktg6zc7ZDHwE6PW2yFTZinmoIma2TDg8AegBhh2Um6OCA358LBaxdPQ +ipBNGb1owV0NeJUmzNhol021fbUZUuD2ECYC+uMoss4GJl4W4D0Q7dnJMBGS6A4j +NWc48l00uXR3VIIjqrWUqf2hyQztKurrRx182aZPHNMKJg/h7FFFSTEjDVipMgmW +0z09F23ZKxIN9bwCqZNLst11Ye36ahLom4QjxaXwOorl88scZq+/juFNYkoxEETu +gMCK1ornGeaksYtGB5aztKM7bUxAfykupRbf99WYLNZ1fk+KUxPUDIUz7Exxh2fg +SqVemjnCpgSSeqAxS4GcFq+6MeYXu3PL6fVImmuxrl3CGmfwxLsiv3LmnMz58PEr +O4hhu7UGeUpX54s1o3WySR+b5HIfRmlsoX8K0alpN902Mf/TKfe6oaFqzCj6kq7W +VUphV/qokzcmQLy2leKmyK0geQN5/ad+Whk9i94tlMczzB5V2zBYrIOZ7eDqGiWA +e4XmhKpmrVU9in496wET4hWnREJwJrZcWy9lZ8I2Tmnyt3vXsgFjCbpxHd71Eam4 +UipBNrM30z7Gajtbz1S+CrW+9UZQ9/aEx29hdhNXvDuj4q4XpTVR0m2gM2dlyS5p +Gd9i3ge7r8AL9jA3Bv4krQvsqDbC3fkB2U970663/qfYe9FvCPyPLH6gwbhsPp2n +tjpzh1WAnYlnXu3dMCR7yF7Lwx3M7KKe1TYhOzu1a79pFZXuXGImVHAvSJ7kvxzy +noFS602XuDyIAOHy3oJT98lDQhvgOzDdyZhhghjPHB0B8tb3mBCQD7HmFr7OO0ud +gFm/h4QwHODjcBtUjZyUswew25l3csKlikIFteLMwQbhjvOuG/ssTw7HkVr02miu +YapTgSXck9u+3Q0CMVy10NJ7gGxXGQd+HjoJqJivS7er9mKAht92aBkUadt4rszN +rf9af3Frtphw7CRMr4GbnRMIFwGQWUcyKKxM5FeWhDS7KzPn3cuAFveNVuj9PQ/M +F6VxKwmucg14FH17tUckkZgEvZcL7GxNf08GgVNk31vB7oSOXHM88pIrxpMDCggQ +y7uXoUB/kzELGn876/U/Ysh94q0xPjrsvrAmx6oN0DjhxW9sl8DMC05kuKM0YVWd +COBj9Gldle4rEA9XjhttHBDn82yLLBuCLugUtOKNdGr2ktr2DfpOw0j0h+7Jifgo +xQzbvEU0xovDzsbuhygjcKCyeygJkZkpvu461tnieo2qBMKIZ0IzhDS/jyqKyPjU +JIAxeUjQMUY0QVNo1zBHaAhxziz++ZSFmYEqXpgAXtxnILTEFpMCDdC8rA4fCIJk +m3/9ZG8tWaM7F7+VgwwEXUNeu35RV7fpW0sxB3mb7vTdYaXVCCITjszjuT9pN8vy +BpviWmCQBAPi0dpr/ITi+eIpuJqPjXX7I8jstIF/OrkFU87uQ2ytSFZZEniY6gzQ +jfvYazuEpypUnGZGyPpmVzTICWfq5Zlv/VGJxOA9uzLRAEF10MzgFKeIVnIVImG7 +L58gdLFmwqHlb6UejjGDthrnI9lZxsk4eB4jBKUxT31vcdvLq70Aq96V6/aOkoUr +Ujadlnouir7I+3HcCFSr2F/PHCZuW3Wl9sEohWJxykVMV06du1WZTxYBA5Efr3jS +ylfWafhMT1DMcH7TrIRhg9zUw2QZoLatanT5UCL4JxerML3+5O0+SOewv/PuauI6 +5/r6t8zQ3xn4G5woXk1EVLvdp6RUN/Y+35DRzqxnxqnNxI1bQfQjWzycpQJUaBtv +eyRHjonRUcBddD3ZQtF055d9+zn7QcPUBGo2Fnhb14cUzZnI6V4hqKDpoxu9QA1j +IS3rJTimzCe9l0J07aJrJYeG/yOQyP7LXjFpEB/zFWTdluVgPWd0zjoMTlDWyRkn +67SXjHlPRxhuMX48YU5Mmax1MyDYa+0ZsbcjhWw0UjhrFd9N8zCsD1CS2qr9jCOO +7woAuG1WHe07uhjMg+IFxhpUybt3LSefxpCNNp+Pf/IKXHNITvRWHNxTCxqcNCTB +0pIgpPMSI04fP0sQNtq0QHm8BJSYEVrePfHsuR8bAnV7DntQF/oFaygsWN6q1o9G +UQ6cO5/wCRFKiDmstX2bHh4Zc8vsFhAo7H1Jb5rXqIXdB+348G3mFkdYZPkHZnr+ +pyF2NE3A3H/XBEpykIrzQBcmkweU7Vy1ez9EEVUbdnWsG6E5O7DWYMSakwSSJu5F +LWaN9c7HOZzuaDWr8Szk9dUpsh+PR4nSpO6Yl5362FQdrRzNj0pdNq1/kt/9h7fo +9bZ/6MMV3uBxVUoxv/2m1k71dX2DuWtpuT89JypIR9HBWDnSDL5QUhx26Zj+Nwyh +X6uUojna25GgfTfOuy6T4dVoZ7mAtoEWfRckINg+1UWXNowyO/TQ93o3fsD80GOJ +7lt24cqbHvebbO+x7BmrBqh001VA4MAT/31xBuUhDie5DOsdbIaG+q5Lo/D6Z6QH +yBiu4xjgbKnMOZR3UxFn5WNtiyMIIFEcYsVKhc1eCTIFOI9NBZi/Am9A1DAS1wyx +Wsg3sMiKQkQbVZWvvCZBu3I54QoUh+AoIjTieFUrDx826sODfPotDpKP7DAtUe5y +lJFC8aa/sw6IU+YL8TwhEKaBBnmwfpeIaSdFX8dES7mrDRDFaZr2R+F2MaarrRpy +9zWnr9PpNKW7VekbVzGEZCZINNbEmSegEpZhWXvUSxNF4nWiGz3QMqO4By283Kow +DFi+DA3p4mfWl6K5Ym2q++fjP9bFadfGJw+N1SkeICX1Slm5BcjMwI7Ztmp6mfYY +9AOBtW3tdqKPXs03khN1S/xJLobqY356LCZfZndAc/yAimn7B6TeXYQeeQg3rvxQ +DTw8Az1ZbOQLb+MxmAjab09Wy0CVl8PaBQi8wHmE1Kv54tnXWBHkecdwaT+JlKdV +4Qo3fQJQj/iqzhexkdg6MsZPNI7eCxzWMRk6Rdqv5Sj5tZkkFa75Fu3toy1tx66/ +0zvf9zeQGpIJ9ZxouhGaWlGrmyVa5BBtJ1E8PzDC9bIX2VekDx5kbdaj0B+8AOic +GW2KVCsnsnOrzxJ80wrjRKXV+E9g/ByIL8vn3a34T+7Y0oruSXa+rdg1n9zsiOC5 +T2/ENk0ZyGt7O7Sj9SUptbQIgH1wqsTbvjmeoOCYm4Wu1z9PNvpJJ8Jvmv98681p +U0FVZh3/6YQ6Cn6ccexDYI8f5MHoz489F71SeQc649OveNGzqEVqBAOBCMmbgVA0 +vwaYF3QD/YWwLJDh8J/SsOjvmskvsgkb/FXTddmu2abnptpzKcmPs03B13lOhyRJ +sTGolaDwEW9Q1ibMk9TTmyq+HQ7KgX8aCPA85WkHwWhSEr41B2VGjW2Ik7LV2usc +vi3HZlhb/GxeNTnm1nQFvB52STboe06E7adGVqZgNo4968LCdq4tyRKSb+Rm7VIx +SMzvug/DZ/p/WE60dg4RLM4l9+LQlNEeL1pcbCixtLzwjJFGmEcqAs8F7awvhJpF +j5Alz+SLdZapInp61+YOkKvLC5DPfUHcHtTA3eFdsfp47vVKSmz1OpYF7Tu5BPx3 +QS/FFARi2hw0X+HEmP2Km/3HLl+z+7bCh2Z0fpY6Lbv1zQQC/V9H0AYEzIDC39+F +jt8nOciF6bhVj6OKSiBgHvAyUlv7BBPSVHD5MFzmQw73MTI4pyvt6l9LfTT4goVg ++XqDrVyV04YypvhT4C+IAi12zFVlnLXY2GkIh2UcV9bHA5BqamYRx+MDxJrV0mxu +DIFBBzhBU1DWqM0F+m7unw+8Rx/y2il4GT5FccsIe0v2iaGfd0trZmuuN85c/oWV +gTWy3eLDOWIejURviiRMSPMw3s3lCYPsadCfoaGiWHvMqX9gi/P4oM5w6hTnCCpL +HfRr1eF2z5q7gJQsnZ2K3vHC7F0Ze/vHb8vH+kL1CV1pexqAg3cf6C1ZlbNzFIgN +t4wSnYMGnziVELeKvhmdlr041CkJAoZ66meZ2SkoOW9C+6It/ZXTA4t4C0oBYIgL +9Ehem0OfkjfOqTOIthWbkV8NfkFomGxPBxPNCJSippxMd8JL3ZtF4Ggaq1SKyeC0 ++aRce050Uju165xTxQa7tfHuSiShRw9/uKA95qo3rbYAig53KJXssPPJefXgzo3o +ssRaNMVjx5FK7DjS70z3PqLVj/vjVlb0FiBBolw9cW7ThjkkbkGga55p6gyV2kdd +ix57ATmEQwXI/apTYqdX7WDJhJOna5JTTeadLJ+76Eabc/B3DWWzctQFSC+GOlVR +thuu1CMvOgIUS358NPX3RnPrbIwH8OR0L4ua5MfZd/Qldukx2c7c0buXV3XaEcNc +xX1hc6Y3LeXSlrYo6EBFnadjmHopgSqkOKh809ppcALJzwZ6M8TbXGqNhzesyU1V +mxIUjVKNfVQgqmrSASllZT0ey4ZjUviSe1FJcENdpz9B53cXwnzcz/xmYopRNA61 +yCvPNN/DzVjyTHLZ3idTPEwA9E0aNWEvcm2/U1NaOl0jpTh+vAb0DbfkOIjiiRuq +AwMyC/JimDm/HVavbGy41yhCtBgckNvWM4UbwdRaX22ENZ2X1p8jVBAmLLc7S8Mm +eesXpcXPUghAofrt2kzinXPLYHzfocIwt6pFv4IzezKJg/c0vvMiVyuigS4Kwm5T +WojSs70yck0rMtV/mgoJl8v/z3gDFRMVFCm2QKjqIfcs+4MPWv4Iyhem/+ZYAtKS +V9nO0mBKKyx35oj310GZNwX7AfmYyVOq9J2h9BYJkJPdcmN+Xd37gEt2+IGg/S1s +jAG/3vvaRA+auKGzhD0pAlhEldV3kk2bqzl2cble23aUlJPyfVlpaXxxXnPkToT9 +4s29m+XTX53dHhbLAZQp4eKJFDn/emLPv9w2nzSDayWQZf/icVybjWHKIAdlkBa2 +JorZCc/kRStLbDikxECxAojgznsJeEZbEXKkRXeIe3EE2grxE7tDYuwdGsJ4dc81 +JJwhPSxQ0g6UBT/nMq0DbG6xuVVRV27xL787iPdy6AHyCKmJFhmW4v2oX/h/TTlp +S7DGBMOyB1etsEgrEbuZl0gBslkNyWEmHDrvaYVUM0HCBCzZiU8IXUlDSaeBn578 +fRXz7/hr7RsY7LH6i+75dVN+eQrTM/QHPsIl5PEMHKHnH6w1+nmszu6mpJTP6brg +MI+2ScJEXkGLNXIZaN8ma3K7VGstydeDwhyzHuQWnQbKJmMAI//0ITdpFKlnptIe +FChR6/e6FjiI69m81y+V80CFZrlUY+5CRf0mvz8ZTMRl1Hr9JxmDaWH5OK9PoeYK +1sBnIQXjha/k4g3VA0nt7VxajQb7YyLvKxudZXJ3dMf+FEVVN1CONM6+XIBNu6n7 +8SOEid+JEwU0jUPGKL5dGUCPZLULAXNKBAOf/+AKz/gVf6z5gfFMxOAQ9RXJDh/m +KOc+ImcTkUPBoZMQWL5weEWEfkvKEJsoI77zATVI769sRXKR71/g7s5p0wV7RRsw +L2arVC8H3f6BdxM1CphJPcrmR2ikObOBLaGL6wjJ4aocHJgzAbx5LYw9omG6whxz +XYFhV9sQl9dsMdCYAE24jtM1Brv8x6/s14NUhhbAbwSgjd498Yc1hHCI/4RjEpkb +XedXdJmdDGSWJu95fgov8hviYNlEuSpwXtiAUCpcRi/6NqYwXgch35y9KTtzaoI7 +MYj45vFvVnljsIUvddg9GT5WabK3dW2MVFTrEji+5JeIBRBs5Zgic8qscfu+NGR5 +VGhiSDP6tJQLf7maJWGJ3JtpmyshvF/tggXcy3cGTx2PQ5j9L0IuhvDPYZBN8fsw +K2vygyI0QGBLa7Mnxggx2wdUQ9MGVSDckwKw+9Zto0sw4r+m2AMnAz2GEAlLndFV +ls1Oh3nwgVYwgmm1ea+lH4Y7aEziGWYpZbpV7n1HSWwCuiiY4Y1lb954IW3kt/LC +oxkMfqgtrXBDILFppa71pPssQdwrgCVqCj4B5DkL3Fbn2+4Id2+97QD31Kmicy8M +z3t+jMz+xtWwQtNU4+sA2lua8NIbEhdY3PpNJ5jxqpRW+Ol/KUiB4XKfPw/89NZy +aXF8F/M6xQV2xuwaQ5wpOZF5E+JAjrxwN3jY40qTZofvNvkcTzznpesraA6bBvgc +E5qjXjzWR/zQW3gBk6eLE5wH8lFmOeLA07vQZBslJYbMhXelwYr1PyGPnfgq/aik +YyMGuwBTrz3JWykqWZmnXDkwZ5NEmfBv4O2ywzJLO5YUpHuShQvH5tu/RFC90DLD +DVE9zAWhAEbpgIbXjZE1GYMUk5LnmFNCiZD6RdXVLCQAN9nd/in4Iw0JCntxl+YX +leWE2Ezewl8uv8pwb2/Ckg9toEW23j9nlp72WTDjyqrI8TFkUQbVkXixG0tQUtyD +rZVdNHeM9xQ2uZhmo1qtYH0v7V1vX+Oy6+U5KdxofbTXUhZh2mhZ4/xbjzy2SANI +1rHW+SlgrP9pyUq1+nRgT6vi3LbPp+tmZiBfhSZCgJSR8ivGWLViTN1bDFH0tfhp +arme8odqZFgqqDFaAGc2g4MpPDALHtCZKPRFYEDEkC5ZYOCaNBirGfw3bxYC9FKo +d0ciYZZpJW8ZVZinOHhps2K7iscLS5ggSqEDsW9jEq21ZR8mbAgOhEtrAP0jxO9i +uJIqJrYTXabv0vvlc7IWVPZEU6in6wDv3FsnndM/AmiX4ocPmDsNEJAYr2vXoFBO +VyvwL5pz9WdN0Vw1OpKBGCjEQ1PwIDbl1EvObUcQI+7mXcOwNdRL2Q/cw0b4pdve +VMpEx/HHtXxY9NsQJrAkE7wUg31cdDuCH5VujNWZP+2cc6+ZpVse+YsJ7GvoXAJ1 +zyoUODYwjXwVnAZH4sdG1FPyEKU0WXzSVNPjVK7oD2UVmgmJUO9i4UsUVEljlM0/ +Q0qDk05BeGz7+I3cckU68DMG2rZmW+0pye19qmRnWhme19tGNY5gPtwPd4Wmp8xV +FVb/cHX4nMV5WN1pBVSNfZd9pnn+KPK08sg+HN11afYS7T2Uu449AZHnLSf72QZN +zZ6taUzvQu72doe4IXl/dSq1TiRm39bq485tfoC5XHUSTMAJPp0ewyb0g9DmZ5sE +5VSWL9m5BqD/x96DTR4KWtsmbCR3xpuwTRMZxIlYRjHO9b+RnlTL0yMcBqP0OHuU +ZBSFhr6SARWVYox9q6TDA4i0UMiftgHV2dLUUuDRatqa2lawmKUvh3J2OS3LGVJO +e6Uo557SFQ6xG1txZC68p6ajVSZEPAZP+xcFp8BKCt3r2pwAEbJXhr9b3YXVw+kj +SMKpmj9fm2y8iVAt6JyLoxs7aWGqasi0X2IrLOkA5UlVYEQKsUhg2kSDy0ydlKIF +ocUy35BYFiX9Usro6r/BunhKsEoBVI3xssu3qLMEY1qC96v7DRt20CjmV2+U0uZ2 +3oZiJHsUpbSSsbGIdmzUxxGO+c5Doa+pYjAqmNnNT1hv8xuPZA65DmwtotJgyAFp +vT73eiRekaLGc5dz98W16OaTmKIYH9cv8uZqRHIs88fLZUDmrt3ePUp0HyYOyIQf +2Vts9TWJ7jwoDmNj795d5zkLw/q/62mKsCv3IW52Sq3j+8ABsDY77+4I+ZDv5rzo +h/gJk+g3/q/tuJF3Cy58hrR/Os+DXH1OSx1JEbLbON9vZt0lhWTtw+f7njWl7d5r +5IkYIntvPHu8qr9B7RsoFjgZDyCPvbGvFXYN3c3Sfpg8JRtRBpVEObuwMN2lfjh6 +Bdr8srsiLTyLR96W4jZmg1IZ0X2LvJX5bVGu+zS8mM8MATncPIyIEf7QPVc8uVkP +swXzVuibh8I72kmoDcCCQTDhvC1o8KXWycenzo1kq0R5BMy/cN8oofJe1oupmZFj +wxKt0eCBouuHy9UUjuNhIOrqF9FEeWN3wS1uE8H4YsqBrYB5Q0d2/LW+Z3MUdqZT +aexi798bwLk1TEePsd4CtbZeTiF8dyiQopEAs1slLe+rzsYXogEfEvGqcRi9kNJV +bPoVSSH4DAGjuXiYuuCg764mdPSojMRX2NdFOpR4hb4zk6nAMUbZypajBeqzHNrc +i4z2xWhDyNa2TeG0IhR4cuW8nH7qF9S8A+NJtZMW+fkoCax9AcHlPWZUbrcd/IUE +ETzQgIX4xhC3uiBFvojzA7bXFG7gOS41qmWyiobBF/4XX8hK9O/v9LHzdWv9wTS3 +Iiz3vAiA5tqkdUzm6bMls39JrPNRTcNSIfuCD3YQmy4U/lV7/tFr9NEhsI009pn3 +8IWnTezYt61STq5xPAMw6m4dDEPPwbbB2ha7pFbMXodkErenl2AMOVBMKJ9iVzwf +nMwsfN151V2/3rcxNIHXfVdjt9khbEpsfIKphPGrd/kUyZKs3/1JvTwB9fTzD0md +PdpBgZaxhNeaiU6u0N34cs94E2Q7jRw+VoQKRkbKAGI713EzwTp9VJspvv5+rpkX +kJLek6V37dQm0eVLO92YGLJQQc3+3JXuIUPIEq8sHEbrr1QUCUcLTiYX3NQqpCPu +fhcmvNFVFnfQ4m2begrSSh9TKwDkXJnDbLvMQ3fV4RbcKrqKX3KmgYi3/bqawnu0 +1LdMd44Q2Ms80q0QLr59L4/25LRBSTzeraUjSien38xgj+FSu5v+hQe+9B5j2dgD +mZPadKB4BBDyAHd+S22+36VJ6j/ox0senKdnXBaNfY/kNgq/kj9DO/2RN5LhtVJ+ +rhNINgVlaRv6v2M5HSmcSErPXc95eKfQ7D+HV+Gny2bIBTO5o/UMx/3ub2VdbZnL +kBFhSZpjIs4UTV7+hDh2sqowUfD6nvBfexaEe0kpB0g5c7V5FYiOsxdTZ4rNx7vF +JbvCc71P6qxFh0V8o/5FnRal4Eh/CQGUWphas5bIe79TWtkZubq9Kk4d379hfNAH +u5xE/MuxtnpNoljF+T1M5I3U9J3RprT5XNxcNG33oe3OneXdgoGH/QCiSLQyhe2P +8PQzS4zsmcPg5VTDmceYLDBE+5WYspDD+L1v/px2h/IxkLF6EdHpaOvBJ3w73cT3 +HYLM6E84tK+Gm+uBnm5r2kRhdf33GzK/almXHz7MpsR8dUiazxBchPn+1asQNUFz +fn+NtbjyDuTpYoFtVF2Favo0SfE7yqaH6kMOVBHKA1sMDd0XV1qJLw+yvT9+NYmf +N7cQvqYd4CV7BvUsybBoPmAfeHflK/5QuOm0JifOm4v6LEbtLQyYEVozVCHAGla1 +7kn6V4aUp2c87dv3zTnn98W4piCVt30tJQJZjK3c5eA/wjJ2Y3/FHPp/if7YJiVc +49vQWR8McmXQMPtJNcQrwHEd9QtTL+LKAQj6W4u2863PS8IB9rOakwOBMVGPxnpd +WY7X6EJCdr2M1IJ41BeqPYZjOgtXUyQk+rTKz7N1S3TxmQsnMAkblYgnL+9IXwsv +lCWPSao+ZdPYRwhLXdSTkqdL7Amz5xwNZPauETobOGJ8hsxtzXPVB3oT2Kzk3KZr +YvHi+7kaQIDIs8sfsbzcsUeOnM3ubw3MUDSAZf3rZMh34+rYEZjJHLE52zxLlyRi +8qHBn1qWHg+HTWd25PtUoRqt90a+d+AtZG6Pj73+M+qSldGUqCnV+Wgq50AOljpb +GGkfqhyguwmXSDmMnZidnUEIETsmVDviCh1jr4MdQl73UmcWfEoQ+l/0z6o8ZHXD +ybMSisSWTQ5uDTGlieROsmH/z+iIkSkRoLVtkNzZYZGyrJI4/ZzPPkijh3vxeN6b +GVm5oZ0eRWQCJGrwuRwPdDXO0c9z7Ylwl32OeLiHXlAYzaUHwlzzXYz50H+OG2pY +yfTtqIn1i+BABnqGbksvjON+i58CneOpaOOme7SgqPY7tzduo6eHodfnq+nzdlLf +VmxrUoe8cJtNPebHjCliqCI76Au8sIv0ds1x/nGEExFmEfINTw2bpLla1n5nUC4s +upBeAlEsTA9kGJUA+d1SYGYqCkVkEGkd2cTGs7MTo1YiWKQECCik8fWLaAofFPsW +OKDrEfAliJuwEED8Qu6nSWX9o56trmDd2JcdQmxUtDgXXlH3Be9cW+NpHkS3QuUr +Pl+m79YlZHpxFK41CJrpByL8jGKiDsu2rDQNRqMkWmSti8c/jQwHYXl0b88Ev4KV +D7khiNdbzDhurICv8B216VqYe3SBgi73zueSc9nNPB8TLbRpLF/hG2okF3G5oKMH +054a7SyXUToXeB2VUzTbtlrDvgVXQmnnTi/E0j5rdgjqyB5JV9Zau5Ky+HoR4X/P +xBQad8KcDBnEEuza0rVk5G3ZUkbbzSl4z35LtZZxk/MFI77qbOCq6l6KbziojhcW +6Y9UYEr2EBNcROjtjZja7W4A6lzpLz8gDqXYciFzeY9wIQC2ybKpuIQMuK5OOCpG +H96aN/Z0vqskP5dbXe7PVILSJTTG8MHAVCDoejyCj2gB9HZAD0JMxvX2xgHe/lY2 +u/Aae6HKBadBvK4oRBk1srSBVQtluM9N8DFEWTXYT9mydVjZgHpSmABltkTD9/wx +QLNhl0fXAt9s3ruADnB4+ynBYzksgKZUdlm7qN+IfUkjfW3/kfgfdSVL3rWgnnhK +8Hz6absKzB1xKMR0siMN+IjDcV57/79K5LhbFRf8CLQqW15lt00SJr5Yjwl8d6ui +cnsM4X0/UxbmyX9MXN9K01f7eqkZ1zSS6fqbRhT3BL0hzPWqwb45iUJZoV6Dd7Oq +po9AxfhuEfMWI9PqgOSe1I1vn+CH0sMpiWZsZRVDLeMuU0vIh1fdB6Cu7/nfwDJs +XfeOhOyVCmI4txDYkpmEh53NoE8vP92qkmENgkh2uWrKGAwbaoRraoLi+eLMtLSV +T5+wEn652DqH3HzOSDSGgiktydUhb3otPW8pKVdQChRdAGpSiHR0izN9jCapMJlM +dbU4Zaflw+FlfUZcwGN40TfOOBrUae/GMGHKsvUgcKkYfm3S28dUB95rBr4lBXxw +a4AomRYJ4xV4gA7otyEhkkFzn10P5P4u2Tp6LlEw+dGP7qZv+6eqo5vlE46X4Sb6 +q14/WFk5/B+e9HE1g/GGqNGZtrOcEOTL5JsgyU427fWC8MNlVi+00o5eHfhF8kXB +ib4Kt294wyF/lk2+Kd4smpA+iDr+EyFlEZmTPEMGy+b04PitmTcMbJscSU7LMUKB +12mAXtwUsK+iV9K17MR96FkKw/JyBSxxdm2aYDVWMCXvDkUPENELOPhza2H3xFp3 +X4d+sMPvA5fUCaKLs0kJ2oggv8kc60F8oa2wa3KYm4JEZeQkEXNIyFXMqKw5xuqy +Ofh0eu4+Yg3souZS9ZySbubE1XtuEG/t4dd45rLR1T7Do/pBkBu8ly2Os402iFnw +KFPI9PZ641p7l1n6zi33UMK+7K2Rz3HNyByTG8agaRHdge3yNy9RPDY6e2DnjigB +jEw8ThGJB13+EOoLhJXVwX3zlYewZka88WyR7CqySY8bnfK04g6RnGtES0lhfv4x +GiWDTGojZsyy2js2gwd5tyxaE8EW97+kvSz8dT0CabtO9cA0FebRZB9o0Z1FVESV +QvsaUjlAOU7jFeFz4e8+jdHps4+qRKj01Ts9+ExcgAWP39N4dZCrzEdw3wr83qKo +UlcL3PUahRHC63hbL95bSFGQqmpTLABynWIUx0vY24SC7Lor9pGRILMxlLtL05N6 +Z8f7GfcPn4SFS4AdabDKV7Kim+rH7XmtU7+Ngp1sbQWvWz4cj+98tKY0WJdm/Gjk +9UG/QQLtU1xbriEVD7lh+LGXmL987P2w9xPb7mGY8nkCs5fKynvAaB16Qwtlt38u +763t2eVxyPnZpU5C127wQJnxrgQmd6WFr0Hy/LqBPe0NWMUl9lfc4/2nBEysTiEs +DJQZRRVzv8flqV6837oiugamVv6pUpEWvKLfLvYTudn8x+PpuL0GaM7ZBaV5978V +alqmlOS1xEYMSWyvtRCCJQAd13xNS8lgI9a+gT+nnkx/eMtOEgM5uWVWFIqdsMCW +3RsTtYCrYrft+YG4ZjM81IMM2ekDmX2DTbyKW/XQ2Nlvlnf7UF4uwPcRdj5M8R5p +ssAo7kZeGKz0i0ShOhl3zDkD2kOyVwtBwpmmcW5JycR7o+a87nWMAToZbZ9ex4Pn +IQqDS2GsoXWxhVFcAqRGdv4+71kG2fSx11esK4+sc6Yoe0Rzi3Fx6slC76k8Gy6x +FTnvngrMG330HyJEOqCME0cbRcnb4yCr7WveY1SsWiUyoPkGYoK3uOndtBLn2bTs +K0XwspycMzRVJ0cQr8v89vnwE5dRQ1kgUcidR8tgGZfU5CjomzX2Kdl+mUDj98f3 +7m6wVPO8BjMGkAhAY+jykcdpPG9QiVAV0cdnbcwjjM/vD1ROObAZ0Yp4rn6KYYFk +Gv3TNBUl5JxV3uEMYDjCRG+CUMr5VEzw8/uQOnr8Q+KUR83CjJ0YccsATWPySI59 +9VESwQZLheXDeYyxXUB98M2gGxj4d33Cb0iqt+59+nu3ped5bc+E5twoz5vLIQrG +o8jgj/PJaBxY9PyC56VViYB8An1eyViGxrpfOySFfbeOPIoVsPsJF124PB3uUuHP +KXBw02z/tXmgLJTiayzennYgL7lPY1kx1qb/4JgiAy87+XKhIJsk1aPkbtho+GBk +V0k5R480v9XxoyGBEzKyycr3a37ZYdXLy992J6qHlPRWAx8F9cL5c31zu1bfUmSG +3Q4rdQkAOcKV2zgUUZlaat6QkMoRq5X/Y3SSvnZB/atB+/EsrQM2kJwt5abc1Orp +1OUaq9nDfyyhM6U3Akix0N34Z3EHRhma7ntlGTxwapO2/rRUFcf/h38XjxnlhV4u +iGOdU3YTvNSE2Fvff//GeINA+GKJB8KJiY9nC8D64Uttw1kUAuN3w4SudvoTK8kG +Il4VR3NU6mhFB7yVVM9hno77sqJz+7zgpPQ15SrP9aGC23raY2pU72I3OV9LneHG +XOrrJam7MZOpDYWewc/3RDVGDvrRgJIwNCp4qmhA7wyWVsDq0BVd8Y98vpAOj4KQ +YiAMam9Co7dZJH0AENBL159/y67hJRmT06XSvMyfrSfQLo8sGqhFZNcfqAWh5jF7 +qu3yDYgEk5UuP6Z0TCmU9vlMBEgwfLaU0AKZQbUO0YnDc9svXoCzfD5ijfp/oX6o +KWtF/eR+7nts1yLVk9wKiiKgHhRpG0PUyXjHMeO6a/O5gciK0A7jL4thRY3mBZmU +cbfUDb0qXA0GfObGPwo8YEvV73mLfgb8Jzl3AU73NhEe6usap0o1GbvaM+bA/F6p +XDUqZeEtXRE3itYm53k5jE1us5zHenDRXnAtum1gG2tW3RyfoUWXOykT25poKt2/ +Ncp2rjPNwMAaLmxuKTuEvIBL4lzTp405rpwLjCNJ7mVvW0I4l/yvPJ6zn4PC1voE +pg1RL/XhfmDkng+514GQrXgGT6MJX7zN+FDhNQ40tnK1d/OZbJ7CR0Qz6YwFwLK8 +k+Qc3itJjlSkYtLsvv2yxJv5l+z+Adgj8b8fyr6S/NZLPeg+UPA2PSUomxtVTYSD +ODyDg4tdr3RCfW6j1D3kmCBQ2bdOw288AHx31V0ylGvbtk6TZf8suWFh68OaazOX +kML3/4pZ5Kv9jO4be0qCO718LKDiZ4RaH+txzvpz2fdoz+N1uMejpwLn5L9aZsqp +Qn0BRB8UKhhnIYPo0CUSbaSMseZYGxNVY2dLBkBVRP5fuVAqtP+w7S3vXeyQYxH+ +oXC55hhIK+/1BMDJ0cBJFlmLT+k/8qlRwKvbKVj4CtStx7QVy4fz6psrnXDN8Rcx +Twlfy617I9ks2rv7/qC4chHgmZne5+ubzKF3RIPAXxKAAnXC3+W4G6NULllyGHcH +F8MXBVTEX970cDyiCynBq/Q9SC1ak7mzxjq+73fC7ocDYI/7zauOk7mFLORrnhyr +4hbcYMHL2yaA8SFqJ4+O/K8zOOxEEBmr0M2G7Gjioa8KvtwnfAfBOa2POYjKNYgQ +mbBWMDrZUugi1Lu/OAhRMNvr/dYHSrpSzGXy8YKOvxR/dTAm3jDrnTLNMKVuuN59 +C6/xJx223K5O+m/8t977xc9MUSxAnOv1BRaJWIsZKCBCaBYblgYAb9vmZ+DoUyG6 +YDl3CcZAdH0p/JZu4cpVhqiRbuDCEBHg2VF+WviO9euMciddxUmFS5lXRfNmwWcw +ytpbcex4jTWu674ucPQ7Y3E4POs51Vtovn4mp/3+nbTfgMzrxk2GrlcBWx8T9r70 +FhdPBs23/WZN/Rw9ivl+LIiw0PdM/8IHY7vNwOyqzJ/MrLGI29GAdn0I56d59le8 +CH1OTvY/hMxq2fsCzyHylZ5PEUJWm6LWsUslxz3h6rHuiU/1DDjOMXjZJ0CxyQtM +f35bDXennMUU7EqKvfyWK8XvXLhVJVY6oDgusOGMR9ESBiZ/RzcrUKUd04jHALjr +t8Uz7CwtMODTmdIdQxvocuFJC81VQVGJ8CE1wiSJvBI7ZSF5lYToy3nflDPGlHA/ +BI3pvEg2Odq3edx5qftnEsILmqVPLSvzypHTgxKgr9ZMRdYAQfIRrtUDPuc9bL0N +2+rtlez1llqMUiNZ37JL+Hdn/4A7N7xIME60xvwoXl9bnizx1ISnpaTAeYT6iapP +1wWFi+gEa/4lqRpRrF1rb/N2tmt+INubuE5QbhhMiV5v6u+fr4vGrN4dlh8o64BJ +gAgl6M+B5mEAn0GHtMf4B4adVGhPWt2kEMDCFnY2NV+2M06GexV1pz7Fjmge+idH +K2y1ZinY8ecyJamsDTgBdVqlL7uPxSCfwmfn+6FfDOb9ZqPqVzR5/lTKgb7+PYcb +Nj8bpLDOqbwLDhTc8o764YiBqL99CGHggOBriPy4kOm4qY1pFD1IX8UVDgPtIRAG +0MQbbxrDpsoZETWIzh0pnMyVueQUFheA75vSFa6KI1S5mZNIKoSfWHrXPCwV5FN+ +cBMtV1XgQhlkWKOnJ8fe+40psqKA7lT8AG+PFRyCG4v7fLgXCxoqGqiz8u0229uM +6YaXC6i9SrcBA36wnsjuO7+JTvyb8u0mZuUmeAIsZ3WDm0DPPR2aXuZjja6is+az +V7omWbepd0WohFoiG3FO6SZZz8WN/cSmS6ouij6b+bgTWpBS2pgotu3eiZRSWyGK +mbxjfn3iIkkXP0ZGEnk/paJ1sHgq7NJf/xTrqyNfB3qemBaIYkngAtJQj3jmJcmS +HacqesR3CNZndXQmwjSIJumJckX5Gm+mH/xZVgU6Bx1mA4B2195Xx5QtrMvqdY2U +yicqaiWpRnu+zHPbSjKziVGyXkGgS1SFYhVljOKTTuixUp+FZofdayz02C/OAksN +Y7ENTpez9MCxrlngZAeku7lh5JGWDUnT/s4yQoHCN461ktB6Bx1beFQ1NFz1AZkn +jgTTOH04Uhg/7+sc8THqJyp06FvCc6MT0LFXAdsfrsf2Bl4yGqrtzh5Gbp/2Bgbz +UlCRhilhgr8AKywiZ+C1yrMfqjk/o+GWbYf4wBN9VpPuVgSm1qC3rnXJN6GmfZUG +vxKIcAYob7M4Qh2cL9Bq2VsfclVzwZ9Wh1AJSscx+HkjVQnbZ2O6cEvtniPYVq79 +DsCMRefzvN+YTWmBu8+P5z9JJmMr/Ffswsv1IjRDq7zxEgX3A/8c/Yy2OaSUcoUZ +O10U1ELp54sX408YMWn6SWg7IvcJhVqcbIT4jHes5zD1/ycGaHI1kfkxIHxsRMx3 +WuD9G6D8MUWNoRD9hyPoNx0Voulu6opy3MaClLzortzYENDT3depNFpoiAlpkteC +Ug6kB9WcM7EpNhaM1iLqEbdUZZSxrC0zHxuA0gxSAAAsLSSNVp0pKbyF+IILlwHh +e3e4ni0+WG8WWW59OFreWZcBJqoGzhuAXjD7m1YwrLM1TN3abkxxSZEr6qooO8IL +RXXDNLAplt5MnrvLlGsq1JUHkfo39Ud9ILjhGjSUmz/eUYF3V1gOX1vCXrnFW1MW +xVl0n4OfoLxUiT07Vth/MtKo7YKK8DVsFDtQcE7yZZFavk6vTEfKfBHwL7WBHFkX +qSQK+vKgas/alurl0/P+i9M8CXSN2bAymnPVJCtQbeDnRJ17hKdYUcko8XBXHJNh +dkq5S5ZoNWoiV0pJDUj5WJNQpL+s2aBucBMZWiiyCjMvBwcfN0+oHCsQt4vSdfuV +oQWn+mKfNfXmHQ4IxNVmKEtpso7TMXKjBJ7AM/JTCNJWQA+JbUDXKLv769+dkASH +RUi0zehXMCYXVOugzuppLGkQ8+WcPjp2F+e2n3sbZx8ddxkTmP6wb4bdaOQLd1jo +l7iJFiPndseeOa+Bz+mU7croUf9mjraJZU5sQCnEkN6zCImMzND0aiuChB2ArLu4 +VRJFNiU1LqIFnvAs1GZSLqfs6+aP6sworgZ6SIRdI1eFSVlJZp0/TEmle1ELn37e +J+1xa+IWdCgetpePwA7RfM4aCbAFRj1cmUqWZjUuUQR+AoIrUj4eg4zcD3zQZKZK +E2Wz5XuWNrwrEzzhK7OKLPe81AG45vrw8azCe3gX5P3u9P/Dsc/8q/BojxY4k9Sr +iQitCVYLAyVEoYY1ynf2SmhF6B6pfUzJL2FwRExCWOurlrywuPTAWQB/vLuRL/nR +K4KjRPnOmUmWI12TZR0eWuobTl+m5fjrUiL4ibb61fTJw7HuhOHCyGR0K3/+bZm3 +u0o89W0bhalbOQGgcme4mcH7/BZKJJye/LEot8zMGhxDKj/jFsk4WCexzAyNGt90 +vJd5BZwHLKucOmgFCGLHCfpKeqhrC7aj4v6v1/YyQNIOsa/z1Xkj2CT2wps/ONYk +0lCgiMmVbeMByTw0KQ0QE6a/RLWLWJIjotTk7gk3x/zYsodYAsKDHaiJa5THZWXZ +mG6yhEb2GsO5bJIDwWF5xlSRLjVbNsbyIObYhjB0mKS7u+lSZDYGRIQPhf2H9Df3 +YJlMt4f1pEOXEAxOfVna/Wxz5ybkNKhJrOwx94E397UNKTiLR4lMzYVdtzmJ6D27 +/kmD7pZbbEw6IBhcu/s0QP8Yydhr8QUJEDo8H0QFh0V11eG3Z8b/6A7+7l6qvPHh +QuCYsAMvGJ33uGQTbu2dTMyLXF0ShUFDs6R5nnQI/yHD5u6ebj+YNtkJ+oobK1S9 +X9/AeHFX/C5zeOEc9iKiBV00aNsTajDQX+S43lqNYwlF89LY6fM4KUiEuZ14URdv +ecloWq47bP/0cyOQonLWRjOZ/FzGWWKixWoLuAFzoOE5cOensfSNPLRWQ0M8V1p7 +NzwzC8KSqRouePGRBgRKQFhknf+oxukM/3Rr80HEugCHQw1oLFOmmjm0/wS2HCWM +4w9+/OhDktGz/+u4ag1/GK0tQij/y3aAfhFJ0KS//44vLrGs8DLqLOTFVuY43jcx +btThkaObM/IbkJE5lMQylawc7a5ye6YccuKtZoTJTo22+NG4HEv2hz4nZ8ooXKPr +0zGyCCbh4HrhBTIzVSX57as++abMGIyIbJuo67zu86W3uHyuZ7K5Hugjhblw47wQ +XInRrrRM5j1gKeAPFr7Q3wNQO+xO/Jf9so21RYgGoaqevJBf434oECzV4v2hgJzr +1XxUg6PLB3dtyUouCMJFYjXZjID2nuV+ku/Gr9kCpVj+mOSSyHVIfey2w6BWCYtr +PlCKZ3OXxqisIEiaKhYy/c+vIcsBAUML+uEA/mpDTgA+BcI5IuvNhJYjiSalZZ6d +q0dVjnn6t00U+fraK2hqgmZPqj5NQCrpB13jpQ3NrPQr32cyYuzxSPcXtiyHNdzi +JAbamsXhNrccU3DhR6IKm/IQto8yYZocZSo/FX5obEhPrPsvh9AoDvdDURLwxnEG +IujGLkhAdK3xy13IfAWct2QkMs2z4s8D+/CRNVVkN+05oTwBMQ4WFpxwAErCnrPY +aqTNhr7sqz9rOiC2uMq1++GpTDBdDW4H4LlQM8L3S+l9bBDDAHx6ehRZWbdYT9BN +lAJaoZMkY/BvXSD/Kk47lGjZRT5WUwj2pBtYdfMlfZM9KQBAcMOw6oPZODd4U8JD +Gst8iUfg3ai+d4m9yPCEytmlpECktoGDC3Hm7NBA7ad8uIBvHrK0oUUrvfn0xYM9 +S/Uqr/SaSCJvcP/5hdM0/1N3W88ybVT7BiZrEPRE4qzgKKks2atdzCxTAgf4Sx0i +lux199aRYk30AriLf0Kc180DhtndAX/EAGUn+7TQCX8lpdCh54S+6wa0YMEubdmC +R+aeNDyNmw5IPX5ZSoWG/WQWNw5XEQ+3GaDS22cIGgdgYPl5S1ZRPn/PbArNYFJJ +d0ybZZPF5AvYk7sIGfvwObkYVsN7j1FwIUXu1mYsVk7lj7fjv+CeadWZE9t6tio9 +B5+HqjKv4F5vA33kLeOvjmAK9kDCEMwB4F+K738Z28SPP/5p5GhIF4Lzagki9Xn8 +Ky/aiUmNwfRn1OQXiXPioHYqNm9KachwBO5HySnM9pWq/yTmxeWt/z4vcOd8CH4n +1wT8cp76erf4AVFK7UwBTdmmKg/K41Yv/mvPJKgvYsymofzh6NoMP2GS3YbVR0ya +WcIqFtNcR1Z9c+rys2UymAnOThk4crHyu3tuKo0PQb9Xw58k9z8PDUe8ZknSUS4Y +CY6v1bvGtv757Gd6cF+ouWoZBCdZA9m2nN9uB/9UxSGBhm4t4AGXl3XBYG5277yf +Xl/3DxFaLdj8P0miSfS4fTEzeJpnN8usjJ7m/buJVsC0X7uL7dXp5IKJKXC4ZxT6 +2OK7mxUZULho2v254k2LrGzWDW5kA76VDk1KaZ0lyrXvmSF/Hn8j9nfdeyNkogd/ +lOdd7HbdqEOV4Twb2DkMRAVKeggfzwzz0f+o18YfgVnuSeKMN/g3krySkJcoowA4 +EVE0E+kCxT20Sh/jotQqPw4D65tURW9AXcW1OL9tNtoGmoVbBCqCR7SmDnvzqCI9 +Kgztg1Y7K0PIXqj4d+/jl+csqcedfW0mwYq6ums1uuidn4LSAGYzzO3vugIuaAEY +NZZ+vSvodFTw07fl1IgwypNMyzSwXmT69NOLzrs2bRCoPWoLibCDyctRwqCTo3mv +0FWRgtYm30OHGmJbBgtTm9dcNd7VF+Z02TyBsnqhbVSZCQXPy+TkTgco82zCDesR +PWgRjkpCjbxAWoHvF0ncR/7IS/sCl4JlMZWok3rJz93zzb/eKvuVWWo/OJx5feMG +vyeoepDiaBIe1b5ARA6DR0G9GSw4DiIbla380eDcfMyKgBUKKbJSkpxI5YXtGGWb +2aOA2/M+0FfBPxDXgijWtHGZaE9B8j/Ir5VWN7oRKijR5PiEZZjZ17jsnWmSwjVj +Fe0xYxwQ8RMBX3/Us4WGkQygJeDEc37fF3VxMplMoZCYfLH4BZsIVXbDNOZpYplv +LHsmICJU4m9jgzVWOkDPiPcLbRCvjWQo5oqquOCvW2XfHeCiAg1oY+AW+Qq2HQSi +JQ1aPkl97HaG79kCjPydIXRyZ0lO9XCpI1gtrOMnxdtQ2FA4lz5N/AlkrZ6X/BpA +m2FY5tuB5zIrHf3ufbElEE1slL6heAf3zZo9au82TfxwivJr5SzNgIJUOVa5VTeo +khBqNXzmnDYGLXAAl4ADahMpBamL6cqQOs5kXDs9O6fwVOYKQmschS5mdri9YfHk ++vpbO4DDUaf0hsS64X4HBC5GMavnPIAoJpoYJv7MVi0ei9+AQEBrfOlf0WgKw1l7 +YVaTXHH3EWOOzSJDXQotubbMTMXKxyPSMzJfqm6gXGQaKlzLRtKIxTk2fwshuZlI +ShEsoWl+XmOD9rHXXJ+RzW0kko/iHPbGlzDcD1ao7vot99raPSBfVwsLu7VbkxIF +n6dvbfR/xWzKgGHxE1lue03WN8UsphRNM2OyPXgJGJvyccoOMK/JdOE5vJWxLK9q +sETwRfA1gtTNUEAFMDvH1BuDFPRfDwKkL8QqL1MKbLW1HUubInu1rCwRpnPVDNnT +c8RlARH3o4cEyYSQtByAW0qPgo3RPV/YKXjLEdr8sSFapuJMlneGqCvmotJwLDGU +yc0OiGD0YtBGjuYjzUFMijuW9Hrlba+vcEtpvULrwUEg/mAZ93esj5bJAvG3PE4r +oBI6nJcd5whJm5O+UMNQJ4o8hr0AIpqTeW8NNa39gFygC8YldaGsSSOYb0Qf2qkZ +C06IsR7P2i0jAxXtMzKe6cEg0h0O7rLFUepoL+0DMda3yuF5vegEjUzyx09tt38O +7ggZtXTTpD2qPDtN2Jy3gBluFvNemS1BiCxV8MCEs/7ehoa9rRyj41d09uXXAvlA +STh1NUaWgazxbD4JYVWJF4nJRtN+slyuaI+NZa9hfpcG81SBjIBrmU31cAT8mgdG +lDrT665fILrukYZ6SLHMP9rZwSwVOE4g4lm/oUgfvmtlPIzJP8U6MAl/yBr/cVt1 +OB4CD/D8pxY5+BOyA4jR83JBZnzCrJp3w422BCe4ZgHN02H4t28fhpBa+XXZHWKT +G8exf0XJ8RRiEcHTQmFTrstRpTUXfmqxx08LAYDHVQtvE56rIM79vwnM+AYeduvA +PPssqiZ2Wp3eHPGAApM7Ozo3QP2eaZl3XW6f0CENHIHbQ1l+AiHOTIHw2ikXolIu +1GAyFZQjTYl+iAqD2JSLLOyBOShcTIKlqo2xb85f6h4W5rqdI0mZ5hW04b3pYJxb +nwkHoPJRpYLEmYuF2N+nOYz16xYv7if5aa2b3lPvrEmvXlk9OivzG7yXMzRzPMFA +FRAw32ADMSdwc0SHWqWDaZlQx9I5YU5zkDUDY/rq/gqHVIlDGZ5rGvP3wP22PvP9 +05UE/Vhqnpl9iUEmvRbna7gd/54sPm//aHjg8GrjCaRHvmFldQrz4kjZl/tlDguU +hejH37ko0XX+sME8tt05NLcho2nHf/1jj8Fpx73X2sMX0nTb0loGYW5o3172XbUB +webblj2I3aDM10+/dUx/UtCTCmyjDfQCR/rqumO131V7EC6ILr/k69NB6jEbhBVu +fJCzXdTbSmZL4Ie55yn2bv0NVF+SSij/glNV2BWNQ83GYyZLSmi8S5//QkAbr/sI +iJhIyST0ijRc7mE/s5U7RdGiaxzWKm5c0QGRPkOGRAlHnx2MoFdL0MfTxI/Ng/TN +qVnJE4toVJByNOW0UxUy+txQKocsmeitwDcLmCE37qmR/2UmccR2TG2QkS6ktqsB +WT/UXUkaqJggv3fjTNAw6A4+6MRZJ+BeTPgh/D2G2BWXDvPx91jqE2cucC0Nq+6l +U3W0feagcpewVQPk6f1F0Geakd72zT0kNU6SvGD4aOELkKvkBS8NxxMeaQbET7cM +t8+2xuvxBV/QES/P07Dw2FjxwnN6aA7Cibhp0a7DMYn/tJS5UKcze9ew1VQET5zd +uUN5+dbrsdAt4QH4u/iWbh2eC2T9i23K03XbHAzhNPi2RU1z+lmxta2FZuhJaGCJ +x+qdVKCj6nTHRQFp++FAOjt+9PVFOWLdrog/ihJJezI+wKkm5H9wCj7mJtGgU1+w ++DzRzuB2aqXyFAFoKrqT/zMir01BHVqwfwWPkIL9rC11SGrxZsZQ+44KgcJYmqUW +w2zrGcqDtz07btW0AQsjwFmtgcqcr5pg8Qko2Fl4Le0Y+z0+DOnbcnfZOuqj8bXp +nbP6EN2bfPxduyr3DFXgWBWV+BQsWYBosXCiN6I37XfBqkkLp8sjSMqGYQzTxMW2 +UtDWc42NydGAaVLBjkEHMozB7L51VzlPRbuE5fW1ULoYqCAmlgEGQV8RCG8gJUtm +woayOYjxeYYiWIEdzAPgqJe8HF9M0v9ZFdmt9ihUyNGIlKtWL2A976obwAfiq+iG +jBIEscqrAURQJG8shUuQNcDjOy/iaUhjsYaQiVZMvNoblSd4UmC1saiHnvXfG5Ix +XWITzexXHPs0+mCHx6orrBystkh3xvb/flg6Amx9EsJb9DOZKr8nM6oa6M0pevy9 +Kf0h1oE0Sro2QkbkhfQtL0WXAhwTv0PqnFOnyf9CGED8c76uG6ISFD0a5eUlEoM+ +wURHiccpWRYWRitKlF0dlxBpTrdWbcYqJadSSrqrlYjz0HU5tI1kON9J+P+vee90 +Qdc6x+jeQqIS+wndflctlnk0ME/W/wP0yFGmGTKh56560gqTj4ylG0ctzi5efdQ7 +D1euh/xlHvLq0cgOlLiyHqgUD1j7Teua2c63EZG7iVxy3rRP9zPlHPigEgfR+/6l +XldHDOdJu0zaoitZn3Tw2X0iannAQoF1QmLaJh9rBEjOl4KAe2MYcTzxtSTYdxYp +Sgn0pxuDolTmPIE+6Z5jKYfBS+CJyiLdTpy0zcO1v4YKj+scNrQTsJ8wFFjfF++B +kXXwMgyO1/zLB02T5Ttx5H9Y/zi0nXLvghieIvN7ndPGCJqzMmU63gLXmS97S5Vt +Vf6mPNZ3/NYhpiSF7OUTVsOQaedF8WYntXn27btmtkppXRFDAXK45uzy6i1lmReh +Vib0sDHP1hsZU9Bs22TUFUz/zQ3XqPGXOyUenMYANpbWvaNfqSD8v+T6qgSnrroE +zzyWhSxOkgTBQH8fRwcsDplvac4GsgTEZjcFpy/dgpT5VJfWfhi9qaLQh1o2BLUb +tIugZEnjWR3UZCc0fR91LBHtbJLo0jjF3I5Nc0tOzZm8eY/GYVi0ZiZ7EmuHtvsL +M7H9XApEOnwNqqZ2uFKZ+o8Ps5hbapkpDVWqdL2mrvkpUHKPvei10RnhSi6kXXTc +2aOAx52qXDmsdkS1suf/YeTumQzIJ0w++gFDQ6aUsLx2wtDfe6IPXsPCHVHQVF3R +YgJ86HjnKlcS0nR8Cfp7m7mKDqEuuj7l+waBCihvVM0gMhlaxFkzDp0YhO/wDLFj +C8yOJ187yTIrD8Xqwm6KZpimdVkst41ac2fhlmnMFFyzSc1vCCAInAdNkfubwWX1 +FiN0xvu+L2gr1VFPfK5H32vkH/HuRGmWcVIE8/K0H5g9U5bWDnHCGSjCZzidmRzJ +A6AXPPGgZbbywIDr/4hB4XogC/GK0T3eaEdDM/6wL0iOPNpmJir76gPa+uiBhvRF +xn4nJ4ydy/8iFu8CH7noyPF9hdM7NbkMe8Tl6XAFMtijzTtN7P+Zt6RMcza4AGCl +54r+0yT9TgmMG7PRU1v+IJFlepNICx2+mG9a2a8l5eZo3MkBzRyHUtp6pCqE+kpG +O/O8mGTVdxeB8RMn3J8F/kn6LiM/Q3nl7x8s20obeHR9k+YV+PW/0HYE92h44sKA +ffduXXCd2BX1IUIdLFRjf54HVMI81ZCLQI0OMiiwVuZOCykPxAiK++60dlK2eD+i +kSF6aCY4rOuP6go9hp91jYujpR5smabtLeqOHbX5tre9myHSuMYmu8cEHWONK3gt +jrbczQk4wNpkh+DJvN2Z1wvA4JS0pdp74h4z1zUsgwG7Xm++W6eGvQXWxDVn4V3j +0r4Hduje3fMgaXroivjXMZqleU5se6ZZoGaU9dVNl+6Fk9vEcNn6LaW02I9lbx7M +DQkZShwLZDPeA96EKvkKL2SCGrer1C+Kt0dG0se+AIWKMFvv0o/NODzKbZJkdmJi +84K4OieyGuWLh54kz0RuC9EA0LbBwhyw22UgZ2z1z+3p3zvxzvAZXhulwqsgrQUV +wqHrjAb3XLXW83pWtdFgCc0/+dvDLK9rHlWD2OdE+rVefZewlvZNlYiS/zSWUyBr +qLB4iCQTMF38P7RGnO+CaZI7XZRqthIS8oPZh01h1MzXfwCGJ2SwGQ3rhCaKc9Nc +lInz1qMNBQNZCJI6uWvdo9F656uARN9GJ+lT5cEKrDeig0BrDhy9mwhQz+hpE/mc +e6uFdXa8L3AYpw5LCIR+2fP2vW+RIiZM/zH7/VYvYIhjrMRZWWzzN6u4MxextsOv +g0wXbtpRYkwmes7FQVA3u8Wp7CMlSLc/11NvvZW9uhweeUXA6bGCgF+nI2ZsSjTD +dJlw5U9tUXNvhVkH7KGpEbKSyVoBi/3nmA0DwIY4IvHRxQfMK0Yrv/IOLfu+gQb+ +zq3qh4gMPLkzXZqFfNGSqvEqad+bdWk/uaVS/3NU4E5cejhC7MJanvCFEr70rWLf +R2MLfrwaYi4njM3N/GsRd+r1u2AH4V40r5gB20gu1eOR3LecBuPQ8SE6SUwKkOHX +O2RQLnqMSq1Q1/zPuK0MAv5KfxtSAEop1EUxOdSxUQx+xMsAcCvUvo59kwsO++QJ +z1OYvewOCaJISIvULlvvH7iGsRviPfj8GNfsESXuEkIpX9HWJuciLqlfxXpg8w88 +uMq4PaVa+rzgv7oVALIxy/p3gczvH2TjIgu5XKJV7DAZODfp0ilQ3fEUh41cCLfA +w1s2oHkkrxRKHJqHa6Y2RftXOCqbgZduJ1+B9YKCHYK+mnM1QPp/BHEwX3jeyibh +95l/MQ3cgxl7pPjhWJrsP6B1W12p7uY3H9tRlB+KbFXUl4f9apDgYqNdYjD3f5uP +FD8ngWbMPIL6JF9F84wdOQc0992ljJPo6bkkYd/S0cEPPagjAy2ArfKvt+rmGlmB +pBM0DtKo6eFWRKPb54kSKuOiMuYU6g0xln2bLVfX+9aixfR+sQAIm0oXW1/B79ho +wm5lo9nKAyJym4tBuyeZvtlPRsKfVXAIgYa0w6AEUhmbW3rrhKv/HnHDdubLi9OJ +fOpe7rwiaMjCBBOxsZmbFIzVx/+DpCVw4G/P4H8ad6moLDd8xKJp7FBA+n5JVADr +YIE35EZgdBQuOD7oKozESXKRCJ7N0JIYPKmpZozazRJ8RqVakt4vqOZghtKb9aBZ +yGHP/h0+31OJaBSnQVlMWNoTMXCwQ+ym3Lwe4jjM1ePcDBHRAdJKy5PHQ5Ksgd2J +5pfam+//XHStjyYmA6pRnR2D7GSrLu7ycVXWgctQbZphsVo1WLnagRdTmfppcLrO +FMjxKSUH0J5XjAyutQcXi0R5JeCMnk19KZhDWE9Cv9CMDv6dClvyVVgIe9NY5+m0 +sjo2QgpGVBP+2WQBDad0HbVDVz15UzZKMlkttBMiWxh9xWlATVgFkMv96j2Jgfek +tLaY+92Wzi4NZBmWVAJeIj9rImuqrqlEbmE+IwqL0fhh6bb4+pQW1o0OT4gmz/YU +nrEdvjOP4xhiPxnfPBU25kjk1Vkhgcprv3vTnkQlfa+2OsFBpbeu/b732oia4Pg7 +V3wtvaWaunJAePtz7adl6PbCW3/wVqa1Ov0p3Z4yAiz0ZXStUeSyNJfEqB05kGUj +Z+YVEG3fwWDX2FKFdkchrebN20w/d/tqJKudSzDDP7yLdbChAJZanI2oRsvJxNpZ +C9qkTkEFFQLW8IYXY0CyVzawJCA5Cyhudwjui1Z3BIvzZPgvPm+wycJDdIl72IEz +HzvyKY25HPYTVFcvTsxE0fFCrHabvVc+bOXD5Ot9JD8wubWBp9DIoJqsr1oHZN3P +kA2dSNKGVcn5hu0y9PjJFL8TGTYwltm4TvjjXHdvE1zrYu0sYBOEIdpVV0yF93SV +ld9cGQdWwIUupcGg1PKrwNiy0HMV6rk8Ut+Wi99Bp0t9gXfR3KNNenmssgLAxmbw +W9a4tYit0qc15dX3iDwxlSxG5YWg50gkEZY5TazDYCFdQJFuvOuSTztvv49zxr24 +vBXDhg4OhxZX8KyOElBMwVzpyhPW5JGjzU8rcU3oQnlYjoHKieXuWhU7MbI+61H8 +eNVJmOVAzRB5O27VktVdZe757Bkwm2aZNCzieN2gl6mUO3wes/bvRDzA7KQt5n/O +XXRvJoqLX5Gm6qDC/DhvEYZn1MNW8bE86aYXU7dLtPy+w1AdIJcnN4B9MnkN8Lbq +HPDniTWUgdYfjKLSoqdwWn/vTQs64WgyhUBhGwSqEMbyHVFpRBgilsa7YeEsuSVi +9la/wx9Hipv3RPDnwX/9zOKAsV6snhZEMT42rh8/Eq5pDVhW6qEyQL9we+KxVqAf +ejhISiMQn/Jq08pMP9xBq9gSYGEB1N4iQiMHlDpgZ8lhyChn/cjynW+MG1w9N6+D +350gYCjnbJRv/qMzmXfyn6tu4kFO2qlMvOY1Y83LKlaPjZwhQO2rr9xjBRRkLHbT +/PI9AMMXEqS1m1LC7X9oGITP4QI4vZF0MPCbfJ5vAhNSQUxv+Q90L/xcaYS+PWTx +yUbiViaB+du2+YLvSlOSWznggt+6wpYhF5gUoO5tPa40JgU8uYIWVAbCDTDwqo8B +duV8GbDNWk6dBnsbNdQOj2doqUN2FkqZkqALTrwuM+e8hXliBZwnm7+x79C6zL3d +Vae1ai0AHMnaIUscEJGb0JrUKji8wMF821aSvXol6p7fbt7WgXmyPISumTDi1EeH +fTmhq3s+4loHB4k/WF3zOdIMi0A6Yj6Zyz+on/qZHTO+i8/geXYTnqvDYAUOeuB7 +HO6QHqjf1VPRGogoTWL0wJZSfHf+3aiu08w0Bi5Vhet38GLUSmVg/zdGSd/byQ1E +ameeyRXDCi2SSntSxUY67T5xnPuIOuBKYfHp0aCnx09wDfTubnzMedoPKAjBB4U9 +Mt7Zf0Q0+1bT/GiQ1RU4AlIGW01F286PyJBHZaqnQwGDFWCKu4NHKTTx5t0X93Q/ +8RLdChc5A14hvA9ofjPxHAo9PueRehQreAZrKnMWskuYRjTWf6O6aS4e0PSN7xjH +xSbnmk5lJBdVFuA3rTA5o/bJqV0jCykhr5SPfzsJFbN+iBfb5ALqg+2KQIRJzRTY +8SgvggrWu3ApcIRIu3yHDA4XvC14EZlIcpIczPHlZQ43BlH1AMKvmVtBKBQScsKO +DOxWAp/3CtsaB52OL4e1wYc5fNVgi4/v+n7NMu8r0rPDeo7CZN1gjkQ3xF+fbqDK +J1OvmRojMoBATLSQF8REnXhUii5yHlXK+qrgel3QFVBM+tgBVHRXqmImB4eus3fV +oTiem1el/tq+Jd4sT14zkXo+q3F1AON4bnuljILDT8ph0YtmAQW3Gn53UuG6YVCG +IFs1cTTN0f+3gQCKWt2PpMTaTc9bSb5i23uqaWjNcH4NnaMq5yRBQ6Tdqy07c5tj ++C+yJB4QmtDZo3QlenR/Hj24YIaSTWzITgBmVR0f161kwaNSoWaoCcwVDtZFKyCh +abihOWh/ojjk/4sMAqHA1ZMPSFsQZkgwSNcQkswBkXvnVGeT5JE8Lj9Af9qxQo51 +uhIBuF4rMGWJcN6AazYW3FDe7IUziBFSupiECjJVsVR0Yntp8gtEd+ocvAgjRyW5 +4a0TfHnTGEz0Sx5bLcYTtB+KbAxDRyLANWi4WWPKKTVBF9ayhrkoHRHe4czYue/Q +ADkYd427QniEyk+3LE5pi454FZTCOpO+FbnvLO9sVt2NaCbKkStCYXeJLP9fAHZY +Uo4KnucnUFu7Z+DwlYGmeIqhtIJsb/nOxPJK4nq9udXPLp6w0nfGY4F2pG4hOaYr +51jQ/8yzOyVCzEjdYIqqKn6AjQKbDR6OxOYM7PGPbOKFNDem5R+uWy3dtziR0fc1 +l7ZfTFOXwMug7Q6Imk7xX3ZdtrtpsuC0PedzonaWx0obNvGwitUFGqb0R2+lWrZw +oT0hoaexJNiPWj8KScC43gYksh4vZSCFUtfUTZSIcLbG6gPCYIcL/1KVKig4VpTf +ZtY+42eGk8GXJoiv9edZi0seQUvHHtC9lW4C/i4IuT1rh+XGt9MPpi5LkgR0PwFF +NjDRldB/rNsEgNcbwGEv6aPPqzX7lwI3xqJPmA7nOp1ZWfrTTTXtWBOfCWh9Ttxc ++fL4ryGiUGdEZfxfA7woKM7QNmnB62mI0FSwtckpa473/o2bNpxS/FWoy3cod6WF +tzr+pm7MzyahVcbCoeMfqRO/DvRG6YKpARcxbOGhkmZ/BPLMn+fkk0+IkbI8vtMx +DIyf8iY/fgapn2ark5616NHjEsvvbBrkUvwwQuKkcTT0zXdzMvCQFRB1WExECS6F +qi+1B++sCLhE+5aX+0VtBZsi2/HRFbn2V7UOP7IC02hPUhpTv91aKUk3FxW8A0D5 +50CGQendAxFyPk/2TNcLy/QKcD+JiigpSu2nb9ukVVIJrWktF+WmqBKRYp6ZY/Go +Lju27I3CIuEq5d0vvElJ4mZwtm6i+7Ej/98BewGS5g3SzkYnoFBOZ4ceiWLMtHyu +nIF8sxJzOWR7wQ8hoNAxqe4VJKq+VNKqN9odaXJ45gLptsgbnIVQZ6eRNMhARN9L +N/dvM8KWQBoBcmA0CjL2v5pXG2DX+VLvTK8lzwSmTqY5I0mR4+/nQDmhvNWGYmuf +b7ng9i9YwZFsodwHnn3YKRny6oPQQoA25TOW6uhG9HQ5Zk6nkLJZQEQh8G3RxEoF +33wJtY/Ro2vuFtMWAHM9ebev997pKPjnSesA4cp59N6Ga0yIdsiLUDqIUsrxJQ9G +KUj+EQHEKweqrhs7KNEkAOmvrIFPoJbcXxLn+SQZ954nlVVsgFnPoJvMAGPoi0rQ +QvMLfR8+VHLj0NIeuXV5oBVQm78Vp9V50318d/eDVpaG6tQzbAhY8L8l+FgljQ7a +nvQeYjn08W9HeZD5G+dyJDqiz4Fmc9FoMeSU9GMkLH4h9omm6Ci/2tM6+M7QgZxJ +d3alcL4oLn2gRdCIax8NoYbt9+KiyL0E/FddlSsIUPLwYMyby13PF6pi6zZ/9efU +AFFTbfv1l3zkwARioBvQm5DFzmgJxwB5NCtXuhULUJSOHSK3iQ20bYKBDQ88qmzD +3ylOaQUKobAmoxXWWU9tl5uVpUmnBTGrSuJKYXbnPh3t3/TsVCpq3JKHfgLAwTMb +e57gzeUcVY1vyj4SqpH3++ULjXiFB7LnCT9ltdqYtMw0a85XGS+/KqUIN0Yi/piz +KsR81Bow3tIBdaDzV7hJNGajU13Gcqp7rXStyhM48Vf1kz3GYObzp/UkYu2a0Qfj +8PVSgvYJGN/pc8LQkAMfoDw/s8fGNcfoyFG4IypM1u6dg53NBQBZgO5QGi3aX3/O +pVQFjrHDJR+I2fx88DNtH0TSqqR2srMeAjzI+Pu+YFn7uDNvJRVWQoBQOg9drWXZ +s/nhEidLCnC4Y+CQ4HbW0HBUgOJLwW3nFMQ9k8Fchhly3XQQJ1pR1Zo6GjLnTyBH +T38r6l3xnLNP2zFfyKAxAb9j74LznqyvvwDrrh1tOxuoG9ETI+6akh5m9shIOrSW +FSzBj1z/L3ByeLqX4V9uIojsCakiPAtZJI55YkHxerR1VJHL31j/K8041mQjX9DW +9DQjCHqrpoe28i3c26ZkBFUIOnB8qV60g2BLEZyRkZEIoD106S8OWyyiwf3xxH2m +9ALih+LAFw/Syi3H25O+CGhUoJptfGVUgKDtQk6HbiADLwZJ5nbnfFSOkOmaCPC1 +Jw2sidwxtEBpdZSaVZ1/7kY/B1FVkHoQejv4TjZB6V3fdLiAQRx1xkN8KELXMESI +wyD6XMQx0ddLYwDmudkgYSW0vO0F1kUlboKvekIhYe+UbeuepNKbxmHZxWq65VZs +z3LBtqSi3Q7h+VWDoiwgNQp0bSCE4vhVMRkBb4doAxCIJ9NeUO3oEXzjkZ//HBmP +gwkbVSnoJxBuMBVQ7PmQze7quo35toUmANTX6d0hQfpYGywDPbeSUj/GHEPW9Rgj +CnIarsWEot25G5kw3NTyOQqV3+o5nphANKFOw/BQE6osycfJlytXSh3lAE6wyGgh +SLSpd2OY/EYyse8HqJIBbS8T+SxeQbbh0vFLWPh8gzakfOwoDCtilzl8PKTKuZ/8 +1ope+2Rq7HdkEnLLprjJ8pWMF2MHRRMvOH+iBcbzwCyphWWKl3h2ZpWU+5oiE5L5 +qfWNLXgp1cZJKiR4cOaawgyC40UmO0uLNMDT8JYa7NOZPDq2Gg585aQSsnSu/hLV +sslXxQAFFpEpzXRfxSWHhekhfBXYMQEsM0o4FF0rjP/oAVEtpSX0SWy8ol0CoJgl +Rx7A19JoA52PCqNbvLWi5STHbigzwx5mE3w4aL+0LWE+lskbiOA53bVhVwcGM2dB +aRoRrJvV6mkkilgNpSh43PrFSp//vLOfSHa+kDHvTzgIWX64mguTUlOgvePljLOE +dIamRlNA81iCuXw5XFnyEjNktOxlJl/evQEfXtsICUQMMixtPDUmR02otC9CEJ+m +4aGn36ZByuD7i1S2+I00nkQzBJIw2uR4XtVzHfFe+DKNRPDX8VAhO3dif7SlBlPF +HFfTE1IcDTFkAzaf129piNjZaJRKFzJBXqVsPCGbpyfJKY2dz0QE5rGroHbDxfRC +D3uilD2AheLcwenJxR3OjjBBqhfcMgzJwOZWC27KxTbni5bh9cAfW67rFkwMZ7JE +JIMqrJfE/zl9BhT7dpu1mcAC+fzTeNsWoTUlXdP6+rWqwAckvOsNC45QE+FJIP2Y +kajEUq6lxV1klh7Iv3Rdc8UHNHkLaMcvnSkOFuGy3v9tnCpTTLvY28NsCKh2CaNH +jzrwZf40T7Up+x+pDJ8o2tPMKUq7ucX7D+enflodk6seEkPXzfaxD1NqMsvoG1HK +4Vte3HcDKs+z+cJK6/Cc3CILyX1zJ4eh3XB2CbOzMmvHb1WAxRwnASbASRnKVX4C +QjftAFQeVjjrtFvWlwTe0xGx98CL4ldzjRMJn6ZsbJpqG9sQpzlnFZKT1CkDh0s8 +p+xV+LkypExB+7Sf9tjq1JWVxF+3pq+oUC7hWMg9v1n/fu2ODkap8FQiNkr9nYU5 +eD6XcxnXeqGWvw9HOuvJ7NuaVki+dxE7Hqbc+9w4rB00mVeHXvhtbJPB48pDKpO1 +bXTzUegtPbFAW0pEHfPooO2QKv5bpzLW4uCUe4Qhd5IMhpHF5PEqdqq9FIM/Z3sx +I+k5knSu0osbO20dUtI2dytbjc0Q/PjTD2KESqojTZA5aPjrM4e13rPIWs3ZVWw+ +t5P9UkAzDJIsp18dcSR9EuuYvGF2R0YaEGTKtkv3GEXv0K/nuqevoPILK4byJfON +o8nnK5FDHQVbWv9b3AAFVAydrm39oPimuZyrPc2puQtuW80yCPmo+1UY/V0k0GMR +C4WjGoDU4M7iJH54ydQnGeeEzUhbRLfm26lut8ota+CzTklsVF7VK+y3eOEZzISy +0jCYW12pytU8mWiBtjw1u96+Rz02UBh3ASuCxWWCAkHq7ZG2a0XN7W84zFlhOAkb +w1AcOFoSENfsopG72YYzHnQWRqF8wEqb96xpvZi/iP5XpkfqS+tDToJkXq7mh8A2 +GSe9OQuN295cexbEHsgrVtaK7j3k5No6wXOB4ulsX6xeAhK5aaLnZK3c26bKHwoh +CNwNP25gJIXDaf1VYxI9/KB4nZtUfgTCOpqTjv73hiY7/DixkCBrRjlrieKSp2Y4 +pKEQgxan+HlrrxKVyKiCjF0eaxwhDolOndsuV4jQngq6ZhybcvAobPImLB1DaaGW +jEGdy8hWATXBb9XbNwY+3HekWUFbfiKNqMAZ9vanxHh+1p3uOulVaYiyy3g0xAMj +dLue+Y1EeQLgCItqb89HG9NeGGLbRA749nt+vt/whPNbS9/x8CtChT9UNjmez6SY +5ygTEdd2mFyxxpBOTO8E6CgnjXyOR/Uv8qS7QHpNoWmemuKWiGlr/7VUrS3+JmCq +RG78Bm4N05opDtFBvn9yJHcp9BMQUBmiRcbkqr7qwsh/ajCRFg6jj6W5ukLXJS3/ +6zeLZNObyM7jRns4xvagHE6xiswe3m+w1D3mHZ+7pkB4wJre6nrYC/N5AYx9wrnc +YTljQ1+o4OSaOejp0lkugyKo78udP0V+KjRpFRgY3piO7tceAAjjgpPtnLqqme3U +mxPrrVtRBdpOk6KdaNJDURIoj6Bk5BwJET2b9dYnMMxwIkL7aSrjRTHVIAyEBp2F +yDIxt8GSWY7cgy5sTbMHxQJ8bibolI5X5OIXbGL3Wm5O6YhiSuevjJlaaJsOAtkk +QMEishmAPhUSOG0yJW8NvGAfrWhZf4OS2iajkWHxAaASyNEPd+AkfYIEWkgos6M0 +l3DJvzJ+o05JrDM8A4rmQcjOGaqMVUdUNNqkoipNzfQXhT+ZJkCYivs2ddX83re9 +kDXGl6OtJ+g+MmvMepB8MrzsCKKX5DQNY1rQooMwWSpplvu0+feUh7np4X4dVNag +kuCu/9ZyTD+0yP/enpptHpKKE35S3XNat4J2NZi+dV4PbZxG0oysBWGzKVc9tk1V +h3oeNgbwq29JjIEc7GxzdhNUayuzW9X9Mn2iv8kgzT24Dik0gE/6lwHwaQ8yu/pq +oVEyYC2xZlsqsqm3EczwAjz0rEepziNal/XRQxKP/YSM/7fm8dYfbAB2xUMxIxym +ItFB8FcDzQoS9hICi1bHSOSU3ZhULzuzxpNphHvNupe2kAqfotMlWsiz7aCKoyuX +XRM4Ouh0uoAyk5edOUi4XhMfNk46jRoVRYS9iVwYPon4vBX9rpqsjX882Idk88VO +s/deJpw61D2fOoKnUl9+GAwj243g5cd5op59zhV68ezMJpIHXKWpuDK6qVkEFGup +KVDiw8nIBKQYmM96vtwHulzNnlnpYwe/+9bHqlBOjhWqMb1NvM0HAcGPd5OJPtKl +FOKdTBNY4ezxco+0XbZb9ITSn12jeF3vSevNA0diPgei7RR55E3ELlbqqQCcj0NK +ghkyDN5egRLNZ0AiULl3AEKrJBY3h7AAsackzVpYKUAQpwtciEmUPRurJAKV+IZ9 +jOVj/mSscKKk44MV8FspilcnMORoB1EszsY7hjYuZY64p90L1vTViXYrIqq2O5Sn +uPgk2O0m8vd5SVpfbLswyU5XH/t22+W11O8IO0C8/fsAWu1qgyHf4Qg16cwug3Of +r5SZlumhx/ZOD/EGec3bIO5IUK+K+gfVG7v2j+6JR9XQi2sEHbZ3BtPWJFocDEAY +sHsMe0GbWgF6DYCW8QQoVKqypCZ8RWP5WaMJtE4+Zpr5fDzpOJEtc6K1ClBdqJ60 +vK6btmJscsMdLtiPgGwQDndhSkwbajbH/Q6XOAGwMcyTCgepeSVGo6ZDcdWbMiVW +COSIzLFa41KC05TzuWf+JMVG/cuU+Ibyt+grdwNYpz2IHAeT1zeKqYw0iU2CTeSo +WSoxi6NXtHUa7IbAaDh4iY86cHYmxa/JOVSuA1rXduzpdWC+hkOeCj/YlkMmhkVX +1U6euaDj1bmTchwgIoayTktIrQnFrLnb+ewIjefnFVHgIQeVn7QNwdwxdOjDlKOE +ldz63zSDd3TmzoOpzv3MXwB1MsNUd4CubkYmAAJEJ95xUnuApdhR9ALlLOAP40uv +UZrwzi9cCLLm/FklbbGhHjR23RsRniz2IiCfd5z2YxFR6ppD/Dc7L2OI/NbBCfPg +0CY4/yRHRQnbQiPyuWnesvsTujIbR1S6s8jykS00lzcwJ4xgH+PMCcNHCeO0mV+F +W/0xRiolOWoAQzdWkxNrvETPZ1W0C/NyuWXzTmdhqpTrosayVSon6zzb0yuW65S9 +C+uUaQAg9ZNDqFZX+iBUzEMu+ZvCBy/ckg/eLT4A6lZOH9loPjV1oO+54xcld62e +X6yv7u3KjtVFZOitGEAJ8K2xTZ4sEnH+5ssQf7AdiVFpAWlQwI7LIyk9xXZB+f3p +TSmunPy+P+L4XukBurDiLGj2efAG08CdaJ58t+4fTijP8QQXqDMhYHJTgjefXNHZ +1fkorFbx8BKJwjZSRYQNQc0hPosbrjynIUBDgvRR+4bIkX2Sv7lM1VhlYYCLgoHd +eu1gWrFN2gBfC3KyxQG5MCwQvmXOsDpCCt7tU5ymNJsi6RrpIDiaYEtPXb0AHx4N +4Zb+udHdgiuKGHKyQf8uvnjaBICEH+bdr0g0XCui04FhCZEJa5RxIhrRC2kLZOWM +RnzOyX5x2xa5u9y2WbuAfaHGNO9oNvmI3BrEpdI1gwUCnG/G3D4s6noA20Eo6R0a +y6OzQuwFbX7ZA7EAMeCl+Z7E+Vn2iA/mRjqM9ChsZyV+jaQYQVFhl18Zz9k1QytX +JSrZozXqQdps1KkSyK7G5PvEQ36FvWzeB+zMc/YniKmEkDS1aYr8zWgr60Q5DvB2 +7GYuw4m15BU+2fie35MMrOco6EsE/fMgtn0Xv73U6oeyrNzuwEVmTS161xRhDNFS +Kmih90Q9in+dSsr3GMnrOH6Mr1jnqx3TgZW989/GX8Og3ds+aXkgyChpIiuBBwcH +fp80alzk1T8pGDyCRHlcjLS1h1THJixthBYSkJDD4I3GH1sT3hcqieBStaXECp6x +CFNKBW6SXCmWeZUJwwZL2ly4Bhdusgf4Yn60JiT7g0bwQQDzWSTzXaC63DVcNRpE +oZZiDphvqgwejHIB05EaAAuptgbO3km1QUbkcW95Xo9K40p4/j5Ox2i6GD5VKTDQ +pF72C5RcmIjS7hOni3sRqSHRfmr814b+xSY9Ea3Otj+lqgTh2idc1lh5kckcQlqx +SCt5qzM27t0Uy5R5SHVnrAwEJRP9N8rFsBXhTCm2NiDwPmsFlWaF1tNC6J+dG8uh +W5MpsNQBrOmbOqBXWJftc7/L5ZGWv9Lp5KL+Qpxir7kIlIyn7c9sE9lhPW/eJSeI +FeUWP2faGNTvJwMhJTzroOCzi8AsF7jKx58j92gCsL51yHTwqggeOeLVkanus3Y1 +1V3r0ndl6Y1snUjHJOLfKNdI00iRddG2Nd0+IDFPV2rY2KF4UI/DRV3VWnO0pKMa +IR9/ZTojXE9IxHJxs+TbF2GPCLt6lsUoq9maVuRBz6o6FOTb9Z4DGTSnljL7NcCA +2jUzf5IO/yzqkrGxH/JOR20ae3+nLOgEO0VfQaOaRd1XyaOvC3g3Y2Q9K3sVkuyz +OX0ZteHNb8xP1oO3lT56znz2nq/HvWwrDfBJ0wsIsDZBZirNTB9bAX/K5t04osaO +M8CJjD5ADrkkiaZLOIo0GlUHt/fttpU2wUB2Kmiz/QhX0gS40rJlWtp3o5+0MjOi +f691l1RhRF+DAektv+SMdkaMwMxFwpu7m4AIjvbyG1pxtoNxrxmVnPKXccWuKM3j ++ZsQGQhph1mOt9lIUwM9U4xN4hLY/dWVF78RwMQ2Vph+ZwbswMKbF0YVsVwi7JCj +zhjDAaCJ7fx+O0E1XlSpryJsnIeA6mkwp5sHcXHrWfewdHy/91V4TEUZrbS0kwqP +0neg44TfaNDvPVneCgtT9Z8S9u8/ydxaMxp7qL271gQHHuzlEj8B3NojXnJqH7SD +4NgyzMePtLWYsZkwIKbTnFFlnZJudyybYkFUxybAmPVkCqPeTIItTYPOKuH4PfRr +BlnBj29U2wMBXgRZelKOoCmZ5xLQC0w4CMN87wVX5DkYP6+xJtCS3u++b3S+OA7P +Z/b1qqc0uO+PNy7+9aoKEjKXTPaeqmWklsC4ng7KZIjPHpOWfSpWZAWMxb7wUCLW +dfxfdCt7AS0em9mc7nwUg1z53haSKO+sISNwfK1ufS7rFdJNVpudM85kdqgeoTrG +B3wKZ1gwt0ojBdK6Nr269ppaFFhpOmbL2SB5sXqwnpIQxwbYXVWn3B+R1tU/idKy +pTkiFn1IuNE0lbzNIMJ0nmWnffQMM014S/R/cERaeyGkWlxa0XhCOjaBm39ES+PB +/Ehkyjnk/xG7yW1OxLZJuPBI5lGTVV2lY4vwiuig8DfigrJgzTOzdMCF/iPo0+tM +iOn33MuK8J++gVVjYLA7Rmaik838UqM9G98tjYtAmMpROp0GaXGxaoD2M1QYEHgA +guxX1OMkq/nKQvDyJ0dUTO3xk3EK6lAzsSILPeg7WrnMrvG2N/LX5mYh3G52xMjB +hvpMHECVjgZD86a724hr5zrbXyGg7Pec93kmkUUWLW8vwYC8eoHFe+bRFShuflus +91qOruGUEnT79v8lNKHZ2WZ3zqRi0zj5Uw0BgxGv05vJIqoCJyhmpZFDvBRMPVap +TuFfWG2dBmhB/XEk5bB2x6DKfHRZR3xI0bSa/MUTsBm9yzrNJYln6LtIOqlu2E/E +u8l5PPv1zGNzTGacE6DQM8QSKfnuF81YWAXRW06hVtIyEDkJgWYqfd3eG8g9fqNd +3z2QNMnfQyB11crJTaBG5x6+359dL4r3HYLQkQMxXiA00SAicI0sa51u0Z4RzWEa +ixjYsDJlJii4CtHznmt+6Rj7n0MmpZ1eqrwMUSwGRVvNg4OSpBp0XCyorOAHsPHp +1oFwwyijtyfWrDR1KjwrysELiMg8ap/E2YL8ziAq4aTzD52p9ZG19Uuy98xdXGDf +UnFDkmYCVpyg/vEjSR8LEP+eG15YCuVRGoO6bZXYWVk+AJ829FWB/sA2D2q5rWeN +qIzItjeA0wnATFSDSSanFDNMrVlZJFbeoi+i5YSJdGlKSui1DmaYtr6w0HQuECud +3hoLYcC1BuNDKfnC/W3lVzXjytHkNBe7iHgS8TenuZuzTJ+Nyq4VkibG+e3wOGge +VaEqqypX55Ck7HoT9v2YyUlWjMMAAXV/wOy5RlHQRH/BZkc2GD9dn6IrhdNSRwy0 +li+spRyAINgoz0fzPpRXoMSRejHqBr8RbGFKXBOOCQzX+rQbnUGQ4gb/ngelvvPb +fo3n8kzr7UdI5RMzcLhLU84Jk1ZM3tuouWNn6ZoW0OQrbYuGSk5IZHwZiLCpZNag +8ia3piE7ClHa3D04GmrQR5644VEbjBUDwP/8aqAA/6dSWctgJYAPjYohTBMnDfN7 +zh8cGqTRShNHG3Xbtjrev1xsqeR7l8fTt+51E1VVGXATFJScTZYGH8WmYAfPdspp +yd6s+MMeGHQo5aZXnU4jLHyoXhyA42hGbhBfBIyYuVPvb69fgfXvczWkgk12Xk/4 +ZbS4kkPDa1PB85KAG+Yu5sAU28Tt58LhD3lAyitf5OvVg+CxDau63c8Zynk2wE3A +yfTyRQbjr3rMiiVukX8+2A5BhAmzxV9GBOTDfz+PfFe9rc9gy1VuZ5PsF/SdqDqY +00cDmTgxaWyXNwvLknrN39mOxrA47ULa5oJ60jFw2JkcpvUCDHAkjWhyKRRJqmzK +/GaQamt2BmqHdQqbAU+p/v4JGfy4oV91h9Dn0rH1oMCKvcZ7v/7yynxHbd3qBIzC +stMy5nCdg8aQlJwY4mr8B52Zo3lveapMn/uUrTZl5X91ezqiG7WKPaH9V4CRjcjt +waELWiGsmsPXVIR2eS+85QqcnEuy/4C6d6xzjnzA4KJswkZuf5Cs3pVcVTNMvxFD +nZKxr0ISpx7GBa13cosQWpt8hYVMYeb75OIZ5wAynBKWQaHnwsFWoLCHz7EjFvLh +VRUY9ZP+TFtx90FUgt1zegdNGEhnWLJh6oQlehjC2rahxZyGuMLC/DWvpKn6I/Lk +QR8qtuABy0yF+a2lzFucTIgmixKSPRoQCieJnHLyLVu4Hvm8giIIx/A6Ltt6qSIh +g6poIkmnS+CvAppIDo4OA2hVGbuVdaPxUcUg9qQsUHKlqMIycWqWfVMr1i9NHLRI +Jdy8Xib8JH40fkv4ofPUMLBPNnoACvzmtpkvcIDLd9Sw4J4jVvgJlu1PeK2wFTcI +BrB8YerULzUL2gwjuqyzDgs7k0XKFC48zhLrv23ftgHoWTxs1E02UZ/UGfbOLJ89 +XZZiuI9woEJrvEQsV9uEM+l6vnClK86eYTqX0MbMBYosKgtFZtiTDhFMQwCqA+jB +eqKqwQsJfU1P79UrDlc9cAa5YQscqwkKQFrHo3L5WbmWYuakPw4oaY65NRiPDh75 +J4t5JvtseGJT8s4x9jeZJ9JAJTx35iDlvlj0G7ZTHclrKlKAhAXjy+ftBIt5qofU +ELFCQRvWJwBN5mJaEApCj14ADTIMNmZfkAnf6rSLWPqJy8P5o3JdkS+snbFX95R7 +aWSi8DDj9NopyVZJwBzu6jlpZMemjs+cDocaMT0BeFuJwqdkysPet5vCfJKa3J1q +QftlLpI8sAvqtRQhtL88vbK5DJEi7ZCxxxwbL4SM3rhAsdn9mFxybz9PWRayrBy0 +8hDHzTs+cvAmqsil1VDnrz8hN5D+YGbHJcw80878BJuRkzYydrtPV7AqkvEP/gqO +1hKxHJ+1Jc2Kv5B8Xl3OuAlyIPhBjmI/6Zzzgb/JPrbRNemJCD8deGcI2rbwRspq +g8F8mTCXGppmoB6KHWzsuvpnuNHRHMjxXri0XQglc9g2Mq9EgGS7MTc9NBoovW4Q +JJV4SFrwwAyYOgnyuMQcnkJW+N0KNi3YQWQ5ovLvVeRWuheOxnlASXmOkHI1FwW3 +qAnKQlVjgDoD49RRWS1M5J8gbpiLD4doHnsDdrO1pT70L7rUobGRvMM4vCMW4xyD +1pFMaR+Wn7SEc9GjhDzy9Fj24dIlJ/tOPQ8xwWL11FzVXW0HBBIc4dYO5aAVS+X6 +yimpipfcRO2fv0TYLnepJ228dnfZohCuvzPiQWiTUkBqg+gtG8JMhTkzsv4zMK/l +ZlhTN9zYw3j9vK8ISLkOnDqvovaM+SgRGGQBU8tDaIeZY/0+pO+bzgkJo05B0R2v +C//1epNv5VNYZ8VqwIJDoLe2yZFNhtZtsBkKOgMVwZIa59cNKA9R/RyPK9nM3G7x +v+K2btYvW5qkFP6FkvTlTUKbVcrFXHyS4DvCdAn9c79yENiM+CQwyt5Xc59ROJZq +lWQMxAGsbVe10J8h8umI/UB0FbmIW8tti38HK2jAQFNFKjX/OFr3HQ2ldBIPZLpm +fBk/OPbwqSnkayL8DZjNJwGcVKUX0iBDPgYH2QG2ahuhzoh9rD/he/VsavlEtsCa +faOWK+94GnEzAQIPWCkm1t2iCIKdPXASDnoBcQV5WXyMhG49a2JcuJjtqIc73Nsw +VBDnB2SpenLPVrzPGtnqTlPkOUStZ3UmSq5OHmzL0We2NxsUFLDLltF81U9gPgg1 +4FYxDCiphWV9omDLgcrnZJeMCQwRGC503ebuoU4b7In8bt6Cjsh7AM4AsnTewbT8 +UIvJsvMvUZXfviu1FQXPffxN/8G+Dbq86/wy3MH1blRfEWWvCBCrpFbHvrIWcH9a +fUtfuJAbBFaP3kFqbpRMj1XOHTJZTJa6GIuDFffyFIcVDg1P+VQgKVCp0RGhNYjP +mFl5Ada34NGIZiQBe76MJBGy9OH1SQLGGodKydNc5eVtczmw0Ap46R9kFazyURy7 +lZdRz0Gcqo1HqWNk9OWlHi0MqlZ1rMnHYYylDASX3WnUzGMtztOEBErx/f3Rz4TU +UNfk9btE8T1BwMVfT0/awzdE0UIHF7COq9snrwzPdtPwYjtlgtkylQrV6TyeFTW0 +JtdEOqhWsf01nvoqSdFJKzEnCxAMDdAITSo9x7nBAUE8L8Vh7c9ReRf+C9yflAXs +xFmYHz67E23ohVTE6tNnVPTVey2JZtJ+WbYSg9MQ9aWEDw8j+qVaqslSPrbAJfvb +uo+C06zq54ec+wuCDugezCJ09aVyrQGXmlEVFOypXOfMaFJlOvTWdR7XIsFVW4lV +lqYKmIA45o1pS7s9B8rMek1iVhCC8fbvaKhotTPQT6vYzGOyCn3ZbSnTBBAK4sts +GMpJKb7cs8//VVaUZVe0Vw+1LI0c8UEsrGiYxLcuxTGD4cRCkFhvEpefr/M+iNn0 +J0laikHw9lun5CgkF1JmQKD5cZqmDo9hDvLD+WejnxLsQaxotgwNQ08j9fa2meF+ +yPAm9tmn2ADfV8XDsccO18SD+GPIQoX13gHabBREZ4EScdERNKlkT9vhSOyoCHMB +Lc3sn1Nh+Rl7CXiACjvAmDgUEUZUSRtKHE05MYLfvAz/pKkymJgOd+VrR1ybD1+s +qEHt9/BjJV/apngSt/qmRfPxnIpCuRrZ30bbpF6K+yB0mqOe3QD2FqkKJyhkyHB/ +du09VXOv6UYuJeCBMWDFrM7E2oZr55CKQ+JoDVVnT98dTwUqC9IL0TpPInUuc7oK +Dy8y0tW22HFZJ90vUvlGPiV2z1IdieAs7iC8M9XslGizhcZpJxzzZT+E/fwjhZiV +iD6P8uQpdlrkpbypu5zWqsMmXMApvM/F4XmgSaNt+hI/qNuD3dst2znNWgdUKb/M +YYn9H3JQMQk31icUq8e2WCQ1Y/3TDtuuZASVuyVv2Ec5l0yRl0z9LNMao1Om7DHE +ETXdhPix0kEi3gxof0UBkDZkxd2rv57ySDbZZPH8N1zsLF5WuOOgUEYVv3OhmBg7 +xr6NfJrNaSenwGdQLKiSGSfVGW2Y7sBTJxz6vp2fbMK/Vepd/TZonn2lm4BPYb8v +dIkza7GA/81MVSYApeAhLTqYh1Juf6lNsWlkWwJ56/jAt3etb5wL8143VuaSgTHL +h2cZurLEuvsLUYvnnLqXHVHLdyuckP1D4F8tkXlAm5pjuVWWadz+9anxLRrJJ3pD +y8+0cU/OMZYt/MSUPIwmIlepANn+9DBIcTQJnsJY3YBoC+cS2pTg/aLWc+M9LazJ +3mREV0BkIHgv15kE8Ivi/9g3WQIVGhJiC7AVm0QS9f4QeJm1ZwKQtgZdODn/XLmq +5uRi5MNv69375sQ/yCWPsFREnRful5h80gzB8Qt5G83yopoc9gr3mWG54wOeIM+/ +IaF6blBsU0N+6I/4OXBp5QKdhpQDppDyfKvTc0rVmhDIO0hL/OQqfONxaSz+XzaJ +WeCndttiREbNk6B7qcTr+wA6bLjvBZpZ+Ne8xxmv6SbREnjPoXVSK0qMjhLNpf5E +1YE0fGkcpYVYx+BXgxND9aASZfu93GMoUSzBZfY+aHXu+NLFyI7kxNhV32NbBZGe +lbYqKsihuAJEnQt1/LCIlEYQR5n2aMs7Z/dGGSf7Mi9pg2oztsyXx1iJOjVbX+mn +KDlAO+iIwo9ThaRyhzOq8CfCCZucjjfQWJwyps1TW5gQV/3eEHHahnpuIx8wPM5s +AwA6ja5YfFrDcUBJ9q6h0tklMydTtpshgz69evvpeCbrUnRjvxBm550p5yNwDJRI +MON4kFbxnUIUrcQ4gfhYyvpNVnkzk2Zs8nnriwsJ5fVyk+sTMpKn74dpUmXT+QP2 +zt3s/yCbheXvgsfIrlfJ8XvE7ZBSMbwlmNSyy71iTWFmmglaVOw2wgUJ1h2I7xhj +tLkB1oDj23SxDOndPk9NEzKtEZhjKEvtp/CMWS19bwWLwC+AECyG+ZxrxtNCzaMc +IrV386SMiy9SFXYWmwHqO7r+Kjnqi1XlqqtYa4V1qlR4lLQbVW0IYC4IHzZcTg2D +tmMjASN7keQZsQksCWEg0O1FDx8GzZGlgfDUCcNVkx4J5hOhD4b57CA1I+0Ie9lH +PjjGzYYE76Y6yuyPfSfuuH6lFkUVTZUkBU+rjxsWoj+1OYtMbnpQSo1B0gkL7Ba2 +vtmIKhQT2N7whL6F/tpXmdT8IEGItsVDvPdlYdYVkV7xWcmqQmM1F+9icff1nbHP +v2h77zxGoUDp0V4qHInWaJBPJOYA5Y0+AT6UpJyT0YuWkUy5745FTQJmrfXCqwoa +FNezzuHVB7arkj4Zm2LgT4bvKYRPyxFApKz8x2vka8/J3UnCosYvE6bJUlSbpBWj +sbWVsEM4XP1aLLMpYQjO5EUPd1AbvwyFgF85kmno/meCE+eTabaPsX/Kb6v8Ghpx +Cq2RGhJEEuoKSu46+oCTlKiLdJxNBwg77w/Fes2nkLXQVsM6QYRDaYKNGd6YOsqu +lt/2s19XZg5NO8pezvipVBPfayU4kAtpCMiI8fwVR0DL8chYRNlVu7m/9V6xbGQK +JF5kHSyHkJ/w6xv/nAuJ2HqG3QJe1rDnn1HgotlWAZsVZg3vyb4llOExMBnH9mHn +Y7lN2iZNxWv0CJ+pZUgt40+SD5iYEFtJqqHYW9tipA+ByRgsApRbzAGXtl4460Gd +LzteTizD4iM2Gv2HUUeW1dMgNBMgfE0ztXkrmx/gQr8O5cHDb4vsyGlHgbtYPFYo +y8tqtRQmzZJEPBIB82wuLXzUM+zypV0AaE4/pH5Rxa/EtwFFWC88UpeTjZj9iIWH +1UAPI9SvaXcOCANqA72rKTJOmmfeJYeSL+YqkTnmeIBddwjKsIv/CN0qSEY0sa1p +tShSigi+kpAepdEB2SDWqyJZFwlxdhkj+hlD3qwLlAWzUWNVIPz6Jj/ZXnqXIyPD +dJzpNS0q35UIoPTWFBYpJoKhUfohNslUM7b1djKcWAeby/C9dGumhV5d2ZDIP4fU +yN0Hf+H5Zd4LfJ/EHgWkr9a7+A19CgDTG1OAD8JbJ4rr3pXrnIzaHV0XTJgnvfM4 +aA/S25TG6faZp95KQpjtnnupAaX0weFjUbBetkQilVybDwMFWJCIJSNkhEpsdy5p +yqwsaQQrhzz1WtPQJYxFHBz9vfsaPIeKXyw+1De0lkrcBpGRSCbxgiN165SX+i0T +YD5nHQsjMIdzZGkUcF71FD6b9UPI6r3XLMB/yTFdRnZz+YmR3/UX7dhm1s9vdjQt +6Th9q7Ul8e9oPoWnaXkLwI9dxMX0s1GBu5aYI5mU504+89cZ9myKdx+Z4B8CJyAe +dEIcpXWM6/as9QO78XGbGmsj8TD5bNH/ej+orjBuhJczzTh7nCF8W7tL0jbjjacQ +9GaWkSHd+4SrvasRfHhgDr8Rc+Q3NyEzxe8BEpd0WvQHxqsIP6qkt95BpDZY+5Pc +kjbD0lYj3FuAf8KKy2TUshd7mlT8tTPBe9zr9mqU1G8torEl77q4whdqOpdJcQuN +iFk2HA/olfqhHHn938G/p2yyRbgLFOENqOMJGMCecbi1N6iMLuJ1tTDGKfldb97g +uLyDLP5lgzrwcVBfWgLkpKCoOiMLleCOXhJCmesslY3Jzy6tB+kHlMNDCwIigSYp +jfTnrcrWxzO+odeCbF4otkltPKfX9mV3pzbpcnX4h2C9BlyRCvhf6BPprYCBiByH +tIUIDe6c4K9WZeQWG+oS0a1ncI/mX42YV2ikD3+0OLYlARkp/5nRX3Nzfvg6UZbS +IPasqDdTuqYX9/GMA0Fm4yGF3gs3pAlrVDgMojhZxq163EgxdSAeylVUc4+YGRbw +Usleu4Q0vqKm7IBygb/CDt8sCvp12Ex9QjOHRyJcFBYrodgjNkxvBx+4/nciEIYM +JHprOgSgO7gwkrbTKODqmYqp8tcPUTGe6IXeS+llDBYm4hhtz0gvbjrHTnH/ypEa +uomz9puiFAH7bIQ1A9WMXN9NQ1N4rkUssXf5F+go/RzdJzjM8fRTOF4VBm/Hi6Hv +4ZxMh1TuUXvMbnokQP7RzOrKmThZIlouv25fCYpwRNEUQl/nYze4yM/1DLivLAWK +UWnTaW+3QYeMjzwvIyq+EngY+BgkugYoUDgs/KvPkKThvgPBUoxk4E5cPjWIrndM +yeq1ybw2JE/CKOOQFHA4nU4QZ+sgj+MR+viivzlQ5dqyW7h3Bj2XWcqG2pYM3Ho+ +lh2Xx0x4SCYG4pFwW8hV4KJHwcm3RNQdpMl+MmwcOJP5TDai+Z1l5sVDgErDdQIL +ZQTMINJheUesc1bCk3So8EfRhG1szmE/0lEtp/NOwk6pZH5HLUMZ1rglVtZlIEUO +wDm+0ll87QgnlRPdkX8zuEwhZuZaNXHwEeiF0e8/7sJ+gZc4bydmyHf/hMnELB8u +R2gbf1BRKLG/+ItRFVQqOnJB74YrcbX+jkRuvd2hXZ7JxKzsqsXFeNeS41CmPZMF +tFXw2E8NlFcDyQTxflCfek4C1/fF/SEXC4egipjME/zdxUEDXAajpiFoD5eBrOEJ +L2+wUCLRfNUFXz1kgswP3ztVbyAO8aFNOyDt7uK31h2aq0ANENtznGReEBOWEZlX +iAFeSos9p8jO8UBPa7hHS4j3jEcZLYxFasp+apb+/QyqrTg/Uu9S8o41kjcnj+Jy +3RyQiuwrrP0DcxrbPCkDuZj/5oiT89rk5BWRWnWLdMX6Jq0qtLZziU22/3/eAbAB +BpVcj5Wdp1vzF/ZWm9zs+d5K/B9+hitXAQCyjPIPuJvDn6xnFCBe+Jw1STAwJgzu +PAl9EhPoF1zMOqZdptkhFDJUKjpCiUD9ycWb66DuR5zUyFZH20c+zYImF18aBKcQ +jzeZzuxGWt7S8ygCXe8/u+jNlbzk9cwBMJoadkax/oU7VMutp/W+ZO4BvbTmZwJY +3TBViYaWn5S0j5uONnfJqoIb/9y2ChB0sBQwm5vtNhkyULWOJky011MC4g7EyGIu +BTSNiG8HE6CIkEWdQ5VdFzeek/BEXDbrFXyPF4sZY/1gMJoQJMfoKSAn+TtbLR23 +ELLwbHbffCRhZ9iaYNwfS6U2UmODhums0zjz4QFXf4nzXxUArtJa+hmXjxB0hEBp +Q6nXOMzh9DGN//aJl2Fi3GHq9LceiKU8H6LKneUpfvduFPptlES5iNDYoEMj9yXw +tCdQ/8ccbL+wKAy7wRx5Di7r3TakYQyhFxnBPeYzRKhHY/sSSYQzQo/UvuaCuz9n +qbKLEFxzwCRvODVqDFkh03DN/f/mJRpZ1aXiW1ZZ47T2tcjUpPSYF8gO8OmcaoDp +M6aqVpQkneIIrEu9LPL1YPh2k0Wh9p4iLQLc0HnHdp7vrtLUxHMbyuhOUWSdV45B +oNI27DvUNr3P+9AL/4dwMrLFSdxL9FRFnfOURgSRv0/rvSutA6SSdZxA5zEaR0iM +0hG8FPySBjDewq+2yqfhrI/vKf94Sy8CL5hkOzh8oXGDb7qNfqdJZLzUfTMy17uw +O4hsC7XfSuVq3c4DAQfJwG5Z2+WTuK5R6rogzxvXqTjcFwBWE+5wOj2ZeG51wpme +IrDEGjd7xi6yM1jOGu4E5BnWSOqIx9ZVoWZRt4TxGyQ7aZCa4SMPwwy9+sxMgA5q +adX31/l/NvuAkJxxcwQXo8UkTmm7P4cqAUJTQisKsipPTlvhY8aKpaBNtf7v/hTV +EY/8MeGfuOOyBh8pDoVYg7e9Q7L8F5vdu3ejaXDzrHfJOq4xS9HtpIqzl8pczu5L +bSem2iAl+9QltLGCTVZhVL7e57JQnIXkh6fyABO/U2aMXmJ9dd5EO3XCuh+g82T3 +HQkEZVuIYRlqjw71gY3EPlMO/IZwxyIOIL9P7svTVfq8iA6kFYPTi3cz2ZwDeu1n +BECo6XAjBErO1m6VleE3YgDZZuiorFOPTogaNdjWPgEQ2PVpyrFCKVyb3wg67CnT +NyaMrAdADf1DNIwbgx/gEYnDhqZ9jYUgeeS4IAVzPnDoPHVed4+/LeNqn9arcCZ4 +N4tEGMfO6pizwhWNWUIMiHerSNUfIEKdXFAlxe5TguRduLq0WVbIr+G+p92d+i0P +SXksE1Y/A0veCQsX6SifNBQlZU2bu5JTodkzhf03q5UO7pTL06tKf8jbcE2iR98V +twM0l/XEIWgWICM9AA9r87CnCZUR4d1WGJjDdZUK/7MJwPYL2Q76MynEI4XsKoEE +UJxSsHtcg1ROykZKlfBzZ0KoIzv9GA2o+UEyXqP2wHLJb8+B8GiX621AmmulaOkC +W4G0j3C92wU4+2aFdZtzvUC51M7iI8ypy9o5MFRHAdbT3s8VySu5oCQGWZSsXnrX +yZqFh2fG68bAXUMionj9Xx4T5injDyYXM1kX9xTObrstE4+/YifNBh2GFwrU4B3P +1tAgrwkC1yw8t7qEfechaahx7zSyKj/fx2DEHVbGe0oPq4XcqWSSYAap0y60rYo1 +Vv45CLgPzSkoudXDKgZUgN0u+BN13AXn4B3BbMh+wW8SfDkE1AoEI9kFXrqSvHDf +9FKwpHhBt1XOBFP80Bbw6aker5GcZeCMdj3E0p2y/CC5bxJzmKjP4s+bMJHPxzfz +4im09ynNP96ZJ41mCAgX5OIURsE2WiId1SfpYCdVSQtTFhil1fZlDY+AfBdnsq/a +oP9AP+pLda2YKBjnI45ZS7Uduewadqn9pzFZfRCDKYq+92wUyj5ZAG52pt2KhKdP +7BNegCP7YAOFms91dgoi2JN/BS29epeN+z6kmQXKGFr1NGAezfGGXONJP9/eFr4x +sJ8iP7c7ZTN58upBapII7GEIpHzDMQHzvjhdjlnmST9hQBI1Hngr0zIwWCb1xfuY +qYQp6M2kOmtI0vAKG6y7uBMUxl1GuYCmCsyr3pIlQwDXUnSpQySVE5MvBkCzAJTm +cV7GFChzjfz8FV7yhJtxCa5znIR6iTxsC/xhzjuJ7QJHiLzw3HpOqDbfePSoXRCM +W0LHnHUnw9d2mPtvUjWCBLpZJPNdTDUp30Df3p9OQzei1/puoUocn8uyO3SIWLA7 +hJtt7An84tRBcPYIAFg59t/GFZZJge3eIr1Cg/ERoC4brWgRmJeK61t/I9JBQfPX +Dzcjisp9z4ktltTQs3lH0p8WJ59ERc9qwJFnwaAFOpJRHPD7+8bGlYTJpYglFFO5 +F99j4TuzYZccxZj5EtfOsZv5k37SKamdGQzdeebGjLG3eVVsucfbOpsrKlaJSlhe +aq+q94rs1kZOo3zdEbDkoVdnjyKTBdKk+HtByPirB/lVvlwJZEExFqKTSQR1fZjW +Ua9F0mCIlVSE+s0h5YUUd+SaOHom8CUTgGsBkgi/Oseu6WI5t2oG7OQiTR+xUIYP +xghVJg6jEjbC36L3QioSKsjtjBYAFqlPCeBeE9OnhYUhv7Tuyp6Epy3bXY/byW3A +Z+WfOV8siBB1XhlRhX4iGQaU85619LKX45T8Gpepa3eeKWkKKAS2VpcNCtg+aMsg +aaYFSn0ieesem0LdaQTwpaVSFCvi+lnSDjYUJO5AjQ9V+qvPzbo3iWN4qHruySdw +q4YTnMRKZrR81MBYYQz7z+CJD7PH9+DfekyUEOSCRbaO1L2XGeZdyoLgiWmt4BfQ +aPbFkqPovmOqVwaylcsj0Myj7N7c0FC455v6Y486zcxfX4JLBWji5Lzj4DSDPKCO +46FV7OBtE3g7W4fOe1s2oeDb+F4R4s4wGiyaJMB8mUdQfCdlzYCiLPdYqtNG6amt +KzVgqnsBJK49JExagNdvnmx/Uy8UuTYiwhTMGyNhOIdHoIQQ/howdgVacIcCvvSs +tcCKqOMnRYJ2g5n2gcAVMVe3cglIh5gTt1e0NXMfAODhS62qez7EEkjF3Lle+zzv +Y1Z4dj8aeGl3qr7fhKPhb6LXELh5wJjnrKkv7h6UCnH1xmEsHIFSMYOCatzKqgaL +ppoEo7I48h85BDuKWxX5PwOCid8SNAToxn1nWcxfoj/e/Jp8NEu5ehXm9jJaCOW4 +rTOQQzEHGsHywEqkBEqC1nulo/VpwTWLxXKgQRZF42ybH1fOMl8SiKsEd9cpvcAH +dIUFsmgDqmlWKc6MmGODwgbzIAofv8AJxaYfLSkWiwgbsDJEp4xn6Ipv/P2MJ1YT +LDJlul0L3D6tk7sjTJaG69yzrNoFO8hUpYhZrdvbgdqQXdmlHdYQxp9JuAjnkhDs +q44d5K4c0/HaiCb2dy7YGQkjsxuY41ztVciuAyzZMk/2ieOPNXcbMKmfdeAE7XmU +hb/iR4zwtYUYRgjJCavMTz/2wVPNQwH7NtigpuqPEzZ1u58g1Tupkg9Ydkaa1nUF +fP5Fl5266xtbK5fM+bm22L71p3lB1Dd5TXdDEFo9i7CnMujghw6OksvA3X9wIQUW +qBSjgPOylcvJewWxSgL7z12jmujYzbsZfVaO6RYq7bmdJLSUpVMZoRlHwpNJ0w2V +wecuvBW46uXjgSkkiPonIp6Ne0p1DXwuSrBw2X9jSTdn7Tewkil+iKHbzstiynTq +G3kKs/aeQEdP2uCQsd9uemWsxKedfzwCNX5q2jX8ykWPnmMKJFSR/DAkorKEPyHF +DGSpOau2oQdNjDROAUwhjI7UNXbRtP7mLGiQqPFcF4HJXueeso+9NhWyv02apA57 +MVYaZbXCqzLXvwvlfRewfLvlC/nxC3OzYSAVqurwKl8ZQL6zZ32t+iAGNbebjgwQ +NxPUckwPo37icgEAtfOpSPBDlRPCWXrFzMj/rOQc9W7b7AtMOrVYVoMZ2MyGndd4 +txp7orwhWL606qElBeAHkSm8sLwHrnGbJ2SJEBQeyp2gAIsykg1eNbSP2rVnYvb4 +q9Y8aZfyeuYzkMnfPULTapqyltxRPjDV5jCyeJk3gMQTink7oJLfIgOUaFxnSCKL +mxIWXV8Ds2NOSlaf7O243Xf0B51erJfdvvoU2Qbf2KzM/HEdQmO+oF0RTMnvnRle +f22i5zenuyFLqjw2qWqcFIj+7y8EpOgefWxv+4G26t6LbrACQxrWAJR0OEE8BJet +nOIZ6HRylW+zEzjvDHTcprsjIcT2BqHFjrrtiFeifqyD42ix5n+zMyxK5oYaNrkN +hS9cxuhYrf+NekaeX3s2xpNpaaMi/d4xJDY7aR84uKNKAQL02OV5x21VYmIpVj4b +ch7COGFTai7i4N+bK8aqmjx3/2qD9jasOWrdVF5EgwUoKdMSZHEyv4nu0ujqma9K +roPOaVI2U/yK6JxPfhhmT2czWcT3pj8TkO8e5q6GMqHQywykua3ednGSXXBqwl7w +IVbfmzJimglhBEEP1POss3eOzWcNBk8EeQTNo4xPhVS0mk0wJozBfKriHddvkIqR +wiafVTNzCmVYAVlptCmTxnVQ6hAK/+NnVxzpfQGb4fCFS59D2+Pe8xot86LJP7zt +esRuNaO1jf2JH0NoEPjJo0zydKLbt1EpxS/yShkHln0TvTjZXDYY/2+mY88skDFx +SMR+OWbiPyXTZqpK/8K369aWi8PPSclogzSSAFgcTorl6sdPemjW6dw2W9XHk6E+ +Y6/rW+lSdbWscsOvDKAXkcQaoQ9QQBei95FE91L4g7gkUl+JPFwFF2mo9PBl0DS+ +4/3OdLQ7h+VPQcKZeIaxrD5Sb56+PBSyc1uXoRcu+BsoBS5vQAb2ss1PpI1JZ08P +u2lp9i3/pSBeziG0uvcmlaMUuZn0kCnFXIRhIE66pch+6Izjbp1FMHawPP9BlfKT +0kbB8ssKP8/6H4IutdfCHB629dj/hEkncKiH9vVxUZOD9ZMoOHaDGflpbPiRTAC6 +2FZwXxLyQcFwX7gSdTAQFYwoUu/DbfrNGvsHgKXusiX25Z8L3e1hSLepuYLS01Ru +alqeZ5nN49FghJaFfWt7zNWQTdZjNJoooxUsr+y6fdb+6x9I/0rqzSS7T5W1AlYi +qguJhyBOrnoAKJcqlUUlCrbMzeZGBpYiPBwlhM/TrGsfZ3Hus5qCmVhnzeDEel3d +9yEE86Jdx29PlSG2BBhkYcMGKNiP54DUJaSISYUiYLGaceRT024hsvQby64xnHXu +vkPbWBZ+Qw5qyGLXMrvdeRCb6uq2WW4aN9ZgJkIcXXg6pJ4BKHXSfha7XTizFv5w +jUrjwzDEtZYWn27B/V7B/YMhqQXDGlDCprWiXjtt980M8QDYNdCioDpSVRocuxf6 +DumKL8Kv8LATqJz9kTlqbIZJrHeX8OdgQVbf5CBxDc+qGZFO61awc7M+us/v45tJ +KBoqwulovdk4txainEdlzSc8enwwBAapGuK+eiQD6ZM50vdFY8j4hLxcPFaR1yJ3 +PymlmsBqjrICYbZS0R52O+C1MnHyofI5CC/I31oqPtndoQBiC586QfcaKJPdF9zj +0szOdsuljcovIYaQ4vdHklDegpSz1VYEyb50HIPuNR+ObWMG5UWBBg0KNwHeNukS +3sjtN5fYk7aTdlWLwkADkPfInigfuDlWeSAGmG+Aq1euCdptXoQE2fLTDIKMmtnO +2CtjbSAoO6qbbkcx1hWcpQY9aC84I1Hu2RoyCLLZla5s+kBqyvnEBkLc3b1uWr87 +RXeVghuF2amP01ABxgfIq0RXjTY8/ckbGUSx67BA2hPX68f7T1onX4wXi4p70bZc +FAu2qIjE7Dby4wco3TPKdWNKbXOkVXo0i6zET/5Y2cMeaoUlS3FkBRmgbAMzXY8h +hsWO5aGRiAGzax5J7p+ywxbNeuOZg8ORm1EcYNc4h8PUMekESuxDIJkSyrL17ujP +x86Tp9x/gX/gBZhpZTqFbHlE/h7K7zqfobk19t0AjuSHynKGZeBihNdBNd9uPscY +45r1Od7zGjrVA9OEdsmw0wmXaV7j0RGyVwyrA63J4hg0h7mAjHBMU8YR+OcJEaPi +BRixmEQbKwarzdFNnT7SXYnjBMAxmxRcqk0TNKnWUv3SAW9y0ms45clOPMzb8/Wj +GqEKjyPWu+ArG4w/W4ZeZHxgBdB5I75a043+5ytDwvTg3s2PP/SqpXN59sY33UTG +cg/fgsvMxtt4/OyidfaLgXMeu717hwvFWZP648ZL1W+EQAh4QD2vT0ISQjdxjZL3 +riXQejX1ydwr5Fg7klb7Sv3K+07lE1r0P874U3083221SHAgZjSInjf3Ks/YT5jH +QZ9i2e+Nq2nBlY6I2LySLhx5BoX24pPpcbZos+jby0R3ur3mlyMkKgs1NWP6ux6r +608mmY/kUnU88vWjGTzHY6EOxXcCPY8tHrKSRJdn1cm08hUaY/NWdSbMvcB4NRYY +nH8A4bLuJkrwOQv/nSq6Vi1rs1oC65BCAtDPZqgCjqHU27BpZapKilztkzLjwjmt +BZxiBReSlDGDMisbnz2y/KlTY79n9G3v07vXQ7Kfk7QZ7HguK8QO75cjmCuBDhh6 +wEMLi9mkjrqWtpX50UALzN+FdUaWSEgi/Smv1SVMYxqHTHorCj/3kFce98UShYqt +2t7ycC1MkZKU6cP9P/lajHgNVljnn89jtNtzDWBjXOJRC3TThMoY3Av8ni2/Ads6 +4x6EIlNrt1wMxeloo3cMNLhk4DUgUemgd8vyi5D2TOCG9K63uYMarBD/KUQJN7P7 +l/84EeqsXRXsWnz6iXUhLgHHGtbWnDby4wjZUbeWjSza+2RHAEMMMnfBKdiUHURy +RVL9TqUTGJWmJX1/Za2nrFR54taByRjaTKD1GmC22s7oeuZfdAsJmVOC++Ekm9Np +ebK7EbcudkDUeqUPNN1QYjuEA5tFo8od7O/beMii3Z9ohDWihkpfD2JblMTE+EI7 +G8+mnnr/wQOIF1YMq2/StSynbJgURWgf8isYFH80we6rYF+fL382gv1k5q7MxrST +BfXvMprG47Pq2KxmMWn006qgpxlL1/Wr3yNlRVvz8jX9KHMno3icwuxf9Nu7Iy+P +IPkhYZe9Ia0TL4LTm7B0+aBA3MxsDfpgzMa7DQZiFhI0ihdcGJr/BxE7nAPQomVa +wkB8jHNNRnuEtDo/hInWqRYenVOu4YS80YD6BdkBqB33Auq+hBr2fs90B6Dq10q/ +u4414DTZWDBjYpmuaNpkeX/78zphrdQbabMbL0L5ULsry+PGjHIY8t16SJV33Co7 +CGvJc8R6t2xKfYGO6VcHxTO9xRmxZhHK1TpeI+9/RczI2w3YuGki0yAjvxC73fYS +H+EWvczPU0NnQdp5ORX73nWRe+hLZVc4ndSRTpZl+c0g9sfAJlaK3hdAcgOK8+K8 +V+50DzjDESls1XjNNEKHJsukFj5CDE1aQoRDw7w19icAT/ijSvyv5pAZyrdnoOo1 +WfgjwFZmNGAgu+1ow4Xnpq4tWFf3j069GF33D1a/AmLq2/Ia7s0ys41MHuO8Ol0Q +BjAjZm6r/olWaxcqhKSXRaEfiMx1GPub30xwAt/NaRbEtSkCmlsUOtVqvbor3Kc/ +0O7JHzneQFcbdK9eR5xG8aKonT+KApVwrqOMQR6rk4n/0hfTVT6NRs1L5KruAM4H +xXWTAxJgPMsfsH73bKYIX6OICL9oxOrIu21ShJrKrQs3lCYJ6G0vBArvUplLUuPi ++P1wtpb2qZQd1zGU0340ug5YKEHw0X99YJxvBHQExajGSGxJFPf+8ffKyjDeIxk/ +FaQEjYFmRlNIRDEGiMtyK7IrJqBPXzsHHXQjly9O1I53LZ4pFvspJ6LTnD8pnmvO +SqpAMxjmy+ROUKbEemfNMS8xgSbFTbnexYS6ZPOWKvQ1Fx+7Sjo2tmAmMNxhJ0gx +tPJmuHzT51rrxeqZyvwPLzbwo1Df4y03z3Mf5vio/z0hpRuS/ApGDmZT7/1P/gqX +m8op6lFFybnJR7iIAznkx1p+Gg/Yk4q3ozqHbg2H3RwJ03C9RTD+YZ5xmccQhtj/ +RFlVIGYR5fzVcmSbgxxw4jxfle30S5stW6D63Xsn6GErAjQNBSvbZVd2Qrkfonop +YMAGZjXYrq37KSNM2Z7oyRMUgPGZXPap0+sZbbLHGrcnTmEexgfWKsK/VKI1x8tx +65fR6Ogt5eALVMuzyXpuB2EpzeadzKkAeTP7uZavJK6Dg1hSqg2ksmyvdSZMd5DE +Ny+dZ620Y3+f4St+S+5T22ZYXFudzTFNVeDbIvmNAfV1Wr6ArJXYjdz2S49Un/0d +apFQlkhvC8lZhcaBEbarQOkJPDLdyUwY1AhrgT166Wa4sUIrDYvrSLM6pb0088xL +QvxAYuiDAsHlZJ/3y3kH8nUBmZCTG2LkSlWiNJIC4EZ/GRqvMtTbA3fJWE2jymkI +ws5EusMf9FVyjKSyH8MtRqmh9F8whdn7ggp5lTt7+nxQKUfNOK5ElwNEtYpomqrU +GvhdSK542wmYcvuVELygfINREnsc8ZTZ0R5fSz8jmfFFd2gyWuh681rAOX+K5C8Y +A/Y9ZKnE1TtQklW5599iE8kJkDaUnqWrtM265mGOjqV9OFnwDUuqpzh7a9XyQ0uz +IivzWpOWO5+MQa6ojFAqsbVIL5pyUTF+7SbcHWqlne8KX21lVHs2EM6RPvUf2Vw1 +AV/INyK0VxGeU5h3MiVgl8L6UgHd6EFk4HsgX6GePhUQp7cmy0v+ePK4MaduROcB +p6DUDmcEf/NB6rOd4SOo8Drf6wJ+zfwOMyPmq5IdGAaIrVZe0UDYrEZbRZtVfF7P +LsNEcjF7KbSBh1YHl7glba/IWnsrYOVYTlpneH2VAl9oI4czjlNmTEdZyLQlZpKR +EP0VZgU2Bc1s55R0hJkeA8lULs4fyYMG0IlWdrXgQ8kEbPkY2NZJGeQWPh1K8a69 +oH6msLbjxc7FYcq6ttI7HT06Ce41pRKjS/Zoahm+ZpI96y+F/XA87T3ijPuebrAz +IN+G47UVs53PmRq1AMVxkODUsoHcZmaWi7XEoj0lLUTQYZnpaubqunPvpUQsETzl +hdwNs3kH0AUCtk4YkYi+oQdMmcw/OOYz7zuS+KYVlsme82I9D8zmf+37DlCrDIQg +R2uhJmAlOLzhJnkW2vfQr4pVMiZUsnLB7Wm9p8sOc7hMMD2rnGUpzE1yBqSN2aCw +Nq+N/MvKeAEghE4Ol3CcGiQqtuVh4P7wqbaReQG8b2Bnqlh/w7GfJK6O8viacMnZ +GIXatIYAuPrSbuPlCn8qX6KrbufpGacslpPPZ4DMcXJz2lan+TzcZ830j0MV/IWh +Q14MWzsTX+jYB7ZH0XgDFWMGgaRA9Ztn6qsuYFyo6MRGwtDKLDIGbdL5R0xu4h3a +D479J6kTaGXodG0blBGipqs5HZgsl0fC1sJdyX0e4zAdWj/oRlOmClt1CThlxaIC +mzav1a3o97UiQpTh7jTHWv8ZQTS8Ae2Fn2kis8ViwIsL7ZY+yA916lfRsbmMhFH0 +tqbH8OYdBcpfoouH0ledyx7GBSwk5ODXdagDMoz+N6VU8BlCQiYEsduI3BrrEKuP +poZnX8ubrKHqMnJHSimOe8mDaDs1CpSZQnp/p1DfVL/7A/93XDJI4T4kjz+IkOcI +88s4HdgecjXuzhvhO0n1N0hBhoKf8fd7U+oLJ/KqwswY7nkDHprakY+wdrGXY7iJ +e4w5zzZXLIaQsqDHRSvl9K0mKjkggGkwAlUzZl1nsjnRXYoMCUfjviy2aS4Sfc46 +V2Kn2xQ3iR84dtTnHeb5qbLNLKh6QQz/G3jOZUQO8GyvmEU5cUo9Q5IzMBn8nI/+ +2ikw2jcB4VOX51jl2zd0BU4UzKuUgwrEBFKXAcZALNPvaevQ6z/LgL1C8dMl9r+E +1dzP7bMHQRu4Dd9SI3BXsjnqs5fFmvDYo2bW+XfiaOyp0bv4+NfCyLdNN2VV3Ckg +f8UD5yEpg6ImKnAL5AReBiMPypJSvzQsnODzck/j+ivIXGr/wNy9QaKVFjz9/2zs +DOiaY/eJW1OuGC1D0HU95pQEzJAzXxOOVNGP9O7O/2JHPtvB+jeW6ctmfC4oZYzq +9nz4fIgsjnRo1joDlV5y+lI0cXN7nkpKrpCbw1su79j6B1/tpBYKpP+01aAtbpTr +VF/ijG1sgDec73fP0KWBALHBsQnhDyoCslotaBDoDmy+GQVRdiDTY3mMcqtyRXrm +cqXjtiheMbBdBiqO1je0iyTyUqEPFVC4HoxIrjs7dXAGRnUwXgmJJdP4D3FdSJ48 +JWcAys/VqbrXlrPa1bHwTT+gkXC0H8ps4KAoHKmpCQF3QOtIXyB/oyZqOcK4Zvq1 +vqrrPGxAkClnXqyVjaubeSYBOwFL+VbhEd2OMv0qjJ/EFeOvGv6dJgOEsWQkUZLq +NgDeiaKcA9meWgxfdWua61ks2lB9tDSM7wFLw52SYz445QN//MJyFzb1oCaHAmXA +GSRy6N9sL2vjOEQ8xPKYc0zG8UUPAZnUqOeglWM5D43H34VNXnagqakRhgZP8qQM +t9+BeoBuhob/dTvU8S/oMjHz0MDAI9KUxjMrXU1osMXtS2Lz6pRDfXbbkEn66qUh +qn7uNRaxieoNKL80z4G6CzawTq4ab4Ow59ruorRA660+t13UDMu7yXBIp23nU2XL +G9dVigFsQjrz1cjds04SOwRcTeFNkVKVOK880JV8K9q/jVBEMPz0tPaNs5uF/Cbj +XPAjt1Q9A39bsz6bDPW/ht8F+4pabGg/nx/IZUWNAohVx6Y0/Xcc3gKfI4cNGvU1 +Nt4UDtFWAtjjq01ViB681cZ4aYAS2tjjjiM/JUzxfmP0dwTNd21MCQWd9nwuhcEZ +6rUoN6ls5OP6rSXts8NlwwGKBJH8TgNLX1UanarIjIgxMlJA03rZb/yHptzsIqW8 +ZuVImGB1SLMJwKBSjwZHY5jL9AljvVd+sfMbZpddET2eSHXAE4N8OeJ8fCkE/gbT +QPIGNhSm+61wfRN2j72WCY9yY7dLMaB7gwCueN2K+8nqpxVVEGVdsmvaU5hF52TI +WmJEMuvYZJE3QJL+Qsph2dFsKVRe8scr4atw0SXPKncFRsSpEGaaZc9CPT0oPB+S +eZWUmITGZuV/VB5qOr+PzE9l+6msK5CJUPURrqcV6IxCvFVBS7eUsuZ8toCOs96c +o5t0vYnpQBYAxp6rtrMRtC2nfWhcWpn3CsszcXj2G8gP56xDa5vsbc/fQEeKpBrf +I7NhyGX0tssGQ89HFaxut1k5Y7iWnMbyggq2etJJ9IYN3lWh9399ZbWsopN4A+yi +yueGWoyfypDRR92W/z6IjZcAEW5u36vYUEa7+2H+XbyJ7ns9R6g82Rq7iacjD5j4 +YrlphNr9asv6NJ9Dfmj98vEx4f9znNVIKBFr9fP86mlxUeBNB60513stf6epRlit +iDRJOb+EW9BPYQkICCAj90VePODprJ0lsKnBxrUFUXl+T5hnkx2xAIxDGyy7EE3Q +hc7tsIGdpg8HLXTs71Yhj304flUSmZqCMl8xQiAydgw+7VmRtxdrTbAGs9rt5SbH +NmfqNJQDS1IVo3MyZHf/bE+zX5/PYiTSH/IDpiqILVyq9ocfYKVx5NTgy9lfrE3/ +/rnIdOTR4oyTKKzb+nHhdmmzSjzXutti7D3cD9U7Ngx7QHcWrZCHgween9pQc6LP +7tXeMAhLHIJFXn1fabQP03m6wMBXcz6mghS+Kzl5/6P78M+wc4P8RV3m8mHARE0c +hRjUUCdAyZg03isT/rzlOSvKRv0Z/d8Z8ZYBqbawph8B6402m/yWpTRO6LR6PDd8 +ISSUP8HIalRWwTPSzbXUP0K960hKOnTo5GJgeLkqaIXF/SYjt9KLolNekrtFk5jZ +YPsAMmlkqXxLWswzjV4C4+ws7xoeDpEW+Y62nqJV+OBJsNcyLphcFj6havfMpCer +zskvKiKDCOvJZx/Rm7gv1A6hOMGi3Ppag2M2p/BxAk8Da4GtubN+Mictd/3/Y8Wa +mHf3Uqe2MHEbuiA2gA4BBn9X3qfH/PbwExJGtKR7XttTPccNTkwT9lBcjg9tbq66 +PZrskVOth14g6C7/rCUAN6gCMuFDXtcItsW730Kwtb8JED3KoSdYNlkhNVTkUAV8 +9b1ugS/d5p9mpoAbKyQM5HTqAYK3g53xiaKyBtfpeIwihOjRpLkOVSQvWmAYXi15 +Gd4/c9YJRYFBmKvh1Bv58I7+a4BXL6WGVGVVHTQflov1JK4BmlGxgcRGDKIR+sSv +zc/TAfybwm5z2f+vAStQqsvVYwGXziEtdodRDEa53C5SfEiFtN3NvBKJqBNaY8Pr +Jl5f6FlPVtSyzjmn9BiB6e/JJZSKUIs/xs40WkKyg4Kgkd0kEfOSBXvoXzyi38q6 +7LGPREQyBQXK5ZnwzRNjjRia2tuWsTCjRZfgw6qIkT0eF8VxTucufVwWJtkP/V4h +gBOfEMtSqDOWVqCfaVDJlXOeXeh39ocAoR2bBmGC6antb3/Qp++L33augOEayImL +qxg3OTKfB+ece8rvOGRXvI481f6QROEe/ltwBTGsjZK2jzl29fZUfo07zf7QdGGl +Lg+3LkVPI3Cn1dx4ybCvaGbAI0evW29BxCohu0baqyerSh7xit3FKjAQvrc3XoaK +JB6ZMM74is4e/d6xs+M+vAUgeMT3i2x8/Pw4eh15I1pverH9tlvIgpH5xNjR3exy +gXtZrPmg2UZ/64vG15U2TxN2GTHtylR0SzwJfP0WdgsR32c5aW/CWoyGsz5RfXhE +AOvrSXXQ1bgqrzfrZmylYCIyZCZdhw1bhhowtA9flV73loOsqk4YsxEt9DFcnnm6 +N0JVIolF3bLv4Ho70qiayhfsYUrlgmmgdOCxNQQE/oBH04q5TUlFFM85tfOPwgSS +Yj+JJIxyFD/EXp8PMYZRCvhHoFfIyguJ12RoYw70lHpTO7EbdgeRFPV9+9J6fOaK +2D4vGCYGBjM2bMwMiDkOr0aVmTZvG6Pre6fpqn6lREz0yAe/MVatgojOZur4HnWB +7KnbvKxt1RmNPogx/eU9AALpBXU8VBWFlITRzNOnqeTSdqDx/hXaVkOk7BV0I/QC +/wekqLJq7EsAAM8FldZz3hpU7SKtt2UQLJ/LbdSQqo88my7tg9M/gNneFdf1fkxu +JsC38RKWpcWVUmxfgF4a7HayDAMlm4ZwpIwmTRs9XMfB5HnTY5snN5r7Xrpq2D61 +YmXJvX0LipqnggdONvkr66HGyrMx3JBbL3bvfFNZHH7MeUx4EP5JxlKP6+PcHLOj +CC+/jeoz3Aw0eR1wg4a8eXkenB1rHoaGyEM3FBzEFINCkW03M8aP62EEhCkjVD9K +bKS94c34EXRZ3do1FDKlfdWn0D4P3xqHtq2bQCHwfXX23UcYisJDeWLlfapw/zJI +HFt5qWeieHz7hhcLbwJmX7k7IQkY0dW9bja8r3AU/xUMXUS4g4fuL8K8jchfU00y +5IPgMQPWkKKjJdH/Cu7Q3YPAjk5JKHcMLxBoPBQpm3d6LLjgjVVOeYdU6qVZ4m+/ +xkBCSTYpJzSz3ysgw8x7C3TCdi3bfK2oslvgvRPVRwCtBs10Gx3QfzgCC7Bjg/kk +Vrw5YpqW/Ui0ptgaRvXtIFYsVtqtR9hRWM47oQK+ovln2bnLEgoBq/RDFU/WIU8u +LK1IyCuHg1NcgW7aYe+PNBQMrsjhGCzOD9MZggaqaxW0BQubnFF60RONXlbPaOV5 +qEfhHc91nIY3weh1G4CnIUfqMgGOhdWl/syneKeUv6wd56LVTS3zVYiEHz+TP+9i +MSlTXWIIENB/JxfJoB31KxT4efj0b4943OWc7sYktje6TeihInHqd0VS86d+bMrD +Km+XxgVSr1Q/ZG+05vw/pjJMOzkdQ5+2kRsZuDAT2SIcxIM1MMx346r45G8Kby7d +LLA5kIMkg3aWVgvEcKzI3qKSHm5KT1/sCXv8k4qrnCqXpv9emTQfX7ppEHZKBX+c +5X2AEo2SZ/fnZ8vZdeSRkqvr0I6TWhZ7jgbmdIlR62Ui1CKg+ny7yXDdonMa0/r+ +ZUW869byhxWoaH8H7iTcqedPROTG1rz5eP53kqbqQq+ENldT0IvtGB6dl3Z1wJ2R +k/NVsTwz8le9WraYMVE1I63sV4JQFaeJFUiUe9BmnXIXP8Mtqj4djPg6rgskoSa6 +NAoSV4v/vsvARDZ9k1/LXaYSAFChrgjLD5ePGCHqiyqf6T+2xM+pX3e7TXs8HD/l +sGvrpmF51esUfUhau4KOPr4O9QjGpkAhPKW7ESoe/scENe1nvC/RrSP5Vc42jDgY +NwTcPKyytV7zTbhVtZn9wYeQrllSjeDcuAJ/r2kNYJ0HGk8VzQy7S/CKv9Tk29KT +eVNoE7F3pJ5XAn8aVzTBlp31mwbGDbsCfw2p0FykQTlhETkEgZxESxsWTErQD0C+ +A3AinxEt4CsAIKlqEqg1bAraOuyImRUMlACYArpjk19BvmsNnobqYR2Vn7fkg/4X +hzoo/AZQDP1eHDk4pjHG8yCpodWPZ0M8g3oRlnejOYWyl+xYlb4gct84S/UhlO8U +hYaXO2m+jWRufYkC43l8sgVZN6XVjiqsRkuhGqB0/mBH1fL7YsvAvyc6VNDA/q0v +RTPv18jjlbAg7PZ9Y4bo+0hnrJrS24QIs6l3Jgn7SrTbKOe/WOV7SuEerix5p7b8 +J6zF71ZE90wvlt8m6glUm8db8UmjTuq7NPu9y7MVyYSNu4ondgAaADBh8RvBe6f6 +8kKZjR2RkPrkzqF/wCE5znaE6dnFVaEI4KNx0qSUvu22tLAcOnEXIChIZklwlPuU +AcFYEYHxQUzske6EgyqC3NwO/Jx2JXLa8qqNI2gpysXBE3mzcz8opsvG6qhij5yX +ADl8T2yb9iMooJoimWWk+SoY633Y8q/aW4V6xF6WJYC70TezIG5vaw8Yp3fIlmX0 +pRQ0Afaxt/fPtljsH6f3mhaQ2rqxjjFGeXHjRs5PvCB99mkQm9P/BkQgw/R6HJnN +TvvmfB5w90+tuWLTrikWezMHgZMxU76MX106tesD82eRMTw4zqQxWd8dPolPk81H +vm8c6nbbPof5kK0DvJgA5C9h0sdZtpwjzD+B8VTFjBiVd+fBaA+AWX3i/CMUGoNQ +rpxeZrWRs1xxBff5nbi+M9A82e7rwgHMrqaF0i+9jEiYucAng14hSQjcyve43WKj +0AIHY18dngQ30E0nPaXd7M/l41P+VN/k4uIX3Rfd5J4zkz4Y9LWRNr0EPhQpTul+ +m70d1hsvS4pV0PxxhCDjBD4arCmu5UDSFJp24W7OTf2HtRifgKcDzcYdANqVFGLD +g9cetfKXlgL9/IdrCtmErbJ8GcMxcg5bAOqwBzelUuZaCOl0TipFCvOLwO9D5Cqo +mqomxsvmeNjso2PrXzJLPUVu54c7aSQqvA5SrAYgbt8SXy9zLTZXtI4ZFyJ6wuMh +wsUVvWYQAYyQ46Ec0YsqHaXtz6t57CcNjzmDHwBync2TI9pkGMrOhs5sR6qlbgls +cbSXRAcKKuzqPAayVAIqQuijNQ2660hcX8NAsxnrhBFFDtKyxVq2AUGL1eH3TA5x +wQJh6tr08CS3XyiMA0ibVLt2A8UyjeH4btPYWeuT1SNqUbiWUP4JXns7/8C9spAw +sl3WxfKqNTmHG0dNlnbpzgHQBc2Xc1oZXjQo5SP1YuguGTLdZirBBH7yInB7I+yS +jAHEtmQM4sZOqmN3y7vP2kXD+ljKFr6A5e/TNJ6DUSeT8QZzgBjcqnyrc6yZC2Kt +hkQUiFsW7F/lZ98UuF9aVJh0sk8R5u1SBkGuc1cspnFHNIVrLaiUX96Q739/y7Fn +Ghrouxeu5Ayxfb2z7bssgXYjqxsEMEW4U6ChXGFtLf3JkC8go2bJimSmVgw0UDR5 +QVrv+xBmPFRHdb7fW8auJgdESUP7SlTBDnb2+JuTHDPlCHaKuote2cBkZpbNHEh+ +XNgCLbBs0oq4mZUhqJd/HalGsxh8f7iuE6VvNWx7GlC1tMXyhltfzIVfBG6zTzE5 +MuDBP7mXuMPjuwKgRgqaaD9+m8BvKxD/HV+aTGOQVqx8mfXwmc8w4gptxXbqMkLx +QNFF16oZrSTm+sZykWRLjeLJ5QSTc/1j9DnB15AaCHVIOGDwLcaxy97GC44I7owt +AbCcsYD5XKGoC/9bnACOjgHUcYS/ThbZ0zbxCs2DJmD+Jakv/VzXCxbyl3G5BCvI +H1o3zPN5y55gUiLY/HsY+rQeOVWMlLqhhbLtGdQZu2UwMfHQb81wfMSxvTDd0vE5 +Eg0QRgkHzBHKutK+qLuRhqe1IXajAwmr8SCbrHT7/PaD6IOmLIuVVvI7zSJKTJOA +yA+CJ+LwqL/PpNEe/nM3rNjcCk7/CPOOha9DUv01TJy9nCTpKRVAcMZZhyWz3N1L +eVYNgIDTtAbzQpMQ/Xcp4sN001zi5ionOY8lzW5WMjeVDt/67//LSNf1XuoYyBrQ +hqvXvcypzm+NJrb8yAxjIXQ8/mCECIpvvdTMqX9DMU8lf8kXciDMRgoKTHfJc8t7 +yqS4ZYhjk9GY47yxlZ+/II2Yjatpbp5RHCnDuRlJ8FwyJd/7eKnPiToP8tLgTtSY +6wl3tFMjgdjsFdr9NbvcMH1LJC5KVG7CZh3bLHpe1JI8Uu7+WL1sDFWrJDwrYaFv +RcavBTrINwnqvY5heFbVV+iqvuEoHdBIOA/3N6rjoKeCr5Qgc2NLajy3jpAI7Krs +4uTB/FsvylM2ATCS3iM8MreN+xlYE2LxVSkFWLU43w1D6iImloO8B/yJQ7p0oKSv +kSiz19uSmOr6oU848kZj+EEOD7eUpJS+Wd57LOZFrlN4QWBB2mMLdkBegOJggwPZ +xC7HkLVYhXVUudeNjTrPTqJ4CADDKiRV0zUmF01ZB7wAlOqmJ2kQIPT7XPFy6zZF +dOJR5/MSMwWII19D9cs1mXshawyIs6ZuqkbS3bVuopm/2un8VIvpnZ/M2xVCLQaC +11mhrpOSHYn6NoNrldGKJwwbcqSwLJaWXCB3M8OCz9e4V0GiTJYkeYcg1LloJEBc +a0Og56vRfteCEKm5ScsUyJIi/jAIZNqqErHeGtx3EI1F+C4k33PuFFek74BQUK3z +I5mdAMWg43K25Y/Q95Gy3XuJMFZDyMyQJUknGS7lREh3SCOfthj05KtTtWyKF4p3 +QfUVBmi5heXxs3bJefVhG9bkrvKi0V0S6X2SeIZMP3cj7sPKbs5qmBwN+N5E1Ind +HWGdvS4Mo7osVMUmbHN9tZWfaGg2s8LoG5JYVJ3TYP33lPkIqKZMICFtkp+SRgcx +7VZZGdmX86Y40hwFmfiBGBYWY7JvtoayaTivrWzgBy0V/Es7bRCXo/U9mD/wUufe +7iWPKV5AWxk75uzTtGhFkJbjjOwF/ExSEcn9YUShCs1HxTKvg0rRRFHOiopl8J0y +xaoT0YueJqTGCYWn+UgwIlz//eTL3i/fwrUJHZsOO4uUw+5Ng1gZaa7Eka3aSCsE +hBajPSKjKC/8O14lMcSLb5G83OjVMHOS1dX8duHaXQjw5DQgMAJ38WUcUEcYkmwz +NpMoJ1/Hur4byFuMeEUddyci2G5rBN/093GgybwYw85mvjbklEkyG4TLRN8X2OGC +WS4QfxsU1OVQQCZjJsKBPAdN0QMdo6YG9MfXpRSa+tEiepI9BlVoCykvWgH1r9uC +Qn7KsqK9/LGaM5iOABYUVg6Cs7A5hTTIyz2uoSIs8zDXYQjIVGNt/t32vejh4cXJ +RbGMf/yWbeVx+Q54NGmP8Ttp5Rls7/RBT3+bJm29IzqzbFWIlBPCq8jV5eeoA/ng +dZZAUmD1DCR6KBAc8E39sMVN962m+QFiRGINvyVdA86/VzMTBL87+jYPQm0+xefM +PXN20yTTs1Rv2ydrMWzuTntjJqKGkGs+A5/TBwHXdqTSWiK3iY2j0FntAk0+bqlN +5frWzp3ICd0aBxY2EKRGqQcTpGhUNEhge+4ptAQ89TOUVZzjeMyR6N/hCcbrg+Mk +VcUenDlxChLeifvR95CPByt3Iyu7wxeXwSQkoRdKp0WSmTq1Nq/vo47F5NnjoSQ2 +Uz0lGB7PC/cogSrr75dYAGr9NJxBAuCKRpE8iKOn3Q22jq3MMfIZTKT2yvLk7sP2 +q+iOFd/zX1gmIa3cyK+RwgIx0oWuO3mcnrR1Mn3yg1w8jt1IWuGy8vDkEdtgFBJm +KveLdzXD/3e6Rjc5e6c30JBNVvtQ+jKTwWUuFpm4fo+mLvzZQozz67ELSTFn7ct5 +G2g2qzTClvGOftbomGq6qU/8x9ZJ3fG/bRQlggwmbttkbo90BxVaicarV75OC5GZ +bYFjviGdLwae1ehjtvj38ElNOWiFE1K/WNzpOsN9QbF8Qgj3yMX91I3jfRerDNDM +kp1NMtzGVhK9hKRn33aNN4AmogcoCuT9Jkk3whi9O3zhpx3+TyglvmpbTfUVjMNg +pyOPrp9KERwBnLgcKWVleSH4fRvQ6ojCCYfjmUSnRLOj37IkOrwtkhSlqmzhBuSr +XfmBms74sDa2CG0oGhU6StqHp3KibJseGeDUnYaK7Y/CvqVVfMz7i2F7/tloFY+s +XBHca7uBLDbf3mqwMTODSaVu51XIy7sMaVoxDpzdM/bVdyLq0HZknD7mhLaoQsmu +1KYjEbnoPx8egbWzQu1egBh6VcT4yr1D+ts3pQWTJ+bgulN7F03g0N2VXhItkfFr +VRU0LsrPg1GLcAalpCcbTClbhKMs3DNkUG5dANs+8iy+++lFrIifhICQ2TR05xqi +MAaX//ZzlPri0JqYh3Se7GRQdHX5PfYt5bsf96pl+zjtSKOQsNERdt9s+Mo9avff +TTxE+kvIw+L5/awn+FH2rG29zYWQVuJB9OSCJyZLTmoEGeKNGf+VGbhqBRR50a/8 +XIj97wtxf1BET7xVoOAsCrJh4Ygs7/RWJ5WAe2FGGUpL4X5OidBvVTEk45TR4hqy +SSsfwQtqV1AuiHt0bygu48splXXt/JnvH16yp/AjuV6NLrDyXoJ1B0JIIBUagYhq +ouGuAvQR0zMbmKvZDH21Xz+EQdr46AqphFhu3SpkTq21e4Iu6dwx9b5ZKlZzV0zL +TZO74ReqSm+0HkqOvF2p1Ml/RGJYJ4ZQ8rA3nInv+FmFopZT8xwzvt5vKYu1B9po +h3KNeJ0eiJ0edS4HhYMneOluZCOknl6SQ8fQETgs74ai8eVTG700K7AiqxLhzf2a +e16/PLvuSHuMjZ+/QMHsgpEDJAsQow00R+bzrqFvais0LjcomwY8lUIZ3SD+AUfd +BIO5roukw48nLmBFf5qPCCssxS5QDYfFADntlOEGzKO5r5YVPxaySvgy+awV/j5i +/Jb9mRc3jjvAPykiTkJTAs7UOsaFT7S4CF6Rk0hixzQEC/wAANGMdZx/q86x5UMC +v3YfDB/yjYLt4gAOByqByxPVSwknz/e9M3V4O0v19Gvwpw4yUzh0MZbNHgX8NIlY +nYBzy8NkVayhscUefxsl+VQyZVBGqQG0itGk5+sRLxF0lspCW1vDeYVxMGQf5sMM +6IwsR+fhDcVVddWcE1RcGj0jmD2yyMN6zk5XjhpV05Z2ALXffOLNDArgD2v21UpL +Fu4HQUuNQgBE/IwXNCnl1I62jrGIOekFyEtWnoboDb54DYEWv7gcsfoSAoT6elGO +u2erXuFG8gEcKDrV1V0Enr35fE6WiYgUu0vtDydrsiK9zsKdCeEJhMVWO+qrwPS1 +lIlhhJUqWVytES68ClN6IkJvc9Ai07FBM6EdMCRyLWnGGfngjFb73nZgTPBgAx/f +TNu6+z2jx+2Gag4qhSFXLRHcz4r35ZTv0VJ10p6t8xg8zYRWjPyoCmQ9K1Ax8gKB +dpB0rgGhxadMNElIJXtKyNRIbGV+OnTaoSYI3ThCs2bU8ioYHFHdpGbZq+Szw3HL +Zbukp2qpn/RYaSVZ/q71KNL/64M107yeoKgh7Bfb3w6j0SDezKOjIPjAI/9xnMKF +HzU7ALm/HoVqxScP+gKBPYpDq/5V3Df87zrZ953TVTCU862kwgOolrWkpGNfGRdf +CcHcZrLpQJuL1s2egmE5yQZjJRWRJ/DVbTRgOT0NkxBcy5qkNbDElUyaWEDoVNta +kyzZdsZiQjMDaSDP4ApKUKp8AbGTtc103npoZ4Vh9Y3DmrgCBbF96ssXtmaQVcEJ +Q0Bx9eSCqyUesaLJsP/IRL/5T4R3g9oYozqWwNL1UNPHJdfjDAY2ki72ia4LKwGM +t4yVx7WHksQUOvgSzwg4Ol4o0274/lO0bdMM1i12pgE7IzwgwnP6AADfeITYckXu +/i1aa1XvZSra6KkJS0KFHf6F7rXeuKPGOsTcS/yu8BmyHQ/t5L9YBoDASxocOQ5T +G+7IyV4vCbhZNAJtJXqHnXL2ODX/0uwy7EeRNXOfWEFNJyLH+hQUQtiOdR1cLPYb +xY4G5gzOcMQhPbOudjJl2cqUgT1cmUwz0uUPZT0+fEqh4snwGVSYOIRmB+j/S90n +VLdKA9+tEqiIeWzRRqEssgMHiEE52+97R4+Ky5H+9lPRFcdgQTvqniuleBIinKcZ +mkTS5AKnw3feG/rD5r8jL8fMk7WoupckyERiOoCWZWkKIYy3QV7jncOEoz22UYh3 +TGJj288CWZBAePIc+ehY5ezkTnUNX2xGVJnQ48zH0sGA5qoBXb0/gjnf/GF45GbO +TLHypyDU2aIVo0ERA3AKH4PfjGWfZVJiM/VfFBAggJ9Cn4Cr2FQNb0cMf5KktB0x +D0Me3/F3ebXfwwOxyDEtDn8BYkEhZinKedmhWHxH1gPnoGgUGUM3JTyisEeFLBp3 +kGSmu9FY3uwafHqwTibBlua2xmJpe9HzBV/B/iHa1SHEr7qVPnVjhRGltVG6OeFI +2V6Rhlr7cvKUCC6DSCgUHGu9e+VoSyu+YvXkNgmui+6vLSgZJx816opw1ICPoa0d +JVCUaVGs5YUB4aQe5RJMNYhupKOM852qF1vGFn0rseDI1j9DKgQhnVaW5olxTFx2 +IEj0fa69I5Xvp6zoo22S3f64JBO4G2pkUWeoFCTDDRTQFczQnzY6z+WlVBnTSfBC +PbpcN8IjXOuQh57qX7geLBBQ8nguKKlwU5gND4GpvC1H0RxeAcXaFUSwXb965oHQ +HLP+1pTOiak6tyvTbd63ZsvpqR/j6+CB0nebuEmRgW6Vaidy5loxCPHZgeYuGKja +HG6MjNhuvp3V28KpBX4/vQvVKJu2pkFBfsJvphhjjFNQKy7XgsU7xN/DNZ72kKz8 +UMSqP/4EmGKNysxYqA9gm1sqr8vZDftZF2FRkdd29RJadvPJvJ0r4SazLW9aTsuh +MlVlRRShMsyJy6/aT/EzbJWctgmxPSkYCmBIOYtYCTs+czKWCcy/PSCd3aii6M7O +0BTd1qnGHTmaD3/mTaOhZZAegrd8xGOmy78jr+F2SrBsUCElOtGm5ffpFg3LGb0t +MbyUBU84cCU7zXLqh+N0VE7acc3SUSUm+b2nxqeaDxXFltnzfqkOU3ny6j8GVC3q +ky2z1eY0jlmiqck9Cb5v3tjsIBbryIr3/2EA5GsufgO2Pl+Oig8RUV54rV11z1St +5qNbGGPjbb3kiqKTm6Lyq/VKRizJnlDwBpNxZYJlD1DwwFr03VYaFI11rTWV4AbN +z8LbQKenVqNGuf5CAPFyk5NIi/9pE2FO2eBiijW/j85RLJJp2d1c2C9KFVGvT1Fr +Jxwf6ts139pZzGbsS6nlsrY2NHN5EgcAxW4wi4zKx1lM/W4AzD3pZ8UfZ+L7G3Gi +pCOz/BcKNRo8POHFM5QRADcVkiW58vy3kmN/ovg9GPHfpGoeJJTIIB4eheCAw0pe +VhNw3nvSjPJWVx35EaTwQ7sqknamW56C6l1NpZMqmshhKaFx7WaH0zi/uM5WbAtB +ZpJ8sEkxaIgeNEAXuuzn+PbHpMsJdrEUOHEmIMpiEqN4LCnQPg8C9ZDkHhx1iU2N +9F4nok3lMmAdUIX7kh9/v+o/AddYA5Cq8CKMB8AYmP42DaUr5rDMBDzme0MhYzxw +Uknk7QEOrDOlMbjWgApsShxehZNxMFBan5Kql22QYKYOkKhbXjABFmjah6rkOpNa +clBIReNfSFRQEP85dZdq9OkJefxxn/CQ9MQ7bMCDli97P/q5j0F0Patz37NAyOG3 +IvB+wMS9RK8VpVtQGnfuBeHGcWCJP92UmcXwDT5UPpdjx3p3iUAJg+zozTEE5G7C +ybOqSGT3IgiwJLsy+XU5F6BwJ+AcN/A01h9oTlUPZx1wTW0eZ9GwIghzly1r84h8 +2s2+ROSpEJexVjwfecCfJpJ1eoEfXSO7LmpyTnct6BVS+LRwlbvIC0wN2sux9oIE +UZmzne0UR3nwf10EgeMLldOozv7fM2k9N6iyyDgtq9a1vQg+OYVC4SHpbBLqFQoI +XJqAVLdJkBt8pumZ3hHVjRf0+7UsuytHZzTZ2oZHgIJ8PEdLJmLne6aPY7wBph72 +bloONiANJQEJJk9cBO4mcRqXomZ1+yLSOBXWBMA0duachLhCNzS9SE5V746XdeVs +JTPqWJTz+lYo0jGwuwEs/s8/SP+oBpl2mv87HCUp/PtT86G1j6ydyX0GUFd554rO +qUcHs+SEtlZ6FRadQ2Y73AnRciUryPtsXERDlLwOv/Be19gq01WzxYXZPormpFTb +YKz10Pze3b3axn8lfEhqIcgueJM2tvSXcMzkkJMcVIsXbR/yMZ+Ppo7zeywrFOXk +780qqz6zlsZszxdTVxB9EByY+qi/rt27QsZ3/JUqiaksBq7w7zm28NFh1aY7uO1P +9LAShbQ8hMaLQdyox3F/tPxr+FYfhWhfIzeYj6i3tnvb+4zU4hjpWpS9CUoz4SAr +TolLBodtaeSfFLWWOXUKRq76xB6uZXlFY9NxGYGTB+CapMbF6kzyaf+qlA3EISOC +NwyITx94N1PufrBXWRS4UeK40vgFB5PZ+uLD9K5lyVy0nO9B3jnYlhCSblzHswBP +QhPUyFUfZ8q7TaVk0CziAGrojGb5vuRrX1wp4jkZys759Wfh7/E8sV2UamDItiaO +x3izes+l4yfObNiYpRe1ngTcZ8Z6hjDPNgvoUTzpqtMiyE947mf1Lf3d4M0SfQAL +evupfXF97SxX+PiR9bpQSK8rkF70iW9uh5zdA40mKkxQL0f4THpUAZxn8PdxzJAO +UxiYhWvy+IJ+4PfF5GzxgF6xzHfsJFpNY5bbhpBMs0xZ85GAbtllX8MU6ChuIx+n +EiY5uFujnnhFLxo9yeBlsjJx8iPtkvj1ZPrfj9wT7Q6KkbDijgiCpQkuVG1eDfNq +Axgo68+mKVL+ORRvKrcmjI+Zp3hhzFFPI2I9BNNKNt11+fyvE/STr3tjdAjHa0su +qVbCCQMfGnjBxsjtER6wMezee4SEe02nxIdPd6e6yH//ikmKxA6nZ3zX8HcmCHX5 +pUcZoban7IcfIy4Ub7+gN+j/4bhzo2YPGX/xiN8idxAie2eDJZae3YwKbK4c+CN8 +Vc/9HAHNNarSl9zZzEN3GYYzEvAWSefZL4lg6FLJmpMmofS0hfi2lzOjPnf5mduk +r7Pa3rHoV+jAWa+qP1VCmsN9OOUy3ak3UdGP4d9g+N+kdMpHC8PEwzS9+eupyX75 +DTBedfNrOa/vIcuYGbw+Aipc4sDAqfdqPU4RaPOqtHnpZaeGWW+4HcvIORal3HvN +Avl/c3Q7vZp7ZvxccKEPpxxu4IYzqPN2q1Lt60kLVSAdgwGjzVRO57JDFLJ/spYa +oIYZ4xzmEtC/ABcUsOAerGLUD8ef8SCGkDsTYgd9Z1eLa2pOcqgOyhNvJWDs++xB +0/F68jdzX77L8FAdwFMkxTxsEJx6dprg1CgIHOcTqvhC9Ej7VHR157O4uxmG50xb +Mk2+rUUzjHVoBjm201yOUhOvWDDY4ll9rATfqJVevEA8lXtSaxOnUcrEzdlKco4g +/4G8BHhjFWEJxqC0CpUCeQ5pqD2zbV6YgtQ+b1K9WPHadbOmxb5g//7e38sjhv2Y +HelF/2ydMiAThFTzyRrN/pSrvt4p+IwEkDwwRuGQy/PlgSRk2hT4ofYoawdjvqjl +JWzo5jqZhcbu2wbSUCAuCcunUf4kdAa9y+UOSDeJmt9jqcum3iUE68azKf765NIM +grobS1e5m0wtufliOiUNrb+5oredf5oQ939tu5sO3NowZCQeFz00t/BN7ux3x/o3 +/B8x6igiJdZ/uoKBflWs1g0guqTI38oKdghbc9TRjd9HsAEY8/Ae2KTASi7uGMa3 +68d7z9XstTt0P2THGHcBjCji4i2rD058wXlQSt0T9+3K40h5IV5oReARXXt6J+OU +mAAV7oER/VjwfXzaoVgPPyEGYaoOPOTFy5kw8hRREeEd9dXVRR3zQwphluGu1ml/ +Zn55t82fSH9vEsb3MwKoHUGhrHPYFiBOE+6/Jr8oVQS+Fj3iNFSt5Q/0ZkisTDwq +grOgafOL13DfzVicb4Xkl027lYgvSBoJ3fhIYQqhOLL3i4/QWEhtEMGBQTSC9Vz1 +/tVG2kHqKOoWv1YwRvkY01mhgIghPbFd/kN6f9wOU/sui33O+UaAcpQ8/f/5Qocg +WNOC+4FNBprDLlxwT+3oHui7JVvCbO4H26VN+ZzEHR3id+ikZVE62Y58Dz13Xxgx +TWj0gRcM152wPjzWpxIsXhrTsVMhXWmOaWH2g+6HovvzncO+VDZtMP01fZCB1M1N +JG2LzIFogHE4B43NSO0e35oZg9gOvGuor+q/TvjYkNXRUVU801rS2kD61USaoU5w +djxluY7HPJLpm5hlWYaJZmk0fyFFEHikLapU1bqOa6Z52sOFB6HSs8A2cyMltD0r +Pb1vLuDpUqbF2NVOuE/PvcM9lj5yuKvNYdSe7I6AImE9A2SxEHulssoYbl4rYtrI +GySovYu2Y/hY2RXj35kEvn1hanFDbyL1O7oO+w5v5pznu+1r4ak8AS04+z3tWpPY +TdbIbdTn8QDPRYVQQtOlpBCTzkVoWoLs/3maVOpFNv/OHv+7X1pTQD6rC+G1QFzo +U6Y2VUr6swqE2X7Hp/3RdDIuQnVngNNMcF3HovYNEP25mvo1z0eFzTZ+ABCS45Qv +9FH6RPKFAQICHlzgadP/JPYrGDEaaddCoEdDUaoTa1/w+K6VoTDWsQCNExrSlrVZ +GBJQhVaDO8DHsH7xxJMXB6FSrtNjaMajk8t9lcWA9AdiKHbdtLSGQoypMXsT3EWd +YWYv87egHM2TlaF8EkAgxvGc5pMDu9EnjLQO606gJ9rID0oV8x9TGswmGk9ERmcW +ItRVlbeFkH8ObEhlLE+Y2nqXf6vfY3hgMTIlPtS6aMg2cmEVKK1sRBZAJ5CTjPZF +eu9AqCDiWLKVnHsk0Z3r1C6H/8PvgIsD89A/86ZVUepQkh9k1TNFiyAW5iDeT/5O +pWsvMbv7ShQ4iiYWHd7xTlrZChuFV/b2AyBGNLq5wRzK1IwojkNyMk1haChk1Nk3 +ChiC0u3A9OZGJnYRWaCToHHvC2IzYnZJsk9GctEjg7y8Aoiv3kqTnfuHCJLI/gqM +qV8mhLnNEFWqK1Y2cvxk0yDrRIi31q0UbtpDSMq/Nqc8m0/3RzmevFIk1azFqTyu +k/qwkqtj0bz/kZnHy7XomGum/GzhSOUY7m0Jqmfmngwfc+WIC9NLH3DmC1MwERXY +Oa63/imRT89/Co4N2f5kAYIpeqCxdX3fyeuDO5pniMeckyCfb5OhPSGOt8aWcF5U +hRUzhgFXmdjFmyZKVawPZRMWeOcrbaz/QQ46h2eC6UCKd9scpfzA0zrx/lof7pHs +nlxa/HLZc5Uc0lvIAvLT15PvV1PcrvlzyxfDUIVOWMHD47p8W0INm3tfQ3nXP0Ad +sX7f5QnCXFfX1+Fhlb/IN6Uw7ujXj16fQocnXJUzbjHd0PQYOPwYJpAjRbnZ4W1F +tcvyEpQtV1ksYo53DdG2tGbQml0GWZRsMQ7yF4y5pGg/2a44zDw5O9yphyAg+TfM +31OAvsfNoeqMI6DA5fdfMV62Is0XxtynjSq9C3LwjKEy6NARDzYkKBi46F6LCxRF +giLyRL7ABK5oJIrVrNKr4vFXpTLJzrtrFxx6Qvm0y3+HAmDhn7qV2Mr84mGMXAHd +T0HiCIkyzGZgqwuzG6oSCRuOhSAF6jKCQsrD89OuWpHAo2DAnGKsgKa9CFgEQLMv +16la2jiY++ltK7WsLZNIBfKm6/sII+lapN0mpC9pVqF7/U1l4ka2zqSfZ3Qp2EGt +NPLF8PcjQhFxcaoQhXy5p8mCXtYnT16ARpUAWGZ+YmpIiHxUGecC4yvgZjQ7eJKv +lXliFERkHMvmOQqN6lJFx0hH5mNXBxjaz+3tiR4V15UjncyzWw6cwRZ2e3ljIGBs +qjqYk3rn8hgooMoirhDIDGqzo6v5nEvnPC9qJcErvPs3FpMR1DOwE2vY4djM++MV +hzSKCwx5CJxwyuFl1r5SGepQ8H2MIKHdAnQqVh3XnUnM3jgWD/t0bdRLAtlXbT/h +gUAsle5oVjokH5SvbQc4GP89YdXZf0T9ssEmFhbKsXt6uWHKsVcjRdPI78jaMAsS +VkMWe4IHfDPSpIp2530Er4M+lp0245rUdzeUrvHN7fOvSXFf5wi0ZwANsdoXkoDb +tvA7qub2H8j3RvvlkGn8aPwnMkR2oMM0v7lhvmvF69t61vB67OLzXOmTQPAO1/or +kL1h4I55/mopZKwDVCI2Jqw2lNmfiPXKsy8ICqWWcQq45kWZLrZXUanCPLF2CKpE +3Ykroq+iLxel2NMYoWbry9b5RD68nWJuKgszpWwuJApFFDMs7ObD52UfHi4hd0dv +9mJucgx52zpMyFLrwgaLHdaT+dcgqyc5qH7X70DjqHjvxpS6GtBSBiuI2sAXPzPc +2fdR2RW/Zn1MABAhZmcrQHV/H9G4JyxCjoBncN3i2zwu/WPXkbpLZiIKh1VMmk9n +D1wRDg/u3o9cExNBTLh6/mzQsi3cT6fFdSVL2mJTH1meMlr3jTaLtiCE5V+nf6Si +JzwWtdMOsJyTJyEfe0ZJ9PnSRVql1YqT2ef25JPIEhaBgKYrAmRX0QTAsmd35R9H +gnOgfee9YHfIIADQIqRwndKcTUXsuyHc3gArYsfFJmtbM0blfYLZj94glXYz4JoB +gGL1Bmwbb8WPO0airP3beCB9hSGB3cEW6nR7YjdilAA/pUN1HEI826Dkvovl62qT +D1XJmEX9K7uhGunEc6k4zOhSvMPtVRDpt7OKfwcfw9xEKJJ/Mna8KwDgz2ruBgQW +fsf9XW2JL0Fpkby1b8pZ/bPdGJNHSnZSIDg9DLieKjldmFFKqW29ESb+YEaOgoS9 +GWNKv7EuxKNSjATc5pQ96kRJGUnRgx6P1OO1UJSlFirb+2CgvoIRL2yeW0DhtGuc +yXyg7tp9UvndwMpz0iqR0MsO01yIEHDtZaYfmT8RwM1A10Lz060Do4slnfZXqMRP +aE7N9vgpvvgmv+04vHYMrH/giFtcWC2HHAyS4PB2jShV4EYdO9fMoS/hfHYXvOeJ +7djFTlfBh/6XOq/5hxjBg4ppCz2DxQVAple8oHeZpHvLA1qUuQli8jG8hemTfKg9 +D3aDQisk/PNxLjYJPEJ4Q3g2//UfPbpXuHOfPabKqQCBWsy9BZOItw1osWi/LGQl +EGM1t8r1erNkFA+91ezTrYVzHkGIAhd9Q/MVZdCInv+QMa+Ee5c+a1U/ymmxrGr/ +fH6fnePcRmEdciKCqkyHBZADlE+k0L+tAsaJEj6vObxumocxVtUiaJQowYHmQTS1 +ThaHWrPOkDjK8yU6w5qzX1i5SXbk28bMeNaEpX69vtaOCBBYpV/iPJbvBElcI8et +3xNYdvDyTcv6PBTa26UNZEzEmAq15PNQeV6W8FVfYq9/qEzZR++9Ph09QA6jE9n0 +35i3gmvgrOaRsFhM8qzDdFHvxSo/gFSU8fz0qO8b7zC+X+eMbS+44hDoEV67M3EE +Wa4abFWYYyxa0n1R0jq6m+jPTy7nMG9aYv1slWBnpYwF1xNUTmeigm2k6CiAYa8R +1iwmweV26z3S+p/J8WYyLrEUhqoq6Uj5fzdBftBF85UQGE9XYEaXeDVjIs2DUx2h +oeI/cRliQ7ZcuBmyISpuuVkOA05FpEQrRdjSbDwxTl16NWLvGvRn8mz8yRYoE0zc +dhabAWAtBAegbNzMwsurOvXvg6VnnYT5Ah8GJO4BRJjEQ02SkcwtIzciAzrHy8oB +myoPXWeZM3aDCXI+X4ydyrk2M3v6yhXOWHYdHTdTmJiRgI9zg1u9R1ve+l9pnHzB +cR5xqXEbgFMFeyMRl4MIa9VJ2jushBrccAdqvwd5EUFvEEEKTW+3BLkWvXLyBS/h +6tdoQAj6kYzYvSE2xwAPucrUMmid1eA3QXtM/4o/CwGnXG+B0rwUdV2HVg/J8QpW +SDuxL+qWS25zy+PAZu/LoJAVnOdjqkiX4C0MWQArAZv3bkq0bmMdu4dO/STc10xZ +5Cs5VYwcUri/5QYFTC4JNCOfF8az8hTK2T7zI7hfdKRclkTFAKi8dVvVKVeDtRnd +gFdMV6f/0QVsU0rSajF4DR0BGTHgq9HS2R7smcG4dkatiraGFwhX1WibqsPbhaJO +n+OL47qKviXDueeMNMpio58gnHxsTdjW9/W3RYIbB1TXSQBiF6W8q3slPG0CsIzm +XNNFyjgwXoPuFfdvh+UVR3Yr1cTjfBeCiBiSseDPSLhzd1iSaC6suaHIeUse8UtI +BJw23Re1G2FnYw4IHly4u95vBvbmABrUs8hktcjEBbCia89m+TSBkN0twYbIwxwv +30iufDsKte3GBXD0sy/TtcxARV77sVB10D6r4dlYRy0kIAwPRPG5adEVnqDL9ypK +1XQ/+gLUvu406taXW5+oR9eSAprPbvNTDNSl2yl3krCskB19K4EA4v3zO4x501Gh +SQbclchkhEdieUb3lM2EAvflJMTHDALtDATNA+S73gCfC5EWiWv8yxRNYdhpXrT0 +itf/EuWGdVgbUY93tzWTPN7wjLksj/wwPJH6KCX/hnW2XM0ZtFxPZXRY6hjJBAG7 +k/jDdsoHI9vD+T9aN6QF8MTX18Yi7A+J92j4ywdTbeiYI2D2B3SwmdKXG9Tq2UCP +rzh6QXGUMALmwant5KCpr1JU7+P0p73itB5+8YxTo1cyshzOttxtzpg5w4eOCvp8 +jY+RodBdC/0z/BmxneTjVtHWKvOch/qwfzrY269miAuRAwHeAU0Jjk5UQyMA+djQ +W169cb9lbRfLrWMqd5U4ySymsLNVU1EGj5S7a85BKh4GOEwlKTRFnAi987rgsGDd +bz0A0ThMgXwIIuyKaHxbwqika1KLfuMIlqxvjDBc+ilXnHdgElNI9AAfRHyZdYWG +VKAeVFn40/QRKva4NnaVndBXCw/pfarAIMJb4Yt2EGjIT5YPGJI5eXZkgQYSuGf0 +NvPZXDh0/OnXVDfCpO8tuLtUSdwcDmu6PbNDbumNVJTbjPDVhkoXxL4dLWWU33FE ++YQuQC4+TDeqL0FW6ir7fWVF4RsL2nOKa+H2ns60+kSF674qySnhBegaGwMh9lQM +vswdapdlseDV3jJQ6XkmpO6ExHPveU5qp9wSbCXLFRBhyrlXRndquyQ54Y7sOO/Z +d3WEcDmMF2CWqf0/LiAdAaUUJa1ywI8F5EEOLpRVolHWWPKXSbpGZS8rauxAa4Sa +ECH0nIegLHEBNx/P3TxX/bDafHtcm9tjMyPWva2quVlQfl5GRVCT/xfEa76niMIO +s062gJ671EytGT8zu4Mu7h7CjL5r3xwBSOHa48N4O1BUguUMtLKijSKnTzLKFuBG +HnFC3c5trQzRbK9OC8qJ5Y5Vx55IvlZwzStyuwLq+Os6kW75xJXhgSWmZh/NXN1C +tUbTTk1UupIRClLM974/sfm+ZdTwpXvzljkCw53UWXbDUIi4j42/uJvQahrohPvG +SdHrZQ81f6xwYa/8N2Inixop/V9gQdy8F682sGyABMvs7TML8KH446Wlm0XPQIG1 +4sBia908FSzSPRc2kBtMkmgrgGpBbkPwKqgLV9kSQAA/Ad7dnyDUFfpvZXn1NVUM +SCvEaj0eciNgwoAhynWOiVzykGoR/xFLIyjrtk5H0sa6pjphW6DZzhQtq6BoNorF ++NsbRriFDLem3F6zANfLZByBd+zJ3r412rpElsmz0dbxTQL+dL5G7P/iRhSIqWET +3XVz7zNZoYa7JRMAaUNCApSdH9pfww7Qh3N2eQLPR5zgu1vHvJpVVpw3T0ZoC/eJ +jt9AXX7lkAd/PDdkJvxs3o209H2Hwu6q0hD+9/LHorDUPFo9GJIdDgQGaluTwgro +SDA+i9zwdmz7vPfO+IXL/hLNUwunV8t+v/TGn4UlP6vJFcGZLiU9vL8UWu+gsTBe +v0vDmUbBpRWPtOOe5a4dZb9ctrps1xb6bAqvI2pZBE1cPkuLxdyQhckqbm7b3Jt3 +zdCqDcjFJKYRmw09kmS/2o726aXFGzIGtqX2xxlqAI0XErRdEu9hV3OVJiS+Bn8x ++nl2HbO2e8kfEBaUmp7pOayhou5gbh/avQR2RvyMWbxXA9ah2TDw+Fa3u8Wvwc/t +IKMyKiKI0G34gG8kDzeHe2ZJ/2BbaFKhBtS12KR/btd2uT0ltp8KEngdJlDg4+Ta +MJXrfvwIEBxnxyQpNbcdLMXP9UymIQN4cfU3ZSturgKE3PlCieskk7+DWG3bhUY6 +Jdamta42obHGXfHleivvSSKnBfTlxQVij+B5ynSQlOJJfmDJQxK0IKKvhaKOhqSL +X/E6ae3uKNvIIV/FGHXGdP5QkLvJkZUZrgslxRzU29f74kXFvaThrYnWHjrsk/VX +WSgHi71HfRlWDO60ZoVR8KMcXAz3OASGNOucELsMwQhj8giQD+j+8MYkMfnNXR12 +muNf29WC94ql+u9UKyH/i2FvnL+75l10/kaNIkA127YS6sMDOimkBCgll92tV+l1 +3jOcNSg/aZngHzD+VPR7OhLlEp0x0Xc9rGqSA+iKd4nV5A5r2H8jQ5cfkhvUIi6E +qZkBBDZM7mnkXuj4sIWwgH1GcV9lVHMhRp/7UQmAKWe7boslsJJS57pVZC81HPDT +7R1mckExRK7zoKoSW9lLptxVAwAFQ7Iabaqdq4/VhMC8rCJmjCkUIYfh3/WozWMT +UUELj0Ok8QiECevtHKdwRYlgAuHh9X1Tipy9kqJo+bDGy6VzyRYP78gTYpU1Ck8S +XoLTWeTgQ14lVouza2IYoB7ymWHxK8i/y4i5Ax/x02suqRGvxrb95bxAmM/eldC4 +c09NvDZmuJM2jXFnU1ne/2j+bVVuVTxjcjz6/JjLpze/JeKwZ2utHm1HTAWy0zCQ +tY73/CS7thY+Rh6zSQ0h1poX7Ab5hjDUBPMCGNlOmZb+qu9TpbrebXnYvWMbRQKf +7cqK/jCo1zBkc6bcxRgF62srQ5PfszW0yhcClcGNiJeJEih9tFSPrBocJdwINdM0 +YjGB/un9sloGz+BLF6PekKhp3OVMGvidAEkN5modJ2cD1xhgnZztBWNwgnkfx40J +1zFbwT0lCglHfk/Szcf1jC4FHwM70oPSwo1v7FPXNi8NaOQtPjPy1luVWvFiblp4 +JGJNJoo9i1JWi5wkzQ2vSeI0XrN89AT7JAetlONkZeJuHKuU9/e7T+XjmYFq0NDx +ZxTpQKkUQrgHWoQF84k7s1NieZh0dYbxBvIqNp1iVS0O6ukv63v7jIlgbXydPmEx +mzws3EIlTRe3l22Gqdo3/NKiPZy6eCCJcWzO2xqbQwxYb8ilCGW1RqDE984koIEw +1ti270FFVoCaURTDJRV2XnLEKONPmWiQqCh49sRXjXqMgNKNwMLv6FNEQkphIKA6 +BaOqeaXSINCT76W4Gg7qJ0F2RLxmyEdQx+pK2LIMRdbzn2dD1GUVEChSVZID4/Eh +WT1cbSRxyjTUn/bmKGfiBJcris827+mITBHlcMuuQGaZn1rUwTSeigXFYgIey9py +QVu3lQLx8mLwS+Tb6X3uiluobijnFQBu8vb2iTNNcpbq/Oe4ZdPSnH0xmsFgO36d +/bs+x07GlIhcg/FeezsE4LoxG2i0GafKAS24fSkyZ4OhZ5O37Czz2aji5XJyBbiU +K3ZxOdmLkyVNHQONdJ+IzbU655Nqjb7igg0dGsu/UiTO1pPiSJFrlH79kskhSLl5 +7n4wU+1o3SNir1SGqZjsRTVesjqNjfehRlyl0Ot30iJvkhmCgVLC18NvihPwcFjL +fvJj+GsBYmj189Yx16DVSuwAPsziGtth7Dr2RNzmPUMMWLfdFFi86TUUms0W8IFW +tBC05GuNow80LkeI/hQMhw2HzJrWFTe/WDxLaqVddq8VpPlgB9UivFDLL3TI9RSD +3jJCIP7pe1yyKgXBZcAz5o/aXSbznCqOC/S+wGEpKHn3STipnmoU1PktI6ePKORc +L44W3/2dt/4FjgaIk5e20MW7tr6mutZL/zlqE0VRLwgmnedViaVxm13KRYYYN+Mh +TzhhSHmV3lWn2rNc2uKQjfXlh8MHu+Rq050dfInXuu2E5PjNL39COb6yR2E+lzPd +fSeOVZmlggzgY/HHFLXg+rq/V8vdkGJ2k3TLc4nAsNjJYre80Z7V6vlStLUjTWky +1OfoWW4xTqlb6UP5Zs3RhSChIfeD2tgSoIxC0L+t9Ukl7nh643uXNmJYe/GbXsdb +zckS7F2/t1TuOBv539PXIYrcd5UbMIPqwqEWM1FWzikw3fKPqDY7ICeRfI+LvTe4 +SWUeuzordFfwblBBXGMztYuHpwdlYg9wm/uFOvh853vuVJbRNORfOxTqTC0scPUf +sjqgz9JSe5vnn1c3mmaZYlIqeNSULYHu0ZVbUZTOR+WBsMIAO56RtRPpgh9lHIBl +TsuU4QygLTO+u2LyVNEz9M+718Gh88Qj9Mq9ddzau2rqAiRu1jGbA3tCi0gSX1WO +dsljJnVnTJOhLXQwBRmw6wGlN3bqkqYrosV3cmAkDWhn7OWXW8y04SMVxx6nWG/v +6KX/tBoaSgibv7IcHM1CfS0ZBa60aZulocQeBdElSFz6sZBeSvGfRXdol1HQBplZ +jrtksze5utFKX8FBWA3+0uM9Wz6aCkyhDZm2ouLUl2QLiXENqWimjlTTMLv5nhFg +/f0Y1SuJVCVKfZXViUg1jwWmPNKPr7U0GAfUaHhGTRSXuGA0/ffIhgnCx0woX+4b +zD3ONoCrzNLlrzztY97OqUmxRhVuqaR67pUht0XibQuwSDwpxqVjth2uUsPoHKMv +Wm9rO8LCSDPuxRj/Zahk0FoIgeaEfgg9YhS5rmuEnhma0LtF1kyBl2Ea+qpI/U3T +trFS7x9KcHUINDPgL2Y1t5iGdMT4AFs3HRUp9gthhxpWiRxgfmj3vdI2PqZJdKbx +lCBkmuD21rLu90XPdobC8blSzkpQ7fUTBVwvqV1sGzLaWy6ZGHDvKrWD1TG4tPCE +DL9ilkEKE6oNQXd1+m18T55M2dI56oyuXwIBJgtjLoGUL2wocvCzhNAAVcub3ba2 +unJFLMqYP+vzfL3nLf6SVJS72VAksPRk5ymByIkkix68V4f6tm5mgwWCDexrFBBz +75xjjn1HLscT6txafEtkhfY5Augj3ghgFKJOzurtgafhm0XQQUSQiW4Yzp5tmGZj +gOxyr7aVi80gOsMwz1QcXFAHUz+70jW5e9cZiR8kNY4Q8cYrxKfOpj+D4ehcjsZX +rKVIDjrI8FQNH3TL+Dx5XGmJ/TECJ1fDsadvSdKggAlvnlLbCn30gc8ahDK3Xq0b +yy2jN/jrbA9eWB470u3gnQmHtD2NDu5P0UuA+1c6dJB9nenoEUKglONZk4qxJL5D +Pc0E3amr8UAKIRDqpDOA/7PVz3WbaEIpMRTYu+fBsu3LncYrTfDbMWdPvVMs5EZw +BbJKMzLxaKd6jPDkmVwHVFh/HyUGUuynV6jJWA1FnNtPynXdQU4NdgClk7wRLWC1 +lOOWLIg4LnZWsKvQlbpuFy4zwm1qf7ciNCWlOrDLWfjWUlf6Bf7V2pEU+NrqlGQS +a2em15CT3GeBxoIvUhk2TLh2cdqkO2WUqAnyu6KFDXZSbHie8fFC/flceE5URaLC +geSHslnE8fIQ6nxjSxR9D3fCfzoDci8GoCqLUAj+Ezjb+woAXwR5UWth/RSAmhd+ +93yKT990qnZBkgtzCRsSX1d0ELV82v4xTJhIrXH2DL4eOEe2+Oim6nZU1us0/dEE +qTWpUaAyyALvgp6+aLC+S6bWeCdaE/WCQzWPwGShWTNSr+bqROdz+mpsWRaAo+6R +F86CTPQjvU+qKzgQHzFDq1/llSq4ytCOLi19ULv8mW9OpfVXcvTvYzKqtDKcOHTd +yuo/KQWzWdYINHunAAl3fs+OIQf42Da46xvGBcfGKN417AMLQYkFu+N3VI8S3v5j +xQp2TnS8oGxte4fkuTpVj2Sti6BnTKzBmTFkwGgalbN/AUtk2Ju0lgZVqNEWL52/ +extLZbv99josXwkJcu/MXKv2PRdaxqPa9abJ1CAFbaAK4EB6sk6xSQJB/7yLJ6VJ +tPO/FcWrml9r9gO8sreIaNW0G3OOk01IShHXdV22AqtrBK+tmrynufG/jtJerS2O +u0iU1/j8gbJln/hyrchr6o13TjXEGXu1Jg/kXvweqUpcDlyO/hC18bmLk/KfVNTh +6FFYiuZG4Pisg/nxrCWedcieJSerPMIASY6VaQLg+6xPj1uSeUV5IbE5YHh5w5R2 +xfR0QGGRvjDMLmvIqcVzwcMOd5ZcljW6q/Q8px1sFrwM5qsS9Er0ggOzvwabYnAv +drmzn1ZqlHuI/Gn0Apt3wOA1K7G0h5OfKHY9TgMl87Hd3mvhDAOSFVWLIiy+JYq9 +3kyb3lmyH4iabP1b6lVs853BAcHjB/h+dfHAdr1RDi59GzrjwMEMhnoxVZ+Sa6qD +6PrK7y+0/olPe0EbFVkMFNnrQIElBga9lzpemC/7okkxzwnbfqmu/K2r3o7LR9GX +L49cL0abtrDOkAcnOOe1An7zNopEG7FxSpQ55SJXxD4GIqjvBUMuKEEXZnpTFoHv +H6qcMAauR94aj8fKQjv47CE9kw2mN/v9fHdOngXXRomnxR793ZyUb8mqRy7FCgRr +NyW1NTNFmYndmsAkckVYQsIT3mjWuoshpkQthYsdIanBLa2cv9ubqzlscE1pYA8q +Xp4hQqd7mciZSBniah3cSnlxqh4EREFbDNHKeaxsnL/CL0LBgeJRFhCaJp+vTKCI +w9M9iPgo56TlB330gxXzCyc+TP4Lpoqlmw8Id3JsM3XfV8YDOXcGHb/TkBREvEdN +EP9QEMihd8Ib8QPaxm77AcFSqGhLFl7rr4myUw7GPb9BA8LyYIrit+wb36iMr82r +oLXgq+8kldMGGCtHwrfwTTIGB5esI2yyPrGYnvCVvKMcY1BRe6lq2jmD0oJgK/Di +Cv3MCVYIC5ZOZOHQptBLL8iLsYY/OwYX4aYsVI2zdcYumAqYEC4nKHNWq6vCTrls +j3P6CcWX+osF/dW1C6/yQkmEmLofOHVwh4VjaFw/IWGnPl61dslwBROSMXjeWoVr +IgYjpiM72aykt/HAIizKXJGRC/BstwCZ6BawDaWml1LIwCEY9SQGgGtMY/b3exOl +CUR1yTANSMqvuNwlDL7pbSpvjhgxSMRN4/otZal+01Mbkoo0EilPXPwdxAI/2FHz +wrkjTKMGy0JCAG/sQUy1MXcXFFASYxv/ulNqyZJWe+9tKj4tPW26HzQ3f/i1gpAI +fb/ZF1uAaobpaLhg8719s1AHf3u3NiE3Kd9KB5KQAd2LpDqel4oUU7UcHSvBzkzt +E/XH7omWasb+gt9XBhUz7FHyfYdnzMsawPlRgAT8r/R6HLe8ng71FqRDoQ2nb6aE +4lK0qpDTpMyNpMe221mnxB5mGfpUIEoIlqsLqEmKfrnuZA+h7PNJcG4YNzkwj1/1 +9GykAi4re8uBWPHD7PpZ3EbMgh6JUbqU+Qrj4XXuP9iM5XzstyhPR7JmBMIkaIfk +mDHfT3YSe/wgv+IC+PtM1dkTXfmJxqhrNnPdm8dBJGA8s6s6k6UTpLLdIreWu/c3 +IhwwpXn7xb0gVmso9Mf3EIkYjhKW99x31uRRfUO7EB2+agWcANUMiOb4w4k5E7Wt +cAEqN+x6E51VPiS0TLughxer9gje7W8o2HxAekfsaEOZv0Ya8rP5eSFpgZnsVbWj +75nMm4Ho7nSX11wy0vIblMwFyXyfCmEmAOq1YN80Lr3Ia+f42Pi7kKjoDeD7EOAT +1nhRWq0EbweoMnG55a/hrJVuAl7Lgyp/EeprigJ0GPD8L72QRpCz7t/TfwGTuEkH +bIGgXdWl3H50hl7YiGtQRsOa9oz3q9JsuBHDUWwBJaVatCKksJRPKs56AMPjN8CS +wNKbuxD1PGkd3X0ivCRr/KyGoLHOpmjyglQG+pQSNaMhAjyfHwLz2gsbLm409SwL +cGfbBVsAG7LRJwzudaT8ujh8TMtW6htFuEkqTBwJLgZ6TppVm6XySaO59Jf4qHZD +OoaeVsc7MuxGPM6U5bWnSWLfFZ8IAumuMh5+9kELL/XwQFwnAR9YbfN1FXHhNvl9 +rTQo6nDnLOVQeozsvxmOCWmZz6AlrW3WiJ5yxLtZDoCNuvjANgCQgtJvghvnlXF8 +smQMyohoqm8A3qg9QjMmLucbbfcS7T1Q5YzVIP3K9mgvvqIYA+PYaBg4PZ+8vmpx +XMJnil6DivfRTI1zAaxdwKPXcuxc1D5YWHGN8zSmqBEcE604ljYf/PlaNsRzKnEP +RgEwKNq1Lqcoxaomt++LCWaEOaLamKao9e6GO0aSUJlc0yPpyb0yOt4zOfgqEzeo +yjr3zIMtMiYE29dFnF1Hdqrd2xHNRZAYP/zoNliXfnTiAHrjuzkex5pqAS2uWwDu +Rof0OyDwFzTNutcLLR4frFQ5moRcF6kNWL5fGgb58508UgLpHgUNA6ig3+fsj9kk +074rfZc8cD0P9hmfUSvkQyooEom7Ae3d4HmPK4MinQMAZu4vf/rWjJYFLYATD5Gk +MUi5Tx7FMwGC8eRu1/sXWRX4gqncDyGqAbh53DZv9s5mHVoD6B1Ink/Ln3Mt2k22 +FCTqsefkpNxTY6u68ic4iK1UdeNth+7xFLdub2ikpDpfCB2ppTYjMsazaDb4rKpK +74L09iw80qX67se6L0kfzeFaUF7xJZhX6e5RX0ihaXi87x2OCCv0rpJU8mb805jl +cKhi+24uSoXfbEfFzCT7085J4xGHNQJjcVU/+29X5KHhQyk9v5aDjHGfw/KFIKyE +uQTfyF99ugb/yKUEZkwa17IYcGp9KhVYGLWN/EEs9H2z2nI9eSdP7iFH1Ub9GfTU +A0CqtrsKk3zhySsQYf4B6Lo8LE6x+voZIfWUDIekaZAIIqFG1loD/6Vb8ecW6vBm +O4IgWeTowB4a8BVBPs13/yi1B3fhpIf/l+q7ELbmszqLhYxjcBt/84uDZnJg07KQ +rQD39HDJ+LoE8l0+S8x3CQFcA1RM172iQx7x4hQ6Oj3gvai2x2OQjRs050FwVsIm +QETx3WxpTxha3S4oSKa7Y15XPAWvZiWhCu69uokyPqVKJIzNH6MK9XZ+zRwFvn0S +Bh6KHLlEu/sEhtMkmITOg7LKfYwz9hfJdEvCRbRZ0GFI+kX3N7QJdoEYqQ3YTQDh +egGDsl8VFNlsfVWn1DuDNzbaZ4KwuXXQpL+NWKbwjNROlaJqQfudpPhff7bnDDuV +Ey80C63agSMDTl4dmOT64+izjoj4WDXPxyssmVfrHjfCFHtfeJL0hAKT7iJnPSdX +d+CrdAFNUMjKfDW7MGWAHBmirQEqLmeO6X8rCy1RcHbrDn4F/j0AQN39jyZ3mNT9 +BpbzhJLFzG4vTSaX//lLNidYD0a5K3M7mvPGW7LBlwPNMQvMZP81vMnG+qooX98i +Yph1uzWs6bqUMvxQyofbYYCw8tyn6TZveK/+NStE1cxmUueeqqYnHe36YLwD7S5l +CLgYiEoTIreupTeAtVK7Vn+LO9ltAi5Y7f0i3kb5WXTzD2PmoeX3hMK5Ce6tVCFB +M0mckzU7oy3JUfa58rilMaiJQ2/rEDmcJ5ycEEXhpyXpRgeTR6bbg2h51A9fSJjo +yynPGMkOGCqfxdEnk1fUy2S9rSRZhc8k91QuJWfLEsL1CYqWf23wFNsyHU392kul +StQkVo7cYa5l6NbWtoNCbrFKGnqeQ4Yj0cn8AMeiLFs+V+kYGHg8l+l+y/gza3R9 +2LoRR94DPe+HUL6fVPjcdeLJuA3AOkRQoOcSoMIdd5CnWVeBZ/Qnfh9TBWGBDAXQ +6RAVYKT8PMpJzrr0SD+/8Hdjb8gEBjGX+qL+UkL0OH21lbHwgsFc7be1inlvavQI +EY3rVQ9LSKoQ8Vt21D4/3rQTPNqR90dyc7SJTIrpp0EW+9b8e4pcyzEGD6bmmkIu +VSCtB9zy+sT7R6xzyO2F1SMJULN9SNfJ/hyWw/qOAf/pOuz+tLKqJbJZNNJlbd98 +5tB1M4xVeK9qrAmiTbuBBZQWeChdiAhQfJbAd0TzR60g7FkGjTBZrOJQYq02Damq +o5rKv1+hqDYVv/Z41CTJ7KgkeOOlhnlMerL9g42to4X85oVx6Rhg7hNBFlo3dFrq +WKuHxaqFUkLaCZGqV2UkyoLkfzi0+p8bISTQvo+lk9YX3Fal8XKH1lahg9ZqUHH4 +N/xCvVRr+Ja3+/Ty3c+6G2ISggVq+83pG9uXJmWsDmeqC+rSAlQ4+Pp9AR3ALr/3 +apQybka/J8ZB4u/qfAGWnclr2qWSspGHZ8Avfgom6uUyOaCrSEUz/jp7H8Wc56zu +/tA/PDe2Dnj032QIfhx4cXzxW6n1g7oWUlcWsia9fHCumYMSFjjZPXL0wbWhDsLi +JCVN6egfTHiHtE7misfdTHUUxfLkPQlQrxyai+UlQ+QlcNsv0Ih9THfZrtsawQTV +FAmCmRW04zNMcUMQODPRHiuDRDxDGs4qKmrcCs9s6tMHFGsgFj7cNsbP2R0A9bjT +YKdSBLUOwFK7Fu340Oy8LN60QrhtmdYGRpbTO2qnnpGsU1VZmxeCL5Xz4GZDXW05 +Tz3/37QFIIfgmqzZ2JEkm7fR5RikRbCTUQdZynwYErfjI1tzoNR3guqloVWHoeWO +/fOHQFI07l1EzBrp/qceGC7Kr8eph5+tUvkIafHgu9+GuHITB96ylqJExuqH/gId +RsZmRIwTtgKVHPT4bbveE164z0hwD6q+2zb/LqDOTmcuvFmkxQ8pHNZemz95m3Lc +mczyj7i8ItaegcT3fHnqN6r2/4LRZts8smkWKx8kwpX0FmvjxEvg8qclFElu/Gxc +mwQklB0mB4dKAyoBFwFFHlzLcCWCpgdo+55Azm7jYUPeSgMrBMO0q7oro4vPi0bg +4iTjqCRB6vvZEeB7zlNqm5C12Dzz1xtuL1f13dlupAYLtJAuvJtCY2vy+MD7jO+D +Gj7XtQEuVYeqmUUS756kbtPnNvdM6YqEOEDOZRB7ZqnCQC9xO6MzDsjHPSOwgDjl +wPCaZ3vBLFESMuJhGPhTwM4w/EpXpPkq17cefpWfAIGirTha99+XBK89PSQDsSog +WhfOgwF9SGGcJYbP4oBE7DWZDie3msZLr7q1g4LeJ6UpP1J+FlTPUK0NKHfzzg3z +JfVxCF2+6E4NJutNtYWQkXGZ9ezLR9Qwh/mwsoUPhi28+OCVHsYXXzWWRoTHIukw +WgZkTjN68iiHYq3l3AYLTLHlagyFe/gbtTBDuijyqVobtJfBN36ufmGGuNl0r2un +tYN+2nnJoDRl1SbAzBH333HyPsKOM3pRU95yBqxzAIuyqiDlwkcEViPVak7JFw0z +YMdPPJdCH8XCHSGjJAO9SUZrzIY/rTAmjxbLq4mjF/kc86fswEnfsSmCJuXfbPy/ +YxE5Zg6Eqs+IQYVjnmzNRDB6nJYx18oKfg6mqg2EEsM4T8BnxrSA6Mf/k9U5KuU0 +TaGQtNXfrQnMAkn2J/u/zJFzAKucNEx7ZSQMH8G1okJxbS78mvgYCkacOTtKRqH2 +ojqNGH9tlCyaFG2t70qnImRVHMa7DX9D6e8phydLfQP5BgyokSe10wwRTb1xSHiw +QA1rte/2U0lf8x5k3z8afR0J3GPeCk1WocC0fCkh3m88dxb3xmRPE51foxSgLO0u +8oEd6DDwUt48DAkkqq8hMEm/LLoRlXUlfU5SD8FdZENY+4m+Fy1Z0IRxFzB/GT7r +ZIlljvUlhvotKaac8X+xJTsXKEZBwCNirXVDtHzb485L4j6skKYhFwF/bGhAOzTo +LhLLhQFvy6Gu+ffQwEl+E6PB0uLp2lC+KSvLcPZG9yfTdIJwwQiJ3lvlFmW2/agH +cAxqu2dT/+8tv88+TlT1Igb7mWTxCubUTPtj6ZtStyYEYQO983HAe6i3wLMOyaPF +2XCgIREuJftYQfrRb41+xwlEhHCbjETopjkHmZ7mmWAM7etVIz5dVopuB5q2P7mJ +qLh9Ujah5H3kzKgljoelt/MZmWSLs90n7aUx+471nBXF1xxvsW886/qWLauVyR3k +My3TI4CCY/gEIR9ihQc559mtIjOjZeJhXwpgVEXjArjo89B5Qc9331Szw3EDoNdC +Up7/CgHhwbdkpIgr9otiGlbiKakHNiD+5Q/hUHjLOjFmBVxnWV6787qR0u8NqRQm ++Tu5Sy0tqif+VbXM9G1TMCVMlrc4W+utOakzNrDktvJ1qOFcvYuM9FxqB6cELZNR +Hv0yudy/yW0vEFR0hBXwRvxq4vGh1U16MB+nT0OEjvJSNzUAgVUd14bpwHLbXp32 +FLij1pfhpouEhGlhcAW/rW49LUKTRhrd9vBcs85VasfZdM0rIuXxcz5lCdL7nQrz +JUJ3NCVIv+h4oIUyLS9IcAtadSHoO6QTeLJxpgaPiq1CG1gbwHlpUSoqQruQ78Pd +tujRMZQoxcZvjP0RzW4xaPdj5XkSKvlbjybMhjvy0FbMT5QgZdR1ynMmu9wlnpoh +0W4u2+QtZLw9scGUKzrE5MKQyR7PBKKmSw9Ur03QPJ6ApzOUki6IjcHOTGOgzJIs +l3+ntBFppcnP90FHiuGPdc2j0wh5Jk6T39PidXnoEEnurMsU5bvVJs8294zp3Vzu +3VfSCpFTdFRqidAb/2G6at+lYaKmafefg/OyUcgeWiG3Yxd5PYZoCW/x0RaSZyvh +ENte9+IGq7QO2Ize+ADxkngVvamrZIhfOKu++EWlaBlaUsNIgwcGYm88iceeLxTr +VGYDqD+sT3nztVKi5o/t7g/NYDVDVkVhr3VgHuhzsB9nAE+VjIl0nMLlwzWrPWXG +lOxDo0v9z7IBKAa4GnPLNkQhrjpr2Yv6PFfhqN0/DzaKqxWGsMP/JMoC3zlU8dGn +dTFVMsn4e/EiIFMbWo78y0jruUKjtGzicLeBpVAngv7+iFCmyFC2Yk6dorB1+juU +mF0+DPKjfc6yPvbqO8xLtF5afq6HW9sJ2zceKYhm/d4/M8NXDfD4+vWvAc+mFq0Z +48dwRF8xh5OrNvtzDAx5rAJWdPXmUsHlcVG8cjr7meE16VOGz2RmSKFolNGBpBNb +kNuoLAGcRbQa4Gmv6XFplqSOiI4tRPCf+gnongeVumHuv+TAGGOwmfEjoB/ns8r9 +1mqDb5PHJ8re6Ux42S/GDboOzJyKUaIdBQZHl1Qk0p6+b4xGpBZ1qOWnhYgQID/I +Y+7wNL8mVx0g0s46OnuhRixDn9iDPeUQgruh/DI1qgcQsqIfxp0VzvVas9XeCWUa +jMAavCN8Yjj8HE1ppb4cZTQpXBiNBHhNRN/Tsr2NGwRAEFhFn3xzrqehynoWPH9u +pqHjOVEb+Gkeg4sboREjS6GdS4B80uRRs7ENiDUCJOsLGKnCEGVHGaloKAy8tWHE +RiXqO8yIQZudwGbap76SyDkTc7Ztl1Wu1qjRW1//G2J9zKbP4LkMx/s45oi+lEsH +3Wr5MICG6Mue8wC/Tqqrt6FTZy/vo+jODAbdj7aQDrnNzXE2qaLQPFzFqmqb7TGp +Sy/y0XjkSG0RSP1oy92rCt9/ZjppWqXwlf7eTIUKEvinw/pn57Ld62h91D1mTGpD +PtPGjE6D4x+mtBvBFE8p+dsqkqUaeCvigcHSrQe/LsUE7K4dfP8xqRcnI149FTMa +WDwpp0LDorBFP/XBY1XCOAWujv2mHdUfMpuw3Ic5TTHI1gGsnfl2aW1uVmqfvR5M +b/2d3Ue9sAZeXR9KQgLJ0MRj5PAqUM4zAMjxnNs50gJ+zkgcWAsXrRdwCMmm1ReA +TaOgP0FIv3adm2vh69fvpzJkMkVi2Y8htlarvgXaFlPhE2P6On/Pfwp+hqfsKeNU +UWjD85wIM3z78arK+KnUGJ15w3213Vco3k1Y2HFFWcZ0QS6kwixkLzoXbu1jUjg7 +iPyERzx2PMClBpWNrEctQ4optF9ZanndPuesr7cOsE4CvZlWv81zcqWV/OjNMxXM +SgZj4A+pLICdUYnde7toUARdFgW7lWtn/ZEclQggoUCo+sGHjG/jyxYgyfHa2zlp +S3ahez7C7oFb20NBd0t6iNbtdAGryNvZ5O8h3d+lU5k9yqLYVMWdEdq2/KK0KGWV +93XUBk9VjC4idUl3E6nEXhvsgV3pqRbALJMPiVxhi6fniXuwpE8r0zDWbNbP9R19 +ZAGHdn3fWJdQfQ7jYZiyZVPcvAL6a70OWLPciA2NAjvPNf8LBrpskmmiMAx+IRJA +0uNsmc7uBTU4ZrBbJVhK7TXywJ5MNc9+6FretzjnfvY5i4f52lszTi69c6ikn9cG +J12G3VTpC5AldkYfabwIaBJWWIsH4Q+Hgelua2xUyvv+56Df+1DxhXFRZQWdepli +of6q/rLzbizfYH4SWd9gZgTUx8VjGmYfYtGUxuBkcmDH5iDNTYUSO6yvD31X6j0O +dyJg2JnY3S8MkqSsJ9sdvvKngkfJ4hq41hi21BGo/dj/SPL5sQtF3wkWBBJJcA6s +V7Mi7qud2iR2aPPU4gSgs1/EjFljB1XjGALsyC3/W+fSVlOYjKTXM5Jfk4SjDS44 +L79o/WtLm97ljisHMq8mQ98H70JqUo+bCsx/e8EFQTehZO+9UMfUyPTSz6W8lAKa +6MM/ZpvDiWVKFjT4Qc/MCP6pLkj+pecVsO7lhnra+ZZhSe2ip3RoQrSWl5q2yROZ +RMRuODYLl2vgCyceZE+pzaxTbGcNrbB1EHvNRrMb+eBLwCCMDp+Zo22wAz/Rjm6Z +bAuS2diYQj1MDLluZcaqXJgxnj7T379GSmjxrXkBEhr6omr+NjEbUMVkW3VWIR24 +Ax2UVCwMaXZIR81C5PPofSy6v7UD5CuCXbBK3tpJSQnnRcB1rnpmFK4C5luJlU8M +WMAAhjZZbPAxJE5rUM06OX7cBoyAkMFPPSCNyt4MFvXlJa4HCgC+CEg93nkgEyfy +q/CJ2tNwB4ZbGpO8bTronVzTOVJadqzW5TaHVCpPw3e/EyBfdRLZh2S8G4wr+aR5 +uq7+b8sp4ksfYopXjHnw/C5Q8rPtqAl/epY9FCQHa3NoQtUKARu5pZ8VR4jDwQg9 +O0yrKaumGD9YKzQEuMa4sB6462J1zJYlbiMll9My5sL0NEmsGrrbTGub0FlLVn1A +XfqGxC/+srPhkfpeZrrySW5jDWbq5kzbM6yMxj5oskDAosVPTSF9wsSMbfceCwD/ +8umjmjzYzr9XySk6WHSCvZkSx3YFiLSm+UUkerERzplfX23SAqgwkIG+rFHmm12w +cQ+nF4k/4ahRvb6jyHmKeRgBIH/Sgl8teRF+RHpVXc/meb+hNvePZXELMxWAGLGe +pjX1TJvK4bSHFM6T90hCGm8Hauwc3pnlq8Djw3onsr/AxX4hI2MpKoLSXqm8gZ4D +YuMdCPw/Zm9LXQ1i49bgRZVcMzGreVj1QA8nXRiZ6rQ/U3zV1hAruz+nBUrGA1Sr +OKnzolBb4sRN2Euhu9Bfmh84vydrFM+SuQZXDZ4EGXo4/dPpD/V6AnNPYaxdRZof +9RpvQZ8Maq27XeeXmO2i1JrzFpfvev3cNo+ah1ddVW+FEXFwTjFywInP18aPnK4k +OZyZWgo8VIGOTloE+BWtXueZD6Zf3N+xMvYwMY+NyYHmwOF/NDs+w7vHJpKlF4Xx +MhqDPvGA6h5omvNDU5/fNUPi6T4BAmEfYs4MlLerlQehl4TmhD2Ox4VjJ9aCMltd +eK0ULop0jgVrjDgXv8cdKBg+RBIQ4iwXly/n1S6zHmBQbJAM/NplrjzJ86zv7uf0 +kWiHH93nazOj/NXeAdYBn24dj8VLepaNUi8RNskkvSQonckHDtRMjR5F9H1U2L4B +JvbIZLz9hvd3Tc2ZjfnXdydfX7iqr1lYL3hh/xmuAeFFp+3bgOAJYtkYVpwKINKt +zTk3zEu4t6ycgqhCltcJMFB7R8V9GcGkbffZhI6So6pr9wqtwuBBvhP0eEVwxs46 ++sMFqvtfrIWWn4t3GUdWWcQn7CkAmuicUuEyLx7lpROV/yWD15llbvdOuxjKaosp +lHJ3bkxUPqXzuXqWz97UMOqALwtxcbKfoCLUnUMmAANTWq23K/kHtaXYfOAG7awT +znMDVUS2YbzG2hA+FI8O7RGO6Q9AxG/snZLZf9pLzN9ldgbVMCFXGGg0bowu4WwK +tRbI1efnBPGGjvfFCcMc1+RoKRfE9G67nk21g1doebHMrNpRDi+O2BCuvkpBvEac +C5CABqu5d+xAf8e58KDZ71WH+I+Ul8+vt8U8wvh0gNjbvyNHnWCKgpmrwKJYo7FA +4z13C9sY5RJApSoWeMZ261s/pAB5cudulfDgUU1TCBSHccXwB6cS3rJ3KC+eEDcI +Od4LGFmu+UfLOillRVf42HwNjeYXJUTAILrgoNaf7AQA0LjT/JrzMNH9nt3/c3p7 +e+jysRoLIYETIGqb9zZVseEkUgAaj3wnaSgJ0m7AG51VUCXvDLcN5JVvDuiPWsIj ++NXD0AducTyG0jDIK9F1xySeCwk4ev1s8xdk2Aj5ZbKbX30+m2eoDMuD5Wg6jShp +GnsyMfKRHzY+Mx6IiGmbuMy/XiYTwTZeZ25nHMmK/BqpxavHtoahVMCDe/Ybh89J +Lhrj20j2q9ztBcG5NoiRSEFb6xo1f/R58N9HIxkG/lFdeVHzkT/w5f0PeS+J/6P1 +4Xwvyqv0CZ6xi+qTo3cnykO7kWvRJFBOIeyuYE4SYVc17yrSBWwyuXe2ijWBb4Io +tDq2FfkRHD+uI1tNJKf7QMs+t9ffNL5zfLINIrzxR5O1xaln1xPWDFFx2S6qtHaT +el8mweN97d5UvQkvXss8yUe8V41Ri6OFzDS+uXP8kW5dXcdD5a5fQ47UpzKMMSeN +kB5QA4YPtUIgU7PP6ijGWnVqFF1YeMnlIO2O8IZHQxg0QsBDr2tioI/Bv4uhgF29 +gZY2T4wLDBhDSNJI0E8+URGdho6DIiTsv9+zQilp9odAaUiF7fqaPMRtH0e9RH+S +duwDRRjed8FaBiWc55vj2/QvqEeyrMawunK6c1o/OVjnDgKMEOEf5SC6TNnCKgk6 +lv95GkjIGfM+gvKOLIaelLxs6JfeiwoqhJHqB4/3v93lXOHnF9R1g5sLuYVfmmZZ +Nc/uIyBkNVxxxN9ofZUUsowBh3MxBe+3O5w/zAyj31N+RfAp3Hr4uLUgzn7L6F53 +DtjudKAy0fUf7hykKfrnbey3t5SpAuhC6iCZRkxt0s20kVpuRBWS8pGeo+10O6Bm +ujkjtjuHDqT3MurJ1yuZIuAO8BWtd0VmCIP9aVRGJt1SBU06mtrM8SQkSAIEi4fL +5t1eqIloQSe3vCBOL/EphCrppT9y79rONYG56G4WIaozfxIY7bDkH9C/Gme0GM26 +Rg8N2gjNCVwkZRy/TbsKJpGTsJi2f00GchCnA0L1Tzy8SA28WvXPL+SjMDOhg/oB +A8hWzhdYsTbOt0IHhXfctcpwKh+eYI2L5/APxsSJ42ATXdTdQE1Hk0DOy0ibLSnD +j60o/nsM2cN7MyERmJWQDeJPQ7NWLuI/n3J6+OsuNGotgYFLdTSesWeuZ2YVOW1e +5L+tncuF2YOtkRrAg8P/lYJHHNJdlXeFH5rfqPIs8cQINxAcN8Rr/rTgoLePWJLY +8+PYzuSSW1Kd2YQFXMPZuQb0ryuSB2z2dMWBUk6Z3YqF6keYgKNn0Rt7AvzoqE61 +KUMVYuJ+jFRto0XEPZX75ghnXEykHQ6pkyw4rLDYY0Pw/AvSUcSYP3Y1djU8w7Ef +Eu57eav+EP/uNVTMceVsHr/NvuZX5XrB7xVXsv5qyy/PAbB5di4DSV4q31jHIStp +GWp9HvnsY4VNIDJNXxQLx++fb/PyYeQ2nxNPLLqXECIOxvw06vL7irfL+Vw+H9cg +OYvoN3leCYNXsoVTZPGvbTHfQQ7JBC07sB9iyStfcLDHw0UBu1UEVU5DATy0OhFI +LNZVjJNYYVR5szckS4o2SIpBaC6GV1kQ82oe32gcZih5tSDtyyfgfUVHqB6WkoyP +HiFWdjeVZ6KJBrZLar92spp8huLqLZtsQiQlwdGMJJ2CEO4RtKKk6e8TB9HLD4Sc +ePPXeWgYawVzHTbPRmWU4VEFO1nMfX/6d/LLqT4JBnniARMuE99/wy4WModhcYzW +ZfBOQ5nGBpWEkQs4+0IASVtXMtunD4qBx5WE9J8nuUOcaCFzeKjXsfGXiEy5wNk/ +JDufrLP3q4L/LW0utc/2pL8Dz743g2zMxWX500+PgfaA2wMpd4HyY3GxoeOqj0dK +904DQfCpXHk2v7/iD9ziegQPCqQc2e9r0ic0QIMHBqoAU6tSXM9+t8ac+Q9vvDgN +MCahXkCYz3HBNdCT8xUu1eKFMT4YyqR1sj349wARdE/4vrFEq1lhYLVWtsf5ZoP6 +5kZgPlEHRKYbC0F3sz0lM14cHUpDJSXvun595xCsm9RIIycfBZJKol4j5dNADLw8 +Z5V1udtRi5cf/vwGtLRuWGxUngQXtE9cy8zW19EW8BWZ7sRQ90CNRliScB+TB4dn +zOhfn13E4WiiGOQ8zCPZvkCq9hEWmVq1VMvx99+3ke2ifeRgQl0JBtp/InPEWf7v +kmkxVI7s6Azr2MlwZ+mhahnSyw4rc1UfvseTdFVtiuv9dnnnna7I1N14BgBr4Rxi +7MDioVIv8wUYtlWoRU/i4TZtn/nguFDUD/L6uX+FzH8EF6ve54ysIJXBXI0kByto +JT5fwLvqMff72JMNQDDuokcdwqlfwvVw+3YTCkODtshIeCQhKeF4Y5b/hDHkf859 +2+LdLJyZmJ+PpCjfn0FwIgtuO7DJ4V4Xm82Fw8QwbcVs8uIE4IrtA1XTa/yw91wE +qPE+Lgl6sqFX4A7YwACQIhIRJutnJ8MYj/aXGg8FCDmq3v9IEDKcCoAhIkpjZLA3 +wnS0dYBCWM8OgfarkntVgdgXSr8pFYc7oeBPjW8HBmkWdX1MdtVWnAj/cMiVPD8n +svlmyvLvlfRZQX64e/O3EUtRnr+mXoCV6FC5hoZDojHAbVh8whg2Fxcx9Tier9NP +LQ0uGFZpDE3Ep6vZmn74ynpuUwZ1Q8zT0GRR2t3EWnm6wvkxyVLBcpu6P5hHz0cD +4QVK5PpwBA2rvRJrAMw4KKsfEf2k5Aj3ykeVjDysTJ8Y/JYdo9vpOcrAi59Jsd83 +jLHZWx/QbMh/1QmD7Hi0bpbL27qr7OOTZ22iEiO8mBX3gCyu4DYUHYRfJmq4alS/ +Y/mwLwVnwlrXc27ZuYJNTCCG6DZVR3mlkqOqbL02TUwO/1bRFjGp1oOUVuV3+Dmt +/qfODZzoo1zGNETeAmvV5TXpyCVH5TtoXaScYLrbvM3xnRKrt6M1OBYAY4ByUa3L +LTH+Ei97ol8/2Z72z32K7HArJJevrbW+XKTgfwoWcXyTG+ccPMzjzB67Aw4v6fLl +Opll+z6tPpzJk8xCR4zQZaEkZkpnA0CsWXLnyvT6fW+eeua/zznaLr+Hor4qtWyw +3qgrQWKk5rxJw79INGCTSEh65GWUya5pF944QNySZON+iA9paqvO6w+xtljoWs6F +jAVz5yLkf5Xag2SKQuPN5VIIK8OeCKuxspQqkLDdWCxkFONpYESajGXYqfxT2Jnv +nKI1nn+9HBKIgTSbXTbhjUoMXHDhqT8rT7V+Dl9gw/t9+3w2EorxGXUAw+PK1nMY +LBYGjmh8o3D1o4KoFWcXI6bQ7YplRdlHQ4WsRl/5GD4fENB7S7x63ZQapAgU9FCQ +a+7QI7qlYGvOhnWo84PBhljpXkeIeQIL4zHGUWqlwc5Jo32Ll8cVb33cMQYDVZ2P ++kZpzLBriwoBymWdLcOW4VDQw7wTbsLbrYVQuGr8pj38OkhTV/mj+LI0ZaW9qgWw +pFiHxY7DUbo2FWOv3km0aWVcfyLVI2/JGz9cKj3nBX0G/g7kIIDytd+29vX/lJ2X +Y5cH3gNOcLdntZaZWd0dce6iCAbY61jiyjgGLyyCWFayZeKENCSFO4lfx6RodSQn +kv5I+qWXBoDJgIL78iDL+Gt5aIfWyivs7fGtXF6OwLnCpctAGZxB57bmylJ6e7FO +/H7WEjB/fhi2FFnA0yhTNOZ8rq2n7CD827uaJPpud9EF4kzMU+de9gz3t1OYVPJ0 +B4GqAdi2zbeph6n+XA5CDFN2EFtqmhD6l2XZFKfvOjQg6kDPqZfaL8ZXxTAQpScH +QOBC0dLMqRO0IfeW7e5i0dreQUbS21zWnTnwkd0WoV5irKwjODCddId1jmNwbZf+ +ANVNOMY8W0dHwjWngR1phCl7/GTyFQxbCZw8lCAQFC4Jr8yeu6srkxrHv571F4/A +Pb4nYaWYOvK2ZfOxDpuFempg5EqRVgToM1aue9muXADt9abRO10mEqdDFwSJ776u +MhcQ9nLWvKaN52o1p59QMSCsrqTlxwYlhHjfORG6apT5TwQ7EgPklZEyRmfDRuCX +s7oeJw4/bP58VEUuWr54vipMjaHXnlpciZ48i+r12xz27WgUWBtljEtJ4Bc/Fk1J +QsD9gZDW18DHJ0HR7rO1NRpaEOkHujxk2IXRp0ZLNsPEYhTsWTExEFB3F/NsZASu +oOHD5Ec6pLvZeNO0yow1nMXssWjqe6S1qzzZy2mJry3Ttk9f+IuBOdxlb1/Nu2Cj +mQ7M4meiieOk29hiUmFiuja8SWUdeGXArdFaKAcDZL5LAVNe1B3v0jHZWpzs+Uy6 +JPgEI1jwvfq52myEwvkRn6NRg8Af03j7JToOv13qZtNFlbz+n+ueGez9g2vMnoNs +5apXjRN7g75+JE7ycCeGsHx0OnDZcfrY2etks98woMqyfFwaUZ4DOqfB4VWFi826 +9B+DY2CClE98opSakjskVwCQI6GyRJowGalapuI5d9eYH1SnWufOMvlwTukhVztX +qOaRfpKLQDwZb4fhHKhQfrABvzNd5eoe9CHOLih/ltMAo3NONm80uebmnbqoYHd1 +slwLK2REYWYT2G8RngceTLLZkAKxJahF2dsEfWtoj3u+nhWasM9kJFDM+GqAqUDM +QILVOEhhYtL6hihbY4UxXMh8RaFdncesfcE+1itAY8BT6jeaCVLW35J/56ypO7NI +KhgYAwsGhNDLGqeomXtzkdMHpjt9794V5tkBbxXOmRtCQ+40QZDcse/8vQe/J299 +GXqmV22rzcoWdbsMwP+gGrbY3jYOsf8kQrkJy8xW0pZp1cZ6CzT19bUspStNOsP7 +z9MHlzfJjOgqHB7cfyWoI7mD42s5Jz8AWMC8KL1kjzO0RjoEm8iVGkq6M+itR0pD +Ph1RUiqV+g4b3BzG8Nlpv1AvnmV9JcfkUIahjNx7SJggaqvXfvCbKijF2jiptLms +MzJEOj3NlmLxaXCHdcUbICGaQF1qrP0WRCc8ZayK1FUVtxCaQ3uuP/lxJYSQscX1 +CUuBR+PRR/GCeqw9Th1Vyxg9nICW0AmA7/cDR2jJ3spvZ9GYtregxS79EFvJ8D6L +3nr6HcrrcGW+dW6Xa9M5kQkbgg6Kn4GIqe8v/+6QvOD27H0wFLvdU4bYlNMZHnpM +HU79UI8wfDU3MqRS6DmIX89Z6fFilqC36AQx0W1ujIHvCWXn6QwjNoOxjDZAFOWW +rdrKLUs9hl2M6zNYR3k0sQj0A0mYJYSPRrLq/3Wj7PnE6VazbTD7psktEBO/UW3p +gVVq+QYIuXy0gu81zgrp2uYRxWKv+UU9IvZYEbi1PCx+LvUkpumpWfQZ6TznGvgm +lbOisOX8LfPEjqvo9ckJwz2ZY6pAPYggKvqAEeQNbfzRBnuwyIgjU/GNThkw89zV +a33m3NS7of1PIOvzdxhfXRj+zhuznG7/bOs82C1SjQYvGfcJBqM4NgqCl2FlhAVA +dTYprjo94SO/oII1MDgvvSj1X/pc9fZV7ZcTT6ZEWmWM+TKyyJDhekSFc89peIR6 +mMecR0EYVn8llh+McHfrvScRyj6n9oDNX3BHoi2uBWFovadkGxYphj4l0nkrkNEG +cQImY/AFI0mjZcsZHI+39b9TedZWfqBEWoQYYuTXBwLCdqjUojPP3VZCjSZAyx5D +bQBsVg6yrGzTaKFpHyMX9lC5u5eCbWtgI3dMCtGSyjjLIffutmTEZ9Hwpp0hsiHA +UK9Qsa/2fPHv+ZICIuyTLO0gCOld3YqmCq1hR8EEgOc9U/slYmupFM9LwxBv2uVD +icr8RU1djhlF3/Pk1yUvQItIpwZAhvQUbC0i0/jjNPO5A/EGDrd4FlkZtuJsH1nJ +L+OW+1G+T94QNlVj+Z7oJ08QMCjusVcohv73qdxT0Td+A1WRubHWO4I0FhZolUrP +qpAxCJGAP1lqj+1ApbzkeBtwbIEgSnzBS1LOR26O8udEcpiZekhILmSbXm+00/66 +cHxujPc/J4EfetPYWi2cfsAKpYZ8Go6hFiOPZIguK1bcG1X3n6DEEbSqza/3STF5 +F4mNOhRWgiX262wyjHUd3qIV+zwan3u6HaTaSuauQ9wBIxvxqJQbbygmkIwtWsea +cuf8zLuxj17WevRNnPRYItB5cgKHy7dw3XV7/DwYy744MbZyiG6QfU9kdrq6lBJe ++rbda7KPRueCBu5FEtF3x0ybkcchbKcEvPTkzlUkQkWaN5MKG27dEkJVK6Dj83yM +6RDBKOxg7oI+FGb0t59coNYTPYkZLRIefA4SkRHEyR5zYxf+L3c12GrnlwkCW9FQ +mDmdNGrAYbWIHyGi9lqdW1sWhY6IQF7IObiyddkOFw3FHHGmdF2bS+J5l1wplCLM +z/jqazN3txMXpVUcahRLnD1L5VjIxPVjYRoYhgxl8x3RvEHIogc3f6wsS7Y6pFSx +BOrpGSLCDVkH269laAZKTGATVkFSJrIA/Y7754SVrPVK27cgl8LLD1YlAeyQPc99 +ST3Tw5pWaUpYpfFFo4RNJGQldE8/vgwuhvAKY2hJqvcLqaZCJY3pSagikLnZJdSX +zUuc4S+7s/l+M2uStXExBBEq9GjP3k2seFI3bO8vDzED3SzZBBgPgeIBjJZnGLUB +JdecV6M0PSvDK/LwyzWWUawwNVuSXAa3nOdc7PYXC8nQExYFYsxyV78ln7lB7Y8N +A6oWGM2JLaGBmV8sjyGMv24ZnsIh8p8cV/fcqS/YFKGQHRWJQMS+LvTnK+wwoH1N +Ao6I/NkvEeLcC10n8z67NDijv04JA7qSVS9YInyZ9Pz8evBAKUDpr9T+oMgj3L0R +dDU82EkCIllCtshje/nloPBptXtxW88I5G6ose73KQr9t3XJEmKRlZuHYxHWgXSz +ivnReN+YnAAt0m0zX0HbZK00hXdmHS+51KC9CqHIxemF3pLQcRJ8Q6nFQz13IckA +YqK3qfhxpj3PElKxQbaQXMaICJ7eS7PSmOARR/spj1DqwfBvUvHnqmGbTNOqkDrB +tNwpUieWUQKQIOvntaCifKMupBJVwdZ5oynKrr/vhPRY2pbfIdDjPwNgCcEO+CKC +JnleXZNKWv0x9wdNZOGtepdLYqIAyskcNQXGuCiEaf7eNppXthE2EaVhobr6wPlG +7p7Ha8qmxJ1ozVQdWUtXd2Oqcjza0vgrfkpL+URlUsSb9bnSUS7eymyA4PXCijlL +s7rR4xgfIFjjaIyCewtHjXVOh3K6Rcx7Etd7Me7uN1R9JQL4RFjh7+e9APOqFz2A +fnV0kszBuY/Gerj2Jmy7ixLWo+sN5ghLXj3M6P6v8KZQNGbQ6cQKfnt/AW55JyJz +g5Y3gttAcPt/P7Fl2bS49uIa6pgNwKbQ/N7A78WL0hfL6RZNMSnQfkCYzmREMpxV +ph5co0XxyTKa7HlVPEHYi1O0d3NZ9M5JGmZ2BPdvotL3PzV0WNa/MR3rK9M0DNCT +bueA4kZd9Is7+IfSuup/OvCO0lKf4gNigERLndd5nbSWBc+q3EHUSzYLBTIvazrJ +IAVrpfL5gD9/T0qPBruEWnhHgMpI5zxXAQ1LJJauHOWMnztPSHJPpqX6FFvUa3dp +UfYj6oH0YEXpuCCUq/w/ecXU009S2nzarCCrZHCZ46zcdsPxed9K+VEe50Lov6Y4 +tngvp+BP8d9VErMBcbaA8YimXH1NcjiNqWdP4IaelblnqpsdXuaw9BweWK+ftB6H +Me/X2x4GtB4dvlTtRV1lMt7PeOy2V9SRixzYvwDdZ1aeU9DlaJB3HRuPw0SsGyR5 +18/x9R3ugQP1DpT/tXLLYMJ4oDIk3oDCo/O/yAxWBLj/6jxYY20cX5OAjWTS+3UF +LUdBp1Xd6QCAtrEh+U5YQDDdpu18l4ct4GFz4rvFy7SGSaJbkSw3FNBIzjO0W/re +/VFYV2uLTwKv/URav0rE3rFaqfv4hMX4CJbDN7U5WuqoCvIgJlAIgJa1Ai5ET5Mk +UFkey+atHR7dnU1uhHt9UiTFkS0ErKtb6PV0t69EpmhvRs5Wrd+OY+ilPHC1j739 +NgMZ1vn2+0nXGRMzHNzjnlUVhAOmaPJCa+P5eh0n/nbdqAfybnKVq4Bwm5WH5+T5 +TduVCcDoV4xHIp9BPAIwN7rGcilkedWWAWSaHaeLuOwNK+jQAKu1whIjAysgFf60 +FRAWRHa90K/Ap+WBEpSRZnsl9fhsJ/kbaH6utUqOChtwIgh/75iPvTUZlnmnDB1S +DtM7oqTUUex0DwJc/7ELZELiSdW/yvppfw++Z4nMGh/E+lNVMpwl5MRk5HSTYiMv +lr1VXxMqyI8Spwy1ibpZnS6AREwAk3yeaiIYKRjdWZYqkPvSII5zlAheC26fukAs +Emy700YpMb5oPOwzBXW0yI1UiMjYONK1uRkvIx9wTnCX+xww+oeW88mudMtcpHit +Q0oZfJtBLFCgz49FXrN928bcHR4UJl+P5RiSiFglI4gLv2Bcls/LH7Wj7SU/FJre +Sjh9qaCesY5o+2MMbvL5I4F1J1qMP331J5cJRgXixSRELHKCfrXlhPfr/Or/TBrN +dH1DM6A6H2t0mwoMvMBOshEd5kGDupJBYu1zwHmYQjPPMJ9nVk+X6CSVHJbSj77G +A2CyfKhfGlqDH53wYcaQjfnrBFqKzskGz03E2EH+C9KOETTc1skjOLiAs29TCPzR ++gQYIgcAclXSYQNRGUYyOe+DmrY/p4gk8M8AVV1L8E/tf8F0JttOIb2zUmmhKSnY +NsN7fWfrbvU9oc3U0SjsAekUJwYIVhOcxytzwJayGlczTeVEJO5XVG74W3i8FB88 +i1DSAisz9b7guRFFpsAngeepqKsP+dvjv6bPuCkhUQXKIokApxZ1rgKzMsKROEzJ +kQ5ZE/ZCKx2D4a3Y3HqtCj851BlUqw68Mi/VMr6E9gLf9TzkuRKqDufbIkIIUftW +LwIBpnsVTc4w1NdKDqUULcx6JA04NIdDEhXJqiqQysi8wuqr42XUaKaDvZah52US +qAxyFkQmPZ8WxDwIv6siEMXAl7ByXVEcA5yIVu2Td6t4DFxkvbVcMRiFd0ze60kj ++LdN+KWPklp3NpyYh+vsqI4loD0uJs1b2eNys6177P3zGrUFAPX3OYwurYdBQ/Q+ +T+5FxIwOHugDt/amqapYLmayOnbDNk04maHW9J6o95iXJ+LgTCyAwsYVl0R7vAZo +CKtoRjizTBf+FEywzfmTNC/Vc97AbsbClw8u8z+RzXLUsJ6Jvs6iXzro5vKYa/Vc +qte7qfMS6A5ZDQ1Imd7udLPv2lGgjtVX1qofY2Zie+lseRxTxEXrmhkeBL30hK/e +iduZzy4diM1nn52/S+5LJPf+l+iiueHEtW0og6MshNp78AJXlkYTOW5UDsYkRPBw +DPp7a0f0vubxxnalTcrORs4DFjFggJwGv20g1v/OxOzwgk8fMNPehanyROxdbmY2 +hc7bSbjnW/HL0pGYIorvYiJzOdxMbNhVZgu9/qdVyHr92md5yOcNwpS4uIO1AmD8 +80uV6KuuebIlSnGjYDb0hgq2KAQiiDArALT3yykHZTbdGz+svL1xOj6xmXg1LWw+ +Q8qL6ncIT78xmHy2XDuCyW2a1vxV5iHi3Nl7SQ9Ve3lNxmsEHHAvnLc/5hxsgXjr +vGWDLZez5VnGjmg7Rs3VFqmj4LqGSCxl2M11fmImxtXeWNAQuUwQlufRcbPGgLW1 +hXyFEjlk2uxU84jChDcvm7ZFiFyv8xyJiJr07h6/bggbDvWbZbKwFheEC0QrlOmM +ibrrCCLCaxLTh09CaClV7pd/1/Vcwc1CuDWnViKDSSPQ9WN3IOl/xmiHmIiY+tQw +D1wDZXVdBb+qphbYa1fchhA8z4Dld/x6foFZ2YSqps50kFuaL6V7Hv8YrTZKW4uH +FwV1Nx8wwqZTil/dPOUge2ARrRU1wl6QggKwPLQ78WbowvS/R6VieB5eyE5efQ8V +XwQKQgyUjk37h6R1Kyi2kUB5BdLHU6AbyPV+CgBeVgu0AkdHCHZhVDtiAsvf++da +w2VdMQk2/gK4FEDUXmB7QD2l3UIDuPSX3lhn7LHVjmNPXp7Umtvunq1wc/fs8L0+ +NlhfMtM+FHiofIpbJDOVcpv4DjS4DfwDgZBvYFaeprsXDj1VwYEZHRzMlQN/e9Hz +4NjR6UrGxfZf5pzFZeekSW8qAsRFipwQk/PsL4FehFVnbA9VKl7coT3S0/RTGe1J +dTuKGDbrgWYgIqhe0Ibfov0OZ+TsEauSrYsVILlfyag2sjVXTRpr+0/YGfFoNyh3 +PMDNPYIalJKKxRS8DuMW1uxSgh31YrHJuqV6QR3r8GFdl94YQxoPNOJ4lK7JsvOH ++chW/m95pKIpjfO5lZD52w76qHo1j0bsiixbNMVXX9nEvKfK8MR/C4MGuIQrmDwN +vmeH1Brq4t+bFQiEeoEZAn+D6figSEto+aP4afryYM/fFPTMWmpIwRRzGem51VKg +b2MtQ1S5GvykZLDCEWVjnLOTOacuJEKzHXeRmi/iPHcQXi8mfWORcsBAEgRw3ZWm +D+Oe2XR08GXY5m105fgR3pJQlEyMJNN78WcBazDIN8a6VQnZgH4MMtSjHfaSA/xg +jwl0zLvP/83ke1QCHR8R8z1m5Z/JaI3JdsFRom5YaNi66ZkW13IOUVqhopAdZywU +AR0pSWk0026nCJi+lrpfaWzo4gG7shNZZaSzcDPprUjB9kC92J7J71cFa/x9rR3m +0KROX1NPxK1g57nca3gLyIheUMWipYw4M+cQsXVXk2/+FU8lUu04X5C4TP9HuS2T +3NGVMyljGvm6lbeNiDi/JraWhyo896jXfp6u2jVzRW9+Q6jcdmiUulBTwwbWs2xf +k5X3LrNDOeV3Rnsc9TzkBb7DvSD4VPk0xEsXLSHt7zcdsYJ8+KIi7R2K9cozGXIs +n6a/1zq8v18uoOZ7r6qoL35/y78QH6LH/TPZ7OCU0wUmUeY3L6PoX1N56sZiaHhv +5lOnB9hNL6NxK+dtGSm+EOpJhADiNlqEptb2WTOJFZilwzBc/BJDN8XbK/9VteDr +IhOGNApEgsQLEEOXT673inQmSJn5NFEPXwsK/s8SyDmel1/K6QsAgjQs4bULul6Q +2WUMDynpubjFRASBG/S36srwB1MuKRf1V3xv7kNEHKDqp1QW4sUF5EC7eDT0M61J +oG4UM2y547Iyd1NxVx1YOezHl0JWbQOY6IH8ihrv8XrJQc7tfiyCg7XeG7UVK0s/ +CjHn25EJL8rQFFIyiq6zCPBwPusNzOKiVtI2gi7utwrTjHgjxkZdwo8oDhZpnkOA +o2vkqA+zTaex2U8lH7xUUTev/rjhOFJBjE9mcDE1e3zcldYGnpfPoI4s5kUq/3nY +PGhi1IfKA0Il6ebUFuqAdAF8AK+3VXUpPgFFlepoIsZAbIy7/9M4IjatWhD4Fn8l +Ef4CKPcG2DQuDQ2UtaBsmySkJYKAZ/gCatUy4j7bUSdBaLvBAVlVYBPK5A0zuN+H +ejloJLDPnsd7Y5KXIMAuqZEBwvEBx6eVYzUM5gTUleD+S/2jAXIYMTjaSgN4CBJa +1+JmXghq5senGErcOvu6RbDlZ2Ts5D57N3iCyD6uuwjqlxA6bCnoo+KOJbqKiqUV +VgQneTAgX4vXKUVPdz/jNonG8rKuVOkeidTM78yQQWMQaJcXXudkbLRnp+imn57E +pEKxBWkaL7NRENiYy2DoOz3tm4U4TD4FtNVFRRY+2srdX7reXt/yNdSQ9Ai/Xgii +TsKbmTpVYiyB1P8eRCl3SFpQtb004X7UK3Obf2oyx5VUL9wed5Qt9L+CSO1PQwzL +2x+CQMEBQxaumsbfh/XOVAtaUi94A/gs4s9etP/Gu5tfzCiEgEnY5SgyEeO1Fbwg +3eT9TciOtlDB6tICa1ZECMUaMfchi8WWFFmHInkv1p2xqL/n3OqrdH6/0DsiJtzd +2paoyNEv20PDwkz2SQ11w0fGivPEJgwdUaiKhe4xbXABsF8L0NGqj3788l+hqe2I +0Rc83ZS6AnQowh/PYFIvGWQB9xtyfuJUR7wopSLRwVlc6ScphK8rrL7KR8cBi+RN +Yio+e6Htt8c9aerJe2LcQct6Svx4ElYm69gN8r5EdSghkpy+sD9iuY4Y2fA2XD3I +FHY6/dGlQY44/GY91GBEn5iIbWr10r7iV1GChOFiLRAR+AuCRdBnoDz7J2RhMXYI +CEt+l31mn9yrxBP+T5UbMpyN1q13wReihEqiwVXP78+xZVIGv7vhdD+SZzcrJ/W4 +KMobmf4JHEH8XGt//luuIIAeHYmb0wYGrlKx5YrlN/69wlNz+5w0QbixIM1IJcCb +cYTIOhVhp9STvR/Bkl4TB0BbLea1JqNWVplD66b4fS1oQ3RtQgeg4n9KaM0dhsSm +gjmflfpG+/MGyBrcpjqeOw6C8uVCIqL8Qmxsh/P8zwOAWzyH+ZNKYZdw02mzaVVI +PLQmuHuYDEyZj8lJd2eXQH2eC+FY4cAq8NEcexPwg7OzKY2uqSOgWa97pdHSB1XP +5m+m0LvvOAHaI1z38Gur8t6jXUSQq8fcJDUyre4qEGMtKgPGYg+ObJ42boycpLbm +YZ/mUN1RhKkF/JhlPHyUxPLnPeEhZNfKPHX0YW/lfiHmzUFqcgFj0522B8HO/3fR +OcMmQAj+AharLe1VkXwdgZbcJ0wkuBw3ysFvgzLVERje2kRLDO0bJZIGGKxgBg6O +kx/tIGFbueLrLmQG7ZJ6K5ZYjAsyk72T3yw1TGVgmRQ+9EIDbfood8o7v8oZqvq7 +ms724fc06rZMSRkP46JNTass3xjuudyb3hdEMERtQU6g+bS8ppUZBMr8kpVbgDNn +c/VJqFfPOCjYNBKmz+sfUSzNHOowiyEF5u+oKlzNflA5Q9HUmFAN3izYSiUyGub7 +pnvQA3/mbEozWXUrm0mRApVUh6cYUzC3A2vO0MD9NqpsVDVVcC11QjbKvhXsbkiW +Abp2dW4hIvBTz0kKE28YTBBu9ct7xGdCC43bG+PsoVDRrYMdlf+wKUWP415aJ+EW +krJi2Jg5ewolsrQa3hFsDfMWL6zMG1I9set8ElFEtfNwNRfxarZQF1C+ijIzQq2J ++eoQQ1iWQuD2h8/N6MFgueJOXNqmOdbA2ho7hzY681VBNXQXEI2Cs4rAaKv+XZA3 +nzXf/HaXbcvb+j5KjpfCOIqSjZVHSqLCWCu3RCr79F0iR4k+6UUWm19zBDUMSU5Q +YMngTAPCcGI91Yb3xDm7RnL+LLWm897if2edI4pa7Pb8VycU4oHLLVn1OHWVy/XM +2LrFsoPZ0KdZPO+c3j5c3pY551VuyogD313SAIml+hxsFfistdbQhvY1VAXOGII7 +A54LaPK+shNS5Rv2bPSTNF0+toeBE5U4emX1HXGJ/cKS51ARhoTf5uWIo2Dy8U8C +9o0HK9MZXIPoIWQh0t3iehKLjY8NvIg54TLsOoG8pZ0mHAacYncvJOF4czQlubOG +vJ1z7+wDrELXwilsC94br+3MiVC3a5Yf6fEwnye7w9Feb480gqWVkWuY5eoo7DdL +6FDPL1gCtPuSBua8OMDRWh960ktTqWHaPEp0AAvSMyU2D1lKdoa2mo3yg6FcNDht +jZizVMnO5EdIiZ9FLjXfoaa71dZ9sFRhg1WWxK0h/4qd8e8UNsYojfj7QXmZzytd +0Fw00I99IoghRN0D45+3+IvDVRU7TlFrjwIRNoMxvQk9A7QBXtKAd6V4La4VRjC9 +BkV3hyT86sPTMa5jqHOTMCjTHLsOuoCttfgmCOAI+SOw4LZWM+NjT3v34BdS7rBH +lg0+Dek+J3uA4DC1MWxjUbOdFPMn56RhuzHfpK2KbvPyG0krketI5Hk4HQrpLxxx +781VLuXp0/7A0VCsETuehcFYuD62oFr5Xc1p6QVZ7wtZBm6lAt7aUdwTL2Er01yl +qt/jUjuC6YC3C+3xo+RL11LSfNKrfRhnj/YCDU3vy5iSfQCE+ITBx5G5ih+/zcYJ +4Ygns4X8DRmEqdUuBgu3ncQ3E4uGcgYxdVSr+Eld3QmRWWsxgVHcr4Eenudcv8Nd +Qqogc9KrYggYVoVG2YUkK8Qsn3SX91r15ImBn2hxR85bygFvkep0Oa5XCV/r8q/m +RFaM8YFj5gZzvxrSbCzJOVYK43JwdfYrymWHGuGtudY34AeqP1avZ6tumcjOeRLy +zPegquiEMwVH5MubfWgpKov1/VYH0bMlFRpIK+Ak90XjMw2Zik0L3OewTWCOSH5L +mbt1MORLmxipxnUBPQqTT5vulrdnWVaFRvUlEj2DihuCZxV/NelAWp0LdoXyA7In +4+xsAh5Sey1xn8bRbCLz9mDIKO47+D8ove+5oYE68M7PwN9wl3lmEekqtHg8801q +4BjmiQOydN1z5ilnbPYbXq2/y4zYBjao7Qo7r5BBmLDUchW+QI5YBQY7yZnGoa5w +8f1YCnxW6k29UNFJLzt2Y2DWWXQXxi/P8vfQKfPKWG6Tc4cjHFd9kh4KAZM+u2yE +BX+G1JTnQS19KkigxnFgEIvrk/amxWr8PmRp+XjNVCPNn/BwNchttCZ6xKARXife +EwlEnqyM59CcCyX1Zkg62txV5Kj3vYudu+enwJ+O8DhF+Ang9qz34EcEDn57w4ey +ZWhx1diH9cJVV83EQ8FA3/KOOjIpkWzNOd9s+5sMvGSGjwZrvPISlekyeNKNIYPB +9pgKlZ6hF7frpL/6/hOIAVXP1IcBQZfsOnCHmZx5d0n74PE4fvf5rIQhxttxcWur +Xr6aqK4jp0qhZmp6xZzF0lfiKwtIRt7hw1O4p9ZOky4cpg87OUMuRroo73De66rv +FJKh49sKK75PvjDQ4XTMlB5E9lU04WC+j0lzL8FD8StFu9fI11cUs4VHebBdosl/ +W0tUabK1moXCGJrkxlJN5P/J8aTleoW0UE+xg6F6ulgF28Bsk5jZNC42SuZcqZpb +WInQ3bDMgvB+/0iAwDpys1ZAq4kH4GaT+e8WIoAWljkzbsazURiu53AZrYoJNI/W +uqualxkYiwe8l2yWW4t4R1nkT30UnA+iwa04ya48nhePMJwj5teomt+Zd28rjKuj +XFzoQuu5t7VDqTimck65x9PoWqwwTwDIr9WgchHRBdx1dlOsnKVuCxOgO6CkipdW +pAydjFO0hPS9k/A718HLyR5GX3OsikLABAhM5KctQDe1eJEAM0Vj7+SJaINLsBxa +jongsRxxsY5J9oz06UvjdG56M8DEr8d2dLlG4KwM9Om/r0vq9tqljF2X0WAAwYnT +JGVfxaH3C+WBCnoAKXmQtt9D6E7T8IPaOauyeyW8lWM3ApxwgJC0EhwbAyJWWKTF +TAm/QR0dUeLT5cOG2xykiGvxp0Lt1AbgwbKRUOJroxOQCsKEcYpVQSY504wTL27v +YfLvhYykuLpKVFeUqWKZeCHJHzcrxOd/2YcpK+JuWofx2Ztn9JPdMvDpqW2TlDVU +KVuSa+COpafIfjp9RxQC+u33eo1rjVaKqd62e4qAzCWGJrwU15cJDNgnnrEOa62k +aP8gwV94OoM30kQZFpx3m/RJC99WcpoZQE+iH4Uz1QpNkvXZkOWgJoet/0R2O4Q7 +8oauUDh6SbTvGb+viE+8w1iJ8laqn/7JylwRc0JK65kNXxzwGyC673L736SFDReN +Wfkjf2ByDkdx6u1Kib+f02+DO4eKe+sHmyFRFypGKOeeqUg1Zm+7DEBb6/tWIctJ +h5V0mIj5Y+lu83vrWD1WTkfs+5a4udud2J+BSjHEbHUysb7J7I1z5gEIGcisebRE +M1A4vDgCggXzl5Rh2M3iHvENfEhGV7uW+SGs99ppd+2Ud43R5zPmHECtNr+QUq0a +E4oy9XwVuljGWmX5LJD6mk0eMVaNnwrEYYkFj+VdP79VI8TNprWlrSDsPzLTN1N8 +JeKCUtnNCW/4MVbKE78or8pM1VCaHM1mbY2S+dgQ1yZGcvwzCPSQRAiAgQ8we2ur +4s4O/etvemtUIIXrRF787rPwrSfpYnRg2DDLvMMNvrlnLhwTG0wgv/0a8YvINX2S +4phqtzugKTr0eoS26LptGs0X9iSNDjD8wtjVxvIaHwhxCUsWiLh5GgENGrSMPxHq +YOBZ8Bn4usU49iYELp1s8NqBTjYeZKXwj2RTJh2xtIw7RqwQOBkqNET6PjuPw+ue +0nPnyXH2O2WozsXJUv+IUUFCMq8dPAZkCgfrtt54FPRMhjoHrzQ9lVFqfOX7JTNG +wTd9KP5shJTT16roSpe5SrZXF2K5PNaJPdxJhixKLAcOjZ3+Om6avGHwT1ARe1gf +DRnPK0EY15eJyC/5Ey6VYxBOGqxXZg2a09gh9gQveWLUPzX0789P3RkG/chLk7o9 +97SECcG6r0FP954ewATuhvUhlRIVc+1dtcPS1dWpI4AdLxkTGE9u8Iz1E1sdGUhe +3FoYWET+viw4C/kNKnGKyqeDOIMFJ42FuPfJpVQdlPj1K7hJ6pa1WTOXIoMPn6IK +wMhdBkg7PXaVWmG9mBxPi4uxHj+rFXjuzV0OLCHT92g28beN/fZz18pjwO/3pRWC +bYuUQdmnrGfHX8jGqP1tIsUHneVMfFXUCs1sgoqFyl8XAgQ+TR7TXemumoPG+O7E +5rtKBVRAW06THdSs/GILKU6PtiwYIeeOpui6xNeeK0LEjoRLWEywwFBqzeLbDJcK +bcs/weFMgoK1e7cq3TgS3u172LzKFlgJQxknns/40fdYxBLLllSw0RHlVYJsj1sY +xx3SjRJZMhkC58Uqo/HdbBW3CNP48p+SdqPUaOfAo+gqXmXXU+NJxNgTGoX9VtU5 +i4jdnNt9ay2wW9X+34r5oRyV8oeN42MQ33mtuQHto3G70eooV+VcWb5Sh0l689Ls +D3BTC5SfX2HVJ8JkAc29ghBup0peOhiS70h5x2+8gJiDC6KNEuq3ILLenEdF/Fqh +vjoMjGJa4JzrEbCN4BPnstaGXBLmg/gEqFmtsMym5uU8irzHO625E0ifqiphsjsn +TkWTk8A7a60aF8Lvku/PFkJj0e6pGVGqYlodlFQslrshjYk8vpQ+NNDIxEK9VkaL +QxwBIhgG/kLK/0EFN+DubJwfLA3+yX8yjga6wF3wF7yElXLSIOTl8S2+oghkQtf+ +n8WwB3YqryXUH7VYfCWakBiVukOnjZOMv29SgCUD4kSZqx9JU2vKshW1FgrZTgXZ +hGNLdCz1xD9v2UZVQPhKpqa0ZVelsL71iOBgPZTO0MCMOvMaChJmf4zaSQMTo1VG +tkx6boJfvyqcGuFBWct/bS18kGe3P0wpBJdoQSaygMQBoHSfa3PjU46GZf9aMySf +0MNQt9RhU5wNZFxRdZj4zcV3zI9AGs3UI5CnnSGi1dDk+Ro5HxUr1n6grMYE67Y5 +yEjlnxpYkV0HhP/1rCbsjVhMcsdhYEFoe5wo4WqZ0Tzx5gcp6FeTuAueR+CJIJu1 +TXb3OMdqqSQsuoGTVkDEz3BCuGrg+g2A1WMPfIVph33l2KjzdE4RBHmVJvD1HbWo +DKn+76/ejh6QVlCDzzoVneCoONCnkOwPJ+LsqhI+2FANS3ip7SLsI7b6sUPVOcGI +10b5nyTysH1ZntblaMX1ttfgk3pkF5rX/wF1jlyf5cwvGguDPntV+8D0GNBaUVgw +uQbO18HZp0S9Szj3usgx5P/oRQKYXkKLD/PgwKxcSjYraYTCmJ03EdDZVQSknGqL +/7SS5LuCptTsirAslYUrSoUps4QztqSf9dtHLtnDzzkfUiYr8+vOMfwV3/zwa/oD +csr95ZIjFB3p6jhT6XGeXIZ/3CU5aRIWrBM/to0/f9otIzOwk92KlJ/NvudyXSmh +H2pA2QZyU9q5aK0LliMnHn0pIf8zmgBexlseFYKGFwN6b3pmIzo+8NSRkgJAEfYL +2CiY9+N78KAkgwR6hE3fqjg1j6HrFTAJwbBFaZaC6DoPQYkHhuKU06FqLPYvVXBz +FNq6gEdzOMNM+MX4PK0/pF+W6vsdlHueGdI0WMpLp/o14Typjgbw3n5W3UgTVS8M +Ro3VWgtbG2dCLJbSOPYBEnJG/NIuG2aDGugKniU0g3BWp7iE4azPhQScO+fyANZ0 +neEHDwAzIwz6Vp27sVtuzJgTJw0mV4Dj2UpJDG3t5hPz1EaZhUhLgP+E2bWkWHKw +bEGU/jtFptu0OE05krLEEA2lptV9yByp6jFXPLfRlT0D/ZnTVyfCtRPT4WPimKR6 +8WYWGxP2ycguPGe/y0VEiJVW/oOti4gzh7Gu+y7x94CZDYb7YOuL7wZU1dZlbXq9 ++ZXWDEAUxgt/BfuSAwhjv2+BmhZrnCmWqItHHnfwZikYHRgbinQeoQl8PPg8OrJ0 +e2GVBZXxhJ3DPghsawgYtVsTsorlqbZPfFVM1TzZoihEUaRENbJB5vXa1KpYP5a5 +kjXYlVADPhy2sW56fLb7XICUZZyMlSPDo4OcEOXypPiZstSRbBhHH7o4HfcFIMBU +iqU/etz/d1Sgor1uttXKSySKvyGoXFcU8F+/F6yic53EeHBizbfBUByjow8gHN0H +8t4wUoGLLwzdZWcOFVFUe2FjCSlYyTi17BVMF8k14FJ0nlwKbozsQxtMVPB9OR8N +nochIuL5I0SmVexf52ZV5yrRXV+H/aMIgpZqELYsDWKJETmjs5VM8+zLHz+tu4Yf +z+1CmS5Jf7TpQjkuOY6DJyHm5IXbnVHNDd6wRfCTp16xNGVkRdwRjnPxEN7+RCE8 +ZboKBSY7oN2iV+vQ7I3t5Eojo3MvQJb7pcPeti832/Ragy7y6P0NacUBZyisWs9E +WDGPnaj+zmgU9X1YIDwd2DIOn0IWkodCqimjIOH/L2IDPmj8mEMhSfA3LrFqn++M +nC8YRgoEQHEDbktkoeYLPKZeH4G62FHcwew48aXwo2OENiYoP7AIbot6UvBqU9Ut +R6Tqbpd5LTbURBFkAlO8FhlGxP8VwKrnKD0nWVXbg2kCbNbIqIT/3i0Kj0pHO0va +3XkBTCw4bgKVZN1uhQmfapxVhG7zOmr5782qlJDGw9Xlnvaj1YFMKPOjEcM8UQoy +W8ol1gpk2HuNIUWqWd4yHQiuboPZ33SkbJ3Sl0MRxL/5yNbGE61I2sd6ScYTuxyV +YRsN+XevqcPsaF44vng1YAJS7FIOYXqzcRWCjSLpfOHv1uJ83az45/I2D/c1KbF2 +aYdskJB5uE7zfrQdchWSxkihpw7p79p0/L+SEA3wivpaIhpXap2zEyquj/vNhMWC +bLIRi5Vuxbpgi4/qRydyXgELtVa9ACsux9TRiYaFWKhzLjaBN/fTBYbzsrj+kzg4 +mn3F1CSMhrqQTQJoBks2TVgUwIuFvC8NcFKnXRgQm5AiSTDbmLg/sAoov8Yom/hW +bFJxufP7ykdh61ukgGCtGHV/Veru0XB+K77PqPEp6XLoLIXU68I3on3SaH418LDz +htNERrjISfg13ndMGWPPDBt1f9gwyt1aN9H3j/25fhHdD1uC1lfjT8o0eqeu0q1n +/FJaQECDv38DgstHBMIiDezGXp5hIfeLBjBJZxrsq2yMRZqfB5VGhmkPlPpEiA9N +xV70D5mcNIuSP0xYVfc//UTprRPLnlgIk2yIPDwt0TaGNOV3oQVGoI7MWWjwq39Z +KQ6uDT9RGfQBnEWimDUvBnZoNdLDVtuc1R72S39R5Xpg0RPNHuWr80h0kvx7PIs8 +bOytR8ftfav1ZLXXMQJdiqz8P/9qwV94Ee4e2MeQIYrxV0hN6ojxCM37WRGOpecY +I690oD5Geh2K1hTDsys+jYO3MjVq197JcMHhx5KqB/asj1DlrADLVE9QQ54+5qEY +HhPCe6kjBkMo0GlvGATMw0TXLnw5STwtf/3I/rGOPG+j8m9mJQKYoQUsulpdQNGI +xY7aO6Q/Sv47PDmvo8NlX9BT/YrmnvmXXMqww49gZVzHRAo5MNgi7Do+XZKwRKTz +jB+NUJL4H0WjU0UpXKYB4KVmVlmo2yhNcMCKs23xoUAhQ7yDHIbekgQcZRXtj21P +Yrf/mNGM4SN7NXNKilqgwA4BgWAKw9Hme6mrKqUaZCoEJHkLV+gf1zeGP9aH77Ra +pQ3BS7rg/HRbJHO90amPNh16OLvlxDGKG5dez6/qJxy+VwOYhVr41dgo6hhMQk0n +VKtGWnnvpUzN+qzPHTOTlGtgTC5LqSX5c2XdYi4iUYMDyBo7QOvUl07lg15wEq9N +c+pm4a0u4fsbOTZ5kyoCPj3EIUN9NpTClWQeqLKkZMBf3gnozEV5PfZtq+5/zttL +F5Kwf5bqPvETYRagjAoLl8+FesVJyy352bD9pZteZ8vGAfODxCMHceI/daDjnbp/ +F8rAJnfCz8ap29Uuc+v+Kduz1rQ8nXa86A8mq8tfK/H5sQBOmRTMmwpVRWNVhSpi +qI+Wd3/qeSjQnztouHfDcSo3Xz5DqJLccsBoYOvLJ5XjlxP1hKKtUlItW0dOTGVr +fOjrK0c6fvIUCBRHFxYOgCGkeI1rxjWgm8rCwP2DlFPnAthCRQoGbrz2ezgL+3jf +CX/GpmIv/EJNT/7yzfNfCL2mHphJP6rhz9fzCSJpBKczsXKIP7Zsdm6oND5SVuDl +HXR9KVmnxMabXUFHHi/Of/zENTvJ3YDN2rAjUxcx1mTt1xLoel+3OHEjnai6Z7LJ +hi34dM8+AUsSraQAq+LuIEesR/7mDRCOXtTuN/Dzo3Oqmgr6b5EJDIolhjnN0uIv +QfhomuwG50jOVKdWK3oYJtLRfvkprEKbf17ZF1ASlbM85f3AWFdCSksDa2oK/Xg5 +sqBsFafZPJjmG+WZ7naCPlXSfnxqEgZ/rnrmuWizUXxxxGI83lKOmkdNc3k9B6bn +u5A47S73q0sb15Bcl/99AvH23+hYOGE3pXar7mIogh4rXOY332XJ94jLJhRz8/ev +G0wbjmrcuNsG/4B+nnFKPCsQ/XnY0v53IJSl8usGrZZUuo+3Mdj5t3DJMT0m3KYL +hjmEWphqFBspD+lL4ySHegCkCDK0+H4VXi0XrqRpA2FUu7WNDBm5jTVSfjIdBBmN ++ESCIA4NoZ9sHa5wJD/Zd3rSQ/WWBaRRu0z4FcHTzPsOJ6ly+cxMk4RI49UZBU/y +iYrN5va0q/59juCWT4Ts7MQkvLsB7YRUG4miXMUrGMa+/2BuGB1UhDxHhz3me5Ch +cNvWHmDDwmPvMLLhfT+KmZ2dcpf4jntQTJHE98VdYykr+5HaeojkbS/Xf14mX8pr +EyR6tGJaFT7uW4kbhvouhBc7+w1LbIRZ54N6GGwmAKBf7o6cji87IQE6+/x6FRfG +eQMAb/JMraewuBNFeyqbdo/cDoQDunDAJ/bTFE2RAIcxA75Ux7k5Kv3NOwxgY2JZ +nR+airN8iIutrfbnRHw8Kzgk2LhYtFu6yw0wvxrMEwwbFDrLk5Fyr3po4nlMZ5eT +k8IBK5CW5TseMSNnGXApz3vVf/HZkozQDptk/3YqZXpOcnWgXVaZ8kfhCZUmQDOW +DLioEDjsFrtYh51dsMC1emn/ANp3WPMy0SndhM+ufuw9SpGp0s8LVVP0rU5ldlTi +XU4MiePIxyHFxtlbNgLau3TnMWsAcgmkcpHRX4Vf0169W4p/8lJDhbck08eM/xOI +BC7UVGvYXnWD+5u2P5pLsVN4n7gboTQu0tk6Xjx4E0uqFwjvhqrM5Hi0D7+i+BXw +x5DlLq9UMxOu9kz9GSMiXTDjp0pQ8FGTLsdHzGU/B2KAqtOh1DsCjdbg98XzxwfX +KMlQFTZdGe0e7M1zTUZ09vIvbI+d8shlAytcxGubh+0lClLJCZN0LmuHg6t9d9db +q2bvqPB03jyZG5SybhoJIyJWSlZsIfWaEnYCmLSVmjuMIpB+zZcsFehxs7j2d3i1 +nI0CqEe+6KNri5Oo9rTnku8yKiBues/HEVJ55iAh0lj9VJMO4nUMfIFOy9taFS1M +d47QcXWqFh0TIJE/38msAGzc3VjNdTdAhv2To8jFDeCJUlCJjKXPG0q44ISz2GpO +5q7hRD4nGVRZIRok2dk9dgzTBdhF4LdgH6PQrdSB/As+v007m9m0sxsSFzhpwd4M +rdvSqlaM8d4nDMnS5QMSY8yo+s0bq+URpH78n+9Z91aLHNBuaMOLAV6b5lhj+kD4 +JuPMHlpqyNZ9iWtLhF7ZjKDvDniaSUvOk+hYO4H0NObAdklBzpg1I/1qpCZuCytf +gkq2Nq8wOdFnhEJjMJJ/FQLkYZmRVzGHTIQmjX4xd+U1C3gGVIPNYm57+e29FZMu +A4VAZXhyLh6vXi7UCGTHsZzWX1seCV1sV6HQ6sBaWwVjhrw9wvDXYnWxwx5qsJyi +xQxpK3Sci1KQI0nOyrgMWY6kpP9orUDriwyp93zMpoec9M2lvnzWfWu8Oh960koS +mR5kY7XNyv9ypDLaO+CVMHpUtutSHZXfJq2TD40PRHVUZl0ykzcrmgsJvAwmdQqt +/vUIbn1b2MfCvppxnuFJ293JjRSLK01mdCRAo4Pw445LPI/sE3erB5JPl7xyJUOi +LcJS31ZB3RQWBjD6ZaRWyFa/FKSDtWC55iKb6GcJla3A6MsTrHGOHoRp4OFLFAED +jKWEPtTx48coUd4y34Rlc3dF0sF2LhVpWCFPmRg6BfioA2WrHXu0/nAp4MPDBdNy +5qJqH2EShWb+N8IeXUSa231FV3vvwjttc/dSuRt2kDDuFT6slbcaXo/HEfRi9JJ9 +rYucyW4Ialilggrk5ndCv3HwNrzNtS7/sQRKELR1wItwJd5oMbiiFo5jcP6uzhw1 +zQEvLRJoQU7fBMGwYgVGwYpvP27isrkhxKxMEfTOHdABfMWg3wTSSMZTJmPuJVUh +ME4nJZDKh4RzKZh+ZtUQupZp4w/5Ikmsm7qgcnp6DfsxuZOHqJJ+yHZAGr6oNl0I +4KzR/d0K6wUYqBuloF3oykiiHTq5iSitc10uoD3cnhBLjJI6m3UYrQRJY+q60R/a +FZX84sdi0do2uAITEL1KdT62ml6ser2q9t1UfI54EiCojd6EOBcIZYnuf05MmbjI +8vgmwN6xfc5U9CB+vJohZTVOSX7OfgER8cuOnI6wuKB/WtbOqLN9ZQgm7MGqXkF+ +x/b+qRf3/RxtyR0s/TmmIJf6XE+HmDNTZE+k5XTec/IPHW3LmDHZUFhabDe1M+0v +7RQ0/jBNx+es4tt4cwCIPYg6FROffikt3QjBt/vLEISSChmDyV1bgeVB6td9NHfY ++CsI/cpZ6Mh/O6fFfCrabwiNYGrFb4NhJucVgO0qLSfrKr8BbPSe1GT8kPuEGXYB +Cwom5ZPzhJsMMU7Oi+teuK7ETfFNDlGs89hVrfhrivdz8Kpx8QhtbJPFInD/Irq5 +WMe1T1u2Acp+DUvJhGPb/Pb9UFNXFnBrmVfIiaykd5OwEtupMMjG6c4/vRzPquUk +xmdN95RcWZZO2ivlX2RUcdaX+ylN9I7gT0UrQj2M8Yg+dPn5ec3s023u44gYBTuj +b/9ocz/a9ufg18lbWRAWfzdUe+ML+DaMIkkevyiPct7a0yQTNXjdpoMbkgTllBA4 +kKmywXYQn7llqluOD2hppQ8DMDFavbt9XuLySLf+aoFrAbRwtNbILdEQFnPFkfJD +GpGmG7RaynqLlxZ2YknSX4u141TCWNRui5uiYqsl5BfGP5x5e6gxNeMDtKx4If5h +Kq1Gts773p72m6w6t5rV5TPFAcZ1uOQ+/6HDcPV3EaKru0ryMQSy7q1zkow6rG+0 +8DamP0/3XzPbruvB7NsEewMtGzYp/e0SZCEAHbNoL4b37ILys3RErqt7N9dzv+3u +WxG13anwaCM/5fAiCETxov6xl5XCZXbnu6n3DQIw9si6mzYVo2Ebb2XqPkDgujRb +Af2UxgXYl4B51w2oM4lX4F29QAh87wHzsfsSWaeOafZoOwkfSrcc8V8Txs800fjE +4WXQCBCxg1V8GWKhOM2M8vjiRxd30edYTNEsj06meLaoBrWn3AgIZo8a4T2yeEGl +UJPC+KZs2PFci0gkM8EmZItCIsTt+Z1yYrIAeCCYxzaNtiBxhMWuOvwZd6wi++kl +xWgP7bz+tQwJQsNDROni20if1ThDjTZFb41sgdT5ViyFJdJZrjTiDNj7GwbR7hgQ +gh4QtjPAIz+lMgRCURDXgujLncMKsPeBsTJmez5Py1cy8e4f9odNgdzASKk2rxRa +5KlU831IxRn90Layt5u6B6AjoUGvAYC4dvSyKoakqjSv0mgD6UYq1NRiMawtHXam +/CLIBMT/tQYBKksvPHs0VE+MOK4iUDEby4QlXgreDpLwEBW+2Ss5PkccCDWJiT4z +OOT+XFwnKed7egFr9yC72YRO14iPzz5jJcXQKZlya7F0WxEhjJ7978GO3kI2oqXt +brH5tcMjk22UOwpjAe6UEfSnr0JKtJwUkKzYX1ZzkWC1WwqOIriZBXyEv1CWXlK7 +LziZcb5Bt2JM9x0mMKKMnE4FRje7g0LWH5G/G4uFCLlG1R8yDEBvcgrlpZuYI9iB +ZgUmP8b6pjeUKBI9+Mydvfj+yeRw52+34CTPadXbiIVFoneku0gY87IeK6ScLJzm +t48OrKv+8O5Xk9FQ7EsmpFmcXKT9/kbClVB8qcV2etxbY8VCDSU1QyvCY3yt2BKq +g0Njp2OKlA5LOqcMuBvc8qZ5HhwUHcJ/XKQTRC/GLu34RNQdRVXNYtMuvtInyfuK +BMqCM4e1YZXqqpQezyCQZgtKJ2K1BbObaavs8vyICp03fPKHxBd7mPzJOINhuvpf +u/jckzo4PmwSbN/bXjaMApfXdcE5Wz6k2jOtoV4Gjd8Gtkske2B1j98fj3Npljdw +Kt6rMurmpwrwH499AR1sx7BLVn9yGpKNvdg+W8t6+/7WiPCHDrokoUQPQ3yVgjrt +hlkuEWKZ+LrrYEofbxhY+il2OVcQij0VRuFsmGNAMB99vl7JHICzGnrA2xX/UOuh +dKz0qu0qzlrt+5YDIHsCIvRn53Xw8QbB+ypzugRcfMupdhY43RTj0xmUzvosMqca +ODZXzT4pUGsUdrCGN+et8Z1Pbi4/xWh6JTRlFFy8q7kD+EuL/U9gEfLvgVg/k7XG +O+EHr8jQoGCkCYtfM24kNNJK6e6PFrZ73HqhDzzp/YkIWlCPaec2ntlkA3KHoJU+ +Sblw0oWOtYtllHgYK/OG9rS5D3PYF2EaXqRoiS02Xoh4kcCTUvWxVuJUJrH1y5Np +k1ctskrwq5DIvxVOHESfkSTyxe7TAtSRuXh8kTHdaIeE8ltRnQwOQ4NGfENBlipf +BiEaBmfwe0/SnGkVDZ2MawAz1TH5Kh5Ju+s0F0WvEaSdcBdr1TU4DnP3OjIcTAuM +GNSeDA94RtNSggCCTv9U2QMc4g8FOkH0AcPsP2eUv8kDzD3oB2F0/GMTMhBoLhx2 +JQATLGoSN/o1OFbl6BMZIA6RI7FO8Rre3KZ/yF3hLaHDQdBoC+CXTD/UpKFK/Mch +/NQRGspu48u9dxA9RZObw+iuOsZqSYn1Y5SytxY7FDB02UuzeoAbadzxBXB/1q0y +viUkNxVScyJaEOmtDptgPGvdRwJqkcWkonz8F4PMVGnf0E6Pmq1zCdvv0zMy+pb1 +vNMH88iwk+CKMEXudnu9sP/0Hliw7Ev8FD9MgkTRwQn02xRVt593u2HqIGqI5KK1 +XDOehfyCQp+QVgBEUOKHS7nMUQRGveUabXX0L0OnYS/JL7OzsgoC1qYaDALaoL31 +dwjZiOQx5n8VRlRqk+V3hYjP/yVafOQVQzXIEw3kXf7UvmnSbs+nwyrcBBTepPUj +r50avNwH1Q6iy7TCS6WAejzyyUySzn4Evs8d8/spcu8QnvSg+oim7ENEw3GBAEPt +EcBWswlE2wCI5d4Mk3f+Ulo84soFzWTZOHphH98P5cuMUaC0huX+YB9jgTjmFBS0 +hHj4+YV1abYgAyXha1ERznAkncLSRYgoismVGa5A4HpRai1Spr7UXYVavLwr8RI+ +y42YUihYmhb52vprnAo9F5807ocS2C9jp4eMgBJPqpW7lwmZ3igkIFIH7K7WIgGB +yWT1jGFjiOrPyr9ot8WbXPAqj+6B0VNMXOGVOjuZm7C5Yb8kN2y7uXZ+aF4UMOcZ +gpPy9oGrJJYsxfYXweRovvkT2oJXCZbKw4peI99eCTcdN7aN1vvlFyoHLT+Ksod+ +VplyF5pVtqhJDLZEHparwTQIIZTNB8kt1YeM848hcCxZQpLR5TqP5tH1jlilfBWT +GBUQszlzyYTw3v9c7wXtc0cT1ApUrOZJ2Hw4UJfj0pEoghdUAJCkp96pBQXNJxV1 +DwTAX5yrLz36WxR2NPNrXX30iM58a+ac4tEmXBA70Wo4/bkPSzlBnAL2zaPPw+MA +00WHRe8C880R7zmaOvxNGDcTtGzUblbECoXnRnCFvzRhRVByb1OzBX/yNa00CoYJ +dq/DzkmIQUoSikER5PSjtHdx3CT90OfPLN7v5yLVw+mapOk2fQcPeNG91+ql4SJR +khtR7UbbqTirm/7QWhJ/xfwJXu7fUlP0hdjhq+bIXVYiXFJ+C/uq1PIl/SMbp9Qy ++Ym83R9n/hRmS6hgwvl5RGXjWu5ha62CrT7z6f3fzBZmL7An97J37rwQtJZI/5Hq +7bvOsBvDrAMgBegH8B0qGdhLYewarEln15uJWhVdYh9X/B8Rfq65tL7lQqOlzGwV +HCIGRqV32vkQCXtRSuYiRpGlvWinkHrEsKANCvkXC+O3AI/5q68y8TcFxZi2w//B +4WBogsdzE+A7YACdFidnNdfcqv/3JfMq3IBOsGCDupEOQTq8T/aNOS4MGSW0W/8G +VdBtqWMvpihvmrv5xXCeeQM3ECYQH47dV9lEpInshnR7ln2h3By37ksLwIVMk05c +bRGPYP0bT1+k0gVYKexY0NItJWRyXPXOGRbCNkzEXn16ZVcTxBfSBxNTnXGtTjLZ +Jm1Syh1ShvWHB7hxFlHFlVj5/W4+epGF4dy9+xs+1leUW2hWqka20aKnIMtIxs32 +k7SG3fvDP1b0jf6COz07IGo1oiklPvLgh1Gbk1dQ0EJiMGbiGDVetsFavHPtjvj3 +CyrwdEslBHrvcTiY745u/8wQbhhmJuBUunWcPL3U2tdQpxpaeZbnmEQDlpvgGYbP +1V0eRL7PyjJg75U+IW7hA2Grm7Lwr9s3fq8g8/bTJ0jewglPKF17++j/cekHWiOq +Iz6SH7fSaB6qYggoY+ml7zp68T+7bsXjzjqaux4a1fWaVqyUeF8xd6BDC6114qbI +uz1F5xG8KzC2IrCd9j1l+TKl/CixZD4MedFNc5rFAlpBsIM1az5zU2LH14WzDsDn +i8NKdxnSnRaJ63tYku4bcYuFJpWbXEVCyMx98RdyCXIQCmjb0fgl38opsTqiNO+0 +g8RKx6oVYLWWZW2ulcOAHID5V6pN0HXIz1QAv7M1aszSP6F7ZvEJCJFPw+CZwOg6 +K7uaBfPi2bzMFvlTxZx3KdpZSVGXjXcqUnN+9P4yG3kAx+TG/SrJBc+T/hUkd4Fh +bsM1tEH0YzJKjP3U11GZ2rzJa0w5HvtdvzydcSmiSzHod4hzDodJE1MOgVCLN+9T +LBZZIX1SXljmeq62qP65EGJIIJmuzeB6FASQjtEPBxuKD6aAg33Isnb3Mxlr5G/7 +HAR7eTETbIO7f/fRiOfaTt9R+4r2xps0HNZrLw8uooJfbmTlx3k6PI7z3neYfr+1 +bLNzrB0NjQ4tvw8dmj/064a8a347Y+hFMYx7gLrc1CU6t92W0gGb8V6olMebpL2E +PThlg7qGSFK6yU4TgCsODJR+1tHMIEQ9ImqwVSWEDx7egx3LXvzzlKf5mLj8mK/m +8Yhfn0DStLCVqAS4kHTKVyEOGBXmX9e1y/Jkz1pDnbjMasNJJVcbkViw5lKfMokC +PZqx9B9gQG7H6TijRDCRfB7joHj37+d0YtNa901/KsOMGInF/DtcfCwHlJKLpQbk +CjaNaTfTHPkNasFPbGd+FDgyKC8c+d7efGbqxxWY11EiS18EjCSmNrkmsayDcfpI +w5t4MQX4BrSA3T/X2Nyx7LlXLFeq9R9TNHugpKf+GmnGL4/mrLs0QaegOOnlm13A +OrM7hhtRxcYjqYflcB+K0qb8r8Q+NNGxyHjZPgICd7aE2vregvkw/DqmhXdgHVYU +TGZn5CmrQDSYizyvKMFdWwTeOQH1K1FxEiFhDYzT3JNdayhNqLWCWOQNes+SgYri +O2y7e1MWBIzeKTTKS6adQCeW6t4DRYUZACqpDf5FNRAViftO6xRz8tsUfnohHJlU +Xp+bEmqDoF2OatvzFhsTCnQtdZRQWnblTejSJFM7MRyUDaUI7y4EUfPDJjwDBtWp +1yF5nNZ4uMPdfadmTy7MPpqtQ9pMk7TrKGr1+VkiMviSlhg7YfbhDN/+i0CllcOM +O516Nm8B0aYjHNdtOr/RPyiyQitgVMTEbbMyb3q52cItkewXZfbaslghTfMV2JGE +RERavZuH+GbO8gCVgzTtC7690O7A8ziLEEZPGlB48BuhqqvXSH21bDFLwS1RzmZ1 +TycCXdd4tX87lNCTTG/gp2tQrKKr1NKwnt1wDw5sEciDQM7J7tEdJHy/XpR3jqup +WQrI3P4UKQfjW3xFOKfRlixbR6QCPm88WPtHntLnuawgB4jlVX1PNWAUpDrI1rz3 +8vF5dW7X7ipYwNNr0dr9SpO5lMfwenIVtDhRfRp89HuRhmIgQWQkPne/NblEfIAO +EcvrH6adcAteEapDMj0rr9doQipsM+uIu4GGVoaJQDl31BGCxhvegZCH1Pu262or +PnMmWsHwwsF71w5m4uLoUjB8FL4Ue0D4dWiJ+5DcGMxs6kOvH4XCu8wX2dTlh7Tr +HHRPv21jlkJDfhRsPkGzDiUvMoNKP/t8A0OpAoAArTvQL/Op1dJgZ7gEEr9mwSl5 +NrfNy0PI0iGaCGZSE8Zr1Ef/3RRsFDfsbJ/DoK3C5vT2jm8chMXHQ7/8yq1qYJ00 ++kHLvDK0ds0idykHBOQ00VV5ovv+JnTgdK6Z4bv/SoZWnOcTW5Rm8okYzK2GHHx8 +/CnRKSKiG7flbobuEt9AdqPd7ktgycfSysS1hrD99EzX/DYUhMfFVApy5ZpnHyg2 +U+k93PDaWw3ea5Dcx6BgwgtLHdVU0hdzl3pEfW5T5pTJ9PJ0s/7iDmOSSkfgaBT+ +Eaj4FHtWbXJZ40hzXig0HM0HKg31LchN5sufq99AP3lEatXgeF7XsNZcg2XYOSBs +eTWQyFgQIqi/HJZc/4OCimwWRY4rnJS8KHXIB7YcbLTL3UdZao55GvzV2WElv5ut +0o2/Mt0N3Of9WOuA/EyPv0tjrrKdYpLk9+OcY/r+K+iYsIfec5XyqZsVuBUzeqg3 +su/F2bmIe81KKLZon8EJ0eKyu8rXgSSZepHT98shCfwXbQR0CLX/K7xgBHdJXqZ1 +Y3Bq8MdhAnkUWOY6RKq3jxpUmlKKs2DF97t99Zl+CtpsoUczlM2n8LrFv5hDX1ZY +tI8uMeS7GhKQ6BKDSeQs5KltY1almD4pKxQik2Hx/FWUMAVVIvPZHINgcJOh774g +o1K4UCwC+sC5hqwLjWsuXFZ1z327PKX2xJe8ZzUeox4wilk35S01dXy4iLSGFxqO +egy7bCl29jeaMBIKBI8wrXKv1H4CwXprnmRqfvOla7KcvGEnnEE4wuLzEq+O+BSF +LHqVpI24LfyaLeYzLaFpW40yk0VvgI7YX6tde1Sc7Er9iW4a8b9QfvBqoUggKJR7 +K8YB2igZEYepYqq2xWrwDIpHYJL2o+92BMjTCaCqriFzCocBu9JlsX5e2f7knRdi +vmi7IwN5o/M5CmfnP93rTVHw8eQv3KLGkm7ac8d/lHnpV+e1Z1xwpDx65NGDNMHJ +tatRT230QyvXgpF515eDZuU0cqagZSER2TQiNzxc+G8NlMZsboVlnv4GBDw2Neae +svZCR42r6HXtn5AHLekRFB9bAbgXCffp/ebLBb6RQa8idOWxfzHb3WIVgFf/ctgX +x+O67iJzh7w5pEnO5GpMrB1dAGpshF5dECbbE9AO7J9Kl/Bnk8gfn5IZW+xpFWKR +HkMRplhRAdnxdfsDW8aW1vGPS9um7jgxKwBPevl1rsDw4nPzFXOS1ba/vr+8XokP +NBAOE8VXsokQ3lkoIHDRz/qx+lTYr+6J+PVA/OiBKOD1jgXll7T/BcJncHMpzjc0 +lJul8hUHsMpaWHRr9C3NOTzJmHdoQO0tL6dyhg6Tt7Ig1N/gPm150GbY3LEwSEsz +cxpG/mUDoow8iVjbRHNVY+oxbbSxm3RU+du9rEjJxTUhDHfCxR8ebE47LLG8PT2Q +Zg0znTjJoUkSQ39ZHmWDBhGmIx/M3wlAl22O7JEamKsr94ml3QZskdpd1WqAkshS +UFGnVcYjI34EabehivJn87kCnCwDq35H6X+JSA7jvScU5byRJ69Q8jN7L3PqFGE6 +Zla5GihyMZUvm9NtqUAiJc+yTbKZW/pmqbRIPjk52rGmrRvJur84qmP1LplaBUHS +Gg+KrpQVizzUWjQVr40lmigOGsk7fz1FK7EpPr1D0V6rthO3qXh3RImGPclnCBPj +3miMSVFY2JdoPNf2DAKCSekc9qyt3Vfbu1FnQko0bhKHCRO1OOanNugCsA8izd5S +KCv/dIX0jLqSc9uLdjbSQYrHxP1IDZJ2tDyVqTQS8f0QZ3NdxekoTD25vzqV9+5h +JISvE2ctFbbuwap7DYdsdAqMXrn3QpzZi0tXqhAlXjhZwrVbUt+fg9TS7MZCdda6 +J8u0p+++HTmDMw/+lNQKOIQdb+yKjBMuO7SNBNANiEhh4kX+zDrcA+M2jQB1fZLS +3I1xKrxB0v2RidNrJKt8zuplVZMD04kIH1WLpM4R5QkLMYeqcCdr+rxm1b4Lu8cj +cYTm8/rzO0hUz1WQaWou5SR3kRXcOjDwqf/J8C1MRaSh9tzlfkb9hI7cF2bw06+E +gP6jGTm+Bg6OqQx1ZAy/d56riTxKyvizSz/QUoCx/lCIOJItc9BTA4Vqz9haJuFz +XULxw20cFySPXdfI98pjc5K3Vbt92fQ40IncKbo0fndha/FcM3eYkD7ASAyX2Lkk +N/exbllyfkysW7g3w12Iz4iN7savLN850GcOlpuPY/fFDNP0Vqpozmnz6BDQhROi +h/NvszFxY+zU9YurBiimFuGZY44vaQ0IGKdCqs9Hoc9QcBfS0YCv7nOFG2Lw6MTd +Nlhv261X1g+bjqvrBg+WMRhfTYp1qNokfA7Zxys3GH24zGCIDSzRyFGF2/nkZNAm +Yh0qJr9sxQd7ORvrrDzydU+1UYpS0Ak6saqdco/UHPcqe+Tv85ATVz4ap5irqxEr +AKTq0EKG7sps1+FL3KYS7KDPYG6Pt+6TUQ8LPqQWuDDdfjVrHT/M4mg5G1wEDHCK +3IHlWGeMYWzrZxjahlSf5GYyo662kXJmEiLMnYt5S21AmfTMfFoBg7oeCjOE5eUW +8swdlR5M7rv3NoXE6WvXysltGX1a4ZbuhH6Kven7/sey48NbIO0E5a0x1/brr/wd +QuWgy0OFoOO0sdLXzNLUoQ/sdA+LF6g5Lo8IpHgUeni6qzjOpp/55M9E3qWDjTZZ +HINytjdo9xucM0Jx3KMKv3poIO9B8p5CGNRjJc+MYMn4872M9I3q8bWjibytm9II +HIczI8DDYw/bc2H9tIOEykOUb2f5g9gdRdiO7OKOMwb5Sent0EX9mlPUQUN9Mb4J +yVQNSN2VaN4DjhzPl/S17E9wxptPJK7k8xsssvgG7Lp9k4tnCresiNpBCXE1iQQw +/IP9renNyv39cO00EhcJMPeJOaclZaOebwZ0yTJJ6LQ1KKJhQGEdrFpH36JaupHP +T3cRsVfTTm6+12DrZPW79H6cq2h0PTXGqLY8fKNJsX/TWfJPdE3WITjwqsJGxdKP +taSfe0B5bdzHYxw/XebbMcLzfEfJDQufpjip9Fej/80FFU1lgD5s2LqcI7Kvwvc7 +wj92299V2ppPXK/kFaIXMv8edCjEHfID9Ds0YTkiSrzoWr5UftD+1pnvZBFcvhqL +bMkK1VVZtLO9JWpU4jas1XcA9YuTTbxpMZCdTr4FRlO5+ntBw5RdaFEOrbHEhM8f +k4Civd+yC4QPck8B9fql0cCvob7fdVeEgUQXS2Rkook5fqb7DbBGf+fQGzRNeN/i +bxJvNEZIKdLgUR2SXf4of1tqEsgUlxuVQNsbAzIxzsxaUXZzEEhoao63HzAI5rVT +Ua+eYLfPm8p+a14wJp4yr0ich9jupL7HcJIkKrblEgJn0/oiB7uyNwwMTDYaStZf ++WEyATOsEGnt8ZkovlgonVFVmB/pxLyEyEjm30hR4H8e3rGpeqqJz7zDjqeVa0pQ +yCTx04y4jIJK/T0IIhMBdEWfLf9vMqpLW/ROB30mXDRToJASSCLNizQSY71uQM6N +x4sNk73s5QCBfAjSyTp3oDwGJKZJ2DTeQ16i8aTZlz42R3U+ncMm4aT/Bb8BVtSv +ep3WCvZMl2vmdYObMIWqddnBQMxErnikE1G3cR3dypMiyAlfAK02au8E+AMXkggp +f7/3J92v4awFTyG10ftDuTw3SzOVYE9tkmZLPDFwBi400mEkdvc0iaP1bylkaa4Z +CHpMZqaT88yrx/y+xPcP5Y8Xjj9OnJLjl4X4ukykkil2r2j9juwGtTXLr8dUWGiI +HaMlfGsMPJZYefHuo8WwI4HXqoAJYCSzuLaTnV96Luf0Zse1fEo7mqoT19cm+3Fu +D4WaI2ekI+hPhpyMrItWWZJFc4pUcgPKw/ydjeqsE4ImYiIZzPuGyeYvX6buz7e8 +SjjBTbJ+iKEV3t4Kdc+uKEveqQoj0+SqOk7kQSe3OiOG2yCL3edVH1GyuoF5pTkx +hsVlIv3gcosdC68e/CQ2tEKWGDpsxWFlCerrx89ejg+WjeO9hBteEJahulgH3B5Z +iJBnhpSbwPTfSVGydX6HqUPXY/B4Ro23n9HXmh0EQWuBdnTLcX0b3j7uK6gPsA5g +OObUIGKd/1qY+bI8SdBNPzvjLoJCpsX7aL4NBk4oUK6QIUvP6F7RusdDkOruNOnd +HI9G60PU/fQPyYClShPW1g76R5s45mMdKzE8WkbRpzH/hPGuOagG2yPeq11js1Yy +lagJN60a199FL59fWGaKgqzFPmSWIB5iuIwKS+XtZrxk2Gw4msYL5BmvWxsQCZLU +REWP5lwuChkWikMfyiN8zYWm5kLz8gIAsi8o5KctTSShQyjWK9Y7OdjWoxhCAn8H +DLIG1epK3PhRjdwcLWkATyeLEUi/ug40oQcyZWxE4ZgG3EjmwSdFMFn3xB15F2CR +avMF5uY8rsVdx20MP+zvlgEF4cBkEsRhf35HghoFuFQzW3tkdxw61MEXV3lKDuRM +gGaoEbR5pxA1KqKnVDVbrIjuzVVea6aPVYAj9Wl7rZXct3AX1QG6E2OGYog7BPq5 +DmN6GHk8pnNWhrAZD4RMwM6Z6fLeIHT7FppGNP1KwFXDd9+R/xQKobwniwoCSWqZ +RYGdLaz1MHcPQuIw9ofd2nRRCqdnmBB3zLc4spM9saablauLvsWFmCbCMeCwRU4y +egHBQ7pObjFiMrXuM3DgQGt/0B9TLLYT2Ny3x7sPJOytcJUmgnqEzFgVQbtS0QJB +sbL2aHkfIXC1zjR4EU4H4SIuQn1RszoZH8ok7+k5mkd7AelMpbBaE7GRVfpuPD9r +HwcMiTQAvN2cedu5/1Q3XmEbiXR/26iMEra1fTBhep/MJlNzI9CopVyQR0DathDF +wyPw6Z7wBfimWqQqsHWQ/Db2GhuXtJso9IeYVhwdM6sa0+c6G1G/1kF7WMy2bipi +jXuAfm2IYiQAIqRTvcwD/NCbNltxBsnNz+SPbmAsyPGm4H8QqPFW3bGxmLGMzLSR +xv57dLe3tfavOLluASqrOZLpXhw68+foOv5FHk7Q9yU7O636t5w3a0JYc+q0PxM2 +hxFui6cM3tL7soEKRfFsNQak+8FDuThMEueLSqTmiYn2s3M+LbCfupAX+ePpJ9/j +TTLuZ7Y+3osMvbbss5zi1AEO1ObhnRZIz72Q/9/O3LAUyEMw/AjJLcsW9J+18Dee +059Oe/J4z4at/wATxUV6ko4B1ajsxJ6KQxWTz8vep395ipygOOPr9cKOmX4xz/Fj +8IBH5KH5tw5my0Zi5EKANNrszwVlBScfsF0ZwYRhnDz4CP90wy8Md8tD1lD+r1Nw +N+SsGhawO0mP2EPoRwJt4qKz6grwBrFNzCYFDhETVitgrfkvycqVM0pm9TLRVcaX +eoX5a/pF9BQUYypzi9RPkbPcN9ZGgdr53mbx5ytE30Ud3xaLFF4Y7THCbWeiO+no +Gy5wOkrCTi94CGra5+GBggimtCanHfDQ5oUlsUXNFQ6nHa+jnPhwboM0yeZdeycf +Ai0iqulFMsJANL0ZlOOX30b0xLaCOrtlGssoa+7w1Jvj39Pbv+8HY3ioAS8BnQix +63qz/6iME/Gu+/Ghjc6wKzXltBAkau9OqbzT15MJAvsjHKt9xjHfaQYj6la4+1ow +t8ZsNXLLXrUtmMcmBFDeICH1spx1OYGre9+i0YQcMdIrsyhFG2mPxTynmzamwWVR +ETxoDyB9v5ULN+rUcwuqVtOmxfwjrjJA+32xdektXA+7XbWlHKrB6sggVBOQTKZo +36+3k6UVfA+KtRfnfkDIkNi+s+TvgpeLOZv6DeKc2GS5gtWIrIwe1geY9O+YKSGv +CR+DhvW3sbodvutisuCjOVy9l56RoCGIz7DMbPlqER8VKS4bHxFwfqLW1uMoZjn/ +h5+mwox9JCqikMLRgJYlzen8zu0NttEoEdH+vHPPLY1ws1AEY9RJMMwI0vWX7Wcm +Gz2dImi+h75+rFy7EMpopyaUPkNqF1Kgp6Bt/oJyuqoF9zoYF5n2oBXftdhZWcxy +OurfP/+7RHDQP6IMXkzDSWD5qzNLRSRZk0b/6F1BB3OOB+WHGUiGUqhKaWDyX+BD +YlIJvqz+lPVVfU/uj84Mu7+DLv5zRx6hKu3GtrJSA13PqXJfYQwNK7r3U/3q61SU +N+xDKGXvSMKnU6gTVJASMw5zpeUyAfG32MP6EPWNgw02Bff7/03Hp6viw133JzGB +wEdJlb/KwLkJb3I9Wq3X17NfudyAAH/ajH6FoDysNg1UjhDQlBN331a6mSNStxrN +hEAebyjFtG0DoQo6TlYht5J0etsIxdooeInwC4MFUCzNDhSzizyog6mYzmMwztiX +mcIkGKozJhoklUWfcF9YxbjCMEwtvZEZeRD5VvDn1aD2ZJXGYazTJxzBX0vauela +m6FbupyKO9d9Q1VCjgJtixqLSa32iBiq8nnc9xWKWmdgehQ9JNkGvKEls40Z3+nu +JcH6fG9KLC2uSwoiuog+stVu492VKNFfly0H6RL3nYFBmsyr1n3eCVK+ScBcw7k8 +p9I7hkQLlgAbYt5psYZmpy0JM/2HY9Hah/iMnya4rsKliQbrIiuTUg8nHl63Ljzk +CSXNsZJXlWlG4aqeWeTh5cY/hHOxnE44SKo6mCx+KmwMoj6MDZEQlgCO0Ae4ww2E +xePUs3jDfrBZ2mgBVCE6zVC5/rThVRH9/NlMV6B/x5QVlribFo3Ja97zv8kp7aYr +13Rb8b4bpVQVB/kZTkG3LyRTR2DZ6GhUuAAFBUW/K4XG+4MSZhrp5tuQqjt+vZYs +6dFRYEXDxTq906SFpwYWPEziq69qYHawj4twIGnJpvrQ4wFQDfLnnGqX2S/OaF8i +P/ADufFZOBglKy1od0MDIlrr7nJCNxcWzCvqfvUvh3UOgtpNIW74RRpoVGz2oVN1 +pirKIYNkfXNO4F/RDC0YOc4e5clrtaK8pXvRB4hdfquMOCidWbk+faEp4TlrBgE5 +RkJyAvoQ5X+x2dvTwPNKgX1HnEAZ82kITfVwsd2kCGWdNY09N0NZ4Wt3W6GawqfV +A48lPmtk+dXV4S20dOwqa1yGKLETaFfQQ8xMagbF6Dk92ayemi/YK8/7rnGxoEVY +uaTZs9nOzCqtVBFOYD3b+i8j8kPNg5eQRuqG5blUj5WNDaN7PR3TVommvNvwQpg8 +1i96c5oDcndfHOm94G071iY5whv9qnSlCA+5afiF4OdbH6WcFP8bx1Mp7cVkhdHv +xgCBxD5Zdm2nx+0LZh2FyJs82PvkAFT9wC1sseP0OMdGcHV/dbsk65WvOpn/kHcT +PBda06y10Ic2aGWJMN0wsF1VjcpJAOsZ8IIbVIwRlXsa1WTLu6JBhnyegHhtHPK+ +baHML4w5RcrCMYwFqebCDNCRs6xypDpTsM71JNeLUHYC4ICbb5tAgHmrVYbHz/8n +2BNcifyDr6T34h6iFYWSGw0ATU6eNd0JG/uuZZ0yTAYaSjEK1r651uCa+VPRlC1S +J2waqeP4WlTZ0lnQjnRFMpi5OgD+gn0TAxlqn2yUcvjJ0FNdBn/jOaCMQOZDmGlH +D42BzhDmzCY4PlyKMW8lTNvlTh/Fo2BER1gZfk8QiN4TrWHLbyLIPY8sIZmWPNuD +MGGtIGQUU1JQavWse1iTSxH+MrFtxI3GA5kbXqlfSiCok7SwvRIpwcc37c2Vk2tK +0D3p+xOuFMiCThJulAeDxLdOw3cMIAWAL2AfC5Ua5V0N7yGl7PllwxI62tSoCJqR +nNS+m2pku6VWnvLx4dRQHJ/di1GtOgkknRbEe7B1Ak7xyfp3/hmpnMeeoOmuaB18 +KQoF5n7FPrHObk5alDsuvUVh8N8+vln+mXH/tsMdynmvrMLjmVtBIKARNgiS80lW +QCAIcsZCDAupL4NjzAPnI3PNgBKv9hu2Mt/PBWlbLv59Ft0RmuoHsXuUUIep4Tz2 +He7feRq4+1M7vzJ42Oqwcdf2OoQ5ve3Tm50HjNspEzh715DNYSeAIY0xWbx9cROF +UwrnbLIDlsSPDzeK25z3vvDysVUbCSjRk/4yy8HKHZI70qUBv4CMoBaC9zF2eQri +M7045Q3ziOiXaTGFZUXKfU9aeBLPLUkY4h79sxZbLNP1giZmdaDZOd5S83KO2+N8 +Se39GxiQDTS0/1ng7PX7FjGna43g6b0NZjCC3XAkeUPx6XVVw1B5+nGUPTKiLak3 +/2R/f4wxgfz6b0QYJ8d0VMp3QpyJDu+56gKFzPpcQ+CrpYFjGE/LLhpRyYvc5Q3a +1JzP7KWcKOXNWmW7OCGBU9gDpXNTrs+Me2B30n+OzAmGGt6HQNILqaD5yV+hmRAZ +erj/deEuKvZj1W3ui1pr4Zd8IjtXC4gY6bYpeWfY37ySd7gWoF2c+yr4z16NlpXN +Bfhkp+Q6hiWkpJYgwTyu4xm86rcPnXb5llITvrQBfkNWGPvVDP1fYcV92jIFysb4 +R5PcH3OQdLOBMBWtSWZWFXGbm+ks/Vqj/05ZOjwrcbUisLu9heCXR2Wm+SA2KVNp +JmlDnH/UPldSkREKlgTDrRzof5MEZLeir0zNYwpfdO7kU1G8EYNTHl+kjTFkIUTF +ExCvLGNBDkAPHTuFNBWUmTIPJ2opc8+oNgawYVNpfpQzp3X96NZg40Pdrzzej+Se +1wTknDRflhB9AbahhsY8HJiPbWfiuwtf9/8FnWbQWUWT0JLTNZKvD2WbrXFshvK2 +7eVfUSGIVvgA6j9+jPJlkPCnOIdvWoGaQMQtCoT5WhwSsvGlzonuk58AmnVNirZM +9dS9tHH3Rnl3m2KriE6i8JHV2sZPX7s1WdqOK7dK69QnBTZmluauEgDRhhoCa2D2 +V81VfoQ9bfGgiUGYLNSe2VeG3LqZpz9Sr2+AkLXDeX3gvuAXO6yyifPxK4WeAwdS +FdIVCZ3IR1npJ/H88SuCCit1HN7ngQILVmUUtWV4CuKaAAzmW50WpIqp+pEtDvmM +c+rB7Nnge89IzbH7NR/NUyQ1s2Bns2WcjwsILSIuyoI2Rxll9F6hZ0Tvk9t94P2E +kx1tHT/fCSfw3jXCFS03HmxQNon6I8oaowuSWsvXMujhq2PPI2CbKLzbxH8aQys/ +NrmGysqAlfKlSuyLDGSa+oaDAoP0fBZwesooDpFy1ecrJiRE6JrnQGbdrIjagDVU +y4VFA7JUm7XVpiBW9byr61+LsmMO1UG3bD/BXLyN3cgzsMdPQB47OICjBsSSUuST +NtO0pi4laVG00ZA6uLzhTbJ3z4EKxiFueAPi4qh0CBk9mDVgwCClk/Ft0ckpNehC +yol2alaoFGqaDwx2pRGRNrMSfoOWYejFt8rCYrKVvQDcYxIMHkxdrzkLYvMB0aKy +oTsekda65ntKvkbezTmjM8cj/1MCPlatKD6otGxIcPwL6I98qXF2TTfbNJQlQiNe +YOXTRRwiW+Jy11cfztys3U0QiHFay43p+yIrQDuUx0VonXNorypzL5/jekleG/io +/oDTekURHF2hwXwAtrJOKs+vJBFdZGOy6jb2NNz7Ni/kKmj6y3qAdfWsExWUI5LB +IdQFZiiSSoCJoqRKAqpfSYe9LP6Dz20lhA7FVWq8K7JVOfBlBeT+uSO5twBuoUtl +2TRxwSswxR5jRbS1E3Erhn6VwnB1PH3WmV1OxvE5EkYv+ZK78ojmOhJFStT4g/W0 +QLZ1aGxA1IWN3OhfFLEdSSlrpvEfipu1Xp1K/jS2toosgA2/HF+wsefXb5BoaE9r +GIdS5g0D9lfW85jHEIXJDiRiZd9wvcYSYATVEYqDGpPJ6aNCvwkw9rPrgp3/pxrI +2iArZcocUnlMO9RflJzgtr+icHTdmYMNvXGFYFzDYOCp71T6wAKMWl0sZlo6OQUv +XCT8CWpQxp0vX+/2dYKKgFfdZKpMlpyXsy0sWCkMk6tHRR+jhoCNCzj3M+8NNjx0 +hZS0D+AOmpHvoKyHnlsQS/7ZBpa7S+Yqog9VpwQOg1GvD+HU1WifM6ezzPrN6Lv2 +LR/qXr1TfxYJaDCMZPSK2ldDUlR0XCUswE8UypnIhYmsUtt70qLK14J7YSncllps +f1MnOD/5IkOq2V4lBb0zJw61H1/f2bFibrhgmiCcORP5fapOItSye4NIhddfAxp5 +G0gvNiS9zMThUocKaERzgogte8I1tarTueRNQ7LoY3sAIFp7RzMrrmClEwrkKqBp +g51Fl1n3udTLTAkBZYruJToUDJb887GgTV6Lq1Xvl5riDpedgRsg2/i0/wXvXkCW +8kD8AfSc6hhS28OvD0Bv90WB5FusT9PpXkNBjVB5JVV19CvCoMpYL0On8XLF1HKZ +Tymhg0ibxndESnYZQ9nd2rXDDHgSs1yztsYmTxBbiTpRBi3m9XC2SG2tARSTRPtP +9sRVqmd+KaInF6kAIrgJjbS2HcRMtiTO/J7ETfkxZ7Jf7ZmXdO3M3LhC1KHsICo0 +jLabSabYG6tQfrswOol8umsN7BPCTyhTzBh110e2+fl+rfuG6U3XCM5tYt49Svcm +s2aIHFdCiXK+M783KkJH8wLsYbcZ7f/lMuQHgD4xTmcylgltCQ051nevQfy+qyZz +b0wvSbd5udETygF+C9TMOGILB11PSjdHCZEfnPJMBd/XYz/x1kVkEJDGYLeRCC96 +3i43WLcg0B0WmddWv4MB3ax+gLUtD4WaM0LxKiA0k1ctO7yWEqVykyr0q293/982 +EpiSBHnR2IWCyU7cRzNwgTx9/4KGG05cPR8dWTCq0+qU5c3cAtt1owrFFkO4gQIT +LE+u2f1QiQsva8c1c/fml+7uWNwAvKY/N9xSoEZV9gKsboeRdTVfZJBW4djOlKCC +s+nSg6W0MXeCXb5e+HnGpAMo79z8/KArANw1KTX6CzLmuZ21BFrwGQPlazKe0HM/ +AdIYh5/DphorDPwya2xP8FKx8R6pJemEGb2pTWTqhFiuXJ7nFZ+iWLYbsxJ+UJ+j +h/mMzRzFjZ9772hd7EFUHYkmW6MDm5EmV3zICpAt/RoQNr1WJuOkuw64ajbQYGP1 +9Wwbz/8wqHnFRsRhgvyvOm0v8Od2MX60LxyE9FgEgP1ivl+KKAGowYE4s20Io+0D +4jm67zRioxH4Yy3L7h4wHzkfTh+cEJ4Y5+Y2V4bAN7gxa87ruo1IS5VclvY2jtZq +jp2T5QkOekqcxwi3DGn2xWToTG3GTKe40Lgimm0NQYlw7sHq/c25nRsrSTUdFvM4 +mQlm5i5xe4DGKzPpboUsAXKbtRKHnlm2YgzGdbp+YGTN0WbMET+xLUiZab2drSsN +Rkyv9kjB/aQSdJ4FzMxRUshjyLu4PCdfFmXRQQv3AxXoymr1rSGTaPzLHqaxA0x0 +wySTyIlFekSYTgxWi+cI/a/vOb4/SJKdPOWSXAwg2kv5ZAt5k3nl83UKxYew1GkK +pmzBizj6YXFePZB85JN4L+YkvY8Ia166P+yB2VKkyDtCMc1+2EBnwUa3kidf+2Qa +4QZN5xjkh94hAeKfyKG410FodI7/Mu1DzyAIHx/mdLjISGBpjuQ4H2rpBJFW5WOL +R5fE2dwmTvbIPL4Q8+XOF4DWmeVBNqwCcrNSDhHA3xz1ECENYmctIO5XInE2wb4s +nvwDGsfcQdHIu6Qhn2QNOCp1yAAQCyER00DXUTfSwe2ttmYmPU0L2mLEI+3ORDbz +WBS9dPuq/TE8WisOVz3gSFrt8f3tij2t/c3qRy1zi5Wee1qubI3caGsZWUgtQEVF +Y12PUCcxfOH+I2dTdQpm04bIzyN3vRof0WzOHmdECslMNJawi/+hGu0/YAnilQxV +zeyvzjtcv7iw8ZBeGmapFRU9ukrXJy26SmLyki+lXlLJUa3wQgCP+3sYZj//qoaF +eQ3YWHxFnOQHUzIQxUUfCYaLMGwFYD+HicKGYZ9JpJVVBWJImKSraWBfcFGgpgK9 +cN6HPJB3L21PN3+zZlga5ChoC8bmNwPEyaV1bHY9pIBk9aAnZpxh0/WXSTcAvp2u +25mc2vLkqzps4izmdqLQViQE8x8gVCKdqeIQirqQz80sxw6p13gElLhXfNFVt+PJ +/8BHzrf5JJlC8Ur8074BABNtq0OVmI3cgRNmSzGIBmWimZsN7DSvbnWx9nMwRr7a +cXDuQ9zQaWxotj4SPtCKAN2sIZMYLwONeBYn2dlevvcjmXnrzQGeAlEDGDPwWfoL +4m/SYagY+LcjELxqA/+JDB89t/txtLoWNuMU7wiRJSiwu1yjh3rqa3AhVlToNGUV +7zup50FmaNcPhlb8u5ef7OggvHhyhJgNhbY6pvNfmbRExRdRJxi8zaTpctxDMTLb +kV3V8MjRDoWZ9X2mje22xyUXohQ15kOiLikZKGVlI6L97JU3juSttfoYFX5LdDsE +8rj/PLOKV7aUBtaugUiMKrGBVrwx+YBU5cOTsoQYl0VADCd34iL0ihe2hRsw741c +wPb8mm7YMqlYdEt8OBK2cz0wMX6Cot+95yrK6T+sZIVproI5NUDR0vI5izh6quFI +/GzcQrcLWwOEuQbcVIAmrrJ0WJ+R9zfWVgSkz8clGixC/2tMUv3Ua583C23Nh6Ib +Oo7gupc1vsK6nXyOn0bgzK9VUe5eveyhL1lQ2jFa9y9RbFP3KrrxcqrbrVEX/MFm +rF3sDDYTa4RXhbAMmL9cPgYloiovgVTHRsTGR/yCmhPfosdXN2TYNW6gn78dA19j +Dd07y3jL6QdOZtpnGNj3Kx6R8wRatMY2DC1RMHhh097Ad+Gf3D/xH45v6UnEW8a0 +23Bn/rPXzK+g3piVzfQeBamSTgBkt7KQkNEHt+c0uC4HnK5hztn9Z7rA9hMbpYvK +h4o1m3hbLeKLv7HyAvWSkeEAFlF641lBOeh0QgFtA5xqMkmfLiSH8fp3++WQ2/uT +bJs5bdeSRXwq5aKB+ssg28y4gtmkZuWhI5xp2KbIjWzmXGc8oejlxeVBMBt7VJY7 +u1haax0UHew3YrZ3YsQvXJSxxS12Jou1RBFcMf/MIwmbEV9oBCD7pJncoZ2fr7UG +qt9MOW3Yegi7vwzL/QZ7p/ep03m+E8Kun4KIxfLURE/ZxOk0yzBk1HGET+Yd4k/h +2+OcBw1tZK9SLY0+gw2llm9xPzjFV3oqvl9GmYRYURvXW8ypXbNaF9kkLLGhyepp +GU0rsxWTP5D3MggOcnAR0oXg8VzVZjevksXxRDtpWcZlNaLDcQSWFkzs1oK8mS/M +v73UbqZ5qly/tquWFgAS9jx6KB246IS3EyEdQq6C44HhjMZPt0DICiKZOEeiNPQM +zAlgYrgH8ebMJd0XFxTi4xqauz2DiBppO7pJCy3xu/JvAgJDns4JioRMNxM7D1s6 +OCUFM8mp7DKAB5ZUhxwx2A6T6fXgmlfs13wzteBsVieckQ0QkAnNQW4c30Bdlmce +DTHMk8NEEyi0vLmPYorU4akGFbgls0BzI6WM5rgdpVKYRncHEjojcS687ock7RrL +GMrDQMuvlx1psF1FQjDYVcomeYUjCzIFMbZIYlRGS+HxWGwSLgpq04Ge2LI18T7V +Bten4gGsAw0TD26HbnseIFbhbLHkobFlkjPNACLIL/HpjjInJExjE8Cf+YNJkfYv +i+x8zgh9DuLatY90emRSQw8PIVmTAWvQb3QORuntLswNbyaAi9j9sZLPyeAcxm2P +G6Rl3UhzhxVczteXY9ihbZnVNpXUDB0irWbxGhMv+bCZPupeB8iALP8LdIHugMDe +Uhwc+fv9/EMI4qCRlRntM0qCr77Da6sNz7DYYRZ9QhT24Xed27KFonxIyaTucedC +QwWXKYUfHBXAPz/2LAY55XBTmYwqKlpqKTC3gJtj5vipOe1GOv5dVIInBtPh31Rn +pfRKCDbuGiITBzdN5ZwO7JN+/DiX4RO8eVgvhOaeF91xWlJDRxOdIcGiq9e7MzQp +20z39EbRVsd++vJpEuhC96MmUa8YGl7g2NR3Xcn9ZD+NyV3iyixM8rlbC8sT6EmQ +hArstRWvGYfVogfuPkFxkTMdTvU5WoIZ9dlyBmJySgsJ4Z47xd+hA/tQg/74e5fd +9FX89o2bM4mKaMnfxXPxi01QRuGAIUKG2DciEiemQB9u/IRNYbXjGYoVSfxVLexk +SBzx/dVo+dZYcfMSFSK8g6WAH/BjFw+R9g93cPnydY9Cox/MVf2k+YZq4i2YF9+m +7RQLlU+p2GyILfqoxXes38Xsu4WaFOvGnecLspHAvC1bqK6P3erMqVlmeAyECFg+ ++gmHja90ANHFHLZbbEw1bmnb2jKm+kD9g5kWnpCxP09bBnwvmyWt35pS8jB0aSjA +JjAin5v3haCawDDvMjaqsCT7rGXlRWT6LfuTDcMKexrZM3Ecb5BOXgbNoAohH+Ye +FeJYmFiAGCpg8PN+PGLUVosGWtsw0s2OCYikPfWXTS5Qp77QJMEftc+RKr6ZF2/s +sFd/wEgDGQPrxfZWMTvU7Ceng1zsZWOLu3A9lf5pNMCmLIWN47WbOCr9bMmP8Mrb +b+t6fBJIDlIJWeyGqIpYwbY0GbOr4/zgmyULyr6JzivKnu5cQVsefsdTROoJGSEd +MrdXD6PtGSXFgzH7wCoSba4W+ehIDrDxarYANdVexCtz2j9IkFDZMAPbQHyovWR8 +ymoJQi4kh5wUgW7JjBYXrIejhjmGrb35AQzjeyiZXGDn8YVDoPlOx0QdOqb/DOR3 +RekjVnE8uHtxuAsPgV7+E8IjtPOuF0yj9BMS4Swn/SEgAon5ELDa2ihJ+ISYIDMG +KiabjOjqcC5i1vwb8E4WXjxMmlj55J8hcAXb6qgPD5UN0FpsDUpOd9ZpJ9KQD6Yi +5zwWwuE/JfYnn/tBcb6DvkcrM1bylHIE3a2OlZ3Uc4H2Fn6MxJztFPFlZdYwD4hc +Trl8VgPboDinK8RMpJFu1uyAD8JEBTzJnv+f2AUwRO24QeKdjtA7nABE5u7ovJEs +FK44uks1zIgrVVMZUl8zp77gUCEURBPUElLPMH4Dpq024s5cdAYcNwTDZeZHtkZl +8nXk4I8tItoKvmPhaKDds41jXGEuqBzI/fUBq5/igizHisWGtM3wM8ff/ge+w2OV +4bEFBcyY84zTHUyqaYH8zh9y0bOK+9Pv5esdBOBnhYG2DIDeiCHlZ3zMIxY5CEQO +R2pjStJssxJ2Nd4HX+xdyna33WKjLt4Ckw2uIBDC6zmlhA6mxjJfGM1UIrY94JDm +6XAWEMWRrK9JrcagdPgbfsMR+1AJSRXN1Rx9KDgSVItUTYlthKu6yJZKctLzdfNf +e45G1zTLeL0N5gjUnbFjua0QsVlX+3NRxVALH4VKp5UMzEhKD6ITqs/YgB92iLYu +yWQ//d+xjHVFvyj51LeyFA+Ke7Okj88+QzWb1Q7xi8ekfOGTIuCEcCyiUwfrIW+e +TZ0hxx5hHEqfstIuB48i4+VBXJicrl9Zv49bl8z/2qF6tWzHZ7szkMQUsOdGTsvR +YnJIn+S0rsmCYYhCefi+5edBVvf9UC2FocfsUDF/+MyHwQhcFWVhgy/E7JZWzL7Z +JS3aRkL20RGD78EL4VRY/PsklORrV2E7JdhXXlT8Yn/BvnBKYIFpL/Tr+3bytBme +8dG7WU10Kv9Ht48Pb2pBxoUmEWFT+V7hI/Ds663/bMKdXEIskpfCBHAvHO5xnpBp +JLyrrMxW8I+4fpwmsVe9UEpaEPNRZRgYFA8BviCWTLbc9bF8V5xqsdq82NQhURqw +I4nIJMC9q5lNUXkUWSwuZkQtw3DglGxE83MMMCm0RCxiHCSMuUZtgV4Jl0l9Yz0f +rMhsYE0bV/+cPy654iqiOfJlY9zq54HegVyPBOvs0/rGHh4WjkKiqTPAiNra3LGx +Zr/xio8DEXoNH+yflZILVk68lNrTuM454YvtnOORfDYzxMhJXXFaV2lBghfk/UjJ +Yf+SqPIF+TzChNvz6TQaX/QzkUv8j8wb+Etx6lJGwnO3al8b42ZM/WSD9Rcv+Pxz +zFMlhq6GORpJsBW6kFgFxxcS+v7RVr5KYPe5itRZdogyfnL2wvBB4z7PkaRFb4jI +3Fql/QWQf7LK9/e8bMoCiD28oXSwCYtnaVbC11+HR2d1W7gq6vUAxQLTz8gB/xk1 +Zidc+yIhu33MH4MDrKFRJnFTEvUOkD8ttfTgO8YDq5pL93MFIEukDesyNFoHNj3k +gJdDUj/cNUbHL0u13toIWsbr1OGR25gb1C0v4q8SyBTd8clxgXke9kL3lxOJUKKA +Bly6H9KX2vtZKok5UAd5qnBmgt58ZO5tPYgL+q3XR3nayce5H5L+51eGOirTnlli +qFAnZrX7TKic3SuchjlUO8ih+MIkbTF/coozBV654oG1c3spwVxcvBSwM6zetjHh +WQpEiumyDORRGtNw1+NWDceh5+XbD05iL+tJgTyprol12Y08lkXhSqsZ9kCBqbX2 +fd3n9lUe49YyQFsa7hswckLq8ZosDzFI3iukpeZiI9HvYuyJFT6JShRvJ7szuO6f +2289LUFneLwa8mYURlhXf+fCE8zVxZdSogOyscROIbrJlE2TQHS2jhCsTRGCJStT +S8S/1P+eF7iK2wqkGck4YHeTCPQrv4TZ8VqgzqOPShaWC3Ndzxn/n5078TbOFJt5 +ep3Im08htfMWlylNwX7sAtJlV+MGxQGPuLfUdz0eicznvQ2mEQ06hGyIVitHDOku +Yht2rkXy8BnVswfeiQjVfkGMbLPcmA/8XcYnjtrDEbVp6IDBGZ10dAijS7nDC3A2 +DDoJw91TgopbrTJ6xO5i3BXpR7JETtCheRd2azLa60Ha+DH+YyITdnYZtb8aIDSl +QfoYzxBExDXoF7QctRz52SlZKkJ7kfCTvCpny/8We+mO+47UGVIMtvwMn18cSAMk +wLmrbOekUoZjG0bV6Nv22KVKMxUisJc0KgJIbrVkG18d7IrW25hHvOqqMNUChDXg +SiKl6WoxQZ2HR0p2NTM4ps5jqyYwbkcwxmH93VK72thA6PEennxqjsDvJL6ehzoC +r7NOS6EtX5tP9Net0NxxX1x2WDmtinKDznJVVy1sbiznSnwnT3kzi6Y3ADnjGjZa +6vJQMsaJwr3SURnxqjyrJrNGbZc5OjAjWBAGmHXYpizR9IredYfANhdMLotC1+dO +7v16A95dIcLC94d68ya5fTFxpp99Y5c2bJNhUsjfwnzT8Jz/FDNgt3L6AG6wgcqT +CRkuLWwlVbkbqyBbso3oaeEldu+N7IArEGQbIrTOHAK8OxgMdg4klQuN8+6TyLqi +OE2DHRvQOGeAxycUPPtWG/e9XxMZQtCyxGWY4m3aJ0sAn81lduqObCDixvq/5Utw +UJ9yo8AW8VXu3SsEK5+0yXNzqJuFCRu0IIWaaBHWRd8KSdBtP5nLkqxozEx2iBRw +Org824GmqVaI3UOvQd32Uup7GJbdHa9ckVgHnen2yTRTsQXsetyiRuvkWqzd4qGc +j8vu/4Y+v1b7TtmDFt5wvUhF68TEeqbzNsiV3dbXjNaE47tS0BEeGCKarvAvyd01 +zBwOrraWlx4/fAsmp2mPEUdGeL3sX8QYfZTAEY8KvlbajXDh6iSmhrELElD6tWKm +a5BAeVIPCieVJRCuRFLVaEFdFUng03oCgKE2FUsK3XfU5AqYNjySnneeYXbh8F56 +lc6aO1fggvlYPVhQYxN07O/cF9j9pUUP+NkqxCFcT0lk7yyLkzg667BdZ/RzqI49 +cqCnWvdFn1YG0foDqS5zn7FsV/qqgQMIp8B/k67SO6zcGVCJOJY32s6YQuMm6dGQ +uvz1aaKK9DjsJyemFuwOb5RllTvNmCEXXWIbkbTtiMgI0frwTaaYBo+eMS2nWRUr +S1/Ki0XvjvLy02Qc9RUUkSCJXqio9qQsnXasxzeD4A5CQ2HLQztvRz5eWa1+S5bF +u2BZB1FhfABclPfwB2p+sfOmZAUrftDiUcuAG8RGst/sgWEUt1Kg3gR3g+JdaWiP +CSkmld1N6otK9QCXjKA3PZIBzCxtl4K2X7jQJOw7F81aQcXm70fbdfa408AyQTIq +CYbWvZ31R2G0aC8d5oJPZj2RGmV6qv5XZffFzdXfpD2AXU4Tn67JoqjsqHK4j0sZ +iusV0EzovklQrtsTY6P8hEEKDqmWtnRvuBZpMPHUMgB4gbxuX01l4naJ7vD856g+ +A/Le5GddM5dF1RNq8MPxSScs9CBkYDz2a7CCV1pJz7u7UmTlKjjZ+Vfb+oG6r8vN +ZA7KguUziVEsV3sq2vLQlU3faj0rs++QOsm2gvPXigSP3DiVZzSm9BurzBMcRiMr +kwOpEYYpYUGXiw1aAkAlBOeSLmbrazgQ2Dsm3oqyxMeHzsN4zEB2go9p5PkS0g4z +mpx0tQZHFZQ1x+1G5hQSPVNK8iluR4acqKnvl51q46Jq7kBmcMfNi8A5tcxaZY2M +T6KJN8T6r/RkGlsrC68jciHQ0lw4foIIQTnWMwURn8aXQwxjapfXH8gRqY0+Kvjc +Qivor32YP+eysIxegZIE1L0uZenxK7eFFjLJXm6abzX1uvVahiktgdsrxwFk55Z5 +mD0vdBE0Orai3jkfnQldi7lufBf61Px9Z0NVCHtnmHojqTy3+1SuJd4+a3qMoctY +mcxweTU7FB9qw+r25RrOPmTE+ODW/9yYA+4C9ojQ1umf7bHoKUG2AIWfqc1iLGjc +nE8PiHwaGWIYaytmwkmLfSBDHAHvIgmDpfHLUuERaYbYcaY11/bk7irKHkltPUDO +b3XKNsRZbU4CoCimL3FYAyYzCeYUEzKiLvWbcZqtR4eaJQKRgxO26L9Ag9uYQ+JI +CcE583HDopq8d5cJAyeUEjk08URlRmTxq5+IcY+KWUo7xFC3B9i5AXgiRtxIu9CV +QMz9fIRV1rvGn0Ye1py98xgJzpjSGZz5yqzKPmQTe671ItosLCXr099NHaBGb9kl +UvBWliieZYEVWPPaP3EBYFWBtgzTQnJncCN0oObFoS2VvKiEfXKxulys5HHZBmox +XcN9utghn4rvN0o4JMMZcwcCjZaGnTYTndTfYyxAgOR7ElqeRz8EK/m+mPQtEtMc +IXhUzVrF6I9G+cW54BVNQHVR7puqFXe/H5O+q51aPpigr0FN4gyzMVWrX7ESuPgK +AyAOcwd0cqM/NVx6gR9H22gjB0T2RPq8+DJkFt70kq4EoNW6FXvGfA3EP/pZV6/w +k0DyiI3lz9yMhXkj8lpW4R94wiu0f2d9WvEGMKMi1e39RfZHQGBSi0jxxQAXvv3M +qFwkwVFExfOv0Cf4JzH+HI9QpXzfAtr5Vh9HX4lwfPSQP+qjjBaLGP9yNsSWZlEd +4PoSc5TanTlqmmZQpx/VMEJZDsGs1bJiKJD2F0fPM21gKuPVCuWLVivdKBzK1Sd+ +xsSPlHGT22p7JrZY1Lvt9QZOw7GIrsNaJ41P1rpxwCL1F9aBnh/X/J39l85gsNe3 +hdHeAY8ZUkWzKJ2//H+D4W9+YV/eZQAWZs2RVfat8nV1Nti0+euSszIZcicg57O7 +4ipFjBceVY5iuMhjV/EzpUUSE6Wz6wRBfZHixWCdv0Db/AHXtV7qL6L3/XYlFNB4 +J8YBeJeVHuzRSf3rLaA2aMhycglPAP8ix5pDSkmL+8iMbNS8aX/MITosJNd6Ao3D +iiBJAJvkWyaPcIqN0w4s02uvNK/STM65YLDGO2A5mya/6XMqaiFrqbpcRHVeYWC3 +XDBg6haaMCOfKpzIiQw6gYPpmaXVeabmooHj3NWeIRvt+524BaN79A14MsVAzUBD +NEdRtrWhxRG5M4MjOY1c+rF0gw7ivCdFV89BYrnR865Hf7Ag0/odvF2jEHMW+lyZ +kjOiY8jcAIce3jBpD5TTlQmJVdTDSV50Ynwu/uNHo+jxUsg7mgfT0upg7OrB7CpD +J7e4ZIuGzWqUa1eO3wePC+9KHsPH72zbqW8PmcV/ykaQN6yjadrv2SHcs9/ihLaY ++Dh8ULjCyn4utT03GZCformFzhBRovuWT5ccbYa3gImIU1sPEWgWTXIWF1TkQJU+ +inCMThzbpjzBaGF5F/Zh9i6/PfNBFaTQCB8gxkkpKULGBD+DgKGPP/H3tZrXgl0N +cG2EXZx0jhmxW+Rk50IH/PB46fbnQe9gLOJKwuZE4+mJT1y7wiZL6evKhpeWQbVg +W0AVvODTgMf7n8CJT0AH6uspbqFTz+GOweo31fWvLQhAK/ILOLRo55GUehMpA3/e +A6H5EfF8jzTK4t+T3IvAtsDlZqiMn/agOqrmrQca2KN/x6m6tnM8U4Tt3cGSghV1 +6umk+ngnja+ZsQLJ+qHp9Fx5oHVzQJcmU4yS06x1/wmHsQQb8HyCFbHVfgRRTiuR +WZQQ+QR5TSkc9A6lu+XvO7O7t/tEtgo34MyacZVnGY7mWm+3hBJIUdhrT3gyXHMA +vdyM3HBvUQz8CfYsa+dCLWVh9yM3+cbR51bTGN1El/y5lbkyzULTim7nH0OTRxO9 +sTk0TwvPygya/QZe2wutX1ZEhpW8WILX2SpXl4i/yIxpj7B9BXxCuq1f20GkB9xA +8RR+8l2jR5nJGuprZ2of8sYUooU9J2omXSIvi3GE0GVbYROrThvpv53l5XKhkqwd +MnsX74Yr7RJe3p1RBGwQig1I76YFtXHqa7GICs+G7zpUCeGYugkmBMQyR1JKncBr +0rVnbLji4tDUftJJYK/vQhNyHRND/29HZjFjXeXBaEPQUZZgNcx1LZOxR0flZGs+ +COBxHNOUQl73bi9w0jGA1aRRSdmTMZENbwhpaJjO3vLUCrP6UEGmIFniHAtEpjXX +jWs2BsjERskOonx3eLpa3tKr++DQ4pUut0QUAHySgiJtYDlvehudbp0uwfqN5/Gx +DujB2V3LUtDGDltruH2/RTsL4z1BVRAD6R8Hzw9PyJc8YhQovAV5cWofXuwXyoxH +Q/G7Wq/P8cpPkST16dA0n6bU+9NOOUIYu1cFsxVgtQpvf3rB0pO0cK5fmDu9ZZ5v +g2aq9AyK6FBqTkz46YN4GLBKArlK7lvKuORE4UIGDyfgSbcpaFgqXeYsEACteIru +DSoHR5fQgeRP7btDxwJ1HIYdsXbcOyWGpLGsCK33vsFM8Egg+ChXNhFoZCpgoEX8 +ctPZ2noOLxd0IijqlpnG/SUMyAmJzR93CXzB8ZBOyc/O3Ldv6UYspsm8FjDNC9vk +ibJAnElnVDEdl6YZL3ANvImYvPjnkgPOnHHBqXJUymyvdRq4jV7roQnz1LykrG1v +EmOcqqEN/NL0EUoVIh3oUT1TBVitZhmhAZCBp9J3ENZgs4Ih+ehdmwk4F2Zn9Ygv +cz/rqCj3iZbf2OcqS+isX71pfCMBUnbTIYTxqJ40hEus9TeF/bmB3EO9d5XeqM54 +WW/YImz+FFK543oma82iFtLnck6bUFHbPrNR4r5j/cHOThC8CWMuvR/UuslhS+Tt +UjPdQbGjQBeRP0kBWqQlL+36mqyjXETbxpEFrowG3Pd5KXNIIZjsAifSIrlCWbii +DqIYwCYKkwGTLZMtmRrAGqXpmMA1NwLDyaAusfmETBxRLr7I4Qd9OFCxRsRQSf0m +mNDRKwUMBvrjzctNkvBA7t8kjRD4DTs4fKWy6apwyT/ywvC54wldQctlO2CMuxdp +VaZTmw1BK2eBMqKAA1Xir0yqz5eAeHqJOl8dM36SwQhWxklgCDoHhJAWc9wYq0cp +55/T9wezPrPNXKK24I0FAMMHaEzgAnTOz2XAFWNzCT66AmjK3dKeJwkIwVV85ssH +JLRHoWL+XIIUuOLu+UjL8N4INuscdGbd8LSwKh5DnyH8yN09UDb2/RLrGvKVoP9X +bAARBun+QbMim34pV6kZc51OfymlDHZMni+b0XuAiL3qC3iBANFHFNpwcvLJ8xTT +XSrLjCqdUsctBrBby/d8tyqmcPvMer83XoI8vCO24ONsAQk3LgdG7vGFkWaqRx1O +FNboAPTLnHPOmUogWZ7JWX8221cpjqJf42Kg37guQCSbd/8DFiEfuBWKvOKySeeE +lTBDAKYpYlJ3VOV01ZMaQYQDjaAymwqA20IavhGYdlbVtdveerlcmoVfAwyOyKez +ZrWDAX+2+VosKT9UV4bOVQacvzL0RIgSOLeFNoMhGiVbzz+vtPQ+lLaKepoUgUIW +YnLKotVDT4KlL77m/YmPe8K1hNDxet1bfLDGm5ZyEFTeRwY5zsUvZVDiypjDH99T +eqYkSqELyjubEeZVYxdVgE8p023jhfU54wizuybMWITgNUqUZcUzZr/W/v8kn3iP +Q9QaoKTz0LGCLo0E4ou5LMzmGq9PkFKmFOrXLeqekXWa4K8w9pSKyp/0Exz4xfNe +XB6N6E3ihV2kU28F3CqQBirpNFmPzuSI9c6KJw2qv7w421RCAf0Z6sOqrOWGUzet +Q8ZeZ/q4A8NxUHKa8IVgx6kjPVlrO510TaipThTr2y2wVR2WmMXyjH3f6M/rU4J/ +GtVGy8IZ9ITLF4IRbXRyB/w+rmT4X8bhLWddOnXB5eeO57jURiofeSSxTUt5x5i3 +M9UdYGQDiN58SzBVt6P+hmGBzFMcvOKF7j8HdpU5X/Y/cPrlDKBmXGcMGLfeseWX +oMv5C/Pyg34Js1MjhwasM1uC/O9JpqlQ7XEB3XXKkW6ipzXdrm5bAnuaP1WYdvxl +JFv9l+jgDhu2sBsG1sXicxoc1416AX7zJd7IqeJ6rJrCY62vXKDAMGTkrJFghghV +X+N0Jjfm10M4kkU7J8mcS9AY77aFrq8WFuJ218GLIHB1tl8acuEglyjXgynPNSAU +kl6LsuHgvpH4oIF3XPmzRBF+Z0QCV2cod3/DDlNhN0W+IH9/xWIxtnDVbee8nYhE +AZWEQj+xoBArtj2okW23G//OkL9mLdG+bRo57ZdkBdl6QFjtMeXfrm/AbM9Eaj5w +JNRIL6JLfBKFTF1o4hNuIrE7qRpjR3TF5tiy6VSZsLEP12chookESda1rLzcoEOl +jTnypLs2hVOC6KV53XrbeinfOlWG8rP6sZi9nTNusdtrJ4TT7GaFVF5x+x2NZwdu +0o3AR4G82ToueN7IpGnCxXdNparYqmKABXVmyOkm0R/Om7/trrFa2J4CVNXj8SXa +uH6Se14ezxNvjsw1P6BatPURb2APg022IREbZG0QQ7CjHXb1EaNSIgDd0uaynDyc +3iJOHhXR/KsiXJxbnEriHXW7SJN5zX1W1k3P9eumqqblTDmziKl5vT9WPBQqTI2L +HmAwY44FvWLDXN6GJ/9iiJjfdVRyoLX5qsVplV5Pia/chbZw7BrZjelbO9ERqEwg +RixrsskPNoGzHiC3xn4Ddsf1zsJfYJcrH6P4qdOiRxHRBvO8g0rwVCJSfEeSxH3c +GuY4h+O4ZJBnXlIgAaeJxLaqmcAK+tItVCHPHSUakJ1uvkAQFb3Z0kZo3iol/kZK +7MEI61v+8aOiBH9S63nEAn9U5mcfXPmPCjiyvrqle1zo0o22AFihbzNodOAiitJI +/RxKg9r7w8KpvJDjox/Wm6BnYNRW3vJFltlkMJE0/DVij0Ks0iyE67iNmp69xQ7m +A4DH5ATrNPtHEa/3DiurgY4omYhykKOA9TeQREjRgHwHQgYWFb/Hx0Jv9rnmOc4I +OOHQxMc9h250I7a+/ammB2F6EYvz352m9GczdRskweB3ME8JKTy+8rqe2/413hu4 +oJrpGjesLSn+blq60ywdH+jQhjSw2K6MPB3tkDIsFhEhS43ov9P4/YFLUdUxt/NL +zXFvOW+qOTs5SasE5JU1/qXSNqW3C5D7Q0bh/VM0Tu4PJEoZlglNGNEgEVUzm4rp +3pNDxKDgT2bp2ePa+ZM+RJat+IGhgDOkXwrTCTmGyOv3C1zIdYrbWueOrkgDDr6U +gOOmylho+IyaBvlKIa3sSHzmSQA3AKJrh+Va+9cEjf8zBGnecsYNNcCNXhpmkhRZ +TIbjC3+BTB0VzvQuOxG+QKh8t5Ce5mlDbX8dHAAyrZJDFrVfkxNN4YHf+qzFgsGY +Ff+10GwhQu33YxSfoisQdmEmO3yubZDUtAgxmPsJ6cFZw13E9MBX0VEipgamS0iF +dJIB7XW9o3lc0uz+a46S+8HwXaraiDlwyPYz70oPET+5x72LsQ9JKyPvLnc8VMV8 +Rkea3zcgSkwSmU60kLFcs/OMGcrmVte48FBzzncD7Jk3kx78kkWQzjrPRl3aLHER +zAmVSLxQIdTES2yqmjnP45Dmz9cTyRehiRg38LGZRnwTGu8kHHN+zcb1+XWljwWG +a3YUhgRAHxafzVbtsalAK+VAhXRwlfhXQECYud3DbyybF1dU2eCj5CP2HaZxir9g +gwg9T/Nuf9R6JsncqMkK90OpbdpAXVGAk4T+yFRAT8wuRxZh+jJmRBTlPqYtbtCe +oxpr069lC3SxoQ9FhQUjhq1L4pk/HTzJEvBqkzMdaEZWF06/86IfN8wyot4zX0zl +DROYYACzc8u+KN+AKlsdCOTEduo/V99xHDIsXVFmVa4WXknavMhVP5KiEIVCY8e/ +ujhlxnSlUK9wr3Nbg1b88nECyDTT/BHXVXVQhZgSQWWWHaynAhY62XijzO0aGle9 +rRFhQQiNDTjZvuJP2opdSi7qAWjdj5oBZxrkhaE1Yjr1NK/Ql77NJTeKYHm/HV2B +JzvpFWqa3xMmX4tks3cKIVcAOWccjZNvuZeKAUhqqQOYbOX8UTi6qGFUijYUO4mQ +uF+chWQ1FToUqxnR+nGTAWm9x1CeTsn9e2LK2XhWxOTrgaj7cM5jt868EMvWiqP+ +QV9DUBCqgemyOI3NbmVmHbAsOncxzkQbxGcCkGxEjfm2/BC7GJ3PWN3x5X4gOO23 +/qS8+JOAaOd94vDFKykhis1HGogba/0kOmAu13AB8lFKNg/TJEDeh/iVbfYgQBfp +v9VE8kQYFTYvomfhIK/BKDhf+v8LHdhEumS0U8V7zOLwoixjxtTcA1cCFIrr2fUy +EuMd2jovhPp49+U1ksOwhgmqODIQVzF60JuG1ogPQXp/RKi4Jb09CX3Sv6O3vbpU +2yA+1l+buJSc5KKc9AmCDbjwX8u7gIJNAQyyeisLK7bEEeJF6zF3YFcrYc1hBUZ9 +irUx+2gwZDB5WW/lt6atfY2Qbv00yiPHyDYLi0+TmoHFTt6hCUqn6clIIPnADiKH +UyUImnPWhmvxzG/U/QCqsTnpgbs7Rrxn1BEr+AFZnqm7WJ2dtc0SMTAUGKV3kVWB +JFkLsvSmUb9gjQWDZNS8Cpnh7s06VbYQr7LmyLuY+lDbDv9J1fIEbvm/oPD89+Mu +K2+Q9ytBRITpx8kZuCbxwRabJAezHAxcr0soCqmFfrz94HkYRSNEEvbqaPCp6vqo +VlylpvsjnSljVDWj2e2fEWdY8WiSLrLZRrqQ23v7A4lkxMLtkt+y3bnHc+AhKpGk +bm9OFzdJj7Mx/rvXqXQLtQLIqrT6VribGhOZip8jV250voPpIHgl4xReL1oii6FF +qRbMu0YIpJQ1WufW/IZmoVKKQy16QFkSg3eZYvNUNWEzlI1PTiDOonB5G8c7my4g +z+B6wrJ+ejswFZCvqf+tm+kACQLMUMmrh7wgD3Q0LAnRSICJAKxZMq2mq9gRKOqa +NVPy5XSq60rGERr0AzcNtUfYXl4usi/R5Oa5UCzPJ9IC1ktNzsbFWTo9u99gOMD6 +V4iGTynW1UsQmKrHjXy4cgx5qRXFtLYkO8jd6doCm681/k7cxsPPeR3mzmzm8j34 +JP7X8xgfF7p5055UWjDWNCpYXQ+Bdpq7/+XTu8acn72wsEHavQgcKsDr3km5iKp0 +Choc4wedZHyhNm8CgYDWhU1Hpmnar+n0h+Ufdwg8jBd4YDmQEYdIlS2XXfu+5N39 +ixy50tgML2OTKFZX3r+3ZHbd6N+7q/xaICfXPB8USqMga33bFDPavBboDK21wF/N +X+kTmad7BOHStyWzfRTgo5uiWs8DtbYm+MQd0WX5I/9A62aOHE/M8lluXGBYrlet +43+Gt2LJJsdIbLAJwq8yznZwPkJ3TQjwfKT+PD9rLd8I5A8hOGFQ4JtB3YhLJrlT +WERy+/2qJR0yXOdR8NxF1ppT7wtDDXu8IUnfqJt/lr7XNmEvq8jcJwx/0vcoXaAw +QoLWDMuJZ5yNiJIyZqW/zrdJpgdVANisSYZ4JlWLyWC5qRNiky09zTBwnB7vXDtu +5TJY+3anMaJeVV28LAuSXkjJjBTUD/cDKaGByxyMVp86VBNhTyzw7vbZJrdNU+ff +B4X493fBVVbh/P9zW54sxGM9tM+J8suAbELFZqBdab+RYeLm0ALCxMhKL0LkvmNk +g/k9HpiuA1vaTa86Te+XpmgLWHVxTTCeQ7qrHD0Hw8SJj+bkSzbbsIo/L3+NOrq0 +Kev2kLh7ikacs+zjlAO0zsIFdUeVC1z2GUqjFEAYSiZ5Z6rb/LuF3jC30cYtiTDY +e6GVYnDd+JUD92HoICZoSMiOyIhnVGDYpVVAinDKBFULIs6B8jr5WNvmz9wR3fH3 +c8zDcoVmMPLLzhryTr/0zCobfGD8oMbY9aGhDmNGoUFqDkK03yBm74KecsT08Tlx +N258oAsRkL6C9Z+4vBp9YDa0MG4O23mY+nWso+Kxruudo0YitpsXiIoeY7jXBxaE +iYV/ApJUAQ3rO/4MDlfcF0wlzcp5RsS1LcA1KHSd70ntWzgx3FOsosDHnUA2EByx +QLyeX2TneqY7ELG2UXrrMLI3DmvIJ1G1iNRqjAWM9N6B2O/bXYGUltuTZZjcM/8Y +RExTWQYX/K1w3LoyiTJqBYzcbz8hm2UzXRv0xHdz2SGVas9/3F8yY0430V0yUJsx +7iKVH2lYgzmAeAXf71kcmExuB6Rmxf5oYkz03dSZ2qLe+SzwD00XmMOsk6ko+aE6 +l78KHenFdUftf0ib3iw6YYBGCpRPAg19KpbILpuQWfFAhSLZ3CE56SaOA3A+dc6z +52qhNPtUnRGZTFIZFvevRO+10ug4rG+hVo+oty1HGELYnUAU79q0PCaBNIwFQ/lK +ULDL6HVUtWJrYgE67ViBG0LNl/naZvUkqqsm2b7skXUzP+NBbuAbv4rADbbu4X8i +2dIeBXefD8Jk/QV5PheGUU63ZgrkG0CdUosq7kC30K3mWbskEZ2sS1uwqwrLrgHU +dZ/rlmaiw6+T45E4x0yol6ViIdLOqZvJMI4qo4HeCukXIuTwE/nkTqbyNpUhk8ry +7TElLAbdK05ilyvXb5e6+FLE63v64pXCfECInYkbu50R+WGKE/N0HQte949vQhvk +cSh9eUIB49nhk3JiHKYFqrDdGT9Fu7476Mw0UauKoG9T+w2ZqwNd7W6UCvy/mLNY +P7wQ/AvszcsRk5u3/ndJ9b/7rqSSp5+0j0gPC4u4B+PIw/gHP4qSMxRLlsnfBbVD +LLqprWFF5R3Pfpdaziqkd56jf/SP1cpv0bKtJC/UbdTyTH7O4ie5k5FcwmGeavR6 +i/1mz4nw9PfVqRpVPw9+QCZBx7hylggNoISAa3lL72xDeYWk8aHVrnrctTyD43/A +I6srU4EZZLk8fvObEqH/u22oFi0pepi22w4dfNpuzXT8I2USXxcs0GKsuH+KMkcN +QK1b/07NunoHTC8ep6Idsgn6IiD9R20x/bOtP0wZfQ3mDSlyI4bPx86ZZWjHGkTN +Sd4srusSOaVubaA2sbt8HKAZq6tDUwy6Y3W15nsI+YyJWPTBQ7U6etxyw2QTxgpN +/3VEwkWsqhB1mbjjH7pbqpOAttr6sDqowMoQhQ3+Sh6XDsnHRTQ1YkQhbTU04TaS +uN7+IWlma3A3EjoDrzR7pHPJT759t4vkVt9cNhzikFIKpdG2HzuC15usB8PS1XKQ +H/cKIJs5DbuJgMlkJ5NlRdwSbPjEvS3J6wgLkb7mdVbx3zhyeI+qL9sd+5El6gRj +HdjORGHaPShenuMknjUl2gRJXLl/gp+tLMLbvnGx3qnB2190gscbQnODC4i995CS +DuRah6JrWdpy295S3nGGuH1/ObGoHU6LpVIB547X01hdo7G5t7riVNb4qEC72Bv0 +Sj15KW1RDe4mD812wW0vZq0jz86pJAAXmXZHKGg3wYWjfRUENuSVW1/K74H+8lsg +1I9Aqqi4PFITVjsuowH/f3RpqrtvkRPfSbHhrI7pxP7joDBZwiXmhdQcGuqjqubA +Exsm5nFi9uuiiBhXiuBX4QwcPRG8JhBPUL+qFGERGl/bWzW1zIBUtfjUe+zM3Rcb +lGOpHQDWCO/4xxLkJg+Ou3QApAcT7CoctsdliN1kAeJ2LWiaO8j0EHC0xQY63F2L +BUeWYY3zqcpad31mx/6kY5HTEQF2lEF/5rZMLN6EaSjac+GKqCCgJjdVAXyFwA4v +EC0NYcdKlqUVUjYTwgK/vrZ4E1Fat7iDtyClMoImvejL76D+8uYsZRzbu3mJOp3W +/mXhhpXiLE/IqXvExqAl5xKXbE8NdaKsLQFqtl5O+iTNCH1I+9sZl0NEA81pTIFh +27zYN487BBv7FRaS5eNKf8sVWRyVxckbyeZfdooL19TE/SOj0ukpxnN/GVj7a8qR +D6rEHgOF56H6lfH8LQesmuyqtCZ+0dQC3ny1TnUN8jCsyQ2Jo40uTBtRDyOm4k4f +CjOCh4lNxIE3Zm9WX903HuJXshsyqHFTKCAmplViSdYr5B0ZRUqrvvvohhRIy2h5 +RjtW9nNJJkCnJS5rINZrr5WFD+Tgb5UDRSUGPku5Kdx0lYrJxr+mpPObBM0vKs98 +488tvgl+ou3/iBfkQrIwRRoW8Z/M18RgZJEar9kM4sgpF9Mkk7MsDJvyAh3K8x/Y +XBYTNhGCBeOlRi3WsF9Hb1liKuyy2M2ShzYCjoHAfZtxjArN4mcFzQOKH5T/iF55 +MI9cSzIH6Izc7tWAmapoQ0O2EfAHWADSaU7qXxUQgPAzCUXtQpJlYbERpEcJefuT +NoZiUYBdPqOfF2Sy/XlMiyDTjuqiJG32bly0HDXgl7cFVRWirLf34XlLHvxUzHXB +Wa9F6AkKCOpiFOTEUH2GqM0jtX4czKhfThy2TGQmb7x9JKOwnWY1KTo8hHjMmXFU +O+ID1QH4NbJMYZAtq0z4qWTv2/srG0VqhXer8oaX15uSQD5HSp/dQE+jRhpTTP7v +mET/5fzZbgQsLFOGJcddvIOMclRjQovu0/kM75MymaXD4xAzZdGXjFzOth19IT6K +xQOEASj0MRXBelrur9WYLDZl9avInJP6sThDNHivFQYeJBiMh/SKutlNhOrNBjTX +SeLtoPOD3PA/HqK9IM9+7UgMREfI14NV6E8lhsr2oECray8sA2cm7kQtIz92J6WT +pfZd9u3/RHymvsXyllLpn2zNXQzwtq5W5or/xt0tCEB7YSwRukZqrXpiM9fnWtke +Cqzlb2Maq/JALbeFXXQ+bMxmGqP6ofCmqLmjy0IQIpcD0zzXQisB9+fTR9mF6UHp +e48r1aSmNrS/3ANF5ROeU86Ypy0dt3fK7TUgbDuFhT0xWWjZaDKwD3zy1zmmmmwy +QHMcO6KyeKnkFahiFi4aZ8f87BfhPd1NPHmUM2dQ3zwQ0+7+ArxcWGtDhKYOofDm +hJEVdItCpEKcZemHXDyy/r1Mq/LZ7CGNRGD5SvYVZrXKMFtpxTMUU8DBHh0pzElL +jIG9sPigvNRooaVHnImO0v1DtuJdOLrc+RfRLug0F+gSNe6+QQn2Kp0Rng0elMU2 +XmgYhi3aZutxUTDDnR18RXVLBJZ+G0lgos8fL35QrTs1rVIIGxgtPdXOSAxfaWEe +WQEcmlEyPcsWPkXCkaaga0cGQKA+PtFnOcgeZLdfFHrggHSALQKmMXVyg95lbHhw +q1xULZnhRG3n9394uRY86AvKaLzzaVlgcf2L3bmEDh2ZLSFqC0GOASTJPfGcKCo6 +e4xb+tp9OPE1TCRTF7flWjyO+ocFQYzTtClWhpPXls6tHo/dy0US7OBkytKVhLE5 +eRjtJgiugekEt8MuA/J9aXQ7qPjuhLMSKfRstBnlXEDTc02Sua6v31qHrBi8G6ZT +KiV8M9JlIC204YQj7oNezhIBGxgSQGGmcTu47hYwNxrDzIqeCtFNO0tEYT4HU5FK +Fa3k2yXEMIk7x0Y2TipQjUZHMxmHO1jh1Qisho6IMwzjiI5SJ/zHUyOA57x4WMXE +ARtHSoYN9Nq2O9bd3AHs0B0tUhrLnVslAIXj5yeluht1yPF0p/WPRr40MHxtC4QS +m5WJ0gHPXbimqtl14f1kuKBUmtpmN+sowX0vsJLVkX1J7iwN5hRCeTHmfDDHWBB5 +m9S8pEJU7d/Dwz2jX3fIl+Pwpo1ckAJV0TUPsszCcjaS1l4ZyIqf5ZYus3kpW9pv +P5P5vZo6pctGwMDLAofeRtGCs4oyLSOt69oH9OhaLNLusw/vUW8NPSMNzOb0x1Km +R1oTCHe+mlwKH9m5EvnSB15gaCmRYvMxPyhPuZFC9M5ldXysJ6Vt50tEzaonOWrT +EvzLflX6TSX733K7YZvJM4cjl8kUs1Epog4WF/zw0WjP9ImQ2/lWoMP4XQHW/Nd+ +0MsJm5aBADdUVZM9TGNCC/B0Zrk3Eabc0gwaDtrRz+PSO14KqbPOKoGwKVRSrfS6 +/RpaAO6oltxUTxnmHkZYsEmPsRWLa0frH4HdPGRLI5wiN+Ib/gMNtkjhVIkHNs9e +5Iy4Qe50lYSbCdiKfHPKxJ/BWXDi0tbvFYv81fGV7WOiOXlT3XEJOSKArhYlRx8h +NESNeOOCXODfDNW67Rv0X+oNF8L7wBOvV7ZQLI71IhWG5PyazrOoeyoo6hGbqoFf +vAp82VyZmHtEcArzV4tvC9rPwDZG8+1SJRHIgr154nN8Dy+2IRvQTlG4h3eDOryh +rLaWnBciqackNb3y4/I3LIiiNCcfM39s8zVLG19/FSMXYpmoaCG7BuwDONVTvZLS +0vxVSfoAHQsHofu7AdACzqL6LXY9RVC4jWcgDEA/XDO+MLNTgE3m7+orbhIrcjRb +Iy+h8wiq72az6c2JcIffIn6BxCIihp+gdwsXfh10WY2C0mWTY1CoO7Ap9uh8Yqhc +T8fVEukIDnyfPIjmeRUQWP3fAakgUdLAvops+Iwzr9mH3pE/Jt6oqHA6HHWi4AYn +utFOWF6c+5bBueNpbX5pd0F819Z/7RhR9ETStoEwWo7mCsmksIo6mZgUOG7UL7IJ +pvUVzOkCuWgyJydGRr5MXT0rLK1vRNOrtZrt5afFTcM1cQDGGL/NrZWvXWkRww/f +ueXJHi3m25/SghQ6NX4XkJNUokTiWb/ry31QY3ZLiy1QWmtqX11kyd71y66AX2xG +tsVHtOQSXSrkaEKz1zHWwfRnYB5TwdHaqPHk4BhxnhCz3X71CE6ngIvHPNOCFWDy +DP/uo4ZPlcacidJ4S2uSslDLjXYfpa3ekTTD8qyD/To81RGtlBXcBdXTjzlRsTFl +sTz8V7YJX6O97RbV92cI4BdtupMsyBhujXNEUhSkrCEtZQRIEB9yDwvPyM2LHi7b +nszqkrnKy+PiOuiLGNujtq8vL/+XZfpm0YjDZxBXTX5PyQx7pq42uugyI7dPSX+B +xWJo2+joIN/o1xHJ3IE3OFV5siSWWWU1PLFmLDWzyNcByKgpp2gsYtrEfRUhVwo4 +x3U50x0ON+c3NJUyOBhKaFAHdbzYTl4u0nklS1QKv+m93KbXfc+inXfm5pajCs1H +gPLo4zz9us7sXaPkv3tq1RaIsICoINPJipnFs0L/5krVJXrGr/eSXRPIY6B+ScWR +4uafYlT3Ha19wQwYpFrVRQefhiyXcBDj8RSiMO+cNmkYsPlJLK2oVsMIj5ED/SfK +Gpskt9KOuBeaaQ0TMnF5NBp1xEgGYK6965KYhzulRIDjmz4LkHLficgac3dVgNQn +O2W1IaKmeFrqRUGVE1aTdag6/F407f9ZT9lYnwLU/q1pzIAA8q+ibSpgJ97BnVZJ +vRQ+6I4ysSlbBRizRq52ocXpz50FjcFs5pYuCTb+8Szop//LKq1dZfQNLWjVBdc8 ++MkU6LICe4aE96WlurGJdyb70NAIkhYaqJJOeBgv8EDeIjKSe96qED/dczc8WDxh +3scJv24/AAFtSFYTAlNaXCvIAOmz1Z/1iNf6I1o/AhgmnEhMCvjxtyd2SMpUQHdJ +CGOjGZgOejUdvEqBQRq9FPRcubYGI18DbMQH1A1a2KTpRdyKWw8/3ix/wK02t7G6 +dG8vHQJQ9Z0Z/BMZCJW39Gdoc6C1qKqdfK++lELj26oXo2bAaoC0BLmlU4EEKkge +z6sd8t9MudXlNvbJ5xWIJIfMMZtg0kxJZqOCxpbCLNAa2Jo3N9s83Xj/hVoEallR ++5e1wssWP0ct1DRqeOT4ipxmNSg+tECxxEXUnMfA7yQ0UOlCHiINSJfpGlHyhBoq +NlnyBNYm3RMs3zJxcJO9VojV1jJmH++zayOWLDF0u/paCRbhVYNRFR7BkzfLVZWZ +/X9ntraA7/FmfUQrrYyCKjrX89z1pEPHSGakLdW9R97h7D1avf4mi5BpNcHKxIAE +HCwTtjGazwbrKfoLtElnwkTVpJXP8cOaL8KXLdZVjF71xZIuTCYqVbJxTLnLHllo +h20jkwTHg+L66O3/ssQbbmxs2NZvLgFrkBgW7yLNV0kw10wNJF0V1Yb60juBgUOE +8LSkevIbp2mnvuw9csjdqw4wAiPTqwlbZVfP1m1dijrU7gOMNj3bwV1AaaicpVO0 +VOqmKD/ZGJiaP7SCBgjUseCtTpwIv7vSP5mwHqaLy0vma6gqtdO6IAO1DSFmUGaT +wG4RW/fHisNu8+QocM+RhUZwTuW92hlomvvE1/rO621FmgSyMHG0S/41mWP+LoOv +T7VIyWulZ4tVm1AKGNbvEfAPSVdkkRUqteGavJYGOJwmWTl0qHffY2PlAohEWB98 +2pMrWeHJ0KoFvIpYToEVPJLfBUGQ/h65P8Yt7hCMQtgUvi0lug9Pqj12qSR0CKU/ +cvZ2A4sipBPYAHAN3Ruv1ih9F81LMZgpXJCI5KUa7pHX5qIqJfPhwsFx43Usmvtl +XTg+v/vkA4D0sQ2t3aDCZr5pqQFDBnsauXuJY/wIyGl2W5BcaobPPoRzlDSRSUtO +f5aGDG+Rp0Ws5N9pU463ELnbOA4bUYNEdpG1nE0XM5eSCFj0Rb/vQXlYUNq5KcfB +sfOff+tFEligUkhwiNeuzluXTYRrZ8IwutD1m61fwz3esfpyTp7aGAh7prUFU0bY +UtbVOASMalBMwV0DvJzava4tWhQsTlsgsMx8m4P687TuScdzrerolDICuOuZjsNi +prOOqLg1IOeSXXxg2fb81D7PkJMTc4rWNHo4dWVsssh8Xinm2BqP9SSGPsy51mnH +z4ycmthivpp4J/voTNXKZE5is+SAQT98NYoCpnFImSgRTII9du5OzoDOCS00fyA+ +dhr8hLaFE8RzlIuCsVuXcJvF45GRzhv/mB5vEOPFcIInwvvGN21l6Qz5eNSl2+xQ +RFCJaBURt+jzb2SN5MltsOfn6siwZTlWTzcD67qpaVmWjHt2/4PYrEUuBx4m0phD +4oaoQACRDIEXHQr5FLqyxHvLqzIFuMp6h2R/A1q9vxmJgoY/626MVFi2ibcdbtxw +0rA34JCwd1gNOYN/kvM6Xsp5aVtR0xtZWo8+YoPfmxhOJV+7mdfs61vcXs28VETy +A9jASSGjJrH3ZbzNg+pRcWKa+IkEc4FaROQmKwjO4UaPV6o0GWxSikrcPSqAPZMf +fOSs7a0Szs9AY6q5X1CG4eFzvvqRGUDxXtkbp+N8cxYZpYhMiBWFy8Kl+1roX8Gs +CHy7K79BX9IQZRG/065wjP3/exWn6uj36FHbK1KejjU5DjSx376S5OrsXGKkKSrG +dyTQiX+i18P8qxKtoAirSQjsEmNKkhPpt9tKPAyUxQoP8306sZdxJNXf7qFjNmIA +EDImmjA7KCmofXALTUONUPg9zxNumeieBGKzP7c/PV34uzmIVL21kghu8yM+X1y2 +Sf2a9LKtDr5bQmZDJlpDo42ldidBNfkRdljfg0sW+NUa5DMqyDktwhjKo64W11Mv +kFThwE7oFIwrAT9r6FO6lA8Qo/GjcXlX2TdBKEw6U331XxEIryg49e4osa4go6Nd +be1paED/eGdjE5fKWTrZcJaSLaep6E7UXYu31DlTuFQUKu94+dF3S6qksztsHT0d +2Fevida4Ff3V5M/+tN7a5ReXHFcLSZ8e9YOUPMN3oDbEPfj7BqKsw7n9je5BShcL +zYPT9PCvdUYsv8ypSGk6V0+wiIqRXlITRVFT9eG8cH7aqsXMPLPnfrKNiZrsVHvj +KEofpMhrXbdcJnagLoxEKITbz6TMhkdi/A1Xj5BAFAc3H6wCjxilP5QmBGa2cqao +mq4DpJFS5a1igYu2Movkr5UhoYDi52JpZhBe2Vwk2RsoFc8FytdI1/c+uux7RFzK +rhAtWFENZjejNmVAFRdFaG2pTRTHQCsefUJGwre2boKC/mOUkml704F/TkfIBqHa +t3f1yUNUCsCVJduphcBFNig2dzffiiDj7dM1UjvZChbAjCAoW7abXSJh15bObHjD +lymkUftgk96EWOuMbMRudrCKwPhO7+haANzy9vpNixiDvjZHGRscKXGt/a1njWQZ +WEt/+gYsUKRfs+iJfhMjHG1FMFC6IUgINdV26qSD1I+gxMglnbQy9dxwHJJSfk0A +aUU75nhQo9+BzECY9giX3wN2ngkCoGv2pnAb/nyuqHsCpYG8VE3UgvxNMm853ooo +fnORAL99RHoyI7ryxTW8STr7C2JExUPXVKzI9dTeX1hjIOXIULTWykSaMQ2SROxg +UGs8s2tQxtszczl04oVDBFIzGsxT7hVndoeeSLC5P4/HvH4bhK8el3kH3j7jXkYV +gCy/eqcAKrzKL54O6SmMgkDNEIjzjqHG6fprZV2axd35JuiNNnkmJkngT6ugr25E +4ElFqzekqc3LxFudUI7lMOt33qmo8saE69nsTJEF3EaLpb2vSqRj+1DemxM2LJHt +LVOrMXfZruyCnrzYsMwoujRl4UcYe1KvaKgdfGFv1+1ugj03gH0CoT+Ml/6p4232 +5lwswuwvtdmEhbVQghf/PISZEVwW0IrfFqbNxLUWveX9bbXlTWwwypA58qgMc3It +HXZ6nw3U65uR4hFbd/LnmV9pLS/TdnYuCtNOJg8nxsmdmCWT3A0l+aOkbg/Kwv1s +YW3xSZWNSeiA5W4iTRq8cYVfu8HN+KI8el7XGpKOiIbRn45TT1KYvFcF9jXb4P+w +aoJZujhvyErROO7DKmcjvUasushir7LRl0mjCU7PQF3w89eCHvXPXFUMSaKTSav6 +3P69Tamsq4pENayPfcA8YHIEPdlkqiXzwlTW9tMzY4jqsJ0Mc2SoJAEef2KfobLv ++CF8oIYqo0cqEC24+7RUWlSvojfX4IcBFZpO/EEN1AO1L4t8Vx5n216GqmsKMNZF +dfuGtSfg75elmFQ7drDtG7zXvW+pUzqKlXjGKB7q8baH6HTKf42bOBAL1nlKi8xW +WYBzpjNtRFpgj5NmVG78FYlTLeScCfLapb/rqLxPk4/VvAXdShA1WolvxHCHEm0h +fDKoK9a+Fvg+tOTh5j+1yEqk1VNFvhBLO/Fj2GTgcpyp5X2LGgXUx8YxzAMdFDgt +zXUQxya/j+YMMl6u6q8yQRIBTlDOsPDuGQAE9+FQs+VGFuax60puD22Sc9iQPaVV +5LrYf+gXMTvSrTp8aDE3t3VwiPqMYBj/PBK1+8oSRfwiKW74ce5SDtKcjBOedUb4 +B5CTZZgk4tcBB72lbcU3lYWvkbTPG7j/CfWG+FkR8UvJYIFdVAFvx/kjrUfblJjr +C+WhmjBEPEs5KRNQrsgIJLl3weFQ5GrKTHdGG2fKZQoFpWehl8EnstNkfrQbAQ1D +livy+rPB6Ta+WqjRNs/RqlqMcm6sY1mdQlnxgAnHUZTd/ABFw4jUbmshsAUuECMd +DEJww1Iwm8l3HZ5KgXmHTm6IDcu3B9RP9KLCUI8dOB5t8pgAMwlL0IfXuvrfk82d +77Y0Ku2i1XYAIhM+FqmMMzsdzkE0Na3GE4mYnEJ0Y7iHAqpIIJBspnK4MfK8zqFI +W8ykAyu0ujocXiM3p3Uyc4nb2YwZpo4JPbCsv+iW7yXB2Yjyq18jsoPHlBzEUmVV +CDbLLyzIElo5L2Yb5xgHBGI5YQ4izW+Moo0ZlCK5rqhkvTcMzJfBYdxhYYeLV6he +vIrfvr6PyZO+RKffQuorJsxD+qF/wEWvt/STIs7xAphd1Jek50MIr9dXZONquzSl +HiQb3EwUlhzNngyOkIW6a+hxnySzoNZ3VsXrLp8a3tbYM5WTPvajVg7jSJilnIZZ +298kC0pcy4zHdDpzwL50C9prH29HJ0GO7LDLPNhzft+mh7Wqyt/qQWOfx6ARqyI+ +tQpv4AsG6TaOBqISlvSvM0Y7lYeaHy1POeoRmxD/wlQlVhLeXXw0kUUZw9WLI4oO +feJ15UTgxvzEIjEYQWHVML57osKvH4h5J0dkVV2Rb9x7m7mJep8nSe2gpe8Yjdgz +sG2o9ARtbKnzUeujdenTwg/Pno6GducYyPI7vxX5gAto5XSnhA3IVJT2IejHjtcM +tzRTg2L9adf2ambDLFWiBoSnZGDIeYJev2H2H7I5lYY/ZMcAiDxJNT/pN6kvY+FE +4lPORWqyiPBs9/l1SgZY6mjAVKx676hNUU5Nt2T/khe925fq6GkmKBJMyzK95M6C +6VBGFyT3nb0RKmY2AS+e0Eyqm1rDiUvJqQj2+ibggxY50aocb4+6NIkAH7ARZXyu +Dvqo18X3TaoyPE+BJAo0pd/tJLoB3wGN3N/Smx45G7zjUFUVEkftJpACvvdE9xTi +Hg0Y3r3bKoWUuXVKuQHYAdRmpePMffOyA7dgUHvVwumPVuah65NtuRxdBmo7lPSn +lOXW9BsCD0w4b9Hvwy3q2992ZNJ0avUsWud9yaV7NpgMLfFIhyMBmPs4hDHlAnPz +IFsqXQ6j94kbCdcSzO7dguAs/opmwrM+qDctAfZqsAxIE+25SGgfoTHsn7dtt3ab +/K7D6flIuMY8VxOTEYQorcKk2XRfQ6iZVuAn/VRy5wDFrFdVfhtb91cqsqOEq3WN +WBDol6COd3Eh/PxWx13UPy6rXsVsl9bvsSUOicuMzBGa3+50h0y2wSAzsQfwvuy9 +gPrwNwzQaDEpg3mQTY9M8CtQnaco9Up6YrffZEbmd4aws0KnbPOoZu5VQX8yXpv9 +rLKoWDWX9Or8Gn2+RZswFuHRsuYM/pG76zGfFOR40pAMqUmAH0J8MMO9jpA0hMAU +uad4Qq0HFoq440F5gt2dbAOjIWoXFkcZw2IVygt+ZLHf9sLCXkAJEdcC1SFIpqvu +6I4uNsAf9v+38pm4iJrRqLWaUTDgm85c1nJNSgCODmhXhKuPcd0tKcXx4gtrzk5X +c2SBuVBTdV8NHtH5Yr+DhDi1VnhTd14wLdASHIkxsTm+hi0t64z9i+SmZCEYk/Di +rZUSRwdNLQuKBdzfp6TuVHtNetqBCRjNo5frR3UV+jWcLR47iQy6l1bme9ujnzVU +Ir9OTD9ka4yxfs+NYaqfFjBByYHxGyfoeGtR2W6Lk8FattFQuyCBrGEx93nuGnsR +CslBEo1KdMGaC400t16D8MTLHQwwxeF9ibXgo8bCLhV3fEDeBqYLJF+DJXma8R0Q +aqdJEZ+VJUzNe/E4g9YsmPzgS0X1YymNmZfZpp8F2UVD/F6IqqoRCRYzLYnPDjCl +nUY+t7ynd7xMVmPQMja9ozvGtlox7bLSFzanWlzAe4oQsuFl6K0dbRk9QqpssC// +zTY5G8Qqlipdo0iMtySJN11Mt0LTPPR/lxCJGr+H0xnfFwJPqVKTBAjOXjSWy7Hw +gEu8CsDgyYKpC8uSTpao2iMy9kJ4hcFEinMlFkvSb1JY0VJMhO25Kzv7CfKKfYn/ +Z1LSaSloOrHFtE+AJnbawTZ1umW1v+68So7xI3+BEi7z+R7OwY2SmlHQCFPtc9Qr +0FcRVbifa8vpnaXZyM7cbuIEw8z3wNrJimSUanjca5O2s3bxiLXWO5sKlb5Io1mW +9GoZE7YrrAHVinO/pB2G4dZMx9lH/s61T3OuBmzKIIlW0oxeICxHq04Z45+VIU5q +oDDW6vst9DKfmCUlP5s7fsqNexlzOKxHdiNd8GvpDakQuuXZJDczZEtCB8tXG5vW +hzgplNsOinh8Xex++yU6ptL3AwMAZlmPRfQrTljkarvwVVQo8/m5nU1YtsAah3RA +IZCErVQEdYUv9LUNxGSBZlhnY6mD8PHy3Q0ddQuFnPyg5aK5A4WySFFsEY6RsdDR +1p/4aJjzLwtpT3tEp5CbsFth1yf5PREm0SPhisd4LCeYYBgQ1+U7TdsRDco48EJr +I67grFW+xbNWL5x32yBdD7bivS17PHSlQWD9KwH83RBWVOzIOQW4fnvR345/ikL4 +aHQq9sV0Xntwwrmu5aWMIYtPl4oJ6w5S0Or4plTeY/pm1V2NtYVcGUqMGG0JVUz7 +aG/IdU5iHcPegdgDcugaajr7ZZa7ho0iuv9E7cZ3HVtEd9rgZtq/JPXu8iQVSLJK +aDucKiCKudoIAmTz892LFcllONlEUpd8WCzwIF97vQfpoWinMZ1knmMl7nbINThZ +Wy3WqI20duIwsEW47MNnTCNV0N2W8zHjovPnVX0bbFZin7yC9jY/R5Teu5jU8Q18 +OpbAAq5HbY4STForC65ItTiXXl/bTVipzupmxIGVJQIr/GjqpUMpWSohb7cxQM5I +sFoFs/8S3b0PKqOJcF715Jl1xaMJeSEvevyH7JqjAmr1Ord0vlEpz34vonH9edd2 +77BZc732D5ictC4oUxGjB7+AlB8NEtRoIijDfdlju3MnkAAgzXqlhKRZSsLpo+i7 +Wrj4fYMJVJDR3XjPar0veyA7UiW9aRERYWM+rl0enxjQMqv0+io7cwTZ0AAqDc3i +lEhmGZ+5XotLvsUs0ex/3XkpUa+Mp4wdKeUrAZusA3vX05abjnPrsPn7bLj1BKFJ +GJTkC2Dlo+DpWpcNJ3/r/NHTsMJGF279Jv5V3YZgis+hjMfkndQBNVJnJHw5XLpV +2d73MOPA94vwtlzJboT7J++OCD5YyVudEckMqJadZc4uLYZLTTvflR2uNSVfMYDp ++uG5x+OY4WZyNUfVuOapmM295mo019uQyreodQrf+rDK95W9UENrF9hhkQQPBTyA +CSlZuxxu9ivhQRzemGbN39HeswyyVIx4d49wNEm+ObCLXd5KFS2dWnNjAed6L/Ft +hPOcXa9xsiNtVC+dtjlp09+h7zYXjBZVNX59MRz4TV8eibR8aozRr4WpQwxjJugF +7C5jnOK5MMyWhgH3kaK4sq+WzmIxAr9gnNYIQ9u8YVvoVQBWTQZsszhh8bF4vn1X +pZOd++QlGqfOyQC0KW51/q1/a9UOU53wUasdCrO5hgM6j9q8B2xreyXvV/pERckC +dFzfRGczv8h3eMvAHhYqKnAwW/W4D+u6ky/iZPUqwoAPoxW7ERBnjzgDdRaG1z49 +js0pcBPBUQvj1GmBM/AujR6SloS2XJ0JfoY2Hzvev8MGCQK1paGo76UeyQRYg2Us +W9Z06JUx7kUzVaj2x069TFm4eQLF5zhLP2n4pudC8su/52AmBvhn6+qjsyx45Xm6 +mYDgSEehDmRqVWpPnrAmndxelyA9NZ3U/dnKh81ab1j1Gq9YYeMEpvLfjOZjCccR +fytPgpYbB47HjSmX1W1HYXFqw1GghEvfOcABRwaBKb/jVWCEH8fL5kTcwrvJDPxY +4/lz3GB76f3ZHz4bwcqdO/Yk3q3mHsPKzWP/3wifhuB7HBq3N/Q4gaH9QFhtRAl4 +cNR1uJcd2uejn583l7bL0BYjS0iBBcVGWU8rWkE97dyOhDOwdEBpXjZfqNAkK29f +rl8M3NGpcHDokTPRnvQutsPi9jBH7rS7HVde6SedtEHnab9+4f9mtxoLoh1CfnQH +DM7aaz21ErEzRPp9fjwb8mvLyETLKLTZ3YYfVDmYhZtCwqS0tR/tUet3Aav74zjm +DIlfTruHikZL8+JgyBRvtEV3tlxMUsVVe45EJpQMNg9WyXNC7BH8HbKANuw1rOFo +VS2SGvG1MjqBM/4jx0Vo8y+pc734H3q3hRqw6jrglqUQK+5y1mQl58plPd11vlsm +VCCU2z2Br52YMseBCFjMjQNfo4LuFqQEGxkzJR5bo+06XGA/kwra1I4lysVGmCO0 +xq/Kg29fywFZA13MKkTXfxrFYHqWw42ezs+VZjUBTm+HozZzKxxMVLhAA390df3c +w1fSYfemvoMcUum2sUGFO3jWGVrOyeUzbOrAEF8+uiLDMWkXLLpak0f8or1LsPnb +yzkxey4haNNOYUfPnBsObY92e6CmHD581NBhZUHNQDWrkav1VgvvBTb/biUEh/PS +liR4CNWAYAqvqIPNAbUBK+fO2QBV8GR+RAWXUOjRH18tBfH42Ss8LXKNFbgSs6BQ +mLRnKcKyAFI9DwAi6b2ZUBfkcDbA9oKGMVi+KN6CrEmO384tmvthWCQ7Ok9uSgcl +abvuWFZ9GRBYX0X9LE8hIu8jVWk0hTuC7StL3lZqlRksL/w8Wkfeab60cJAo2X7g +uCavCzcUznFRDdl7Log/xz8HwX6UKkTnsCBNQGFoE74oPbABXxyWDQ0O971LN5c5 +GKIp04/Uc3H8QBbqaMMsX6jZUBXyYWbuLNTiFl8T6JIZEpme031y88RXpqfXXcuh +zMJavoYwAAhvcB9Tp/JtG6o0h5v5dL2tbDNTHwY9ArfZRMtRG7T0XDpxBJ/mqu5v +THem/CYqbx0sZh6KtUy0UBtCqZDufVfeRziJb6+8ReqcksrruCdr0B2C/d3RDBws +mRp0x8icn6AU8+CacCXc92UKFf71e3Q3YtdnB8lJ4x84Pcv5C0+SfrcGC4/yP5Vb +XOEJWdIbrkJDY/LYTJSKFTlu5uTDx/fYtXcn1iIsQgQUigObv+nTINVVzAZHkLkE +ARh3xdloYz/o1bLL81ejqHxLgHSlxl+6XHoQKs0xkbBz8l+hLL71YQYvcbtQk1cm +pF2xYkG+RCnqFpRUE5FYmrc1LOpkkP9ZGeylvTGqbj//vBfbLc95TECYJGzN0Y0k +bnDu60WmryfMHfVsaDGO+XbwH4imWCcmVy07+g2NAf0oqWMkK7fcBvzr9II/iGbV +uE88U73D38ycoscmE5z+x8Y2X4uCiwOLtB5mstOg2KMSvyWsosNFchfpR+MveQzy +2VxM3OB5n2IyknmhumeNdp5fVip3IQ39C9Wqs2cjg4cb9c4hUJUBCOfIzwfzd7V7 +0jvZoOw6syDafso18G+BjGHmxAq9RlQAqFfApaPQwhjL6mMy7gnagBu+xf9GjrOl +qEu8p6vKGvmNwuoznDQz6kduEdqrrMMv4nYU0KcJ0liKzFZ+NnomKwHXScIuckyZ +WDxPIes6lW4btQNsN6FLhZcNbGT+FiyexUcm8Dk5ZsMRxrTHx+2lXnqjY3vK0s/v +jckDOT+Bjo9tKqR8mMFHu62DpoXeM47jvszeQ6LX6imiUkDzP2A4GlAGuWQ89XWQ +9D+isMTgwvU2q7HYWSoe94fLJhIQlgsAVp1ANEvFWvqOkiXOfYrtMFo1VIqNg4Vb +XzHkyXVLdZjojxSFxBLMh4qofH4OC9Gk4QvuU35kE+qAJhkmO/E5Y+VBO0wcJQgf +YOXf20D4zHXJVteb10+zbEki2qgCXBv3GNMfETSYqnnaoiPj44/G+J14TCJeUnqY +97qxakkziRFNhoDeRgyTwISEt6gBKwjeyYqRtUM8ZWU3Fgk1J5la6WrxB/MG9h2F +JG6qRi49xTB9l28r+V9t5O3/7/7MYG6qbuLhoLumBy/PjVod6lBhqyE4EGHnnSNc +uI6tzRIvIg11SpR88IAQLtUb8mMyf/RqzUsLDEcZU8pXt8mTZo2k4ihqhPmkCx23 +hNP6ZlPmrYFnKpxN4H6xcKYy34yzFJ2QWUi7ZJ02eZvoVaTrWsRCcczvitUs69Et +4RS3/ayCIKWyXtGIfVZ6wvZ5CTWFGJ97oK7ecpaujZilmhun+P6B73xyN+EBdYkI +3rLkj/YhdHFV4UOaY4rEa7rvmxQam/5f7tzKB2UnGkcE8PrEX7efvY2O3qkB0XVP +7XA063KBkw0xYfs25mrP2RmtKCa0vWM82ttqnCEOSYVrGXf20dBRxmunAVjs1Pyk +h8In3eV4Zt/mw8zy/cUh1jrg0ay3xaJqpSJ0HJWSJS/jpqE9lu8ajk5BXh064Quy ++fFtPWGREI+kn9NymtIw1LadbMFz45VBmrBnqDou7WHZlrNP9eIUT1zB98xwgCpB +gw+C4yOL7ObH6cHBhZI9mWsg1iZON6YvJsNRKj+wnGSfASYsMw7AkzZGSW7D9fWT +ZqKQeU07q0xsLXoGXbj62AUnfr8h3arGPKpWknoMMMlwbHlBkuCjtztFNqr3PePC +dSVwsYO/iU9+j45XrWPDvNdXbEkZoXmS3Imq0Ufl4zrnqw1ebRb/9BI6zzt7/Ujf +0iu0Ugzt1mRE/Ug9EwSF7mFXyOzMZaXeU4hkwnpaqrmsthwmAb05FBvUgxf9OLAS +EjF8SjP0vMcnc8b4E/HabYqWzdaXhCufjeT2fc6wETlT115RDtmnOrWdcqc/JkNf +TPHG7b2bMvpVKXv2XtV624ZDci5h/Kh1WUTgQMHKRmsrLXmoTbw8+BogSrg3Olqi +ntXQzJUVnaEBMGpmWLPwLRmsrhf7xJiAAbHxzB9xv9Fo71gLbP0xL+8AlxTj9vJ6 +SbQ2Sgj5kT9xiwOJxSeWxkNYPpEoT7A9qfsMFygCnnGcBoNsHE4JLhPP0rt65Ohk +h9yyMl163Z3nvUn4V8GwkJw/iCSxiBY1xZUbV68lh/TCxJascRF9QrhYhE/UzcaC +TQWXUCa57wlyxpSDdsm8yC1yITnfpTHlw8yzIBwEGiseewGpCDmlaOYYpHVNuZT0 +hQRBWbT3crzUK6HpME0l1M3Fz/7CEW/FR6EBAlhgMO0VXHbTqqSm/1nGY7FdC0ZF +Tn0AOhcMRVKnhLTnEMAeHVHqAtk/v/1b/sjPF8Uk5to4YvMN7pi5oNjUuGAwKmkg +2zIBciXg+06UJO2UHf7nRANyWiaMvoR3L8iSL9YL8HIEL/uwrJOzDE59GKPzrl8P +aCP1H3JU1WBVJDdBSm6e/5ho93WA8tLBnzNkDGphjXosnx3r8bdhA7llzkHLLVUp +ob3YpdRQ7E95tIujqv9YI8R65c9LKtIyDRbDPEhaB3ykwvOLEb8/T1mMC0gxBQ5L +FzduNq3eTDfrjVURdhy9iEt1xYm3XIxlLZ8rW8DczPhC9XnNaCNKi4AZV5dbHk8H +HJq22HowiaBHI9w8fHnGavroUGkE6qfNVpJWXCqRl7VJ1XMpPHVK1ULS1Se+c2ZN +c6FhaxFQaIDzBBhLzxSRrtDy+J4O8ePMUjwNDgNg2SjUJzcEMmemqgB26QY6Oz96 +/oWrOZC0yqTMyTI0ApYvP/KsB+KeM8vPtxInHouCW6lt0JjhMwzpjZRMa3Ty4wuL +njtN4gTT9Mqw5xekP8/GH68O/5jtVJ7EMHgxnPkDIwG5xFDEeJPElF6NngdqmmKS +fmDDXEfUSy84KnrgAans1A4nQXeQjsQoWc6SdudeqLPSWmnAlkyblpfMTdCbOeLc +w6SPqI2AnDJfSKtfaqIhx4ahSpwkmMdf20rW3Smfqzt4rdLgnXlBP6rcMhgiFfy9 +fpBM6yI8saIvLyEAw9SKmnimm9inXvnfzi/Aelu4KrPqZN09PwDaZnxdUOE1q4An +me8UqK64P0wwyztoIUJA31gE1kKApWzgZZnJI7a6ttxBBEvYKL+34+TLgF7cqcOF +8ZGqV5ZuTEoPPoAuBZsQFpfSpr6Gs7y+38EJHNa5y2b+OVFLKVviWDxtO1N8kzC4 +XDi2hWKhji/N0ppFajB44l7Y3VSv62gOb7Q0AfZNu53O0d5srrRTE/o/kRq8mAfV +ee6r43HgE/92PLYdhIcpgycrbXVR5/Jc9qZJr/xfsMZDHPTj6HvLv/QRzS/dkuU/ +fVECoobztPJs0hzUWQKNhAN3rRStqozdyupotaIiguuGWs36RLLjwr3m47MCd1CP +lcvaxjp1o6YC8Y3x0FpLx580Lj/FGR7XznCy3bYqCiViOjinrlLWRJXDtvOx91eu +h8jp4cEa0ADLg/253unYoLoOgzxpfHe/eW+8togFJZgYyfJ10p6cVZbHgsovmVLG +xoPboXiYPM/Ng9MDAN1jOW+sGDxb3stfxIg7Q1JQqaMFB3VF363e6h0TFKFaf5xW +0zcYO3Q6egjONhtd+YHZgpLNkWpRFdD8erbuHl7ljnVIceXv/qOY+t1sGDQCLhEc +6lH3vsvdFIxAWB31SwkzGjPsZdZuNudnirFwxCpfEsemQIxwzK6c9OOxBBXOdvmS +M5OPJxIk5fh1uDgabQe/SdaTe80iy22xRtotZCjQPM96Fz/J87r6KqmUcA14zEWt +ncBOOUhA1UsMUACkN/pEV3qpU9jCPYdWTYNgJUBJOX8ZBQRk0SRXwUun20/wpzNL +YRST7usv2bGEmBDiSfN3jkOhdf/cfohS4XRw0AD1FLEKMz5Gnwkm8GQ67w1EPts1 +vbBuqcmQii+XscDpaMDG8qnB91/HkA16GWeXc2i0qnN4QO6u3QaLeP6FWOBw+sLT +5t5pZCbziFk1RxLSAuRZVMXjO4AKHawynAfJQ8VhnuD+dz80XG66FXUsZ168OKhj +KecEv3t3tNurVUE9qFSvSbVqf67mD6kPQ5dC2Ks1yf7kaMb8lan9IOMN5xkMxVyJ +U3ya9D2flMDyVO8/9qsF3K8dmQghVuGQQ1j8BEfb2XcvljeJxHMnKWbrUhQoVfjG +p2hFQ3QOBmmpAFOtk0UCq+X6evjevzmglnoz+SnQdPkyBq4fq7Sf2HViGwT/c7cC +KkzFMxzJeOdIhoI7Wm8bDzadnttQ/sPGFoueyaSZVBnvWZRvU1R913FUlmRLL5oa +SARgNTE+ZDB2oes0rdiRZc+m/d3s7zgBrfBT71BUYJppJbjBORerWH2X1jH9yJSo +/cPFIuD8lw41DH7FGLdyr20eIF3eEjT7zBYhf2dSxPr5eL4a2aD9saVqR11YqdaC +7iFajvmhhzmVj/bQgbsqtGvvQ0swzbc6AGMCkc4bqzb6QE3mUCoVBcz9umYU80ab +fU9iwRjJw7eoXUNOab0NYkvZCc4Dpogr1IGgGkCiXE5QLa8NQvl0wZJiZEsxC2Ez +iWhONaTy1R+cGdWWGJ5tHVSDVcQjKRUB1IYVkhN1ES3xMdod8PZjzkUY9mnGNuKx +6A5cUrklpnru5OWb1CJcT6aTmzT+7OicpQbIhH+zAw+6hCEF/G3z16d15L0Vvzzm +YGQbxUFEbjpmwgFulWt/Ef0yD7Mw9OoAi7wmSruFKv1vqywTGXdL3t7exGyNuogj +oaZ5pPvSqUxVLkGm6bd4+GU+x6MRgWLyQd8CE2xZz325PXTVfW1SR68nOKWSB1Vv +vNXf8gn7o/GXYSatzVxfYAki6MkTTLU5OmAGYLxA4N0Ow3U7Ng9ivfJLBsnZcjee +K4Xd2McvWRzH9GLGdVFvZKkaLQdIv71r9xMLFOPG127cNqoAQb+Dv3mtuObGVfIW +a0s2wUBhMKEsYVaamsjTKAJ57AkgM4fdBalpkmpJgAnpGuNL2g86GT4KeA9lom/z +Z/CKP+BmPrPhY2BIlSYFh48lEtsIqKzFDddMwxaxuY0rZetluoN2XJjcxt8McPPu +X+JHxyJCP/OEcvJ8Fa1nNWRWVbpIlO2AW5Nf31PwBLHbwm6TVVY2S0F0Wcevrz3r +VAE3fGC2Wj5q+6SkhZJTogc/sB4j3O5Z3E47q2YGPTEe10lO897tnm1oii3zYm96 +z7IzBbnrUo1CZv4/FmEaUCY80RAcTF6nXqjqAJfGBwEIdArNJmi5Hywa7M9w5xK0 +I6PKprZt3ikGb1iSMPa6Va7sQkTfQsMZ20liFxLyZ+Ta2/ytfKRER5ycr0jWkdNV +uxBsOoNTh2A2DOyyX2ZOvQkGa9s1GTz56gJAnILy2xcYRXvsboA7LpuNnJSaheT+ +PzYe6ZW2ycHTH/MerIuVlMmPHXY3s9TMJjFP0wRFc/FQyRh7A/i9qkxLHdq7WtZi +QUG8stn2yne6I8eI1mHFkyfLw2E+kZgSVfOOclJe8j5Gitxu/9OUu98z0wx0qUhy +IT4B6ahFXPREeTlwEFAQqV2qWFU5k0WvoKb2io3oETl5MpsK0YJWx7Y9OgLJHMx2 +ce28gzOX7sl8KsjOS3x3Eh7IfHdDGVJFuRAqr20Fyw5wkrJZvKUkRkvhJJVSOSFS +Et4NQ/cL7Zj7t9p3FCv5eJjhdO0G2QBPLXrBndDs7Q5Bggk+fZ6fCUwtUpXVW/XC +YDSlmLsWCOvdW+D1eZjC+da/+mbOsamVd0qoaEyL4Xx6Ct4yxZDipMpOKzsuofGZ +cMHu/q5obKIV77I4XRQXovM1F0feX5WkAi26NvfKxUsEOXIz8/pRGX6TYWYyDXtf +cK12s2/dTmyjq4g9+xKIPEb4/6fABFeaKu3EPIclFOHhTjrSYh4KL6CqgkDrs8ht +mhcnER7qruwVkD9IxzA+x+h1/br58czVC8G1I5Ubt4uMf6cNxZ9yjf4AXGNXTCps +c5SQpeIuZqUzb0nrbP0f43h9r0xFjOL8gzfZqb7vwK4FqugK1Vx5b018aCX9YrJu +7QaQe86MlZDtvxteUR82X9fTwwY9sSTrM1kLjtPphjo0GItGH78deeDkfYugtd0u +GrfMnXbaas92rOMbnB1Y+/bE4ANHtXrwOOHAx+uLDv7l6HwJHRXnJdCc/wfocyJh +ercP1IGgOmrpyw1NLdM2DCoP+NFbo5NkMkFLfsjWCz0kJc20V5KzX/2RjDBalh5I +LVtCFp2ROWbTRJtwp80YuhabvxkPqQizEuqjIdUSApcxkU9ZsbgK2U+fPnmVev8L +C/rfPgZh7cqpycI8TFKx01P6EcPKhRVVjHceAhv903jZMR4rtvdyxGi7baNAvpZy +nAjzEg2B3k/PxPBLMGNO/jy1v03p9FYfD68HBxN8YJ5rIze0zBlFh0k1TZM2rYwT +T8sdL3OzQhnw23tShPyLlcZAfVkwPb8lj8qN9N7TB10B+URCBabwBpjFdxLp6PWk +iy4ZLmKlcpCKgx49Vwc/vY8aiQKGCWg5Llf8Gar54qdF5CQUHJ2tNUy9GMtT0tTF +g+9Ro0XixgbRDyC5CTpuUaCCJccbu2mz0FvB5udreRb4na94mwl3yApWPma4GNOO +pbwseKPQODcqeIRbkppXG9GRchNFbP609a0sP4IOr0qhKuw7X+J9pis9hErB6H/U +wzlQSvCtJsCMC/jZqQGpYBrYUvF/B2Q4x/zwTRw1Fiptl+qxAjiNCrOV6tVXXQkU +9yk8O1TkKvMJxtWn893mM0zt3JnmgzLJFQiGUMdVs/YXDCpV7EfCjkflwNIO5Fsq +J99s65JLAFCHt2fuuAG64n3h0irjL4xtyieFYEBeMK4sauQxrVsjlljzUA/hRFnU +nB7wxqeATvvH4+1L+9wXs3EAKNvzoMCXXrcowY3g/Ni5BGXbgGpVZ6y3SxvdEDJq +C0+EaqR4bY+3+aYDVZlCd37+COY0OxXKzh3mIYkrr77fnA7rTh4IoiZaEcES7y2E +z7je2Pk2lBasbE+uq8UKELNxuMsDTyKj0eWh5sc3A7NlM0XnxY6nXDsqanAKEem0 +phCQpCHdcEZt7gsdL6lVQodZg4hReihqSZPi5OjDpGdu+zO6Uu/V5AcyWv88ug3i +R7Jhqj5YuSwpPlRiJNEqYw6+N5kxOF2fMs+G41vEkRRYVBgsJcCnl6ZuJ6faLLXP +ofXPJ3z+7WCBdefIVQ6BVqN3B6hhu/le0lKFoftArZfvtn0N8KidT71uVVhlUQxQ +6WRukW4ijHJOyQhqPjR39hMEHzd+wQf9VyUG2zHYMaCB1CNxx5JvhZUGj2y5zA2L +fQEW99tcOL1fs1Ny6+jo0pKl3FZ4oziWlBjAuDR1PvTrZBWFU5L08vw3Z62KG9Xu +t0GMT1UOVPvih+Nae2bzwJ0h+ECMWdO5G8hLZ2gnOqRhYlGIFqJVNVS7QjLgMtCy +0oijy0tH3BkzAAFhpC0DO+u4gmNxQC/h/AHVpxLrXhdgnS8XOaw++TGIslg+HBfd +iZ8zsJGUHGUzuAT5gqbQJJkOM00O2qWJuh3vtK+k3VXql6ojOc7loNbeJk9hCctL +XPiImkU2AMjNmeuj3nAZPmbo5NFFLZF4zmAspetGT7xk6jy+RwVQkwsoMalJZFUV +58Sdg3trsMwRN7hmRUJwZ7CJCYzI7tca/pX8wOGOQb5gzN9b3ecEORknJhF3HeRG +gk9Jesj1q0y7qIfcLCeazNcxqN4c02esDbajtH15HH0NuJTTewRkvQ3D3eCUtBv7 +OVF1G79d4GETsNbZJG5o3F7HUqbjohZ5NKPbaEknC++6wpmTOVRJqA9xxpytqAzJ +LNCAGa9niASqC33fCnirE1+Ya+esM93qkYswpQno4+/WGBkr4qsg4urPEJ5pZYEC +ROKgy3f6G2Xepd5bzcWYgRRa5zzFICUrcylgkgQA1jBMszQsEh84njKuPDDDAaNR +mUqCv4Wo2wvKAW6zTUy+yxamw8VzMfzaXnPfWNnTMUiuunVYx4gqrHLJ3fnM6NqN +SrVjeXhDub5p1aHitapjKcL+q2QG2/8xRF7cKcemkmOfPkwKCyRcy3RBiTYaO2cG +SLEOOfr408DgGiwjawaENbw6+gFLN8QdOeJzQOQm6yW2Jx0297BfjLn7U68GpKFV +MPeah+VrQipssStwZqSFSMjFvKWkQ/+vgBioLaA4jw+jSbtdk8rhMd4ST2ekcKtb +gtoDJpH1CWWSkL9KXhvjCzAi1KLJEpWhIa5T5e2nyBQRak8MzezGQ6VKccnpcJQP +owegGXJrCgo8/CIig+ZmGCvNgMpl5E8rJJ6bR8NGsSjFB/bbkoiQQodjpvL0SZwR +kvtTYTHvq6LWBxvw7XW1KIpV7IQyRLEfRSfkueTDOzxuGcN2pJqNmgcC9EDhhkDz +3rgLEnC+FCdxov8DvMfnPD2hOOhaCnpmS5/AOS6pqeVWfOkv4ynheW2XtYNS6HtK +hMBy+pKs6BrSLLQ3iQuXYDS+MgezzQ6f0CzbY+IKkdfAhGs8UUUR9RN52lZ+GbnW +Vi+eYAcd+/NsPF3O0b5osFezhCXj6vl6qxdMwYl2uKuBNqX+2Axfh5KSkHpXLUw6 +vZuUI6rt90juvrP6hCAz/9vIheAQadYlv+4fqH3EAqp3PimzTBoe5HGXXAlPv11q +EzMEfgcsGNtgtV1rh2EarOek1k4e2wH9Egnxef2Lf9fo/p6DpvZI+wFJjZajSD3J +3FoenSEDn5Vg/YVajVwCLgmb+1MCq5P5jXFyVt3Lgs8NyPj18T3yfsY4zt2eIKG2 +YjKZngdgwoaOFEgVKmGyKzg7TYGEUhh8hxOAJVLoSGxNA21wSgGP3U8zmNoTIwbL +EdKOsNF5xzQEDrLnIqISMwrsySWku8opWmg8Y7Oug9GsFmYgltEHQDXmFbeybFA7 +Sp8nuMNT61qJfBaX9FntFdkKTFU7u/HLKx9EN3KhTXr75EHUOjI4G7plSFYzyaNe +9dTsxXVPU8ZI417R419GQgEYjWs8FgB84JJagmeOV+9zxYtIPVkPT771+h3mM57J +h2mJ6JA8Ot8Gstx6tBGZ7iiS4kWjiByxOnFLYs4SLaMMdUw1RoKTHZB3DgG2MQak +hEhiwjN46160aSK0Kq2Jeh5qcwsvT4BIOYQ24sLEe1BIBiMI9hrVZWR+9JadGM83 +z8dy5rkOs2kzVY/dpO2VxMugmzfDaNkehUMNESASZoEBkjhvcxa8oyWV1pwi9jtG +kXahauST8mO7OtyIrib7It/Q9/jrBNQ/zn9jXdN/70qE0dRPYpIxHLTGBzC2V6TT +m+VlCCkK7pc8XRcbelx6SqgpmEM5HqlNBJYnkzPzJM2x+zTi/sCvqWqz2j3+qfPq +qyjxkJvMdXXH4wDy6AXB8aychZwpWcemxwitiqhiQkerUpVF+rZUx7GAHKWA23VZ +SMRiAFR3L/+SyF7mrBrWhNj3lKab/seVgF7lNH024R6NcgJOzgNi0/+5uAblwFyv +N48WPCMdwsADmRwE1lmYx6sMDHKZ1Kxn+VXS1LhnjfhgY465/6Q0ORJD62OllLgI +hvZ6knMuvS9QhZFWeJOysM2VBusJPCF+syJTlhDMxjDcwtYFIyu6UoPGp61DBvAr +MURlLpdGaOp2y0PTvXFaBoDHKocb/EtkMw8GEfUnkHROUSlSlgcCjK7CysxXLsbS +nACIwr7kiOb2p8k6xevYyL8DaeU2iIkNDfuqkIKjxfo4i9KKMJ/TV8ISKOQSuerd +NXKe9CjrHzvQ6xOGeMV5n4Bh7e6MBvd9O+wQR12kSsVRIyN5st5lfyx6VlD87EF/ +P7G56O4hEqqQBZq42joYAIhzVjiUXYyOMsk0D++503ZXobxmflbqYjZIUlL0ad2b +CdBWTlxk4UamajJREcF2JCGKW0BhYlX9gHUjNiQGPR0TtR5UzDG8xv2Bp5+LSx99 +KNbXOYdT0JI38p9AkrE3awehSCpX7aoIFvWwJjM7URiIQOrSXs8rPrrCcIUVmsro +aqw6VUn6eYQPu8xNOXBjDZCT4c/PmfQVAimYdx0b2HXCTh0NcbXkus3ioCS1A+JP +mrJn4NRLDG6pj2Fa/Q3119jsn5liszn+/mM2fTIdAMVhkX6WGGfJ/FF0ufbRaxdy +AwC6AeiHhi9muM9LGj5aMoIOfaeJxndsapF/4mJAaR3UvITwbqsFWfT2sBcYbLsv +lJ2Xb8aBwQCieQs4zhK2Ef8WYU8y4azDu6meyS5GpA6uRcq95sTXBXtAVVKdN+YU +kMnhQPjkkdcV5FK9N+VvwSpK1JqU0QdmDXhpOlZB3OvulqvrDbOgFoqhasdqxbNw +1PJKAS3DuYZpdgb3XPWjD0ZP/oTam8PZo81WlzY327igG6Zrb5iDdNH1kqwuMnhJ +080spWnEx9RSPUeojEkGOM1LIDXoNvRvz4ELq1lze+4zQGY/qomjRWnAqJXJ8fDi +n4u6Ep7EDA6HxKYK6t9whWrwwND8y96kLqNphErCaIdA9dR6KoUXNCL9TSBm6D7J +ZkM1bW8car0QC+mT1+VPg+VSLoIhdiBMqInw3viVg3nsQoHKgPP8rulKmoC+0rFh +ppxppMLziJW2lDg7Kl9F/QbqIQWSv7I/KtzPjYtWMe8dNglB71bZHGk5Eoa0KByo +FLMYAfLhFRHoWhT3hLGdqQzACUdFk/A+pxYhBq8aH0RxPyr3CpxAIYym/RqZLJPd +8o3bV0QwkDug+A4COWMz92iaASwyVgR5RGEaekbqLPgo+0qwyDN3KQnKrwD8LtG0 +RXUZpjPLxuhW/qpli1yxSCsVO9Bu38V+K45pMRHkbWy3vgyn6/k7BDx6AMra8K9p +f0GYi222Hu5a8FPvLgM/MhW8YFLDAlcAaxaU4nay++X/iqGquWRjV8iuB9dL7yWH +p3OKbJfw3qNNme2a3BtWmkasyeR3f8f+a7/L6HhLwMB3nAsy6HI0BZ3JbHbNlUFw +T7LCtKxdqBm2/rqr6Q9OJjEP/SCDU3r1dlOzj39JLZ5WNgm9etJJCFnA9RNCsSqX +Mi0lBWYyYP4IZ8U1XkgaqmqjFDKRR/yAPzeaLG7Kp8hvvW53ZhvI0AcRXDNshg9u +1fP00uy8h3yXSuOZGh18wHPRmcGhb0rjlYmJZztf4PF+olrX5F+6jb7CmhXMKPnR +OmiwFCENN5h1qSHSLRwmlflx4kkwggYnrBZdmtkw0mXiXWjpxV+Ex5sf6YB+MDEe +5uDdW1296BElUZGXXnTS/3heiLNBOIKk0jOp8CbzAslbWUGU+m60zeMdII7XCca/ +7EKwycdrtqgDCYZoM5dlauDoeM3+bcjtWYtEqQCkGBz4uuU5jBkyh2klqP9W5L3g ++VJo9aQfDkWmPGbJr78ggcVg91YHNuK7r3w5i5cOtmIB8x41ggN6roRL/YASPazO +dCVP1z0CFRqRKVgkkspw5txKjE2oj7/6rRImhZmy0JKgGB/4nxcU3CSOJnAh5NzZ +EI3JHNUSO+7rdO4tVep6AEaXuZqMtaLk2cJhSY/mkTPx4ox2afr2CSSsI8B98L9/ +IjR6MCCiLe9EPRuuYZ/CBFelOkHYosYn5YhPCk84/V55c+tZUnyeHUFb0AtHJn/A +u60Wt6HoiJakAZMbRZL7CNOb8pgfA82AHUvVkGwrzIyU0L6b/HPPl886rao0OY3i +zJBVwaX4OnBGj1a5pYsBF/z8/bL3C8qoK7VVmwsIZBEcchO5ZeZUjyGagHvHpYaQ +6RPglmYtTbZCsS/7qfJc4KtQ/qL9xB8DAN0Tvjojw3xT4a3ogKkpY8BkfKy9t01V +g07s1BgymuIH3fjtysVOaP+9Wvamng4DvBqSn+NaFTom62p1PfzJmSnCkeVhW32N +bqzeXFVE1CBJ5m2NIPm/n0jTF3db5DWQOgubrM6zrtnFwB6waH/zHhNUpzFGnTsd +1pOFqeu6kvnRVg4LyQ4AT3mYd67c7hFVY/p6lulRAKoBEziGftfLymtHogk3ugPv +eBSpiVnbzQ3izQxuY7aVAGk/SXCUL+A0wkkF5jH6PZmpr6FfPZDbtDfIAQSkkH2F +ffMVj5RNwlzk6/GWhtrcJs2w+M0Sl+QQTaMb/3A1X9dofLt4nqwpB2PltMVom7LZ +/T9PDQQLRdRJoG3i85jxC4zx559de2E/6Q+9Pk0XqKyxLyQAAhWQVuy2mxPg2+SZ +DNEP7yLn0oDi4m1CpxuHyXfVGp1EthvF5FBIFvK1mtg4jSV2hpVFKprVHkadsbUj +isXN7McEYg16BBBj/TGS+LgVb2j9lkLMRVkWuUct1CsA4JDqtxqnXd6BBI71zEK7 +JCm/U7h4WANf7azp1KwsZHLqeuqWjnfEGpmCO/eHdE620LOcfa2uIi++qptcdUK4 +jt2y5Jt4n2CxFEJV5L0xsFIK4JqxKzyjbvyDHH/a7TAbCOaRcYeGiAs+BGRL1xXF +49XLxeLL/0hfkF3D+qPmNMHhQeRmA32/ubMT3x0wYl6zxZImTcyi55KJr074qQIV +Hu7XdKy3zCGyZK3m44PpZso9d4acZlbzXs4EGpyQGWo3zMON9idA5nhW72C0OS8q +mtBpwNvZ8SF8elGtUU7BAx5jYvSM7gs4FwOT+KsMDB1q6TFQltJebJ5RKKQgmsdK +NHXAAcwhMhZ8LdyHMsM6YKVFysaUw/5Y0XFcoQrmK0vtly2xI8xddEX/7f3nVOu7 +qbw6HEXS87LuguCow4C+2qTSEyj0ZUx8oTE+YXQLpjFZziB1NP4oqRVES0s5gboo +tX39qpw4OqRZMLegHiuUYiOJKjiyrkFY3Tn7dPwgT0cL3MBttUCUywBKr9UOpYQ2 +Lx/1LAAb7fG+p7cWj6qRNoC0J4BLvwcwzNw/qdxsx3EVYRc5rq9UPFWvvWk5r7dt +WftqDcmrjh+QHGYbvg5o1pItzW8CNU7x3+jWM4Lrd6rCOadGpxtm9KgKFsTQ9O1z +hGnU1/cxDy9l0Rn0dImVtbDloTM6QHZjB+ZoT6+LLm0rY6jpFxd0LXbIPao3RTK/ +CgAlvdKK3Sw3snun0+70yF8cJYNPq3FIPeSoDFMeB4qJaYykKN3dXBLdeeok57om +6S0Y2dFv0oII/GUie+fpGI0lZHoZpVs6WTgYhD0Eyl2vCTSdZu58ieKM0Vov1dKl +d0psmwzsI84/Awg5vA0xJyrgi21mr+B6MeiKOsWjNJK09J80e7rHYqOMQhwn6nDu +YJieHYS9V40b2tQn9AhdSUOm1bPBrK6B5x6PKH/FP0qIwNsaLdtCY2PXdCSnkHM+ +p902y7Cm655OGczzXLfoCCL6k4qxhu5npUNefxFy/ths+lIkucGWI82jQ6P3qWi5 +OgXXZG5cvcpos4TyRGrL5iPgql8pVL+NSQVkyshdjMURWSmrUFUpfK54RRc3XKJD +CrXCyPgaVYTBQjbZL+OQ5fi0+qYwGueIeR0J1P23JJeo+HD88p4NCd0DmG5eKrt/ +tKqAPQUiAmMtM+WLmp6HWcf6gm6oevxXjketEYS+lA332cbKHAXEA1gCh+Lmkiy1 +aKxFWcfoXCF8J4KOPU6FoPT0ZbuY/DIliFgmGq7ZWysMfRFIDnC/WzH8crviBw+3 +QEM/BuK5X+z+4Z67AWYMDJfrZpjGWHCtVPvfFso+S0yLMBNM8vokLTa0G5cVAfEu +T+AtaIEkzgpXZBrHoO22R4+DEoxrknWJk9lMMVhNCwKa0VZYVzDeF4i6Tj6y5AnU +Wd9l03X3BLHmsPEV29aUHnFq4bafnUWX2NBJSOMvwQAZkG+0HD3ey0zyH32mFiy7 +mCqL5VJAbUj57C8SPxNRaMna+uRu0GBjTUL2i26PJum4OM5rw+jgxvdIVsDbJtkF +vrl8efrVUG9kzO1kMAFCcnlzV4hH2ipVbSXQ6yL81AcFCJL7KtC7CqwMxOB1JOQh +RBbcurUYKLssZRKh495qv4P68RrkqDwzI2d6RzESH7re6OX+5+3pTnXM1sG2LOJ8 +M8uUAeVJCTd1evRA3KG1UEcjAafHZyj8seVmIIL/wHGsrVuFZINWnAn4O33rnyvv +ExbghTk6R0rhtKYVolrqLLbu+bhOxLtP+JGao3MkdvjysPQYuKEFYx4i73TyHRU5 +eFY5vyambIT4CNJHtfTfKt8F/yMDEsQD4WffTxQMGW3xnMyT4NLT2yzqHtxjYqoB +Ddc8maOGMIXcs7PMWwjv586Xbk5y4jkZUNLihPVQPhL5GB/ua6Uw3XgiZaA3bEnV +x0AoG5PNSMZsZumSLXAIogIsz5+P8inVqVnAplWCwLYeUhV1puxBaXaAQbRAzxcU +00Ix7diNML3a1Za1nktOe7TrTtbCDUKPbd4rTJtamKmVDf67FS3B5n9MuHkgCZkr +Dju/j4GVtz0F+S69p1nRYs3UYP44Od60B+t3NZPuLmsyFCL30Skk5E1+0JPcBmAr +Ur8VCXowUyoJ7WxI8q5cjrNYhS1j2Pan0GBcyjB5prxGT8K51hSL3xW5TXY9RtCe +6Muldb5N9GoLXgueOokdz8GPylvYbDbX/5X9oH56P2biNKCuZIGi0BBUobRTPMun +ILGrHw3luwMULSdubdJlv69XCtgXyWTrJ8j5RZmGo9E4ONSaXxjN7H65xc3avVS1 +m0TzSCV8rwGx5t5ioMcs0Go3OW3gfjHGpzZyzp6xTw5uOGK9e2rTXjuGSwSeWeA2 +T+z5+9kq7BCrQj1UZKZ6BQczHZcND7KGqLfqXhlbkF4FPiDBO2wd4rwL4PS/O4Rv +0m586RZToIJ/xmhmbsQBhRRbb3NBoKcKbHfPEpt4Ejv5ghG8PAhwZDCVoA/fLp/n +TAfmwJ3Lc0N1/MGV5TufNeyddVDJFZ/d8mR1FM2PW7AbcaDEQzrnH1TRMw3jYXBc +9R1bBUEMUpeQH4xMQrqr4aecaLgLnqzS+6/PY0NnmgVuxnAuGBqb8QRrQfrxU0SI +U8TpiwkLl/FizwdMFrs6wMsVre6dZOLg/YKrOwBFrixNw7GKT0u9b34W2giV5V49 +LvagqTIt4gLz0BoGyneL8I+tfXp1uNCnc8WYW94JgRFPEoeeDT/5GzgytdXtmM/T +bGiSZMLrHawLDKTi5i3NJ5qtY/a7WFZL0vrQ6h1YwEJarwDXFgWpN1FwWEThC9xb +LdBBGFNAiM0dTlEEm8Rk30T2Mw+z088KUhPX3Btjn4pA+s77/wV1+5I1UsTtehrX +DFN5Nv0N3qxHJJGWRjwS9f3yVJ1o/djy0m2q9MKdyihJlkCg4wHzrk47dBG9/FKY +d4GehbK7kPx+JlvZ4myNh92Y2LTKb/Ru42OAymD/bqxSU+aGzpaJ6bHw6uk96I/j +KWruDY544Y/xjQUznvwq6HI2F7bzRZ+ActlnfGBf/mxsaHwWC6NXBNgTgWt0GodA +0qX4ttLeSiVPdcCldWKW97LatoKsHIBOtkWlUSPkUELjztCtXCvGfTHgHkK1Q8R7 +S7S+pD6P+wJDBccwXIQv0abg053gmimfHc9u3CfDMYxyZ+2+YbWLHRIxLD2yh6nV +o5vRLw/kQXJ/40hQUSmjwI9R/D5YyqNZUqBBNA8U9i53UtNU8bz42dOMNj82Qrjj +kaNRW+pYTID2O+kDRvPdlHgpR64mz58YoCzF2UGVVaWQahFqai0UvBa+ZsYa4S39 +1KeOocMpu1oGphRHkNjdwLOAnzpf6TA4Hy0tjZJVVdXJsg/fslCSrkEf7nosw9St +5ooctqs2QR6m9fVbbqABM1SEKpN5m8VnR6qMT3DGyWBOA2YA1s7bo0AAvbVGhFRg +y/5NmHejgGpJuKvpGb0AXpYvDLr6BL3OC7R1FDLFwH3kYA2cUDv0YnVDRqGv1ts6 +UJSePmYCGXE1SK2+86hyCjUYNdapbd2CCSIpFgWBMZOjTYIkbKaySIAMKhYLDjfk +XjVOc8n38/YHQNF3NixU5qy6lMZ9exF7w0fOIS/lGFcjUoBaAkBtidIkZt915qPv +f+mYLf7xLta1QYYNT3LzUGbvyX3A7x4TH9Ig4v1SW3Zac/Fu6yrZmEzKZYMKH9k+ +LLGytM1vtSUUHKsKOKATeYs2ma+DuHztYKsC7fU262NN2fC2Fj8GLhI/YcXx1B54 +JPKJzN2Vm/FbsqtvEtsEt3Njj9ESal8xrR1XzJLiJfCSYvT8upHonrUmlLjSrgoB +vdHGzPI/snpqb7C+9KyQvH+o4GR65zXEspjW05CiDZU/H24xYVoynFJsAAcG5HGO +VQHSqD0EpqlA2ddmIDkRZsXEeKQwtE09JWYtPBR3oyZs5bQTeMgdQuwnN5T7+P7Y +S2pqhPvS+IsPhWCqdqdA0FQWVUVreBAlWcmQbH6vLSgLqLUup/ZSYww+zppcYrs3 +j3mxm/1MhktG/qOUq0fnU2lm1gC3nDm9RVgVyxSAxlwU+Munvxj5wyJFg+BTrhQU +7BIRG+lEVZLiYAZSXz9a8od/WWIx9lzg6c7bDg7oGEvB9ncyJXt3h1Nntr9uXE6h +iaTW0VOI1PhcFO67+lZj9Eoo1+vtYoBPiP7ep02hsLYEyOHiyFAp1oVvPEBBazpL +0HWuqZk3SsEX7Xv1q3MOyoCPGIlrrAEenVhErR8kbKH7QG2IaiKcI7gr8ycuHIJb +QoQq2atk/jyjoReNu/WlentobMUKMjNhFTibsODw6wjRy93TdF2NVYqcodO+1Vv9 +U/X8JpmNr++gPTY30J2cWWrtv46+21uvpEa+F/jM8793EySXIM/9ajHrlY+OwL0y +CtBrJxyiNuIh82TOQPbmd959B4KZpUUfhJPJrvaBEHo4cKX0L9TV1YYEG11E3OsF +907vIXt8LyMSMUYk5wcMY45hbkwvNin+Ch1HhVLczcewSeRJZbYfAdvOEaxaixr9 +bTUUHHa0wVhfmNNEFFRTmh9ZCfCeUdLPlxtcYvXtDUW/eVHM+fFFJDHsZTSZ0dTn +JXs3oo14QJXyPiKNqHSxIXe9Y26Fwnp7sKUUR8Gs6//D1cZPwRSxyAp0DyTe6aXs +oJ2zpiQrghjL2oMJvBB+04NHo2nZ053dSfC1bOUzBl83GCNZmA1Pu9JF0KxBQEDm +NBFxpgT+VNmoVbCRz3+rpwQWaXIeo1CGzkpsa1Tu6kGnBPFCGDqXlRPZBwVQHXuJ +63sPbcHpVokojCJA/Cfv54t6bZHtZyIR82pOmxcJDX+6mm46CJRZ1fUxKwe5zdN9 +iuhSWz2UKmzrX9NTPGJzDAU7+7ucNQMkwOkupl8by4IH/F26Sa1wjXcpqrQsrOLj +F4f9r4IDyVq12g5q8Zvx20jLK3NFeDjVqYHOMlpT3QSUMLMEol4+La39LuEJAgJz +dO+vc2FVx8Da7TadD52V6T3J4bQcwu/YdPKid9+kQC5cSNNCYKj+kC9yoSos37oy +/Am2gaQ6xvzA1/kEqd+br87PwpDr0OhwGcCaaJay3iyIeWOyOUlQ6jwxuxucVucv +h6XC0OQPP+YXSj/wbm2W3ujJ5VOlbEohfzXkm4CAelWaLoc6sViomyqNCM4H1g48 +fnCm1sST7WN4qi3AIyLgJl1c574AJWNdhlPvDhGiXRFPhinbYbUIjzC0hFnKCF45 +1YfisEpdgOob4bLipRDG+LjdHttuM/GPHR1gqfQaJS2o6PLlJnx06H//cKMXth7x +eQoUQCOOsottjL0hy5bTI9KEcGdd8dHyvnTYeY3BM7qNhW3pgiEeBfSTi+l5G0Ey +bxhCplFvtgJpVBLc6t/pNjPlOaCae6XEe+GGgWqPq+qh0NkWhJpmRBUdGX0b/gWT +CqqADhcyrdEg3nPIDd9QgqqrQ17Nststu1Zo+vaIrgMFfto3WCGSermGFLVYkYzX +cQwC09R/7GJ3UqTIeW49GPzt0kTetK1ah1GDRpmcuB4bPMd8L5I2JE9KzltJv4EG +nwUn7e52gkWh0TJht8qNEMPSJ2UnuixdtKzVTbBRR073CpZBTwsbUQYaUYACKx1M +HuoXhAOZ8A4ItoMhoYVIWgP4uen68++v6K4e5KTJa8mIeGNyNlqpbJVWJrUr5Gsf +CJ+fjuw+kS3286a3JGC5YCWCUs4Fk3J95vXTisYaPCN2i/YAro5uii+NLDBjNj4E +AYfS1LcW602c25Cdv2F0+aOtZW/Kpyl8V0wRLvN8dvRKBpHzH+HCELgSAyU84ckE +fti23jYt/U8Jsg+xLUP+p/kzZ874Zmn+Ico0hjMhGkFVV5qN/tEvy1p1TflJ39xk +ZATJeCk77SEIf3mvjyz49Nojvdd2Y72LYYqnDq1TTWLjEMW3eAonzGuh+a3OSaNo +ChGC5IfaUUjfl8NeogtnwyfpnbY1hmS9DVBeyyECsW5JbV56wM/4+ssbtt/K1b4M +n1yY0tiHADkZwojkMJgJylUtOt0twLJEfXb9XnFpgfsATKAF8vKUV7D32jLtnfnO +lNUIhZehJ6mUkJU56mzwhVo+K0V2BpQDBapwYNNpltS+ZxOP0d4H7tE26zNfpvlb +zDj8RKXeQ+orsIVvB/E9y9qrrCm8QsNjn4Q1XFQH7+kFz9IHFuX7VM4jPK50NReO +U8iISjweokDxF9+4IpesYYL2UuDS1VzZujO8Qa9WC6Sby3LeH743l7XUlOXJ0qZN +dT/ytxh3PvpH8IqF5bpzmKUdmlrnSfnoNr+ve6dT41cnmszG+fncvb3+q5SBCKjq +6JJgv83sad8UYxusGBug4ci8Tu1NCxE8vbJM0cf3uw26LK6iGdc6WbifBrJfNIM9 +83fzlmpM1OjJ68GGY97AywWr+4qoz7p76673NoxXyHbF7JITw+3GPbAq2spQrREg +O/9lOQLI+l39FDksPdNZ5cPRyQUfakuAhxPZIJyuVX8o4TwDzhq2ssstE4RAW0pd +fIc7HoydIm1hPDP7Dx2HIRqbTMmkzZadvNjgBxUR2YGVD7jTaiRmbSMYLdu5L2SZ +7WAKE9p4FIO8cIDcxnYUfTV+ROuPK65ohEs50XMznUjdsyGNOng42KQ1tekbMn40 +TwOpzxpcdravyu46dGX/cG2jJK8Xgbbcj/nBSjHv1vr/unY+jDNHyum1ZdMyMR2T +WPP8y8HpkA1DImOxH/V0SvxeZ92xr0OpsweoCtFM0c0GigvqWe/OsclwIeMY1nCJ +ht1LURF3XYeFLfROMyHaiIU4fg4WGYvJgVvlazfbuT3qA042I+z1BwLrbujoivOk +er1wK5dLJe8VKmGLTyeq32HpiIEWPc/SElOMB02GUoyaCytdicjizE4Le/0FDOe+ +9yg1MCDO7EO9F7a2U75zpMZY1NtesQ0erJSQDUld2ADjThfkOFMSQ7CdH1I9fS7F +y1MS3NHXF4zCvVhSwUc+MBPU+U6fNRGig93FU0JY9/BvbYhqwb6pB5CKiadx0cQ0 +I59ZVRtOOhvieJZNwByjVhPu01dvf5POBc6R0kjjyi3KrRpXl2riALoesdQqXM0f +ev/Zef3uv91Dz1/gOF8nnrrOd7ay/YGWgbdpG2S1pjTIUPY0tRH+txpJ+cx9t0l7 +ZmThGZtTwSWuPa8njD4egLRbJSG0f0UDnr8McaNTTzhLdFS3cFgJOluHOIwWG/gY +erQIFfYl1hUzFInE11JfC+VyURTfDCKn9/uSi1s1/WuZbKQOkAqa8Ww717FlR0jC +A2NTAxYkqkcgJysLcoX9nwUFHTsRAuj+Dm7+/9Q4HycWA+gT5gvElD+DlE9DjdUc +TgFMkmLqaG6SR/ykSbHTQolvFjL4au3vg6IuWjcC2WLM8XIpU9083dNLV56l5zr7 +KdGTJYMDC+Y8+RAi/RAxyRFT6n0PJFvNgmiVqEqAWZICQgftL51kjC99C7NxCYaU +q53H91Cv9J4YDlsXGWr+5QXT0lVbCQY3d90B7//uVdzGEhFtuZ19YryuilOxh3tV +C35qXoa253wneps1Dll17wLfwtCehXNKDfKrxGOimLmPFb06hs1lPhLSydfKG45a +FLTF3RXrTrcLrwlp+6xA0/4JZ0kY4e8RatLQC72wYpJsO/VKzQFVoZTbJe7kHucd +2xe825PwlpOTxxEWNGyuzF0p1rFjYUvmAAE0OGqF6FMCuZSQSPiDTbL2fdMysin5 +5/fUZVjbHwtxPieo6JeZVBEEMeJ9l17QnDqOQVgSdlmQZrLYexowEKSAJ/drqLYz +KaSmtW+1gM/+r/wQ7IbaHnPfrOqxOMZiUq324ZeZl9qVgdaj4KBHlXVm1silzK1z +iXCOzuenIJpYCyQ0+5NIyVYh4B5kRJgtQdU3spOBIhXFR6vep+aa01xmnUp+blzN +2AdGeu4wccxXojS2i0yARTlXpNIQuLmX1T8NwBLXIgoALNCiHkWmzMxNYvYY4pUY +0+ecrEWcLX9AYbN81IGMnvEDpH3zcK4soJW99vIplezIv2kjh2bMuK5yYTyXpDoU +1BeA3GM5MAEIjLX7a8dRndxHfGUTCrbytktgX5FkolSEpwmPdcyGdFIOXM4uBIsq +jlNMddEN8GezAdKjUqQi47AgIUTEJuQ8+5SlOzAk+jlv8wrHP8BplPinxA1L++zI +9qN9Rj9Ki7RHpL7ggvAb8jcAWjGzHMrqj9Wp3rGg105XXpNr16oPebnxmHJn4V+I +IMKzkZHVyvSK60CDhilHTRRjJy2Xdk4H+DfXlLq3zfJl23/tttK0yPAjg27UGUhE +1R5id7V/M4VEEHqmtyMNs4fgiHo8nr1dcU7OZ+TS/CLfQ9JARigiJ6YIHTBQAUQF +ZdUDGurASsCW2+0EexrqDIemn4istmlT68ucx+AlarEX6qHgMFmqTJNlVR8zCMTL +RQDX/DRLjrjQbAu9rP8+hQH4nQWcZDxOuPewhTDq+DvLJgp5MhreEBU7Y7GFPhvE +GR+iUpjJe9Yc1LvpJ4xo35H+T1wiruy1rL5eV6nSHDvBSqHf2jSZAf9bpsk/0n6P +xqWMDGNPUW1vQ9ZLRG3Q5W/s+MLdbCiYq0mdPwkRsArkI7Zzccmfqy/ie9Dq0zML +yONQPMf6R8xyOciRB6VFDxiTwCxmghOzJDCZScF89JdLAB1UeISqrqMkTIBovmFN +vvlXBxKk1/S3TpWepyIQKAUark5XnHhz6d78nxODBRdlkkb8s/y1lyXwQlBv+qoh +VcLlfnUaMza2WCHBJLE2YX1e8riUMK2J3D+47eZDCx040oKLWp667ew+SrGPCxuv +78k9WRZOCCIOgDQqwkBhp9vH+k7rshSDZxW0+d3Ms/xfrBJ4D9Py4r/Pi2fDkAzd +kRjQDkoqWJpJZHadA5aPrFEPqkjLs8UeqIVBVRNMAZwfMZgG2iNeF0en0/fiWduA +jvWF5stHq3E+2CVoyfBReCnkEJEGefwuMtWRpDLs90FsD953oTsD54JXVs8yr8jE +1UvGPg9F4IWgZ/S1yBIL9Iz9KSWtC0isfwkS9BsR3L9O39XcxulRiVmfdiA9UtbC +RDhl5j2KSV/Rc2OgOY1i5JzXJbt43CYC4v/DBGFk2qDBYg7yD6iW9c8CJZsHG9s6 +CCpn/kAmux6ZCtdTMClQF6SFhV8oNn/pZ26yze4HuFlhbe2zzzCbgF0Boc6puUGl +Nktb291fXppsK8Wty1/b1zcVZbt89adq3WfrcKmAxeM+7iRT5PS1/TBqBFMHVA67 +Cc36Bb2ySYs7+bG6bvq91X/wa4Ip31/sCgXbvoU1GxmqZZbmVPJHN5zgKhnpqsgN +u/BJg/eX4rDEn/wj3AW8E8U+V3CkMtlhe9cWox/Y4jEpe3s2sdgTBPvBpN8RezLf +eyKq6C6Y88JtqQBlfd9mRrRmXUvAW/+b+W/Os8+ZFPt/fRQHmQWQJ+MAnT/erV+o +oUDsymP9PS7tUTh4v8NPFU4hv81NNkqlcCZQDWtO+Y1zDPRrGElXShNfXYsoRl2D +f+XbnbAcLF9PxFwrE1/Qlz5Cfc7Z4L+ew4OqlK/K2KvUIfuy5dsqHDp968ymUm15 +KM+LcFHQJyWSdYl5UKFF3yLAtGoKmkdQDfuagJ0tHOSNj352RaJBpQ5NIGkJcToc +YIu0a2wyuO9PfQPaMBZCfrMasMPzw+KWd0yzmtOO4tkpuUc+2twLaAwUiJlOg5dF +iwSkvyhH/XRhFf+P+QgO6j++sFwcGu2zHgs3czTUzlJxe5JzPWwbM5Chplv2oBSI +bgo3Pi8B5MoX6auaLvhalVc1bFZ9Cv4VBQp6W0Le/Lz9/P/nu6zuuhyqvqOkl9hQ +VEBPhuNhkLLMQ+ZPXmu0RY8wst/18TQ5w68oVW/UsvsEL8aFG3Q8MUNJFi+Xrmpg +MODp7HoN6fQP1TGH0rXRddD36SXPe+D92Eh8o5IgLX+NqCIxe/E5kqI9FVWbzEwJ +r9jp7miH/a1Bd7ccLX1+iQdPISKN0EbSnQhpJEtOYa8sf/CbgGPuZmOBtpO4UHNr +P0OGZZwuKISwMuzSoIvrjt4LHZAH5muuqLoiMizjjz4MTtI/CdcqX2uzvcdLgweh +fvGOPYz7QuzJOwqdW+JnJihOQwk25hLzqAuDlVmOuSK4WLl9SCFjIUsC7pruiL6u +L+vbazZjppCTRLYeVjKejbgRJfuNtB5ku4NIxywCVUg1/34drWiNQ6lj5epa4dk1 +FmS7inGT4sLOT1iPGK9XKz7msBzsA15wC1wTRWLTxPWi212SHxyUUjfA1KOehelG +EmED6gfenVbxdAmOgMJOwHI7it+5o6Qpqj7ux+E7bWPyHsb0Al9VT/l7MsZHk9uY +RxVUfKzp3ZnLeKj7GA7UzzycVK76ct1aVEaznW6VS1YoScgQHifY2wXSQbU+j807 +0MGC3hrQwoi9K89k5JLimE6RLKZRaeRToUP5KsjuXYGdhVLOgS8pachsvzcQAhMP +RqnU6Q76Bizqo6Hweyv6eQSmBIzBBvqslNeACKr7AyJkPhYeLg6QSlYhUYP+yh6k +1TmMgEkMQ/4UsrB4yP0ojGkibrLSi6TZQr+7VdKJ1cDEDzLrTWoCZd3dP2SxYpqN +A1qvUjbUXfGYnGfcQZf5oJVi2mSXPoGE8FqwqJX/8h8x5SaqbCxixnatSSEmDjPY +WeNAEeJQaGDsWHg26v1ZR6Nre4lfGGLuiqZPuUpjxzr+8BR49K1OxLe5sKji9pal +cWQYuY/ygqw3DzL2zdkUccBEub4etlWHBAC86D5QhavIdruX/vZnC2DbEHDt/v0W ++M+GnX31mwDyQPwCchoTJVaEmfL9taVDImtnCT7xLEHFPBOypGfZmK8zN7q9C7ad +4PdUOtTseX7/5jUybjL6RZ0AnoZHBPgLHszOn9mQNpHcVMXRjRYfgrZd+21DD4ie +EqBtkTqRafxXOAw1o3kCUXUwIisc40sEVgsX1kutYEKAyt4T/qBimIUrfHORU5dn +q+Vd7zvV9pyuSF5DaWjVBsfolpuA3t1R0vUMdtDpw3MYuIiluJjmA3JzUxnyooKD +e7kJqyWFrOmBAZIx7QMnq23MmyAdGj1wvrW5y2CFkWZjamdNQ1dgWk0D8Ak8QA2s +mmfYMbNRPJgFeYmFA6XbinDmu/rtF4vJbyG35BaKHmuic9FCO2/R80oqV/Y7l35e +pauizyiiR1p6v8Z6UWHJXv/QvhIc1WnwGf4HlBzt6ygdNnSTBniILs3IL+O+tVlL +8gaTzlWPb2ZvTOY8tQkO438MYO95MPklCg14dBQupIMsG+BxaIe7GWUeG+qJvc3y +OF6F3kmayIW0bjTeVi4flegc/eLR/TVlr3fTkUIvlGKxopAQzCsqjlUrKFvMTSGZ +6wyBi51eKehzyy0qzMCeCMvL134ACGmao6U09iYKiJjMVAH8yEnVDoRDYVL8lY3k +IDALPd06L/g+pwenPTEYYx7ERB8t/1ZdwJ/9UaXwBXVE5twb3yyMgpETXMRJbuJg +4cdOeWqYHLqFIr/05TkwjPQs62otD06TQca78D4h1O2XiDpEvB+LY5C/44+ozyWC +UMvZrSAmdvp84GTtYnUZsPEBY6rzx9WSiUgbjNvnFYIuZSSFwh9KQCMTtYdtd8XP +nAoLVw1PXjyDOJlfmy1ZnOnRBGNoXoG64L1zcoNPiJiEJXxy8wvZMGvyBoSurJOC +7ZEBqIpM9xLz/tgAl+3XYTjE7WOcVKbwHYv0OpDkN0HeKuB7KspNVfAbdXHVm44i +QY3+Vuc53ZfsmCswVp/eqsQeoHxhFfr5U4pmKODDEzXQiSiKvbvs5dzraSi2MHmV +qfj3Yz0WcfhJ8Eavfzp1O1y+44qAhunQ2PPJvmuQIm/DbgmKHT5gmPPS4i5xqDRF +DnY0lEIMyxY8hYIQeKnmM+2laUW1USGGc7j8iioVvhJiiK5yZx/GLJF7v5YQtXi+ +fu8Qel0yvLDcEYmCjzif0qGy6Q8lqfp3ADRau+mbeT92T988P4JLrIqbW5Snfr9Q +WS/dhZvAeRPZWfwopXnwK1YYg3d6o4ZWL/AJWrAxxENaI1+RVSDl+/o7dBDQgKGQ +TEF9ro23s0zbvP9/lGwJmE4o3lOEM+mzXzfT+MAVhpp4jz6z9pTz2w2rtO4ibcTQ +ODtxauQvMkRKLho+Coyz8R7hVdAIxJf9e39nudF4tVl3TiLtwGmIF2YNchoQKzcd +AiuZZJWfkWkww5M5TWa2GqzEmx21EGgL/Mhsr9gRU3VsSlVeTRRSeMqvNJwe4elv +NACB0+YSmFN5Z8sShjoZW6L6xJT9nE/sTMmsM4rbtaG4d5XawvC/XZUVbEJ8HHlk +pMgF0gK4WMcCdNnhCn2EYark7jlVJf/0rgBI+DJ+4oEaATV2osKECXxIlrk6ueWD +MXveior0t0yhNObns6J1SZdCVdkmyapCBjlcN2O4HWsYUpZGlWugqMwEAvcS8gw6 +zLVwAmGDrkRqsnGqFHDxA/vxictu6ywMu1ZO8NnHc7IHB4IJgWHOAt0EUcX6LzVP +5ySsMVCf30nLMuyud1FssLucGfoSncUFqZutaZaYs2uQbyVaD5xlvnAZRlMifKcq +9IUY7oJNzQuzLilz2xybZ6QtmUgkREoEu8BBr8Ho0i9QP/uRE/8DRc8zwaEaPznf +yDtpnYlkHSh5L4d2pTMEI0MS3ikmHQ5bcR8KKBPXsOnm27aggkmJBxfBOUlgX9AX +ZvdbZBcpqBSgGY7v1t/f4cy47PHUOa2T23qC37g3X45HBnc3g6V1HD5mnUk8M3U0 +aKPEhjqM7DG/rRrIeja0H2iX/QFEjK+qclEn9oD/OGraXRARZFw0u/a9TUsIFudl +Wa7QxEvZi9OVTE/1YHy0gBny5pwieHH8Ti3Ve2WkcQOuM53xfwIUVUZjeud0ORln +6KKtjZ8b9Qp4vVh5LZfQ47spZ9af3b0xX5duQCCabKugBg9eYte+FLCNDsWHX9if +B1gKtNUvvLeaAPAwIAo8PbK0TH6LqqyyqFvbi9MA7Emxda4mTppxqPGIDLnx8uLt +ltD/vx0/gtuqpEbfFh0cxm1XK+w+jTLK3KPQYioWWTHbITG7WPPZYg/g9ogm99Pc +hu2/Zkk29tf7xmkmUfTcazAezjj1O3nnxbAJwkV48VowigRyYwSXJNxTQ0ND1w4F +aOev+RyS8zZwQtzwyfj6nQNkSWuXeIWcvTJbixvreqtGnASIzxSsnfVkxQ+lRRe3 +aRilXysyZa4M5t0/kLa+7vlwngfCHVZRq1yqlBksr26Dyd65BNO9S0H8r+C56yB0 +olx1zd92FG8Dcn5Og//WAUyeWBTuS1tvNtYETkNSvp6X7mSrzXOiiTIc1GHG/4Mr +DpcHX/nVNAP8uHdu8Kboij/QQ37uLITWjKMD4UGcZd2J2IJfCUPnIAb5eNwB6Ily +G3PS2tu4G0Km2sXmDL1dGTUolD9nUXGxJzdEGktkC7zBJFG77NiBsfmRnmUy5cXs +TtXK0z58HC1eBLnWhmJ98HUTB4njcil9xtJ1i2iE2YQr8QBRMVMhKv0gysTVhEUm +UHPvHhFwoqns3L9fNBikb5dli3+UnEAosIP3tIm278SqWX3n2kqwElYRe/q5rKkL +QxvCHNmXSUdxaRNkfwc35LAMwPL4A3RXtMvHsMn5kqcAvxP1aE78eXKUGbg3/U/r +9+OzLERUEbDIM/N3uldqNLgur99Wqy9wtfhQGbzCZlF/Lj2A1OfjqUfv7yJHUiMi +CF1yucTJPd2+rTDVBkaj3cpZOF6SpLs3iviWT87w8rRFKZY4j2rRdv4DfeVz+Zdm +VltM9D6Dxsc4/OHsoF2ThJju+3wSZEMOoCaU/717Bbrc3lCi61tHEC8Cca03M0Ag +aZ7eej0plkwODSTIenaxovKSB1dvBhEqb2y3BmYz44qgwhtZEjRcVsYpPtDOOela +IV2W/W8ntzk6sTtZ/JwnUsxWjNLWrzC7hZYyc2/PonhdiN76wn5WT613jeeJXpRb +NyrYzF4joSCg4auRi+FhliWQBJV/p45tlVJGHbhhbSgO8Or/+Tulp20c6pPvkOPa +HIFHnKPOqm84WQqEzJbQgyVKgHjAbALxvL9c7NkwMjgHsd03rdrbz0h/OGQmKAPJ +paxI3aTadGcvazvTwfhJBWhI3wMDi8mMFSTa537hizZKxQxbqCi9DzgNi4K3GhVx +ZMlJysrI7PBsw9TpX4X2rArrN3XjfME41ijb/3gi8BSGaO+W4BzBbAMYz4jJ8pMy +hssRMH9xdQbHo6f0Xw/KtbhaL7vyOSA7R97KxvfT1vNAmelP+fedxBozSuXdMfeM +YfI0YixNcxPrTLajZR2CiGZeX0VX1kJqCrqoDi7NvH5KyzzkJB8/M6RxbHS+nOc6 +6l7ETxo0TwlidLmqnspHoyARWJ29XGieFhihcDR++EqAaaOmgKDE645BYPYNeKDh +MWtiOcFrzYVx73tJI3cNtmFsKlMC3M+7Mx4Ett1BAdOo6P4MKSgVTRcl62Sdgnvp +ErBUDyJXI0xuNLJsp/PBY4ALaqPGRD89wqpd+St8sASHz0hp+sVaGT7BDbhhT33K +hDut9P4ZxMdw+ZCZjwnUfwqfkvTcpJ17skV1QlT9B86Ue+4iyTzurF6zDtQBEBMy +vZUq11Rj3psE+KMkfJRecHDmFnC2x92lcpQNofe9X/RdeqsAniJuAiQiKFeNQE2a +1tqSeOnRTuWZ2pOFYkRvygICdziVonIhxnfIwibeji574Mmd9/56toTVkKep2JDC +jP4QOEwGn+DAG6zneEzKAlU5GtjFUoZujaam6/tw0TMOsEWJf2VIYIIV9Ybii3pn +YozGhkWHZly+16Ph1fzYXkzZoLG1zwm9EBVMG/TcIw6ciMfPUuQNnTEi2/VBUGQA +1U4B0sFgnJjuR0OyDan7bI+K5b3PbkCi/qK1HijCa+OJbl8tSvCjGI+iqB6+aJ2b +mdVjPXGr25pNfN5bL+LhAihzbD229gh3z9JnH9AiCjoXZAs2gS+Tplp+xpfyvCSI +i4YLXYzExUZkpEwazrJru9Z9W4Zygtl3nqBs0i3cpcX+aYBK9SN03MjdwnLexcmd +auO3SOCEIiUw27EJ/GBu2tGeNBiZiCKnx6NlLAa8xy4wcSHZo4/OH+r9t2L+W1xH +vVJ1EzEoEMi9JWP6lMhfIQATnyH7qPBa973zK0UEgBFeG/08j1X8C2EPKM082zOV +bhCL/U7zqIzvJavoNVZXZk1W/klcSkLFi9Ctqiwu3KYjYbEO5BSg0Evi9BtrhCAY +68nBP5i6gwv8A//rL8ctbJmXiuMjRlQZ0ILNdedJicIO4nJjBqnpg77m+zx6NmKG +Qo1+fZnCEsoNlDBbugj8qNmwpyABz9brCaWo5tVW6M1NI6Ure1qJLvTtIj92ql8C +2TQFOYflfNxYQdNK40d8z3XsOpSO6DlWE585f4wYiSMur58IDNW+WrGFjcQrvUEX +5cXSs0ArMStmFBFISoOLPDxS/ZnfPFgFW/7ZVfaqRybF3XNsLt5xw3s2zGYsZfKU +U8BL1Xolm5OuNn6U3cs31ISDCVr3aifserRE+yzX2z2cZ1NzQSlgypoMrCDtDFDL +/re2e43YCh89fL7oDh9j0cJUZ7YOX5wYZucZ6wzRMHpNzTLvAeSHSaKPpsP8rkB8 +8H1YQySe7/4tQaFrgYSIc6kECraCMG8LPcHKvlq1SgbQHnC/vHyOKmKuzO658eco +0y3hUkIfXj+01QPDcqir29UmWH5tFQxOI4XdhueloYuCLTOLevcR42iP+cs1LolU +Z48kR7HZcCaBDEtJWDrgyxB0hAxbgd1cLjfifAuvT2lUQ611epEXNCy2X5ShRA/T +7bgd0/FEQpzEGaFx7Dnq/LKkAZTS/w8J3QmlhaN1Os4gsa0s0jBnlOpumpSL9xmF +nGy3dhURN+UYst87YMCfXRajgEgCaVMynBdky83cEY/R8/gQYXtaHF1no0E6wYte +PzhZHeBG8fNI+332G54wjnCDzw7D6a1wRXAIGlnwn27eANPTGFvATqFpayZc8rte +stERHLAdbjHuvDQ9+oKBumiAUKFaOMVcUUAY4KiScb+51cwvkuPZSZKnmcpwmw9f +QKZ4uejn0uSIQ5h49KwJI+oTGHOJeRWCwtOvizhwWcJ70IsKKEds1CNDF0asVR7n +1Eb8bJCaWgwXYBcrBvjuh2PRQLWk5WMVjsMrYZjHk3648gBPnYCaSLzTj2JB5M76 +SA3+B6h1RXAyO6ArmnSrREpHLoNkDGJ1/uWDVg0xmcCHHHy3JIgdKfC95AhcDmlA +yypjMMq4sWROeTNotpq7jFJ7N5Wq5SwN/6tltENtZNE3IF7UoWaBJ2haveA6VEiB +5fwt/jf21Vfyo9uzDwYDTyTfpWai5ZB8eLr7k1jgilIN/JTiXg/8GT/S1in2jBoT +2v4VUaQQSJqL39R+K+6K3U53IT9Vo6ICRVpQTyKFOQppJIODMveHPavk/RUu51xQ +NmsNK04SQvXFsMsxrBRsudavkBtPW91eIFsFf6r3WSQUXfu1cD4W8ce6OHR5nBb6 +JEZ9RVCB3QwnOL4sdEIt+aJFw1fD/2lKvpHTP5F5Gqy3Ry5oT/ZycArXw/fdyatO +kHyKEap1zI3fVXMotqWzKB7cjKrU1IuVKoSik4hfovSeGrKV3nq2lzP2uSyeKMyu +3na2vnux7y8sY9dZKB/aZFhUQYnIJGmNPVKfB3dEl18oTLanYQyRzCLCE13dFDK0 +LnyxmH414DBc9puFhEUob4fovVZJsdYglS9tYVciYAxfN0li8/Ehmugtrh8OB2Ze +6f/7MkdLzrE2VYid1d4CzAxKFWbSfJ88mR2UDVDcsPpKkPOwqe3l+yMotg0O60vM +10Mv1f5PWm761tM2aeZSEaRb1WMsmYoDnhFFqr/v9Y56v+nIVLQ2e2qa6iRO3Y4z +v6PZcUu+GvBJOV5xZhb/OWRINo5LW6+WuSYGD6vbemDnfBuMeqn9ERoWrR7dI+WC +eqa1e8+CSYJftNpfPAKBBt+GGjolBs9WZApJDEzFBU8dbOJ7JSPpvOr/b2hV4/sT +kc8FYqWyD9AgpzHz6u/XtFyFxxQHYx/ZVelRhP80JgFieiuj4QxGiIjf+sGJX8ET +ydGOcLO5G18CivjoAvau7531A5vP81ondYgrZFoCIA8piPYHM65oghP4gx8eBKZo +xyZ3jnSWcwkp7Hz/nvix90elRG6rsOzCXL892fGYob01+an3SX4vmEhVXR382sxc +IhU62SP7iZvhH8msdnEQxI6LvOMWFg3M31sueHLmC+1PFeaFaeWew57h2QEtrT4D +ampJrDxNo7CjOGfL0ghG4sf6f4MNU8NE4raI+CCoBRikSD2Z+vx/2468EGSJCp0d +jG0H5Z8c+SHI/CfxhVth1vGKPzqXnEi21iQCRgAdxDEfT04okeH/bfu607Ej7ANk +kwDlCVAqj7FcD/p63ZSXN25lhGy2wmQsSfqzPNil1kIQav+xM1jXf4nLHRP1DgPk +HMHED+mbz4k16fRU4p3OrQDzwu+UFObbb+bnUDeqgY+Q4/n0E+n6XLNS7ymMXVYJ +I4/ttuQbBGEcvtQMhLy/0dkBGXTg9SO8m1Fkj6uTytCnTRaw1om/yxYdJ377aevB +TxJslStIquo0QtIN/rK3i2A0qtn3ejM8tnySKMfpEF9nsyFABXokc8s5gSvtCb1p +r263GXPnKbuoURX7yp3kuTqcGQ4LHg4k3SLedo5Yl8Ptqojhb5j5sRccZRqcIFx+ +5c1CYdTp6FYl8hWWolBRZigEHjXZkCf5DrUu71RwAqRdwKkGtW/RvUNQ5k+K5JVA +5/yAqkCfrPOwYqAa+FunhKZNmpIAU+8/PDVB1STvVs7c+qb0STfLVhc8SikVvNvT +tPsgMLzlq2hhxkSRe/vXHBxqLDphJUHKHclgwR51VtTPVaya6kY8UVGlkv+QrDec +OiOWK1d9drpQwLbx81IhEyt5Z2ZpianVvAi9qsvFuaPclwpWmzY/lniLXQ6xA9Ct +Oq9uXuwPFZ3+P8aWBBEFAxfNsST+9+eK8nt1shSLobD29nRgrbEIxEan1MpL39LY +hQp7INqZJyCtfAJWW7dSdUMajT+Z8mcfGTba5cIb/h6NfYTOuf1m6LUjnoiiLzGa +BdVaJrbfO6HYSzIdf4PKJ/BBCAowbjUu4To7fxR2zAFPOA/OvFr9TsBdt8kO0EXc +iPwM754oNxJbG0zu4gf7DTuujMVGIzQMhzRZgjhHYQLUIXZeGtxw90knZsOnZNeW +3P8nBVb9PiD9zBI8JgP9EN5UBaWEumiQ6K7RmSkmKv/UKfuV2LDTlhROmXm/Rihs +T3af2JmRZSi5IYh6Q1a4fJkq6zezbuRHg/KiQT54O8S8CapVZ62KKmcjI2Pqs4cn +8cxQnZPspBMTu2tYJl6BghSYQY0NToewmOBwpdHKbwe23AJZ5NI+aGYBj4PBlwu9 +fwQ8lkj1nPALgMrkv71V6ttLI57xCQCdwME0XXxfvnJC21A/LM3dYobVsPFQFtO2 +ivgRxj21+xFMjSCw9cMcF3m3YXyYi77x/+FkH+Q4W5IGJikRQBskEQTcaUO+jcc9 +3cSWik0kZiuuA76C97+W0pcQpRuYOQkOHnSVNQubPZvQjW5gsoJfc4kY6CdvM/TY +7+MsgvSZAzrLVzW6fyiAjf12BXSYg68WXAzn77GsTuPO+fzrta+7ea+u0cUsI3AU +LW1yShjkufOucUz1zQMzqgPeOuHPzluCgYtSLXC4hWGbKCfka1srh2Wo2Zrwn3zf +u+zP1tirjUfn46Dfju/yMIYaF+fGz4wlJxvskvWKIqyBm/FQ1zqn7ji8IkCcIsY5 +p4+xPLTbniz2nwAmHxVmZZL4ifkLzM6wVFqi5AjscOk7b+/9tFTv4hI8meo0xMkF +2BG4aRXjUY8ucq9E4Yjo0uOIlAM2mzky6gAWkJMgw1pLCyGpFisB+k06udAKtdo0 +zXjJinJCxeeqqyNw9ue35NvsYZS+I0brLVOfk3j5A04rc5U7J3/kKc8DH1CbnQLq +mAGfINVoc6kCkBNlvnaK3wSZpOciUeSM203i50J2Uho2iknQT88J0RZTTniTsLCV +FVOA4ktkZUU3rdTQ6Orv709Ot0/Ye9twoHnMDdIgwtn0FvAIi1quGKwapjMf3/Td +oBI7nb6TqP5bae31qn8Y6cSYSRxI8eIvXhNPczwvXXdZTZ+3pLH3/SnPLYdSF7nT +SL1rpUxStGwbXr9Ow1Q4Hmxb1TQZeMkEVEEedgHO9XbDSCsTyNTBSPUnLbmIhoph +kBXYKvKlMmnS8l/ZdLl0zMe/x9xhuzh01VShU0bSYig2/vUmTy783FwKwPk0fDhY +4KKtMPUItMtAF96Do3MT0aOeKjXoAPEdyDh6C2b8LKZYhGYrDfVqxl+VnHvw80mV +NZrpyeP4KjUWzE/6yMgemJnyS6HwSoH17MjgeMKx0TBN3lkMas0Lgbjx+1e7PyRq +pTvqlrvrH5hXvtxvyL24uyevtI1iyZ+SMgdfemN07cikfAcpGfzQAV3w1LgtUJcr +I538EikCm5YiORl/M0+CmKkd8HJ1eK1NUhqPg1/YPozDGbYrPYpWYxEec5/p8fg6 +Ii/DIKBMjua7pXYK3m2w7AfEM6eSyC8ry1Bn40a0thNKPaID1satMAvQNMfhldlr +lMqakYtOjiWlxK/y3mjsl8Nd6Gq/fUsMH0IagKubky7KXHHOA5SJLCx6MHcLIoDm +ySb/2SDMZWM9qRTEQe0ui8gVur1AoaOOxn45nUt1DRxJ6FeQ3KOwDBcuqWZ91iF1 +xkrqArM33NkHHTzy4niqBJkzBTBGheOlVbQtswtDqh37iZoJM/0I8sLunUlU8MDC +3tyLyn5Ed5kIpYWQ7dBqHA1AvGYJL4JPmhtAb19fe0zPoPL0pcsyXFBS0fx93Sbq ++opjLoF+vL4o2+l/HTZG6R94eIuKtsX6dtUmixlCM5wJmt6j+UP6lIPCphI4b7/k +wlogAK4QI6LkRRzp8NZAAcqKE60FONMd0o5gzKk58TIi3wv+F6fNx6FVX/dgl5b/ +hSEGSOekdmiCFuJvXS6dunR3OhmXB2dWI5apl+HRlk4fEw6vmTKzw4pHHjBdvfyV +Sd46q/iRn8TavTOCBWBaPtfD2DjRcrZMzmW8idlQhZ5vC1HH3h4JpoAA8WSrHo9/ +knmrs/7ClbwrAcO7EoMlD3erbwtsy2jVjjMKJVyylUw/Tmz4d+q88IDd0K4PqtGl +cdIEYv5MxIKc3MQm7dGIiBLYeA+KKUvOy/4nnSSzscPaCQAeRwU9XMF1ihVw/VMF +LW9Fl/u0TwwGt/IoznOoMyP6V0iM8aK0dcuS9CGe2SU8nYMENR14ooBG31A2KjTj +4dAeahpWXH3G5LgnSIJMdH/2Cdoyj31TTJ6nckl5hW8zBw/O6lX8e6u9pDRJUydq +V9gJuJ5ViCOhvV6b1pAzg4OF6mdZNJ778L5foB0NJXoNoa3YdGvCDB0Vh5McRa9J +jzHB7zGg1O4NkX/vl5ono2cAIvRvqACCCozReedeQkRKf0kNkwszSRdPqQ48W06h +fHWg2w8VEDOMY7KLFPKqf/HMtZFpjUsiuFzOJ8c2s7GnvqfjUqXJOKhim0yquAVe +C25My9fDZg10exQzlW1vc8gpJ4EW9Ve97kuDGL3zNWiCxRjtSN67GP8rFC6f6z8k +IlSt0T0FTplQ+1N7ryCDMv/fnKt08IDNH+NyfO2LZyw52kgCjmUQDptMcaL65PW2 +YDYUKjC6NnOy8rbVMPLy1W2hAidYmyioqQbL7VbpuYrr512Oww/Hx3k32jM7RHCd +0X1pQcQpImlpQaqEgrMLSYzXf0ZMGVU/nODAq7yyPIvsTXNL/KuHrIuoffT2udoD +fONqwp+AN6BAKkuHA4K11Xvp8Sx07QusfzpGVecRlta3OhFZbBvxIAEwe2HjURmz +cp/rfH4aSwM+04mibQaD/7eHVL5YKIXJr7RFhcN5Yl5WZmrl05ENow6C/MfpJnb8 +1mbCLVt+yHFTbMYpbZIWH2JhRjCcqBBTcwu/UzamxvRMfuS2ng3oKR14q2sbbl40 +7aSjJg7mduM/sLEb52ORU+tifa6DmSS7fNDflboUZS51OJVHyXGKxtrQu1GtA4ke +DmTNJHdTageb1rFcDz+LQBzOVcdV9BT2YFPW1lVlY7KmqheDMwW4UJb/xh3cQ3dH +Gb6dLXTZp3kSTJmalz3sSTny4iW2eUWyPrRdtnCPQfNn3jyYQ9zoiTi+K1m8Ko9y +3tzJXfOB+ItIVk0Huhy50oqVQ3Yn2kfV9RVS32WB378zfxlzsY9YEm7vHTt1xL+w +WRlYGxB3i1oDT7S0cYCSCTMF5eiuoEBxhYad5IvDpTLurHjUl3tBHyGq8gX0iitX +evEGIHjiSCISZ1UdVEHLA9tpw9hnkiWZH5GTJm/rCyLJvINLoKcdcDEohxz/dOfy +DoMEIba4tXN2OeNLj4NuMLvaoCLY+gKPuIN0OgRLd8dVjDW5XQvNGnWyidmiu8UU +yzf5uRMNJTzXCl+t65DdpNk6340S+/Bm1wFWwJfa/T6Vy0IlHZzijhcC/a9hAHI3 +lAGPJmQcNnGxIOYb7fQ38igPxSK5+AlBRs37cxjXP7GeaEW3NEuKwKMg3CofRKWg +ajNFD0j7iAf3briWYLF7v/HpaUHu1d+PVH7iFyh/CnjsXNMOxcKGlB2+riCAaPtK +VArvjcn1ml9eROy5Rd8Gjn71rsDbRHWE8MVDXsNRdIKuyvqgV0UTajg82ahR8Rz5 +XONnpLgxeFwBtWneOuhrtLvWrbSw+V/NdZvLbDJPE3+kVth1JrHAwDsXVRRqbAtX +EISGRWyllmLRoOqBqJzDN3RKOxx4lLMf4sBAeD4WBzrLgbeIxDbHbLE6yFkRehgs +ClO91Qc+/7DGsAzzzgYtgZ2TlBQkP3u/Grj5tDFRGOUf29GJUYzwiKGMNEa2QYrc +N62y66+U0JwJ/6sm7S2oWIimds2cw+jIDIpeY3/kvQdAfYIKCUKvyJDX4BR+XDFb +pe9bApuhjF2gS4VLzClrelU+Q08SEhFDECo2FsD7H5d/QHMtzQlQIjmoLoKW0mnE +83JkoZyZ2KlfSlRYOESwGN1ylBGI1Ge2nTghjSNJrAMKsUcI68Owf10m2TmH+IQa +h1qjCH8XCbdGv250zbVIMaK2Twd2Nlu3FmmcAFYgMXTb/uEx9tArOU77RzYWw8lM +0pFJf/BhGD230GPQvWysk69CjycH0VJ5Mzd5lH5pRoxOu9jGvj4nv03Y2YO/bJGc +X9tE5XLoKrrkuR934scyjk7xavtc42E/vjnU/WdCz/HDgZaoN+ZpnhABPFpAhxEP +dM0w0m31V32eH+rgBlLqWU5zVwqMzEBuTs9PLhB+K4CYaYAJUntu7B5UuxO941yg +xn4/B0O6ltVbE8dXClKvKeO2L6nbk8XGUrTMMVwsMfPfNZ2zOK8wM9FcHHWAddyg +ppAbNFqJc6BQF5tpih7L5LzXmYUqDY3VwwLmdFL24UZUgoyGClYkDdfMnCVHQejA +b6FlDb04K5ZFbIaN0eGBjsdMAPdHWHi5HP6HS9sZ9aUCxBS5ZqmfJa/eIhw+4rV0 +N4Pn5axZELrAc91S1nm87b1ppy+iKcAQ7O5PTn/i1YNofNQ4mbCWD9t6cjoDFOM2 +XuMTuz3dsfkitQ2uJGNDjCGSCqYhWzCuJrgBwzVUZSb1xspDxl85JeR1/hMUMRS5 +Sfe67pIPlUMl7L8GWgVMuHVZJusn1NsZDmv3Y8IMhhcP3PFTqM0uuBTPJ8lIjmIc +wAw5Wx+iiTQxMCcTshd5TAIYsnyf0gWsabQ1dV5yXSIGJmCY/FoheV96hkqyzVtS +kfW+N/WckdyeE2ubqNDallPI1DqeQNSdq32wXxFoMJObTVN/PoqY42B6EVHnRn1G +RdJ+X1qjyOxG7vahiLfv2wsntWsm8bS3/DZBsd0EnLO5uXoH+cWbBafsOevnTU1c +naa2mGZVv7NrQpLDKTQ00Id4dmghLdzJ/TGa+i9oWkD1560FjF1+BmB3NE1gifE7 +D+dGvKLeg0saVtHJIYZWbUaPNhhUAIeP0AkrSpIMPgm/O57XxOUSpgNAJUbOmhGG +BznPQUR+kPdi1I6ZMB6gM3bNuj5mxWTlYJOU+9HcMZuYrOQS3Ac4vL5jziCdv3ih +IMmOgmTdSXtK5n1QIIVPY6dL07ZlpHN+w3zYDlol8PqyMo5RBRA96hRL//fnORiC +VO/E4csl8JBDmFVxyXukkJBtGkfP+rxtTYxF2Ap+zAkzvRHZ22XQwUAIxB9ayya8 +FnDEa1zvlt1OsutsJHBWgOGQJ/uEH0FBJ4fceQOvUXWmLVWFZEyIxndhdMFdefF5 +XVC8hMn6LeLXkaWPTYWfahZMVyN7lAYv1vAYLbQ4i5Egd+BKX++8D9GGryZUywS9 +Evht4IwuE8TZPQUJHupu/SpD5B6E2aFWfMaTV8Fz0ZjGWoKbmjHhOCXbNUYEArZp +u3mw010wIZamcuCqxUGpjmvkPZ27v4wdCbOo07ox0BvF4bStSbXoUf3EGfoAIcW1 +8GdfiypBMSpgeGVUkHKc1KYfyU5qsi+mYHmeypd+PkiAJdaPlSUeVzhpQ/dTIMC6 +AV38KHD99SFkHKYZmcHRVtt53LIiRq5rMO0KaBjJXcEuyDVtF6t8eOY77jUxp1Ca +pOWcTlLHqm7y0QUY93SSwKNeCioA22NhnuZ+jL4I7N9eenkFNVB+IdG3LvMle0Ia +0zIqRbZZCyswoj3DfxKLHyOetIVGzNqSe7Q1eV4EbWfGk7Ie6LS7T9VMdxxg0G+g ++J2TbDRLAb7PMO/Tej4wLZU9Oi4ThK1Z9SwXF1yHKvlWpjwWsEsykUVVOFHmTKwI +oM4fFwbqQTwgRp35Ik5ypfk8pjoIsrTRb+5to9J+R0m64wbtPjtMSkWrGtcK+NDT +PjL7MLOrMc6iEyOt2rUYLcXSPBF6OI6bCBMnwgoMYf6AM2vRuPgMh9s78G1CH6Eq +qYlGwKQh4QnkDr0RqsVPFLOCN+f3toyzz5SWeEMcIIac5VTDWW7dZ07US5hRBYeV +KCVQU6NoiKTwFwJ3GacqRIaL9W2t1ZIgp9DlA4GcGmap7FtpvR2YpHBA0Ti4Mcer +niFTimDGexk39Wo9URPo1+ICpo0NklGjQybwlMKcAPXdO/lmVa+YU92A0xzsY1Ty +PG4E8pLdKV8PWo4tXKCAx+AZSHWMj3ZhZIR6PjI4K5XyuG85UhT5qR3/ECIfPfgo +UUM//sOt34V2bBzGvZxHqp/veLGKbrggcuJQs6NWIsFRxkDeqZNBpL0EqPi3J2Ul +8CMp62BM/t1EM8/Ct/BmkrI7xIsjchi9uU91IlwzoKMHe0kSgn2lq5h2wYmATXbR +ylQ1zuhTLuR/y0c+0AwYaLZfSQtdkJjC41mLnnZfTS3saJi2xmPAIcxRzV9N19dB +NFsMrBzM79slbsO0euq0qzVtLFZgbOP1sgrdOMKb+xRlMjfPxeMRUJoKzBG9YnVm +4ldQ1fcrw3VXOioZRbeM8V9eNcTDykz5KN2wJrgKuvQfcIipZ31Pz5BrgoLEOSeE +FvSWjFHQ8z5m6ZaxhI5NggLGKoCn0J6pprFIO9XuWQPSVqefHHMRMRdz39TRbpSA +YhPp1jGzgX22cWIVAU6hf6oLnQnAVoBH4xq2LnX0Y8vVN0HKeb/KL68bBEkR+Lb0 +2Tgx1XOa230OPFAFRWDhCENqlfW5bsW5KGQv1KZpiLh3o5Efq3GbkqQSysJFfHb+ +bzv2RpGdGXz7GdrMwET+iCDWq/Ky9O8oRcrSJ6nKrrQ4AbOBtJAjnz9cHNhC4dm2 +MFgUjEZm5Gct5srCcJD+dTBEeAL45WoCuSkrAYxsKw5U/BWFOcun+g5mg8yvmdio +D4d0BGb3YDVubF1F/0qoqdshokGjU17ZjOYIlzU1JnKcowKI2Fdavs4LxAqs/wZv +CGgYtiaDixzXDzwAzvx6rDk9zHPc99tXxASLXlSgTpyBqHcR4qVHib3hl/z7r3bF +gSsc67ecWLNA5Wn6+qsmP7e9Ve9MqpZax+AH8E+yg1xLl5mr1RF3ZENO6TU3hfBq +E3/oS0x+/nAAHAxeQp/l1dMB89qFmQ6I+s0nqa2qvUvZHsWoixpYahTIwEtA7k/s +Yt5l3dyyu+ZZ/oEm/e6SNk2j50WFxgpqDV0Jt7xFowcMcIe29XdG91Y2hY0j0ob/ +98Au6udeBFqXcqkOyrKF29d1InlAAViskqYPee1vaCpS5dw6l3VLvla7nvBrf5w6 +9shGA+Ypi38pUC5zWePGVhQ1gyopQJuNe2Tjuu2Ukh4CU41gndZ2RTGpISi7AQBo +dmi/IRzPRzddQWTpvM8kqlSZik8+3RX81B7dvl2pUomGCfM7hrYcJFVVqb7iLTOE +jjjuYn9aqrxk/463aO0Qs5+Y0ffV3YcuaF7Vj4Dv/7PuEq/3bb0LelFSiBNxCd5W +pJHW5pvxRULMejqls6Jw7dT9bh2/Dsv3cHYVOR3zM05i4ihZqj7rghK+S7BM3lO8 +RLo/Of3Emq+vKHtMdu1+DwBxfziffJlnKS1+y3lS7SiAcnrxqDpsYyBgo/7XoleU +MqBOE4XaKKTZFbbDDV7tb/2TfLi70cDUqPZtNMm83py4S6gH7x3jwb6T1omAWLfc +Ib2qy2gczin9jXYktCRMD8JhO/d6npJ7KKO21jfIklak8EhTIjF8tGTGzV4tWTrV +TIT6QxJGrpxezZVxTpEf3XEGTTjTBZayl0LHLRQ5SxhY3agAYPpEKH77wSVkaJWM +Q9AGmoDmjdoHWL8s+pRw99wNsLgJ77+YCGtcBew8ia8xg3iB3xOU6pebSvdZQbGK +pk81lvQZaePX2tDYMM4Bn7sXQ4efOJWs0XIQsToi34AF1GKBMZJMwGH4Fy9jiFWP +hGj9zFy7X0YX2AxiEeldAKEb4bu2D12MyQ2EVcRI1Wjp4H+L5lCglmdl1fC5i0ub +WxM0Fyj7qoR0DJxPCBBuN3xjYiyWEdTlAx7hmGQ9sTz6GYGwvGvBhGJeRzNkv3gu +Fqd5A/NSNRUt5O5+HJg4g92WeCJkDTOsm9nvIAFjEjLkWIccm3qp/3EC++5k2PvV +XgVq+3fTMkj7yRSKLKJY1SGhVv3Fo/bonKqnquuPAObXMwPeSh0nQDVT07p8iLVE +oLUDkP1HKsr2Y0UI6DXAi3sF2eUrf+4NKMFOGTXpBaev5NT+0dDMXkiakh3lCgCJ +Yvh10f3uSK5m79yO1WC2+7dUo4Zp1I42yH6ewJ2FjrZVM63jQuW3S22PEPUqAbur +/v3aG0UbPzNoPsZvGavsL46BqzaBpw82W8z1/XnL5yb6lZ5LKZfQxgDFgUgJfSyt +IKtrlmC4hFzo+nZUyrdt1JxO//4l6U04xzjXv6Jnju5tXeRaZ02I6vaOgd1U89By +IcYlry018WVEsM+ytE0Hc4SHQXWxEDeYF0R9sziFRrPmNMGujpDbemCPmZ2KSCwA +TdLuHDDg+OCio9C9eQTCKDuswbA+pkyI2aThovjBk247EKcfRIwCAiojOumSFXl2 +8Wm/4de1jaH7TaTATlbdkKRdd0LLyxUUB/p1ZibrPzj19cQbzlhMO+R9wCqaj7Fm +UnXx6Ojx8QZVbFUhWiYCBTd5J1zWDBtD3RO3LanTY9xVj/Ei63xFunbuqTbD5IkB +n5Brypyx4aq1TtMNMf5SXYhgj7X726+eWX9F8LAIG5RF0oP1p9RO0NlKf5gMG995 +6RYJGjUO7WWrXcBOfn9VXapbgXdC8uHSlug2fuNJ2G/Qf+6efCYMi42FU10bI8Ob +QjLXncdIPKDACWFgOx4xHRAe1r7jo4Xe3aOtF7r9PzAkC3Y6dH4+AQ3qA+M7SR7h +5GfjWV40/9Rfhm7U8S6XYYkKsEWQ5hGIe9OvA1fH/XoxfOdQsca2VswECAwS5QS7 +H6vLwExqC/I5QHNMWJJunYzEVZR5/aJggYozNOiF2SCxfROCdlg8sPcrSXT0Nd0p +U3ZZjKStYUzck3O2YvZKQr5OiGyoqGVxUfYNYqPLGTqj1YfGD7pMQMuDfJm6/8zL +fNZSv9VgWTPa4DfGsQumqnGnUPiqy8ZyqDef16uszK/oZOVEk9A0td66G5EE0rVV +oyC/7TFqsdW4txeQT72skMQVYYyhz1RbLgUlBR/22L1Y3UvEmMZLA1xemeu0hwF9 +ZrQf2DA9FYNdDsMasJy6xsXJVTkaTUrmD8ZKjAtdr0QJ2qGLvuOflknjDyzjZGow +THIiBnoiVUrd8MGF/4Ws/2vpnWh/IX0ae0kTexat+J1fvIfEciV85sKsn+K9ycQq +HVlWOnpMdAw4BbY+Pf10vz837ZhhDdDPNBddqS5PiGc0vOBA8iVqGVE/isuQyCWD +HuMDRv0m4KKCtIod+JZPYb0y5uq/xBJ1uloaTPMIpA6gI09uGZ7uF4fQOvtuWeTc +Kc/gQaO7nQBizzeDkjPaCfKnfgkccR/Yd0VjuZCOR0P31r+18nNmFEdf3egys47C +24uAor+X5icss+RnOKVTi9Rsg4KvJEo0ypf/xfKPhRAOvKJcKcbYZpaQCbztXeFO +aYozV9GcKuGLMEmBY1LxoT8t7MSX9vQxMOant+iUl7K+buXpo4n/VjDc0yBoTnXD +XWvzwwfWkGO1ezPV1uRp1M/8cipBnASMd77hwhlFI4mtDuYtYpb1c4Wc7vdJTuwY +qtt/qmi+46vnWiMPYeNQfJbLOS2J66WvtHWlDxXnUBAgtZO/6Pjgx/I4VQeExXgB +NJn/1hdDPPfzXtEVWQMG9otwdhF65BIsvi5TXBYrqxgeTSI4uusJ+DyK0vrIbZZh +eB9WKZKal6c4prf9jL7+oyAt2EnH/hgegAHLycmtaecLEFTf5DHfHEdc0QEE/r9b +tSMhe+sH1rReHMP4Rlfkk1qWVTj4RxKmHpzLr3dLeIxcUCEOdZzoI1u9wfNWXSMK +6yFo7/ocZ4gKd7vuEoxcNZjKI5oWUZqO5cTnsdUfvfK7QDMpk/zflZ7q/vFiSxA6 +C0y0N3Yhtz/YLcNXCw24NnfyNlyRwnIQ6y0MtHh7lZVE8Mek4Fws8bRBwRWodph/ +MUSQuTA36ro6eJq4OdbZ3ZXL/St7EnPjK0QSCk/oL74Zc4mIi00mb9KsjKayyP8E +G78SixMPDVD5FAUZNyiPdrq0wXWs3iL8LuJfrhrmyJRn5HqXZMq1WCXhBrUmvxKk +1dSddtC1p3huHpqEwOOuZskSJqznjJ4deXDDFHrox9usfPKwvnm3e7AgR9jH1oI0 +vZsEn3EMiLfUn4jOOq3nUCyCj+o9rhCqtg1aP+l8tb21yJKkC1DJWSEJrShWJmkL +dVxV6uckRxt8PPc9dIwgnpkJV5jQrYI9qW6Cvzd3B/+ltem054v+n6QHPb/TPlbR +0K6B3GsC5jMsrCS3aTwLObjD47UGhaKQg2OangCh+5tFcWTqn5YD1R2cvJNvtnoL +PJNc4so0XLnJMOJ3mqgPuwwHcA/dX3DqHW70IMI2+XgbwKAd3VOD1PGwuUzVFclA +KZ5GRxGEjEqG26HVWmvh5oQIdJClyL2QwOXd2rNJzP8eB7AV2xE/uFjx3v5dfQNr +kU223vt0198D5Y9WyqlfL4/R7XlpeFWuaNS/+Fq0Oga95yg3yXNTcm2TpuW1C3V6 +88khUBcbg4R+ba4CXzrhpJms6/LHpC0TgJzctXLkyO4XdayIt4FBfPnbUmg8LGDn +Nm84iJm1EgQC+P+jQyOOYwHc+JVtE7z1niDcwlG7Kpn/SZWktHpgDqzTtKUWxJCc +YfaRYR1wuLHMTtR2bALY065mUZZtEms9TxWygtlUQN4GDKtmGRalj4ZVvVRDrwhk +LXBsU57Cm1rgOPymrohO+rNOYJH5au/8B3jsukuxa7Q7ymQqU2W33I7c+mxi2E6w +behQZ1JicqceSGTaD7tIE+ZqP4EKX3/I7wf+SkaWOzik83/+vUZ9VZJHG+dsSzNC +kX7hAHy8ojcC756lTETepHu41YPnnC7GMxTRXwTrpzLNnEdyMGXXlSGnci/cJx6l +pRZBug+WqGYsd0V2MCsK55UCXwnX+jeoizYH/U8gymfHdVpso1ndJ217ZPIkYCPX +uMUp417XLhD64RVF+ed8vndP46FwGGvRtBmGLIE6rLsCQQm5HcwWOYyLqNEENlum +3SxUz9KnUcYibSCYGvbzyBLhN7G2V2A5Ir+mDiXTA8HzRoeOszq8j+p+ooVvh7JL +VSScydPOuohVEFHgQYhS0nXzMYO2b2SdhaT9aL4Eu+aMXRA3JxN/0xJOj68GS+7q +0leK3i6pIZRqekE0vGj+cvExU+hoGWrBYkSV0jbOOTGdWG4bh3l5x8LPok/sOu0b +KUTgZB+M6/lGX2O7mNGNfGCQ6er+SXVmnB0mBWXCuytZs5Jm5u5AaxSjIdhBIkGb +WuuIeeBiLIM352tb1MGmid6kuV42XmuSPubVUaaDai+ZSfVCamU/0E7hofwaMpG5 +TAao4h2URhUV+tO420k2g+Uat/c7v81ZoBiLeKXfXdXmSGSBW1O+JOgB5WjOelQn +wMK5xlxO0eQxD/o3nA3rfuHK+coLbE++X0ndj0w0SVsJLm9derV+BY2AnM8DtjO1 +T/N/bllDbpRw1FEsjR1vo+Xsve+JljPKh3/HKZEz7yEpFhk2e83vC8EmCl9IW36s +41rFyTANiEFbLdMV8zvV5ugZCHuATsuFlydhPSMSC87Y3/uo+i7vMfou25y6EJrg +pI/TTpmiNfPpy1WFqYgNlrnsx6Zah8fUQvQ3iGQvY7pTlppboJ4isfuRv9QqHih4 +E/pxJxfGffSqa+lQJFwkAm9ih0wjBaR44RV9YLSK9mqnIWzbM01ovRuWCNjK6vEc +4qQneF72m+8uzXEgIvhkmMCeThYQ4Uq1hixHqHfJSta1e3f5egRZpAx30Ng/1Tyt +eOquhaAdsi1XFjzu1xCLnbTOyCO7MBwb2/cfqhizVcJdmn7Pncj9I+XIp2Az47Vu +rCfpg1Zsllp+Kb6dNVPtuErYDyDXCXHSUTXslgNLxHNz2nv84MmFldJYCHY8dr/+ +rzooUBJ268ojOvXnpyg72TuwfzNcxiatYVHAN/QUHMdIgAuOP1bkWM43Bq6sHHPH +1C58T1pCV4Rg4kqgbDnGrhLqxgStGzcE8sc2xGLnmdKJrdxM2zpxbi2sLuapk1re +eAfvNd58xHH7meZo/EbOVxyspcCIRWUB4M9h5Gfc27cliM4ugB8umVjv4E2pqZUQ +zdQkl1HXDc+4gdpLSrgpJ0oU3CB0whP7SdY3Bm+bqw8XJlqKW/apGn6wkhV9EDdJ +UKtMGEqsKl3rJ8vn7B8HgeVdQJlapeJyLvXphjF+J3nKcFM5iLxgJbrK+70qLA/2 +KihPnDTFmTOJ5UwfolC6Cvj0H5ljW+oXqI9dpStpSqWnp8HEqkSRFD6TTi10pKH7 +uuqxJdbXP54LMAI8LXbZXyMWztQ2V3D/0q64lTOT3IBbISM6h70Wmbcitnh6+ycl +JNQuc/OEJ/yeCjQMhG4vjKs/+banM6kwtq/04O5+UouXfhYuA6K79iWcad1em0Su +d4neOzZoL/RGACZRHj9wv3OvV9WlaPNMxfU66vCTQmSxD7X4YZCtgipObclfqFrC +/XdC6DHdMW2/9HKHEYlIwNrfxGhHq3DZU0enGOwaC94/Y9ifOmrgLJcouGUY+5RI +krJ/hM30+aAGDoew84Yte5zW2YvzSgRHmeCPywsGiZdiwd/c8Uytxat8G4sKRu9C +gF5iCxmWG03CImnXPKO2rD+qrcypPvobOFJdPRmyKqrR6eQe6Mgq2OJ4ebxEVzsB +2LrTSZbecQhoRavGGDcxM7zJyHsc4GJ9FkARbUzikNqBBnB1r2NhFGspPTBlpJDT +KvOyRsRyk06vcq8rej3XHJLkn18FOci8IVeO6jY2r/5rd4Efq6Pb6MCRc7kEQ6l8 +TfxFP4PxBj7DYKv/BexmAeaJpdITc9HpgtPfuGZBSypR5IZop4CjQf7gjkm533Yg +FUJsEZiCPBHSoJpkxJBA5dmcvW64tvp9ceh+L2xW62c5XbMqUDTC1rWkn7KxafU2 +4w0cV4r5iYPXlEz3uUM5L8pkRu084UxNWgw3EPjurgb4AQrce3wNajjX2YT3VdKg +NeqCZtfJTC1tX/aZpm4/2IATyha35h4cfo5EZTx7Z5x62b6Rht1MmwwRSenlbKOw +fPOhU/1hP9QfOR14XJUxAhErgvJnEzzHle54/ZAjM7iv2sSEMiTfdHlDA+bzNuJ+ +qf3QyW0i3BktEU2DA46OqJvqb10vITefQmZgksetfmk3nyAmyjiikkhzZQrmRgUa +G89YtCu9JRqY99+Tp6YwU93IgzNRAzijBzZuRQ69Ty+atLAoYT8xRKfCTGF7ZxlG +2bjVPVQE8onH5dMveos/XmYYAII/TM+x0pcPR0t5BH3NkDuaeLenjl02b0LQPVRy +RdzW3XSvqTCsvBpJwzt1G+Sc6xV4HaMO4pXjchclr9ms586d2xvdb3AY0MTujh38 +I5EwAar8Uhqqg2RsFHHq5jPHmVWGCD8CwUyD+UPEfCq0lBbB0Bs1DA++gEvzDJpc +bmd76OpbCSwOoTnheiET/MXH3h05t42AEc5rnXXML3JKcrtgiuVQtkkVkk0uKrcT +5LxROEAS+kL8psW9P70cuJJzroqP6/33pUVDibphWPeNoccFWyQ37eDbP5c5mnh+ +7Zd45x7CXI8SEtwqChDyBpyYLj0SEwgVrKQyth5X1oKAwTjztk/CFcS/nzaHI+ID +3Q1lCtainHgraxb64rSR6nhZYqKxxIKxbIZY6rCsGcDJhIn7ot57ixW/C8Fqug4X +bAVvzhIHPoyQOH3KoA0Y11l9FcfBOPpUReR1YpUu4g3pCRFemn9KYHWer+fjvUFd +t5XasdgOWy++d+rYpdPYhcw7xJxyKXDy6hrVK/BTY9HrHzzE6QIT2vAQpOeDREQJ +vnhKiB+Oqqp2PcDejif/4LLGP51cucLB7xTLXofVA30UYt9x+4idAIvRytaVpkfU +kdSpbI0jkjwYHXmwpML/YZFl6aNnZxPsh/PIPJn7Rsg5Zm9allpiCgpCfppr5ATq +OJTPYarI/2tclcuqrzEEBhr6zYrYbO03JAMy1bI6eoqPB/Enm5ygeGM/JIjinYsQ +bX7dhhOXX0sslNBkjD4jQlZSK4511PpT9Iz8FQrT/flKG4xOvlwgNLiACvUWNKY3 +AfNq9O+w7Ywby0OwMj4SIN4j1PVpmWmpkNbmpkPZ3mkvyshYjpXKUfGiDYBuoU/d +h1ohCUjtKAr3ORMj5bW/gN1ZSvoPrD+HpJcDLEzHXD8VyarfTBOobCp7wRUCfXN9 +25c1ihSRaREfN7j0zuzqvm9w4IWFAgVC4xm8ZFP+nGKrLfC3Mv2ga3t/SrI9tEMn +nZ9QEx1mEtnsTYb7FWOIH1LJFdOYVOAZDTORa2mvdOWcbVRIfK4ZOMdwDxGbtK1q +nh7RrUn4e3CSfxWJA/A0pstesTSIattSD+HUCJIEht9nG6pXhtkyPmDw+I0BidqL +SAAtubyF+f3jGlz6N2V/JCqn5FYZkh0HFJn3C1/7sfU25KOIaq0JJUheY0sd9zZo +LJOS8MxzHLcfxW0UnyvLzMfz3XU0uWwRdzOxlYLm2FB/KsFCV3rZRU2MNF8nfiVB +UUA8oNB6BepAFdOVMKv03O1vrR/+uU2yg1MUfYXWjajS/UvGlQ9yCAYw7vC70ZBY +tcN9GhgYDJYnQsBzooAde0DTbNGajTRFtCdWReRWuAT2M5L2CkZUTwcL41VdhVL9 +HEOf8cA91jAs4qbW5onGjhXJkCbf4b2aViel7wqZi6FTke6BC254xy1zQfihpnM9 +xHkZVGw291zAupexgI3tTSsPwREl2cKCzAyLd3wE7jYczZWVZkpV0evxla77oj4p +zLoi0n6EGjX82Z0oNNCTUOBAUQwKtyqZzJlDheK03AgicORqImiLVxgDWoBG0HwH +xYH2mWJkjniGLTCYvLtx4Yh6HplHW/hw2z/mPeOmC0xYpQ532HUWLPC3PD8+Fz6K +GqF4kkCD+wE4IC3W8CDz8zg3yVZrXYA0EQCVn+6PjV4pjxrPqK7n7tXUSOf4zTw4 +4y7HvsLRdSBvjwW7wGTsTtdAJ1oF0QoD4mNno9F4/2RZ4EpsjNosAkRvHA1Jyj+p +vK6aLjc1mpi03LC8jZCxT2455NmTHXeZnwKXWV2gv4XntMGwXxvTDxiUctJn0xKP +VAIWykrGq2884pzrfgsTTn7B8ycSfc9ffg8mzXUgxHk14D6Ch41qTuITJXatgb96 +OF+BLWlFx2A7hpz0QZ8v3LVuZTxLLO+U6vXVqtkA4N9yJXUrG4f5cHpquMP6SIN4 +iyx+4ZaKLW9kvdRH9zAdnuPZAhH9OASsXcEViJCFSuvBZbar4eQ8irlfmql2UPtR +K/XOll3b2fatwKZRXlV9xCHf+x0d7uRz57aYjwjpIunOVmIx/dGPvawL18CVjaNd +2U9lvc0pNUiE6oy/Z+FvIF5f+r1s9t9jdIJuZKvi5jbG5AOQryRYn95yg6tYudf4 +wfHgpixgMJl0fT8pEJSQkJbYX86yXkVU0FCEt6l89BtsZGba+yANp+P1vEDB0JSY +XVtD6VGo4uW0/gkVfgB9xUwb5OdxqJn6gXwhGPu+6Gj9qOByjGvnZux6+51Wz9Ef +nOIt1X6WalcP4W0/okHFWVMiZ+Zu0AJpHfnA7puTD5CE064U7CSF9TI6JcNdYdTy +1P4gq8u/VeR5osmc3joupjCt2s00YjBe3+UDfPLsHVSKRzcXY0YcETPQkaFgtjxn +3UtIN57paLgO9xVZCVrOVcALV8t+FwwHS+/AkXkpAu0by42DcFPes4lGTSquxpX3 +7RlO0am1Ujia7X9BMh+jE2MG/0lgE75U3wl3pcq59ZedzE8foKqLKCSrER9ZfQWa +GhVJbeu+tPJhUo45Du2cQiaHBOyXuZmLqY5NHQ8qpqjSwy6C0m8RE/O5We+L9L/G +ivuJjwyIZX4rXZilG7frc8rpJM2aTvl81b666oB80CDUmjo8peI8aR4JkEXC6Bnz +s13hvia6iO47KulOBTjZj+4YwEU/I8yzsbvh+VpOJ980KhXL464qh9WsxtDDXydp +yf6+HQwULvz6jhXnuQfU8pkXOZU6gEiu392K9N0ZHf13tB7wmSQdXeHNkjdE9QAp +UPYHSlgR38hoU9T3c//on5lTNmd2n2zC/N0Z+wy5LxGIygYLAW2hMcbYNZ+YEB6i +GgpU3JNwSD+fcQjg0suTlaGaHo+mTuaWHTpI21rFHTaqtiurAnGSIQR9RshIkrNr +U7GGDM2EmcgRewZAQ6VtXsLptd2Ngup41qn0hkTIkO7lAsR/mwR4zS/7JtQ0JnJ2 +W/jRC15LN/PWWoovciXspVL1y/j4qR6A9Bp9ozyE8u+WYUspBG1y3yiQ+irUHwLA +16Uhm5tEVcus/Jyi1NDxDQLcPsaE+z8CRKQC8FLRjcNcYwrPGgRBrDLDZT6kNZRV +okk/Pq6kW76ryYjs0Ek8GzwITGl+sz0aSDqHs0IvulSM/Cn0ZODPOLBS7fspZSxq +MD6W1rH1xkDSIhmPoATean5ZGvz90kVMJq9Owew3DLt/G66c0YQ9zoEVMzgHJfBw +/OJ4DMFB7NVeexzubruMup38uNFAiRGKwLd36jZt1u4NNeCrNSysmKWp16mvdshK +2aT2RLoBc46WmPfH8UYM0dFM7pDafgMUSa/UxHU310Hwtdn0kPC5WvKWZLymE366 +s2dwExGw7yIT0n/TmBopOGEfPMHK1Jts4s9bRFgg5A0bDL502wOsWQ6Buhoyo8y4 +5KzqFYH4JRnwJ0azQ1SRDSx6EyyCh1k4IyLzd+bVIbZ40Ok+1jeced/mla3XXhRG +UyCSRjv6IoGlPc2ICC7duGWebC/Ulpx/8fgpeg7w81xxUxa6FgRdO0lRq4PzvXIY +Hmk79z3LpUe72AHBe1j/ue1FwkfcmWtTPYlhtTkum6LJvjaC8cogkcF5ZNjGEVg4 +Gqc2qBBqMuxh9uvuInJZB6VD8cyhc871HXkceDSxyT/NVM6k2sdy31lLn8qbS3pF +amGzzDsAppMfK5Xo/IPSOiw+YamDyXgr+U5Xjc1u2XMl4izjznSkADcP42S/XfZp +HHdh0a1p8RrM4vT5raS4e0+qNDN5gbkN7aidOD5YtrMZC/9B27GniCA6w1JUk7I2 +aGLAOi3k70JAXKB3bZJgTdh7r5ylRlsF4ccsvFcCnEBWfQ7oubdwDM/eL8VdGbBS +HaGW7VfBh8ErB+qhv1KMs4AaWhWo994H3glbOVlyKl/Vx7on3Ub2e1mVuLZEB4SV +KJJrQTNyS259fL7I8uVkhR8hGolqucRudrMx+kQyrzpXW/T6p0osD7VNvZXh/W3q +XcyfVIwEs/P2pdCtTa3/l1CE5UfUhpAkCfB3rf9jZL69YAaM5PhkjqikeXNrMFQ3 +EpKsewq0hT4rwSbjMjpjfGLATOFA9AToy/pMdHhn9d9mc7Rfi7sHvoO4qnrfH9bV +kichvSLl6knyrZ0Ld7yB66i+QUtqIJgIPuYQL0lDsrkp5N7eiZ3w6iawCG5aNxAz +qh1ZdrB/nuJt3N+XT4nEGUGRmxEaW+E4ZuFnCMHmF+PQGKzRGbLEoQvRbJlrtsCr +7NjUWk88QUoV6W9pTTIY/ZfFhFDJP3/LoKlNykwoaB2s8eH6yslg0IITG6W5xvQN +xAzjqRfglk9eD4DIqVV+u2vgPIJOwEusNmuFJBPmL5k/OzMsqMjJ+6F0TcRo65df +485Xo1EweOdPk/EO3goiMtyL9DMYUTxhQOz9TCN3g5oVPiGq+CJI9wbmMBod2pzA +MpPBcjRV8N0urChY9CnXjh0HcAcaWJJ8CuAEdpr5kfqDd2w/ZzSHbfmePrS5YZkg +NawtATJu0LfuHx1apCxiP/FIcKHzQ+Upaa87V5oZKiQhAh8UEbAYYcPC8Ur6bo0T +qGZXG6/AEPaZmfTFDnZjuO21+jylJpVht3aE7eOYI8r2sIe7Or8wIx/tQIJn7w8M +rpHG8v9VgFS7B116tWSwpHZTBOA8Gi4UlK65uZ+ozD9KqfkLV3XF+sHFaMcvy4yV ++KfB159zxSHQWQiklZ9xmFOO9yhz9CWkoF7tR+zJgZYBvF/pyShLIQz2A4sabMHw +eLTCYclOlW80PwsD5rOH7jVS1VpO1MVayABZH9DxKUEjTgLVA1EliGX5ZmNbH0rf +xe1uEZ4cJPJ4QKEUGhYAQKbTmZCHY5plyPC8hEgjiZ8QPcTaHm4mduu2bY3x2Sca +SOgZXm3OyiVdOTDi9xJXMCD5/SH0DWRxS/Jk0Prvw6VLVQ+VlX8ODDPTbktRsJ6O +RVVr/lxZT7trncKEnMotgdiMh76n29KUd0qGqq0HZJmC7quA9TU8skia8/semTqS +SuFGzKE/V+naItK9/r7I34l/XgrXkhiXSPdCX7YKbjodmq9o2z165G7Mg1CwJkNg +SXwVa1RZnvuvzTqWn3qfQo04shIqOsTP3rwVQoI35YGtYZfIuGh395smKQ1M8AQB +zjIeXDc5+5Pb76pwAfPeQsjpa8k5DOJQDNlkxcKChzlDveDtrrkVYm2x4oGYvDtu +9RulMqVXBcfPTf8jKCr2Z0Byu9gbkM5WgzDn6KcwD5V8t7WeqAZPvBSQrZu57NTc +J5Wm6FIxukiJDgHRX5W+iuWHwrCIc/Nwiq8JQj3B7zXAwfDrR9Y6Y3kU0f4zsg1J +xGGMBctGqTMBl8CDHRCp/VHjz7m8Fxr5VLLMemaP+3SfwUK+hh9vJk3GiK2HB22s +e9hDa2etC4Ai6Ne2WjgRF0VbJVjl15yDRd6LrMJcblCrkfoMTSdXtTvxXEYI9evP +hFUsc1FPCt2BCOV4oqadhjr+BJErfyKzYuywe+bOccCLx5Ig0pVV6OK6AN8NzLTS +bT85t9KHkLadWWnedv62d4ZfMCsxlhdfOsQCrmbxdQFHlav+kCzV/uKXzieIiP/x +wpL6wp7a+ktk8MGpP0JjcAKD/2jo9lGu+UUWOqI458+Kmm2uGY9QBpQTAMg64Kgg +azbIPKbM+vrq4rPOzU2q/EpoKTj/gSYNLOSU1+5p4415hbG1b43+jDkqRIsPJClQ +NdVxtTrwz1naA3u7c4OqSvCPEFEaf15ez/02qVF/lcb38yF56EmKc9el/IsWK36L +5Qg58/3geCHd91ovazrZGdLi3CzWrY7/nGhswNiyG0jd4u6RGRsaow/C8O8NHmjW +Yxlj/jP8ZnWzQ9jSfnVEmZRpyWhCCZZOmW3o3v43rLR1rpFbkcARAJU60+ZNx8JP +VFNr6xNLneQTGPiU/hiJemn/4/PRAQwdjdxFAfKLZiJtJcj2OnHLYv/Gz8PYMq7u +lCSlQzH6QkKxm3zQ6vVnSAnWBQUYlg3RsXLgjLiCUIRZP7ydUBOsYVlWO614HNKs +JP2ZJqAlGktELVILPPMONsu/XiqDCYDWAtUtZMKsttt0HPQW0flrW7MmIjtvpf36 ++L5uH+UBIzllVNwDqxtpbn2FDXTWwrOIdDBEgIGXWSQwzPl4a6k25v4tNuWvAaLm +H1EOByuomY0zppG+WhafickiN18AZjH+s9+NPDZMu5wCXmNhAuf9uWdXStJOum0v +pKPT1cwU0M4pfTeGgc5xt7PmTtrezZ2DsMg5DZXppkpRo/KxrEOm0OTes4NgIqXm +yYwJAS/4KzsmT+7J0eZ/Nu+D2zl2hll9B7l7ucgDxkvd8RcH4EwbFG9obxtO2crV +dW2FiImDgl4sULEI38PG06kQaG5Z1M6t4/5/e0enR9MD0hexdCzR8gzjJ0qhV6lV +Gbs3GvEzCRiWOK+uR7E8CnuXIyxWjnGbI2NoNLT0zcWTecgiWbtmR50lYjE30k7d +CparwQlzwy7+VMebwSlmVrZDISMqbgvJYXjNjFzbOPm8cSbzNJ4VCbFN3fTN9A9H +96wL82nbHeCSo/PA5pQzpxbKXahngB+i3Pah1Dz/LTqMVZbQcAFtprnXizOL4myw ++Ng9vJQFaEgxTRnrAEgCuuLFOaVlAMALnWxBK2Idpe0G+b/WSSrc/1O5rkxyJMvB +eS+j+XTYIw9FrPExML+9aKaMMCYdU14dqyXBSXxzWoflfOCfc+aCOK+lyC2WT1im +q+eNVQsJ73o2rgjxGTwqD2aQOuNfILnnICTz9W5oPsTFH38qEFb8OAB2Y3ngJoRL +z+dj6RJuFoc2h6WcK59utWNX7MW7oNB7EIGURL97yNQrp4nm/1jRNgrytCgxCLXW +Lp1OP83aqrFIAZSDUQ7Ehx4Nf0BO+zs4b7/cLbv3FjCubeGUqHxgY2XOIuOazuG5 +CcaNCDoCA/7ps0bkrZ/U2wdim81tqh4Q3EloKfPg9yeAg3uJm1U4cOiwy/Bxlepd +6NrZ4kUM1SE6+PMgk4eFEG4ZJDIrgFqUpmUVTZsNfTVTFEtMBiZqLXJFPTW6E1EE +8shJfQWgv+IGtskYYP/muQTw3/6Qi/usQ/CgDoArirI0Wgg4PqaD/bE4/fxrPek2 +OZ2UNtkzLXaugYFBQuVyHVj3I469Pwr/FwlUFpvgi8s/RGPeTIVFK9Cx7OCn6plC +Rzbf6y6TNLNbAHiUa9vPnkNd+EKIT1qpDa0khhZFUa72+dS76VMs8n3jVG2L4Exm +Q2ZotMRfIuCTl/MkE8WDJn53vTfYeobzPHEFaQV1kS0fx7TejCSeVTLx4qoA+o9i +FmeBsyHUZqCM6P/PDPlYnMU5QK5OU8L9Ad83tiWn11QKDfx8Z9bl672nGr6VzTzC +sKAkdcgbfEtFXtKXKn0siLSLtzIpA3OI2d96cRcLf0mThXL2bVCmYZagOWGkiCzP +MKAQSoSCbYeF6SmVtWe87WQTkxpWvbOiy54Se4++YXtfwN2RjQBwZCu9P94GGxD+ +za7K4xnIG/WJblGX/UTQ6YIZeYG87ti8KznDmdYIj0s6ai2Lv4ufUsmUL6Bv9XcJ +nGdR4wuwuM68mYa9teEFnxm4gpWpbMOemHGXHjZAzf6KQq0aL6L2M9Mq0z/Svjd5 +QAX2vzDqLV1uaMaqFaHhKv0bsIqk8nUX+xpPFR4fpfX96h6Lou3pBzkqMfnxhhCx +3mpS0/bQT+Lik2JdKGnmuOnJOHL+m03aWudlP6bwkaLzIjcmvySlS9vboIQF+YHM +dmiLGsxP4lsF7rmYlJMfBOeF6PTMb26g8DMT5d5Q1HaKgl48M0RRbnr0g+IWqJ1h +iLdDUVt57MqzKMADdeqFAQAX7yW65xz768sYmthelWFBCAj60zXOxVrRXqv5//38 +fEB8ZuCXseL7PdgdquXCoa8mEBg1YOUeLdOkor1nLoqf422Rt3fPSFAe1PWaA/uf +zA+GP31M2IOz6YfGI7L1xNJQqvm6WkcclMrs5WKXFKbBnPhB8tIy0IYmOOZQfqfF +ZDE+i/E2AmD7NW9bKoMQFc1KVP84/iYleU28rjutqG8YUGgI9177EewhBVJ4l1HK +aYYXzl7G//wGY32ix0nfNiMkeMvpsrCdtdyJNWrQIoZVJYxZ/gB5omgljcGLySvm +c7L8qBDibmeBN9p5nYwg7Nz383Uc9/LzVqt1ba1PNEyuwk7C+Oc+LplbCO87P9FB +ux9hnMNjQAaUwkCAIiz3n0NgLS6BcRwujC5Aqs77kNc+D0N6Z71j8ZSova4ZyeAN +TQIydljxzFu+lN5C6lMD3SkvkjeRzpT/vq/xBINNMZpQX9Ygvh+8zxNQbrewA4DR +qZg1wIujROFzwzhuX3E3gyva7lvwART47THsk3rTDqWYAlP3N7SiNRaKvxJmJ3zF +2sRI8AQtPIDhYg0XuYpHvBOX2I5hl/LFUnn1RstB8l9k8uxGCwrpvn6mPoms7uLB +IM3AeN5EiZ9YK3K8bZINxp+ZI26eKsW+vqMVtvfJAFBkp9QdE5XZskc4Pd405JBb +OaxgC8b5oHUZhQ/m0ElBhMdpiFdClk+y/nuZNIUg7Hc2k/pBHVs6eG4MakEuFRxo +2xOVq4qwiUozWNvA8jpDny4RNMj85xqI4Nn6FR2and+3fGc1sSG2WvwytcqPy6cH +zeWNb/15riIVIdjEM4Lvbdh7LVV84tNznLNRalNiTP9zm2MtGTegKkqNcf90C8YV +rZ1qtvNHnwrzLbzKZ27+TUHwcfHDHtfF4KrAh6kha18B3ONsUHA+TPXM1jgZwkt+ +NElEg//XXHxLkMm9VesaP7tQ4gONqTtl5CW4zvKmZVO6/BxSigJTvSzO3mJ3cJTE +3h7vXUGSijH+9i/NnEpVpLOXdYk/R7CBYU88EuecR8j8XHZfDNpS+DfJK6CBs2ER +wq9Q1UNwVGlr+4uq4DL1r5BcKTRopNZiBpt4SJNjhFdsrQ17XxsjPCWBCStEcdUa +nQjqWG+L64Z9kETgOW3zletMRZeLfMoFkgHLVDBerC907wPRWGk+WFrMJEgd3Za4 +BefGdc7Jow+4A1wHb3yplnUfFjuYHbmVlZ9wbMY+NG3UnN1S+rdCfr7+3K6DWN7b +tXtX4eznQcZkK2kkF5wCMe62hxWuuNcOBx7HOiok05o3cKO0Ocl7jy3gNsEvGcNA ++MR/QSFcpJQykfwpcIyCek5PQuZ9N/X79xq2v5t2fdXaD00NVw71s/h0KWnAkWoC +GLuBCYbx7oAr8C88lykrVJZWYJGWV8XZ6WJGv911HxSxocp3esDZYzFznT+4+7Xu +461B3nk7nK+v4gkZvI5Um4CgTEmlGBQwqEsigOXuZrnsezL7kd8RGY5hY+LXtxML +mjF4JPoOpRNvkkWaq1CQWZEw/MUCPRU2IEOkXJ33/I9+Q9+CAz8I8Mdg4lofcBun +vQYtHDZR9urg8+2zwCu+C1PixsGEFFnVYRaxsGtmZhZ7b6b/Qy51AJcjPueCaJxz +9xpE4Om+kuNy+tUHCD3py8FMYXDhcFLkJnaMYga8HSj//6Jzg3XJQQioqNk84lPU +qtJdoMR7C1/1nSMzSzvdivM5LZYDj1WYg+f7vhwdk/jcyg51jja3SY1tieQMDhlV +yQRKUwHFQOeTIkN5WcGwf6KO0MM9cq70Ie1oLx2+fXVlTS9gKkACRdXCO/EY/Xzu +c9u+kfNjmq2eJ6NN0PxR19WNuc9SJWO75EaFCUBDFNkKZmFDGwqbekeNu/MNfpKp +nu9nvzg/yF+kJhpHevdqBlPA67JW68fbw8V0wUnxbPQVi3ZxkPtCREI2MSf/hM/F +wDbubYT21D54RR+p4HCghpBQdl1Mq8ogFrn+47znbNkEDIX0loA+tnS5of6ahiUf +OnscBV13gYAwSju6PwsEiYX13gH2l50JE9Cdq4/T5ZbznWFi6C0dbZz8PZV4YRH/ +omjA+ysnz7NFm9m1hgZuJxpRObaaxv0cN1XIqQfE94GARifI4BScu524OQNKmO+H +L802qKuEAejSjDQn5hMBOYdPrjNi8IEsda31U5CGE6jwAysHBpmjwn3+4jfjkflZ +nkc8hDgROAOcrVlnGDad0ytRYDAdVgJNAX4uxMmMMbTDNolFnfKXEHaw/2n6ce0q +TfPKR8M36k7PeuPiSn3J918CO6UiPUAo4BjszpjrYEn2+YWrY0uIQOlyqi5wSMOi +pL/EFVBhf/zl1FlwMOj+Kpc0G4kYv+EK6wcDRKrUPZQK3gHHhfGaXUbmCXA911G+ +yl1SNGtpsARUpu/LQ3lxUWXOz8ZFH7L9k4jTUW1t8Tv/YAfpzCjfKd4hn5Szaj5+ +mOWNK7nGBLkzD+eD+DlybioJQfKbu/YVY6QjSG6eWVbefv0ZpNRZm9QbeN0Q6iA8 +a+4QhSg0nAz7xbNgPgNDvLImMmselAa7YQ/bfQgMDH/d7K6N357jfVWerSN3hNsB +gyL6VrdBuIkEjgv2pBm/SI4NNKCYGyOQ3XzCoy1Ct+9jRVNMP8JgTHi3y2vzIS1Q +N/GuH7A5ocxZXxxIj03+yuCVxuklbInzhRP6CeSJRAvLJzAydzy9X8LH9fr2ByrL +ndvDiYTQxk4RjjVc6m360/30Qk2lHuJ4cToSQEaVOOPwpw3h4TKuMqOVsbTQOVNm +MgzpE0fvbOGtJKldvmZT+cHX6M/r/NDrQBIeF1am0XIaUypddtJRjKs5La8wddCC +/+vaNT6SM41sQNLAirXB++aT6O+/GuN4DCkSmVWpv5DBv4w4LWiqR0HSi0MQzgb4 +Gydk4PPXFFvVvO7zqQVS+pJ41O4K8RsXunDHfijGk0/Qyspz8+nXaM5yR0qsg5uG +rF6i0JAOAX0V5IsNJ3YCDjmNglu50lA/B1iu20f056gijVT31fHnWf2wumvQO7sf +Hjf7Nb7zMLAnieUxrrastg32aQE4gfu8NCO5m3JCfNIrpuIOva0Cf6urou8zug7X +f5h8w94PSaxvqdv00Ryu5HFxSbCeZwIETm2cXrSKOxLZlrIHjlyD0yuSY6X13UUp +tmy0jChJ6NxC+BfdG8ZIXPh3iM/RqclK2s2cEtT4+ZmD90vb7KvNx3WVOlk2JJp1 +dL9LSpkvPRxCrF6Zb3GjU2Srg83Pxd7FQSWjhyfjAyAaWRyh5R6fE3jbT8SyE+xA +croQZgh8tgGImKXXJjlJwE1dfTkJi0Jq+yhAPxjh0G5XLE1lx4FO6gH8mx8r+Z5u +/6v9B8e3wO6rxEeg0YPov69NQMM9u7PKW4POkJEjDjZq9RgcKNt7SooFuljo/aGa +tkxDgXxZzfXnOnhAuYkThWZj2QIZkhz6rWN0LOpnrYMScF9evXJ2WpMvrEHQPEab +pEj4+Qo7Jj5S1ZEmoM8RpFMSM/tBtgmCiZMf0GiJgDQwlXBDJXxcGP/Ses3YyVry +B/wjq0xF0a0RscIz+7787nmvPTak+ST4VopkRTdQRNQd07+d3EeQbU3KF2xrns/U +We8DnMfUOS08gcZD9+Vxb1xtvUJs0MhFqjeck/++q/wJHNcg1Dic8nhs57EIFMyh +pdUC4Wue9dVazfF6NWXm8asDTnBciXfzcFV973PyjzS+O2v0UDf6+t3Q4KAWV1/m +9wmubXg70+Tgho6fMYIGuw+jUSPzqk8O5NjT/pz/3xPyRNN92vWiuFLGzdCsK8LX +EG8Yn2dYnoK4zJ0nwBfnGN+D+9IL414sy1ebnF79LYN0nSZaluilsAMdy409gbgv +WMJhY7tOgYHcg0Ts9lz+6sXDxn0iJAPED+wLG2TCesNI+bAHJw+0op1xOueZX3Av +M3Q8b4iugDRvia7o4rxOlCElsCe08WL/zINZcdzuaskxtm3zvwFZoYSRXvOpHiMC +MjyMqbGPElaqdcVi9i4caas4DnWURN+1H6QGXUr5LeEy6P1PndwFIv+/uxYsSqHH +L11Aoowsgchhga5mhNhlueXgDYGW7B+b3vzK5GfU+A/gdF2YshWCR+dnX7tt/tqe +j1XVyJLrwYZcvZ6XHJGS7NOUzx7+WrCTMWujZ+XXF2RlL1PCFos1T9YlhIwlQwRr +ebsaO39yAuNBQAl75G+f0jTF23/Nm8f8BgBVt2OUTCPqcW71Z+fpoVtaIaAoQxFZ +7mctLxuXFe3kxMvB/rCDXh8LkqqZh5+s1SMHgnR0may/3STYYTwTvjYSsRPw+1oC +UpuZAxoLITuD5lAQfubQSF4pQOTQfyqtyvJorDzOKWrxG1MyDdENtrXrp43WArOV +x0DxVRDdDXxFZfZLCvqNv1b3WBBVGdsRY0xbAENi90kmcVrS3xbsekt0U3YezBzH +GI5XFxVxP8/diNzdbluJKFD3N2FhWgM+AdZvG9fY5lAVns+FTNo03nd0/5F9Bsps +x84E7NqHZq1UJuJnUY4gssr/RQs2k7LdtAC3wUZmY/u1OJnqGVS3d3BTdczZPOTW +V3c/zKbbpLSTmW3paQ1nuihDa+IZuDphvPJMLCqJVhDdZK/L6Bvdlv8E4CKz3t+t +44+H1cWQ9UggjnE/XlGsBsV9EkqEfkB+Rr3VRwf7GaOB/4HpeGUkG9z/MRURONV8 +xWoJzf+rLo+dda+vU1veXW7f9y/KGN7TrnLS7EsjFoLZgy3Rfp5lCQvzE9viPAIt +BePX6LkD9MPN2XL7OvmzgtLvHOevujfzjbdL47xLIs5Ea47ehD1kEItOB9ZHLe2l +fJr96PeyxGnLDg2YppCQrv4nZznJ08l2ZEiCKtLK5Vrr4PMxOEnt+7x6T4uIATrB +BfqSZyr03cbAIR5cbneXDK3Kk6LJqOo3gjjDj3xB/klGpZwpaKarEOihLbR7kJMI +slGen0dCLkU5YhVoDzpJQEMOfA94/KQJm6sBR3j8xpUHYP8z95F5HdnPYRFkceT2 +ZKx4dMixd2twaqK7nixgxfRPcqT0n4XGsNwqY/41bjBYSidFiyiMhWeE2Xn0Zp2c +zLyuAsWYryTe+5S+tiZ4XzJ6yofksDHHjFiip1KFmiGm4zNxmSSWx67G0oSJ1KPD +9pAv6DB9FvdrVEdCkSdnv2zPMZlr4eu2l2saga5/fNKAxwjiRPVbg3xdjkxOvmrv +HAngiOYBDmyoJLiiCoyA5+0aoqFM9WHy94fzPEgOBR2XSj65jbvNUVYSeCkU7EF6 +8/kEtgUAdq+cMw25TJ9uCC5toWwKrjJ8ZtYAsEpR/oPxgqV2IVnPXFIA5g/Fk2NF +xlEu9Rd3yDXDKPwPprnRf5uugu4xPSqgLwuJqv+AnZ37wnZQd9aWPkkHC0TSYwOY +YBNx+VjaNQisoaPsfWUlWZvXRVV8ZvRWMJzbRuxGt7jS5eHhhdy2x10tqsFrIkQF +Gnx7FrVKiSpdmVRBmQstOwYns4gTXXXSHGx6RQi2ClhYVj05XL69G4QuCB3ek8f3 +hSDP55wnl7yc5/PvDloJfi72QlLsecvPCqeWh0+BBHZjpp/e69nyQ0oz4Qi9YMwW +rAYHhyNAApkqFjSTjw/6vQVkF0XDXZRDsCxjHSuHs3/dOkjvz23Dqt3bDaeTrAv/ +3yTftgpXdcCdQG6aAWoXXTBX70bNh+JYqm/KBh1lLAGT1fcQ0Z2S67KTIRUZdi86 +FOBTmsAjaN4KTkOJ5ZuieXAcFF7y2fAKafMA2hvL+yLQVqkPULdpr9d2tyrAHCFu +3dkJWyKW7NbcnK0qGkr1VdoLarFkn/Pzc5S51zhYct0sx0zGL5Wg96mFgpYDOudO +Bar+mP2o4T6fTOWPSXoAb4hmn6ywwrP4P2rSDuU7QgW1RbvH7OJ+d3Y/QneVjFdg +M6d/QCn4gLa9gf2OwFRm6p49oBS18ydUbml9xvz69CkZ/Kfu9YGSpcNOSi6tcVE/ +3Yvk+SnLy+/YuAuBERiVX01ypmrXz25HTlfA3gCcUvIk3G6zfHdlgPI0MP0WSdCk +zBTgX0KgqNPWljK6BujJIXCkwcB8ea9O5MT4eRTuClkyYTgOvoqpu8YAncPkOE2r +Bx6DQUaQ+4hCgtZHNXf2v3jCliSkG1istIHKShjEqXx5fCb/6kKuc4CVrZyBDsgp +mTIOnjb7up3h/QzuJ/eiNJvfQ6Qh+bhS7t+eStAhPgjaCCSAJYdi9s+SM5GWnWoB +uZdvrBX2TfKVSuEcWgHpj4a68OmYWwb0W+2M+GDWN7KMO7y+YRjPVGQAaL44DNJ2 +cu7nQXYwFMFLoXPQRBlbEVElVO2oOsOJilT++sCZjU9NcywbM6g4YcsHonAi5peJ +Yvm+Z8nd0fZ+ZSwK9Tty+Imqb+ag51TdNMf0VIt5pWuqSDiy3320V7DaCAwssTcD +vuZTTjvtbx4gjUY18mG5HJfinCb6clZ4BLru+BQJ/hEkEepgdNMaUI0FaVz8LvNO +yfwOUFNF7ObX3YWaNjsKHjjwrtU6aRr3G9cCdAxUCqNN7gJ2V4dDYar0epks5HA1 +kKGhtBlvp3vEpseF05VnsBNw+JQjwS0D0rD2trbcDOSoDByovVW0KVfSeyvDTDt9 +kvZ9jfeFcoOYTTZ+9XYjiPQ+SSRu86EDiY7czgIVQcWgz+OWoIUo8hImRyBFO57K +7sdjYDsFOFEGVsus+0yDGbwBFAbu6A8vG9EdNaOztPGZ4enFsWa1GmSTq0De1i9H +G8QZ1S4O8rtoOV793mNscN40vTiobQtupN3Fo3Mxk1J1/jfCJ1DtAFoMC0ZTBlMb +0CiBM54Dyf+1zXCOItb3cqcmP13ihccVvS/F+j5YBeAFc3FdYeTNqQNh4z4fQs9F +mUDrefyeJ7Smy1mNTuWTPwaKVUgwLtcecR1TDT8hKrWjgzmZW1+J5OM3elDzerhN +CrrJ3prGGTEymM3MkjR+bDVDY3j0HXLlOmMefVtzXRXr3YrHH9QYBpWB+alWZ9vY +0dYZQh283e9ejPHb5C7EBTQKjIKKpuSUGOCZGavSwSnynMUGae9X8iPP5T33CTD/ +SbMGXHIKB71NMjEdJOlwAnaZI3L/xmKzqcUCJEqTRfvkBd1L2WoCOKE6d4xGHLtM +Qs7/1mKps/ixRc7LFqkpFfal9X2o3yvyDG9Op/aONGJ4CczjIjMZPT3sHb/COsm7 +C1q8alsazk1EwK3DwxbCp3+vFuWnfdmWhxRpm3lXEf3aHuoJxSqbqtIkp1Vb6T+U +lHYQi5T69CQbyj5eNYj9yqijreSrzUdOmmC/QL95bXG0QMO4WYkiuVe71/GuARkY +R7ysKnyAfI2FAFOUvdbt+R0Y+mYGBr2oGol1wvTx0q1QBeoGEX/KR7mq/RUTZe9/ +jxi0Hr2zuEgMpI4XKWnCY4tM212nV85UbJIuZeP9PQ0TNucILcpcqnV7BeroSiIX +yf1crRnWGSL9LsjsLAyrBmO74+x5v6YS7jV607gA1De2O/DjKHEdVhBqZUzjGhcp +OyrPQ7UP+ORlThnmHF4dFIrb8ZmX6rTud9iC97Yew9kYa6uaL4iNueSess6Dh9LR +GYMFa9vTbpF9vp57a0736y9EhH5B7ZAzqiKUsCq68o9c8ryu2ddNNVRtY7FVZxN4 +3W7NwHqTFSyTkg7NPH6QiGXZd33BSP0beqDHIfrOijy7+ceAi2Z/r8bhJ7Eaf6Qp +OZGwi9jC/16q/M59b96KuapZBpbd0JRGAuEfHc+68t2+jIbOLbPuOK4uPPHBfrhH +koE4GDNj+46VfGd0VR20ttpCbcTFsTxvC/AKUMfiIJHFjVQN6sHKrgRff2tRmXZG +nXaYLJWmQVRBmnRKcx2QiHd4Wz7581FDnlkpfRfixBIOaAXJwXL4BISfy6JQsDus +zGCr88G0q7ktX4Ll4BHhWEg7DfLMA3BqpEIwMnciRyIOZiwHYM/aiNDYVB52Q2+l +rSUfmsQyMeRglUcIMf/8YaPobMyuOzgYsuhP98PjUbJ/dQb3x3Wa3yZavFZKnzhz +9DAt+FBRAfcRE7UuQoPt5KIvdKXxrkfEFcCnY2Rj+YfqeCGN6pgMQWXU97hdmn++ +uqjhfKI7+1e94idTMmLfGb69rObmSMe8+xcWyii35NwNI40rxVXH2SefA2FON0yH +pB/vs1RYMo9+egnAp9FlTitH7C2sm77t7pH3RaBeci5EmrvELnVB5Zjelk/PSBHd +pCzepGGzKupH5zJq3oHogiAg/ZIuwg/x6J+/W258GcXGAcpDzZSUGCDJwTrzFaBE +1VQlkyTfgBh4IGYKm6wO9iGlT4O3Nv/0Om/LRJHbyirerUkwNCS3/sLIrDGu0LDP +ibIvQMZJ75JyhWIgTxkqiHIknNJvuKM0/ueQy8r4IoEOopmWXxjaCQuJCrZtAB7V +SdpdJ3Py29h3B7RYOOstTOCFWSa/PltFGhnB9jKIm7xwZf1ZNBq/90AQIB4hSYUD +zmlYKSKLq1STkqSDgCIDbXqpi1L+4aHulgMxR/FlHc0MElQgYCr/oMycQcye+A3S +cm0l9uB/pzFOKLVOD1gxvJQ67P5+zczm+qVKc/cO7KHlNhPTmfX8BMJtzzSFztME +VjkzH0wb8hRC/FdylxCwFlCM6gzH+zUYPOqRou5tEt4QzJt5ymxKcyydgS3eMji4 ++Xj2lXXSMRjWgSf9JR2Fe9pAx4vP9GTzzpQGxIOvLDB40oaK84EUD3gF9ElJd1kV +mb4caccwS2Qp8felrjAtDgeIP8jz9NBP2S7LCU+KtmLKrV8IZwL1f8dlRTfNTH9c +8Jy65mV3GUAsiEWOf4cXOJKj0teshLXDY3snNJF2lVAomk8tdjnUWaqL9MSF+cIZ +MrM0CEM/1Jy6MgErJqp/bC8X3Ru4BQTJXeOE17IQfUIUWo1c+vJ1htE3Ez54c3aK +g0WNLY95r6PdMf/nFoXJLO1c8QH6cHG2+pxDoTcjou3y3tJH+C5JJNTDUzdMeQ9Y +Pzv49mGjOlPQnzr3Rq1Juhdo7MnSkx6nZtx0wN41MH4VbLNgEuGC6zX9hl6T5b7y +P+HU7HukP8sL6rL32yPtfST/pyHIYmp9o/7j3UEpN7djc4mkQ+Mrs2rNS7tHJmJ+ +okUMb7AqTfmxdVPItQc+J0d3x3sL91DdhN22E7KIlshd8ar4N58JcXwlts4lZKxe +6aRKK7XAdq5NYiWlUygl/Zi0Eez5z9/EIVJb4qU02n+7L3MhncHuYsHALlovGmDZ +1cfjoAFVqkn+vs+uhe38FdVjd5sIEzfrW6Vo74Y0HWyxj87qMrcCaX1az1SrhDj4 +T7UWXm76M20J2ahl96fuEJGERGh4S3IWSSOtJh+qgEpqI2ZmpHBI5O7CGcdtdA7p +iKX+LdUDNpbnMKsVHcCjdzreNRHGFEfQbezpRr3zXe8Ny1RPRVGA6RGJaCFj/CgQ +L5TXSmzy+32mDMnjSH5aKE3Dl++JPMwxCXMEUU4/HBvYa/1CQkMssiLg+0KZeEG3 +2YEd5xwN/D/wQ0we9wTQZEm1C4zxvv/dmOUm9iULF2w/HoqIcVW5MQGhMh7NKN4r +enpcDks5mWCcxMDA+nDvlsGWBXmM4ZzGiH/lE+4jNWOHqNjf7iEaLqQGjO9RIyEq +7mZEWddZ+qAvBnFljLTMJ6Qri/DKrP+Wuud4twxSMVWgVZf/lQlydc9DAgW8jzL+ +ksl08ivgBWxzhSGaPK01Dc8eSe0mfG8rIih91G5zu0QtfKcm7mKRxge478yEzNSO +txeeG6fXJzkwG2LrZlKJgmJ+JhYrV7VQBvEIWWth7cQ9jL0dGkp6Oder+ygvSV6/ +oWy+wzygXZzSCYFgnLaX+QNakMTFzi+qsMi6FNvGsgcYA/7/qnYpQhWRQQ0Oixhy +UQfGtA6j1tIGPp13aY2MGlTA0KvP9PQ9xVh/rIkw2zcsy0HsWxDxvCAXJ0RRlqQS +Gwtzk7taRLMq/5hAsi8yu86+3mEFVsz/wJMSPcZvZEjz5AX63IWdn62bWMsFFVlP +S8p4Nh5HNcf75LLoAkNDslsMkRdojE23GpN11vTobjYBhSdpToZLU7m0on5Kh9CE +/y1xSrdc2UggnxSyCDfbS2gijdw0sh8nuomP1pGfZNfvbAlKr0CXQVJWXrsyPkO8 +Stntk3v5h3ZtJsEjRVOgj4qAg2tzZ4SNa3Pv4zZgYxJyPiaG4uZ9ESs1JVMqH1sk +iQTsk0+6aYkM1gyRtHS5jUlnQ7g3Ii0CebTqUzwIMfKurZxtXprMSPJC+Rhb+k/f +4fXO25oKykau3HFUT8lZd3QIU/UdsukvA4F40d/nBZw+LD70EwTxUdwwL+0p5i// +TVtEfGvGtlE8B4MAeC0hS/kLF5BkmGmKKzBvcP2hxlDVkmp6WyDMthhLSx9DnyDW +/4xGpsZJUESTJm0G8nVchK9KWGj3PEyIiFJKn1V67Y4d6YGfqZyPq/fRrr+3hAAg +xbd3tlsSQQ+Cbs/+mmcwU0tsFxjKDU3bSOoX9dScSf9O/eA88sqS0mT118qJ0ip+ +KT0okY37CwJVIksL/4t7fcZiyPZ2qAW7LPNbnKCbpN+e3GiLt07yY8k3MgEM9vx6 +/lzL017V2A/+iXrU36/75VqeyDQAutIznCBkeBFcUehGXGHsaCPQ5cy7wbh6nQrD +LFuiZwMx847XAvEk9G8w1yHNynz+AtU7m8Ew6EgocS2Tm5MFsv2RXyQvFy5MJbNk +4nVKqsUB0Fp8FDJtbbgxb7vt61RXvMB3XMAxDuug71Y5ZdkmCRS1u3cLJD5cWb2y +JSRQagZ1/XLIkr5SUFhK9biUIiEf1TactGkoAw04KbY6yeRo8qLY7WH+hztCH1IA +wC8SgFIav86ABfSWUN9+0v3Shf5DcbjLlCFcKZL6T2jCECOzWhMDs5ZejJxTlEKT +pEpMh1JZQ8JUPxRE8VZ3XhebYS5L6GFNfM/j9I4ahmTVuCI67Pd2oxAiHb+gwyqL +pqiTKP2rECBJ0uzXteAQPnoBwwRNgO8gaTcox9dZRAOLd7DsXYLjcVbqBvQuHObV ++iFbZuPnft+8IGidvoGuww7JCZlxz48w+JsB1QgORYOnl+PAlcNbbz/u+iFPMs0x +R1npqurcVJmNWoU145ngOshdf6cUyObRF21mLJfP58/Y6CroZd0kGnbhKfg7K2y0 +C1KkllJK76q40+awiFsOe/DkGsG9CMhyCr38R37jFaQD6bpqs0vLH+0S5pjoMDFL +IPIKsuEZYRa1wnN7TXf5YYrnqZ6TjwtVq+UCI82jl6Lpq2Pe+cc/1qIR3q1jBq9m +7sZ7jLURG50Uyo0DNB5S3KbbETryA7Yz+DKqW+wiDmImd4CVTU9gzgEuqJ00R6iJ +7HPbpmVcJHsmQjAhiJV5DMHIOXBHy0Dca0Zg5fEb+1tdCkImlASetsrZZoF+CCO8 +4p3zSu3r2/Zv6T7RWFYt+TZlYctlrObICfJG6GJWxFmdUSQwEjHNqhTvNi+s5mmz +OaAiua+ZyOM8TsiCW+64q2HL3X0an9D5zmxrQ5oS2AO9GjdhtVeUpd3QfFN+vv69 +LDUo7PY8EyhE2X0kpUmUW8JYde4MWPJCZcn0u/oL5kWtZ86Xjl5oh0F0RBPhE7RD +4FJXQNHPJCGbLWNgPP1EQ5EyOOithDIjXuOPNafSB/P2kMvrnLvcCVA3JR+wWgoW +AarQJsPmiswstcemPaOAj+QIW1ctadkEKc8TzRVD3NF6nEHPfDaeKXzc0nGDzGPe +ki2pTMZNWHf9yUdTAYu9DQ94ncivGZ9TYA6AEuCuOOn4ADOwKSd1shgZlTe2V764 +gNf3Yxqt0i19haaHTXD88IJrf/wUzRR7IUFSyy4WKknLrVTtdtOmfxkPEvRCf3AH +/ohM/9PD+DwKhCVhsmBwY9FZdLqpoiDL0iPpv21OPc4XTa55beqzj7pktQO9w/Rp +gJNmrUqIwpU+87R3HfyYshAKBhyvUNxCVI262s0YCGoPkXR8wyDKEHtGgSn+fuO/ +zieu/B7Ar/fal7Dpe4pYOrJR249zjR5GzpRuVvg1JKed9oBu4iXtQr1Jqzg56ElK +aMe+JrogYhZOm93gZHU23gK4q53TPpXbkUF3uHLd8CP16Hj7jSQgB8kdgl18KgS3 +7Z7KpOBa2oBMuiODF1hjVUWPJCiAnzpJKMynPIGvAJjO/7LXfewaYDwNipX1L0YJ +TcScbq5A8dhfwQmYX4r8Fk7en1Uylhgw5YkRIrIWXTi4wR8A69ydmjTxlclehIc/ +xMBkmB+wZnzHd8DmZEaImYjMvqQ0l1omzSh53LKOKbfdUnooWpo3hrIWZ0bhgfA8 +vcM/RKWAjHx73P9c/cjVA5vVqQFaejtM2e4kt3iKsoW1h48cDKVgdHt2hV01EjX5 +DbeXOjektcBXv7PjufNcTGY1ktKZCFAnlfJ7C64xphM9SIUtfhGGJY6J9DonHvzT +kjUQinG/A0lyJ3wLdR69NS0nD5Atdz3I86Ty+1g5B7FDJrhKW4KzcE+PTIAOxFCs +q3PT8SmNDuZHR23fCzM6eHG5S+TlgqxvLXcIm2+kPeI1y+8j5NJIJ36//zkR+Nnh +pvmqncaxK1jWQ2yx8zw1jCZd+5YJZHRYdj53+y8WN8I/Kw1J9gCsWg3Hun3eudkG +W19db4babcylhPMCM2qruM+yGDPv1IuLD9+EZF0h0cmwaDb3VZEmfcePjDouPZ7A +HLuSWTJEFqRdVH1kQQ9yq7QSHOtaOJk0EBZUpScPx/GlvCHz6WP+SLew2hXK4JWw +t9/GEuHFvCc+OkrPf6sxqJT0iY15fBCvuLQ/3rIUJ/OB7zLTpC0dIBkE4lR+YP/y +XcyAjbFQZODWpwlnZQRANaKeW3JKMv096KN+o/DyKP6OFtMRV3o7jqrtn85DOnb5 +nH12N0refC5UzqwDDG9mE2lpSK7Nzbx8mOUlC6EhYrzVLdoh0mMk4mYkv/AgG0Zb +VKhr5N8GVQlZFB4WZIfk7gvftxNmn25YylEM4sT/0ljscU2Q/h8Bm3QKfMQgvY3p +HOtqmwqpUlZJyMVYDYGsP73K1JKDDg0p6Bkm3pxoc3tcMHNuVIBSgdCipK7cX9// +oQUXo7eoqevcsXa8oSIIcRDRu2ZJJDhSeQ3oPAT6ZVDkOZnSafdaVlKJRMRcJ3rH +4QGxy/omELVT2tqE0gYBjqKjriBlb3gM7NBHntUTuiY0VmILAk649fF+MyGjWYp7 +xPhBZ9MuBcnPnzYVkFa2XtGvdMVuTM2tS+luKl1m9XTuZLfrwGFM9l3vEYTEAF1F +JGjnSKtpB1wHFclLr4M/txSTxPWCIiGyIu5s6rK/gJPJKJKw8DmU5v2gHwW/XSy9 +hGgAqlw58nPKH8GGhiuCEUecWfnb7xdaCv44Qd5RKGTfwFqyDNPKN1uVydrfVZ0O +AlZe6NJpu/Wci/zPHuYqMnlY9qDMQL88sLENOWPT5C+j2QdrWgUDGqIbvXN2SZ31 +8B+CC5r1BIjxcgsHzTRkaSTq5uogvleABE/WSrHKm4YZ+61lkqPt3PL2+pD60Osu +ISKm4Muxd5RmCnXYkAkbjRPLMrt3eusjR/f/ZM6gjFbsAykXk+NYwRe1IUJhojC+ +BOujMvQr5tet5b8eFD57e5/QpuzD4lSw2B915EP/GVT+e/3oh0TO90FlQA8/22Hv +SnEzow8OXBJ4cxpujT2oSJtg90JJrOt2A4SCfuipcuuxz8LMrAmg5TwL1LgG07D3 +iWYaiqsSvLfwD4fRqOKMpYEJxWImXNUnWDeyURRP3Sj7DFW4vW3dDLBjBJFelgzf +bZ4lhDEPhejRUeJmKkG/Zf39CM3aXSlu5YQRkXyrTH2Zty4ogpatGgWRv8GHA9of +SmC8QilzSjPyVleZKsH9afSgAZsjOL/7VkCGhxfsqCybOCXvih/t5FLa1yJI23jQ +26MUz/DPb37ljqko0Ca80QZtbGO3gKPFEQYlHozru0kIgANdIV76mqW+6zHjktSy +PKjREEo8ykLkcCb7qzE2xr2iyCqDdRLHX1lDqYEiNfxAljTWzYcOad0VHQZhBCRq +foXbWrQq8cvNLzED7XyLbP4clzDWStLkksc2RRo/0U/40D18+E5BVAH21KsPnpiq +ycOF7QeelHCBOiKeO6X8v/u9qmirSqKuJnScRrgne1OmhT0NVP7QzV0ibHyLOTMr +E3TeBlp+8yIfxTr1Gw8lRU/wrwC7A8M238ErvmWfJ6/a3GW15gPNxl1Aug9+fK9i +HpmCWniIH5ovdJPk0jFfrhJRObBc3+2VNCQeILtsom7pXl5+5PLm0C/lvvKf+rq7 +U/vM5seuJkfk7w/9i8dvdwDS+VhED37Uksr9xN4aYvRAhagix5Rwof9inuq6eU2F +Mo0CxHAHmCgy5M+U+wWFMWa0MK37cZMebxt8ntVOO9wSdB3c0B9FSRH4qjNif29n +gcNtXxwzg7S5BOjZTi9tk8FNSyMzHQWX4O35v0vyyejKeom+wWLvOSaLR4Ix/Kj0 +vKtKQfdfxuvZjUUrPO12rab91xrplu3T95Q1LsAIxCxteG23a6TrBDxAhZ9SAd7i +DEqCowEJBc+i4cUgTTu42GHYEm58ODu+UAbabZXEQd/+G6Xmo01vxCI16wf321je +WvT1sztNWZtjELe55PmKFiwX7N6uZCgBEsTrBjIFlI2ON7NHeYTuDzY1o7XsZki6 +hmL1cAjjmFnajj2U144UX1XXJ8l+MFCrM0gwzqcSM7bHS94Ysl1ffG5+WYI+j6at +apms+HqOUaRWm/r66pw6C5whThX5AY7yGruqiJyu/clTj79JvRWMNS8h47c28fm4 +2ChhRLoHrO6e5xGvnmuAlSGv8Dyt7+70ZS6/uQe6WdeGIGYCSTl0qG5Si0T903YN +OJT9G3mrtEQi30VPkJ2ySZX0mbdiPmQHWTmsctTdZjHSJJazm4eIYWtCUbv83WgQ +A4aJdNNn8Ih815uzmeOQOWmsXVNnxeq8dzwG+eIbdudZMHy1NMmzQ5mcPaW4HM2V +CKF17IifSrDsXu4LpHo93m+zKdEbbpOVQQCx2J6jaWbzJczB4kbRVvMr1hAi+Agh +8mTuJjub7xlpDPSdJEBnK7but6zjDrO2vDtrwDI30zvMoiEqZuz4viKQ44EXxXAG +g5PdSv+QgS+H8vqLqd4bKoXkExBL9mtjY2TxldifeuKksg3+q6uLlI08PymZPLDG +a4/52K753nYVyfliB2BhiXBJghf0nmkEz2E51FNUz3ijPI/a+G6dcqfiO5p/a+5J +zo2nZ6MXlARXyxpJX25qpNNfm4Gw3eVvsXs+Y3q/2WkXSFJvwhzurPXYIbiXWP1Y +S4qKUUS2EPNWz47+VQNoNciskgYLVqY9C475kcZqs009qFj0jJU14I96JCtT3uca +Iw2xi0qAaBfTGKOFvZ5BPuAeeYuN1uIz9l7oX52VPi8uZUqWDKdESziJDQcNcxQ2 +LCVJFYFWElP06wv/JT2W/DkIpN/QIYDjN40lbQnzcmAocgHYgu/YuL9qa3XsiUu4 +YE6p8c2hyUYLPsdSYvt4ZZ5zPIecTbUBArXHv5d0zwIJTEMZ6eoHyuHTwm/pVg39 +yXwzCMHfo7l28xjqD6fLomFttI+voM8j2I5FeJDp9+AiO14yMSRgjbbCjG850t14 +01JgD57gLrJmjCIHjIbYuKzuR5i64ldTGJg8qqZPfSdCVfI0GMob735zidmcjsOb +Y681JPAxclwMAUrg0VIjjzSzyCmuu5Yl5iiNSysuZ5oPFwo59u6+b3xgOQZCXBk2 +E6S6vRwguo5FxV5qtqfvOSs+mX9ODfzk3JvezZ1gZWkVdSwOqf52197+gQLr7/yt +ZI6kf5qv0cud0LZjWxp0LDprPaut90/VQMzYudNQFjphUbE1d8Bvqb0/QG+1q2gf +cdaMGiEutQGhQC5WZpMb2BnR0TeSOA/97DgagmvA/bYtWlXb9cOiRkMSItANHeJj +CHKlsuYusvlnP2GaqVRaVX88+FTps2k82IEQIiQG5v802XGn1yb1UcAtGozTQxHX +Yiz/JxvT6JfDKLNy4P1GidjxhNBS2iZp1AZ7LJrPbTe+nK3SBCvFoCYST9WsOUwN +tXoHz1jfO8yHaUVxKs04kW2JUI/iV9ibuIriG9FNlT2rdtkxIvvmSIsyqZCtx8aI +h16kq5ktZAA1jEh+lrvBCodhXb7soZDyW5iDDZ55hXIj4DknjsCiTGSKVIGJKgbM +0qOOOxLBBcEVeH79BYDhddRuVgZTJg50HXij8p8U16xsQVeRyiGIPZlBk3OtVxvH +Cx9jH5IbPzb+GnYSSH29fSCfMoJ7HYzktrjZC2V7FZRKYlcQWoSssPcnGew/JKMI +bDDOFrqmpNPyr22Gh9Ar5nOtAYAGqHZoolm2fzqeezlYIaXVQ15MDsS1/TyRMJ1g +gJsLhggynUXGGAvPiZx7D1fuFLNML+tYNaSCm/MCa1R+mK3FhRQK9oKczNKhnKzN +hNkfIvuCZZl4qdlPF6UAontO31l7yaMJvvT2Cur0+P5sXA+SAOJF0YELPyPgu+Qq +3dz/j+f9mA3KrgDKbVBznWdBtcSBq3RVPjrf8lJuRWFNcb3lZ+N7kU0PxHkkn9Bp +Mj4cC6ccvMzPuwThJu0C7zVygs9YZRXOtQT/NAj/zl8ZsSvA1OuVIyptH3KM5/Ye +CgGZEC6mhCWJQcOcNSQx0CDR4hJyrdVvrHxZNY11aWW0/W7mRiw4Jqf5pOXH2lwt +p9jP/fNQWG/5Qpvzstl2k9XqRrosBmkrAqqdodO9NnqIEzgovU2KwMaMFYTZapLc +SuRDm6G3vRVbdfOcSKixaWoa7bx62kSw6rqXhs+t4LAXoCt6AZPJdY5cHkKIodUH +LRrpp0ngh5CjPkQgIA5NTTbCENwGuB+jyaMNm7vm1LgeyCF9BvPMpXTdRiy1L4KK +pbP/C8sCgHv0MFTj6Cu6nwSqTwmN01HsnepBNRcduk3QxBxUV2B8utX6KwNKxow4 +q1oJ5h/gwBjt/DOGmNvuIvCLic3pA+UsBMtFMqCEAVBz+g9WeEsF/ZnRhlia7xTc +PspVl2SYM1zC3Qk6S8m9eGwfHqYqqfT0N09+hD4jDpzyLIAbaO/yCxn35Cdeu80R +UUT1nJ1uNsdYn8x23sY6HvnIm866FJLPaanMaJNZTl2l7JljwRDu9xtFEtcOep60 +VJEdk8G9t3HFJFJfnU3UlpQRhiqyqMLB8WiOIOeu6S++8hfGAONjcP+RHWxFK8CH +df+7zunj/3ZEgIgvSb1JO5ovJ0+QTlCEYrB6vLhVrtuLfXBsMX3B14oi9vTaWIzm +c36DZva3xymiNNfAQcPmnv3BRduY+eC9vOxGONkAoW3sBUzLRG/XYF5gB41u80yG +Xb7VvVC9P00IZEV5q+2+UwlySCcB8tk3jKFtSeKao/cP4qvHSmngawPbijYMOYco +KoUXYL49c6Tf4+ezj/HqwII1PGvUjWc5loTTcvxuSQZDVphirUaDLQPa2gjZC7PK +vGZJ1oXts3MP85O2XqQdPrhMqIEKvxB6lUFHe7ibTlmMHWLU3p/VSZHRY0qR0GeS +CgKwLGZ9ImndEIQAOVVePFrh7ZskF1m2YMsw69bMooF0xmK8kQ3nfp8/I2Em5ioB +S0ikZSJkg667uBfftjnOMwtgSyOikQeFBphXdVKuUF+gcSd7bSlgfTLKJVr9GLxs +kQGZ0HmFAuSAPGi951vFpFhihnIxsdK51XA3Uj5iboykLInSgLuPl1DK7Ue776xw +1INSQbaN8R00XUzPIEfAOx0hwnydhHTunCkWutfkfbP1ARzTYgOYav1eSPz6A6+p +TLk7Aw0VPET29IpLuoMoI+11tAtXDMN0zUit0b0VoPvFgeDLQguz132clj2xjJHq +KvAndQlgO17Nh+E+XQXWZhJuTRQEJiC3bpeg+i/Oh79j0Lo2DB6YAYNDJ+awsBf9 +1S3w+cuvQ01r6BB0AIqyougr3fm15OPDE0yAIGdklOWyqxrTO/8mw4tlNh3KWcT7 +/wVC7MNrPQBSZL/TvqEz/MQcBRaQO9bdfSML7Q4SBnalNe1aPrSJtLtMP4Wz7Pk8 +/nQG+jkgTFjvfdTl1V27uaOXLU+jQDfAi6Gb3XhIafVu3++wXLinWcy8NLsgDpHy +ff8g/pq82P8nd3bIHSOpogsdwo8iRsutaG/UYvsiqiuG1qznfVn1dl+WVIWclxpu +p9aDw6ruif4AFbqAg0Qx5NIbPkaNL/L17TehCy16EXBOWEWy/4hXR4HAHXLKQjgp +YE2xlMNBf2P/0RYfDMbQ2D8ud6VHGLwxo/DUAnEnxgVSHwPdjGdW8dj2ypkxqwXt +ZSSzqMocOumuyVs3WZVfLT721qoxLRf2ivXIi7gA5HROm5ITk1FSLMbaHgHJy915 +HlnwF3qrlUZp/apuifN1GaxBA9o/ey/zeOswV9JdPNRIBmyrIPQnDY3LC5aeA3bi +f1gu6ShOFTFy1M5s+6lefrFP3IltcAdQgy3HjHx+1it1vkFshYMBZ2xDLixlkhJ+ +sBHOR5YsHhPj6nDgffJaYwsgDqtIwWyxyFABT7r8LHRPYZBe4zcz9pDoq11YwshQ +7Nz9vgddyvNZfRs1+/BIiy98wgSPuh2qPbz2cIwlqA9R82kyBhJbVe/1ZWeSdXup +iQMbAgtvyZ9ZrCu9Je/gZip5fR14TaC0s0qQO+7lE4VYnwtywt+3jgFuzd/DQNAS +mlMq4SjWAnz68IWM+0PEnPtCF7Q1BWPMUrbsRyL73ZoP0HlAAQ7H6XIbuV0bqVHI +yy67hLszX8V1GGyMM7K4r+2HbzeqzhNP2MhF0hqgFIbpklH8kQNb5kh7GodG49P7 +z0SXjM5Bw7Z5b2yG/R/Qnnsq1CLf4VOYLfQvyG8lpRntxn8c5Bq/MAOAKhPz6m+4 +l7NZge1TDz00opID3hkCIvmP28G3LXarh6ifJETFu88R0ptH94uv5u+YaVO0twnl +XORgc/zsSbhR4pDjr+I6B68oEHW9r7jGftRxXVeG056uF7c1NOvmD9QzYJ1qhdL/ +Re5vki3x4faSWOWqUPok+i/hM/Z0uzvcrKq5wDZw5hHhb1D6uxArFl/B9IgvVSh6 +S9SLfClOGK5SgVjf5hhg1wzopFxf1eLJNV+ClTK6w912096VJd5TN4Dy5dmfyNw8 +bkaOwCwH7mfdHgxt0zXmXEs0bsi0x5RiAwxAGZ52Ma/o+cV+jzllF8ddwJuOnlyo +YAPt51gQmE/Zu4NoC2oy4y98TebeF9SFpeG9wqiqdj5NRyCfRFjr89L6iWzDostN +FQRIhZNREdez+PyFAbNZYODGSYDkzDEuYlEVxuHV+euC1hV9qWzIO9Ab1TktTVvj +SF4CX+VYiyxYpZl0hNNFaGp2Nqo6WN8QCPcgK5F1227kkIuQfqerNVitRB3MRfp0 ++qt0lsOVW2TkqRwIA0HWSLvIpuDvkyDz3WND92di5sXJ132cRSXNam9H4t0nvh3Q +iPIyvUDSY5PiXTz9aNZ8YqfTGLnQzqWp7PA7kPzuKIgObjPJPHedqrya3B9UuG36 +xlPusjn3Da1u+/djzIPDKq3GExIpyJ3ppFIk/JCmhfqd346SS5j7lKFtiru7bkcB +OhzsF3+ji+PU5pR0PfAI+YgV7Dm45+eVk/jP0fWVfvsWGhWqmbZIgjfYWh25QsG3 +6ceRR06qY1RCw/5lUnw05XYPmRQld+fjb9h60Bagp6i1nwirBiWUt1+gEv/26Zsx +7XLmiV5ljEf13BFnIlIXINmtSrCspM75EaaQgwzQZN2y8FGDgo2vf+LzWsnWvPbI +6+ew7mAxNY8e8KoKnG1w+mkL1X+Ml2/z5FWNDZ6KCzMGGcaMW3gDxWGP5u0XKyyp +Cr5GJUlrtVa0RVmDSxgtNVzEcQT8TMkCuiW1op6fYgj7LON7Xf6WxJo28aeYTxP9 +qwB3s8dBmL6JruGHMZ3vnrPTmn2RY3Y1t4TywuobtJhudzK/sbSKVe+B43l9Do04 ++YgX90f/v4tZZu+NjqzliPKT/7LYYMp6iteqlaGagfyFjRAnaacD3olwbTGHMYVO +JyS98ZpVJANt2KosMt/d8WPLFwpzpLqAt0PFdWVZme3p2O6mo5NOvzKh8QARfPVs +amwlfCL456LNbT0zniV1esI5zvy1RFPkz/pCpnu5hLVVKO8RyRPYJtSZzuCbGHsi +jj0+zd9oBwi8FberkMPoKVISVDUc5NsDpZiHmyEK3wbz85A9vjJ3NXiNwRyA13mI +lzpPpr8+fmfiOHjYRho/n2b6TtgIzOWcfER+OfcJRQHBcXCrrACB9mZb//wioyxf +aRqix5gmW/TO2EC/0R94CWmTCqoiWkEPzIcnxszMLxnAZOGF4A3Xz0LVAH4GMaL2 +p44mGcQeitNP4fKCZ2ULNY5JAJk9AmFq2w0YVyYEDej++PA55ACTIUqry3dRQkFW +ARiAvH91apwlv+LN5JGYlpcJxUb04xY96SBLS5tiCePnkraTPOa2Gj34CfofORw2 +y1FlHkTzSnxJB06MM4v1aK2qalPMj3/pXz7EzlxsHX+HzYJoegYu2QqekVC7f3J3 +QMJuNSIxg7No9f/93vUxDoCegw0njpNXbsO8LWzaw9mQ8h9k9AzvYc5/M4eDQPIb +bhPn60FvnbNW+qma69i1LB2d7tiDpV+K6f9ZPAIUQKEaSLs4kY0KJ7IzQ8qTKsnp +NOidCUnRbHs43UmUMsNAKe9GoKKYBsoPEypkSTiB2cNN9FipXPyURLEryNiSkLfe +QZCosxlCPTkMYq42ePEqzqfbQBVqEIR/2/QicJDaWJJN8YUrvjmi035qPxFdYY9+ +lLVGvB+igy7uD8r9OGbwNaaOABBEeYdGleJpohNO8TUT9SI8YHTaEDRkObGL4EWy +gI8JDCF4ytwrrjVk5lspH0b8/C6WsPzzI9cumOwRJoGBkivB/OhtGNqSFLzqwH/3 +WFqwPyImKB+N+0eissykW2oCNJuzr5u7CrO3OAu6NQ/HLShGYer2qGvYn2cgg3E+ +jv3nMoGciqr1j8YdNskKBHWYQW7RMFAEoXrdog8wndfyerM9YXC8GZXmojEyDtFi +2zE3iJ+Kk6RsArxgwFtuJrQ5Uuzs1yTxQ0Tby9Br0DESD4IIl2J4lKB2ToVmtTdY +0JH4Rp2cBTuRF5Kzol+zrcGqvJHSuffxusmzY9ShH80gSN7cdCkqhGJbQ+L10YkG +AofFBYlYs2yj0mycQNNjRMh6aftdkc36lGTMg218YkNUffzinXPNQ0TyK3N2H6e6 +F153QL5f+yZvpi9P2XJdQfLb+Oj/qWEWpliTAsLyE6qwG3rc5k30fK63fL0QWu6F +pziGFVHJ4wvzB97BfknOHFep4ePCKyTl0ruuk4B0I2wcyA7Pm9IEx8irzW6mOQSB +5s5vHM3ueQDwJVMf/+/mK00YHzOkk03RpZbkhNB/lbyywboTQNWCY8CKzaeGHVc7 +mjng+lAnSfibbHRy/L85v5NlXKLThBZADZzyg+wzJMvAPgTMe64XQeNi7JZL3lTB +CZlYNti0ALXJAJNQhjwV/KYc4y6tOg82EbhJXmimwA1UPMnAm58xqtA7dQwj55IT +2UXxELTRYIFQbJS4MFoyzQq9FC0uGWMeAJDnJkC3vd1I4j5zdO65RzfUaifc92bD +qOglYleieFFb8CV29cp+7NNrhR4/L8XWfLn/qWbpUehMgV5Libug7aUwdk8KHVXD +V8ftACnsA7DOJ5B/BiekZeuvuxmmb1KefcSSzIZGLuk030LF4YVVKqTFKKssAOe9 +y4Mv8L2f5LYu133sdvcrrqH4Yaeg05OmptewUq6GdZ5VYwH1fK0QRc+qONQRuMKg +OJxGCTJUnWgay6qL4I+hbZdWti/9670JSl6VGxqz7KixqmrpR762plvbvfSAufPb +3bvo8MeLIj71DAAtuP0FyxnLOE3i86Qj6KPsuuMntAiNuSRD7vbFMpg7pnb6O53S +Y/TiHYz1eRKnAlTQwUWol6ML3M2FtjJsK9kSL4XyOiBGzmyMtuXvI4vbEaxvN3Fq +TC4ip2/eIyuUc7imCBSDsyIqgO3gDbK/BOs5JpKEYfvEJEd3z/LiPvlMyqm6YS44 +JBjW/FeLswJALCDesE9P+YievvIsfrPrZgv2cqgmPnixs5nh4rYOEepEBcCKfQeK +5/1m4vi1zDP+jqqSGuvkt3US8A3OFFZNn2Y+OILPLZnCwo3DM+zN0HAAVfJCnhk/ +M2GPpqkw5TFFd4f8dq/ZuGf8Ldzd8CPx0YBq60mLiORqQ1AEKKT1FEOFDDpREjb4 +HYkIandYaP/JWgJn43iVdjFmqza1AGE9nqQP6nuX9eB6UzkTq7bEBxg6ogsaI9b4 +ClJ4ZYwRm7O+w/Uh1sklCQxmIE5pErChks0NsdoOB0e/fwEVgFssYp3/DKf3ALYe +t6k4S375jJtG0UN5IOotN+8S7L78C76IuhyYShIMb1GDNpRh9HMNymUGZGSJxkn0 +066rHzXmDZ0sQhiGAEGcyLkglMghZSJM4JuWUyj3t5scT9Qrzdh1GijC3ednls+I +84TNyFBxexOgYAbUB+fpN9uL9PF4rSQKRgslGOKtHYjQ3wuvM152+H8yyifSxBH/ +mb/LHlu6LjqUDKDFrO28Rjn3gJLi5oGumvhiaAZU5E4hNz4GGb1Juv1p5PyEFEHF +RLN3wzbmBwVR9K1dOS/FsZKE6fkdEGJ+NIzJe1jCtJrlw6WNVNQce5ZYqt52FfdU +dtOlUFpmwoZZ1D0UrBELKD8NpkWrBEIZh/aQDEA+BEiIm9bQMIfehEBLrRK9ZG3p +H4DNUycltbHhqYEdvV/n382GxQQCRASXu/fibs9e6wk/CEaxGFttYaQO9cc7F7jg +JcNIQf6zWkT9dv1JR/I0KYW/nuLoCA+hOdnwJ93Mtv3KIznh6RwiXRkJ2HUCRrj3 +NNit8sRvTdPqnYLoR/hpm8ZOqjzR4PYMgC5eMNHICM4VNGmjMTqf6PY8bQ3zPOvF +oTVca2UMSGh4WZ7DyHMm/lb/BNtXL40izuLKZP99RL/c89fbxWZLqbjW01P5gZSt +n3W7UZLGr9f+xoRj0U/yCgHCJxH7lAcXYye/F4BCvoNvTQxYpIHw8yMBDm72zKme +7JGBrA2p4tchA5YKStkKONnpGP7dbQlNes5/g5X3OQvzL7W7HydRp6hllxkokSlo +nlV2AfYi0H46R+IB617E1FdyyrnsMpTnbFyB2MBuqLyVD+ZlOLmp2bbt3rcjsaa2 +Q6bN8aPhe1D1h8hpytMxET1DVAB5t0WUVX5ZP67ZO8Qv2l8ZuADdz+RN/IcbaPww +tx0CCuYQI4Ui20D3FEneayeilSPIpMxxAZvEHjUWwde5/OqPU6SxXE9etDKx0aNp +fJvMFcmdZMe6zKYOdRq5GXLh3eTppPQguENPJVFnVXoG70bgsoQbOwM2DmwNwvJy +O2m6V2QS5hLkwHSzVt6gcFuthDA6QaWMX8VI+sOs2dVYDeHFsotsTRwLOl2Cvj46 +0CZW/tR9y6szw7STywE7yOHmFwMwvMjElSF4LIMRD8fYO30zgsGESgksAn+i70mO +Q2n6t80hD/1fa0kvwueo99ZvAYT0Ox28Y6Bt/32rsDmOg0OFx0kOC4j5/bVlCN47 +mZBAN6liCOsybDcBE/9R5vYDmeiqwq+CzYKVnPmyCfinZg5YMZAlkuURcezKT2CU +c1i92H014TuI3WOkGoOlSItvXx/+oi69+Gt+aCXyfj6XLi183pW17X5JW3woWgzJ +eh0o4e1eXetx6ofq2Eeh0wSoawGV+b3RsaMzaePSynNdCvzI/UBBheZRk/oPByLW +M6Nb6AkZiPeb3RMlPqZFs4twUdxUdTYFPEHKmQMXqrHJ/9bQ9GwS/WINLlIg0IaZ +FsILeeW0q7siH4nTXt+W+SOh+8hX8tnDJ8ruTaedQmaRDPGjW6i3M20C30T822eu +DSAS3Aub+On04bDfTJkFnEQNdl6/+1jFQYpxiZ6EJKcwZazjht8hYcIUVlN9ijqv +EAJyXxYNy3VTK0YXOYHnt/oXCvyWzP71wlU/uyNDk71ZPP48XPNuYJ6ftPSISyo1 +myyzl0J21PLUGiYQLOTfZ2mU7BZ0DAuCcuGLRqeO+LRyzzDz9WxIhzVILx+sMKAv +X2yJzfqEKKoiAk1Ma6AhqKOkG059cleCpDYefsm37NhjrFovVmn5CLQoyQvFIsb8 +zZZm7iWwIBVsh/zm0CKHdMWovgpqJdDaZchozgcl/fvvXdw7siuKZXzCvAQDt8mH +0z4Mpqn/rLRDzUtO/uwfxUwNZm2/FG7U3gj0Sxchd7xEAStTuaSfk333wONjq0/d +ewrQcDLglcyDYjyVeMh950okP7Np18ikYgPvsYKuW0vOtQWLRTDyaJD9PcO0Hyn0 +tKVIzQkk897f9X4b/EgQ5kpL/3YK94FEt9tcOLjzDN9rA3s0tOuVakcb703DbV4u +L0ablA/WoBJqU28f/WMtOjIwVhloCG82iKtWjVnRBWjCRLmbO/xilSh9LOx3THu1 +p00Zkwj5K61zIOE1/veLI6JI39NY9WDSqPp4H+PysQCQus9bimdMkV/DZBnv/6ML +hsRbyE3iIhn8HXiwlt3fv8k1+1uRLDwfsLOTbDxmhA0sA4Y5PdTSuoJ8l8xUCa2m +aWixLAf2WqZMjTNg+DNlkYH6Tprb/gdF53MypEwqWGLlRms2JG/s2VMGZkF/MTZ0 +6tgZ8fAFMHCrLlDsXAhO2iITdIOLl6709kz09SMMg1U27BTpk0ZR2v2i0wrssBr1 +7uTW9/S3drcgQ9m2ebAXiSzhAjcowfN9cTBiPgETjABjIBh+pMXFNOZtFiCTLTc6 +ZgVDkTP/TMmkrNg5FJKl4VXzTjKTpQUzACmSwZIKxPbGAk010V2hiw3r3dpdvR+/ +8ZPY4AzF0xlBRRfv3Y51euUohYqZVUT1A5in2o3U1K43/nkeB8BUsiY7JoadMdm3 +kmwb8RFFByrUwkMbvPDF3ubwpCka6F0i5OvhCH9iJUKlw0lxdzmqC79/aFPAkACr +NKyXvqByZlR1XqFeOFB08RxZJ5o40KDw/eQqNRfZagCYlySy4FRZ8dH99VLRuWeA +1w92Cn8nBMs1hPwd9fFHU7re+EI5UjCKSnNh11enLN7rEiXUDswSH2Q3+OtR8Uke +Iw62qaRJ4BlgRjlhr3kfo6QKqB/S3cJYSOwluYf7w6MFmobx/26fwu0592M5KxSR +trYXRC2V//Q8RLmdrTT2LksfS/yx/axwN/neWjf1DuV/Mm51cBzBHJYbC0liCT9K +Q5QQl5pUnZ/qDgSAW6hW7GWy2zfqXiB7Zq+3DRxiQ4tT8vMLV2Gh3U6Q3P5jrRk3 +QzBwdzKe0sUszaI5HWBN/zSaYX6wDdZ4Qyr0t3bvkHGb9REkqlHvUMiNuYmsXgCa +Zz8/adzhqFpSsWLe9P86WEZdBfr9A862amGY1oTeA83ihHEy2cu5c5YEsiX7pPhF +yv0QK6xWis5+/nGo0au+xJIWfhfWOHfkYYvtofIHFuC5r+PxGenGZDRWZ79FrCA6 +zEEKMaAkAZ27P24gkp4dkdDJP5WzX2nCSemzMACWh7/5brGdEv4PVlVaEtdnBFvj +/hxR5Xaw2v0T+3sgwOKclBeLsg6EY7YChpBu69b+JTh24cXo3v8Ju9v7SLouJAKI +yPwZNkWZ9IoD/icUq7jp/CjQVtOV+FOt7+7T1azI5WG4rnrMkd2RyzzONpKUnSlc +NpJgiqUHJCmjdWZFYNm4ehYr88aB0Z++B/GdSR4KiTWCA1eOlP+MCJ3Pd92mu9h3 +5CBAoJ9sKeGJNCIJFxn8wBLWFwMkyAs6J4xlABXMrY3BMhrckoyBV5dkC8SeU6wo +tiJ/EfNWX6nHE1JbP7IYL4Wr8AVRn4MSqdr8WxwAw4Y/4QV8npNsSTvXLi4iKZTT +kzlZOiq0OFHmHx5kbBizQ0BY2WQOT1RpDp5DnHE33h4J8Qkmfb2qVXmE09+SUmix +rnygxtFquYoOHDLjUndhfd1yI5t22dtJ0tH4eOFbm/Y9mys7h8sb7+46/YpzqJ8B +zqh+uBKFfo1tCTUtIW71xRtT9VDY2yUWe/ms7HkYPEnHY/KrlDSFRkbgrMFi1TTx +dKDEBHgwevLIusQlk0x/M/RTcBKXiHprR2fSj5M4aEeo0Jjq0Nr8/FWj8nFNbawi +v1TlnutzFlV1zwt7cg3lx2htVHDPWyzmcJIKtZb3QlxzeYfJDk2aeKCdeBTkD8gp +Alps7R6S4EXtwKgpzNUuT3fCjX36u4f3IQ0MHlag2kRNqepZby+GeXv4+ksI+Qi7 +3hln8FqRVFBdok5p3NQCfsttKoLv6OvonpWfnEVCvuFm4uhkLC4mkdQIWm7KpUiP +bnwP9extucGXTe6yw5oly91cLTH0iWwU0+z55iNXkCSkTKMLxjg1kLJU2jGNVLUk +NO1PjfM4hVsq7Qy54NTz49zCWtncNXowc149RDXygu/D0plJQPxR3+Et0bPH8v9L +i8aWClP4bgrk0JlOBNw18GSmATAmv/UsEET0Fve+lXxFa1PFIPiqClA2Btrcsjwj +rCCFilDvQYdyaDcmvqYdXopa4JJrGO2U9jDZtFt8fJGF46i1nby04YLzCejmr7Qk +CNkdLWa39f7ebWdn0YN9I0qOhcrrersPHxRL5ah2NiWjRdtsNK0S7VTID4f8NWVd +aA+QdZwxpQeEG65gegXBC6NVA9J6tUy2U3cM3NZEPgy3doYW2raC+RYdS8xHYfsx +D2OlX90Dg8OY3CD3fWTftWZfMxV4rbBkoZKJf2+Edfh4jAOP7blGIyJOowPPkh9b +nNsR5lznXM1Fygdcljpdp5JrtWEyAypoBdU7PPivpQ0Ye1az2rYJ1BuTENKApIsB +p6KIGGLP68fQFv1y5u+95tqV7XqXRTrMdc4RO1eDg08cDOfGeN3/1SqAzdH7p/0y +g/5HqDrncHPAQ3dKH500YW8gdeEkCcc+vXrEWcwNrP8hy/jAe82a0BZ05L1cqfty +jZ6loXFV/9p4ZKK+MDe5irj1FT7b92yvpc75GykD/hilZIUXr8RSpa0ai7N8ZcJt +Vq71ecJNaU/1VZsj9e6tUzCs393LRy6FpPV7ZXYIZt5i60uwro2gU2jQ96qYYOrM +Uvoc6DTEPnEfSvn+L4SNapuTO1nnHpmtzzys+aVh3OUHqL4aSBiF2Prr9U9SsEMU +rCc0aDsl+HEKoPT3ClsNGf3jyKDmG1VjIvCrT3qw3XXbStvzScEBxlIEz+xeCTFk +/Lf3kDh/HpRtSvHMvHBptxn6Mvbd9YM7FqJxPx/9xPElEZZWhNeqAX8FXP4Cu6xn +wQBOSiFAcxpabX32nCHNNjEmot9zuZDZ3sfK5Fm+cyS04U8FLgcxexsBXno0PJhJ +9uozqJojl3s4+9GKIjO0eHhTTod/YQpguRCK4o4KrHzHyDtxlQx7UCzZX47/vAX3 ++iM94UbpFnw4d5DyHgLxKTuEbz7mMBa5VODVrCq7LT99judQB80Bdthmw//8d7LZ +dYCfRF+uqR9ZolrYUF1nodPgIS+0mDV+8UaRrFV3v2S26REevCvwYnG/MpJ5rJ86 +8/aF9OtAcN7Mi3R+fPf2SpXs/MzsKmlKlW/Gglca6CtIoB9Vkch7OZBQ4dntkZ8l +N2IZ1PFCE9WzSjJolRPYRaQT9zsaDjVP2ESQhitALpCtl+ELGnLpuOdA6vOmNoW5 +aZhv87O4UmkAdU5H4jOhpkeB00EbYG2zQ3tzli3cgAbHuk+M7+hIuAsVMcAz8oPa +/HUdKl9B7+QX6UmNUDl1OQvBGNSDf865LGvRzNvHMhEtuAb6HokHIup9VIOPLIM4 +Rm3DaY6KHvtAR0a2S1tjPw+7NpDH6toXHc3BlLQYJPJQQn5KrdhWleuilkaeg1Ee +Ll6eZHfDHGENOsF8WxHwnO8jwIusm2S09+W2Y1Y6umdFyJFSMP0PlGvqe7tIk/jv +uUi1aS0qqjmQ33vS3g+X33OLQdQAhMkULDf5BPTRZxsl3jElOTxUMh5ws1oXbpLF +2lbjqASRZ3iG0UJYpaPPquWbhuqE495Qqw7xWzTBlbPjEtO9Lu/+bTlHQHe1r5Uo +QWK9txS0wkyZcbnTtUvGu880e0Iregx2sKmF36mfoaFm2dlPvIydqCRoAykXhyhQ +AF1KDIJMjQMqLsWsTDcaOjuO5mQnXNdBEQoxJeVTCspFGAdOAU2KbAeswB4ChQJl +prphqXbLmfGIQSMW6zKfqo27WODwqd0X1pbeLalsBduQNRURBdjjjPR9ioGJun1I +14ZiHtp5LtW7NZgcCE+06STuQcEuMT7dIOTkW0+tF3lZH2sg11xBr5BbW002Tvli +ppqFzCGoYHKaTsOQRDAfNWZlla8Q4YTlmAPZGbFgcq7U/wcN7tP4s0yuPxRQ9wYP +DMMg608B99X9K+qCDKZiKV4feEHqxMpmfFoXssg4CCdYQxYOJYZEtVet9gs4wrDj +UbMsAX3jaU3olMXGm1lsZ1XYY9W8s4SernxCqhWYDA6oppndvyx4wMpRP1roQLUU +H+YpHBUi1hojauBwagY8s+YEeGbjKx2lSc6j/w6hhN0aRb/p6yA5KOLvuBYU0Eqg +efeb6cMzd7G2czlF3FVqa0tWUx/FqsmMmeBYrq4S40MNLvDE72DSM2B0JNSZdvFd +MYNlBMbAHfvXnYwd1733l/D+g/rub8dcdRYu1vsgTeDjylr8MFF8fRUU5JODeEe3 +jf5Uu8YJs+4Z7vjO5I+pT/sl22FLOXOb+HQw7UcC6oJkhpspUMKb6iIyDoW6v5Zk +xipVVGzW1whiQjlwVXu2/ELloBMFm/d8W7JuVaJeAOXBDIt6Bsh2rrXrQEo8FvoE +UMSGi0QOn1GZsR7uaOxv5FkloKWKx5DtcK2h9ZXCGVLhoRnEHPQS2G0oCa40K5EW +VW+2hJxUMNVIrdC5bTOO4xyYpkqRX66Kik/Oo2DkmZuxPp44YdSCpCa7/sZlC7+W +q8cavOPLNuqTyxwbSUMntigOpOeSJR/XjiXEJrRwgu2y7DXXvRRJ7MbrT7LGoaMk +G2giYnKTmEAAz5wKozpJhuM/6nkkbtNuGS8eLyIJXF6pgg7a24UGHlyPrhqjE6Or +Eyve/o6V/Cb3HWEKwBWotuaped42Pn6S6DaQleDNFl9tGZXfj1J3TEoH/Wfu5nFp +uGOXb8KODz2lB7kzsOa77HZM9dv6cbb5xSsLO0wNsER+mlGiiwRrx+DuftRHubxM +AEuae25SOonMOsBHFS9B0K7lBGnQNeg6RSfPfzzmLBpgfjKuY2avsJqOO2vc/nzx +hlHhtJrNKNGPc9GHARz2nDQTm5+s2A5UQ19bWirnc6t6LMB80Q2Wp1gc7zabrClr +w95o+NQxh2E5mHtECcvgmBj/Xqzr+Ca1K7mEjERatw+Gfj8OebGg9iU33xWfobqn +5P//5tPGomhrA8dHPXZQBGiDa30ly1gJkzqME847lH4x8j6C7s1oOcoUQaoGhsou +K0Fzx43Vpi3umt/6zlOw/F9Vytz894LLqYKFbcmFRS7uhKhWAuSjLS1RG0NvosLJ +lXOddxmWRTAtG9CjCaxXCz0QwiAypbEnuRBma559B7QHFuKgvb8Cy3NystLYREB4 +SOKAUYqe5D0cF9R4reBNorIujPG9m1kBC1zIBkexj6dMXv8LPJHkJPTA7visqrnp +YScw730snZAPQk+21HXdzS41ymWfsgBrPWjO3lvGpB7CbqrDGHafLw/9oE6ulFom +YuXk8tOz/yj8YZy9c/Qgxnqgp3AQODKnTTEGUR910X5lepDdwhNu0SfnuBs+3gA4 +8mmDaK8ROkeamH9QIUIwXZJg0bsxAUwC1uXNtMtr4Ik3nKko4yYx3sP9D5GyB6H3 +IBoyadPY8kh9J6gkdAWyfyxl/3XP9lcqiFZic1cISB/JqC5lXtaQogD8Yj3hz3+2 +TiTIPhMCSx6lEf7Xdz1xgWLdq2EKisGUtt5fEZE+NOD9IYI4v8G+yb9akZXTLe73 +n09WZDrkwkd82HJc2gNlI7wAgSsz9eJs7LK9epd/AGgRa00T1oiVPfOHC9vJHNsY +haEMLXgU03E+LwGo9EtqmFeFpea4X1RX7HbxFBlZ35smbd6vnTvGN3vZn9axFqTH +tsdIIQcZ2+BsdpC5+MOFCcS++zSv4bB4nJTfJWRJfXhar5fSEsWatv1OCMHCw3T/ +Oll0kRlWAmRHQzLG/p+FHkEIXNZkQvJpWEfV0/mtY41iKky8k1MuCk76eFZzsmGv +MY5lqldDGJHaWCJMfyh2736QVW4J1kZ0iciXAlzcv9Kknessse5xlBylXzWvmOOn +oqrMeb3FASJ0SRhZgPYM6s9046NZ+NLiR5jzkdFcIxs3t1P5X/dvW8mihx0BGqm5 +h1XO2iCYc9xH0hQy47+Hlu4PVrUBJTMaPIcYTXsXpjFoKtfqOVclcvhxhntC2bww +yUdKMgrLj9yNQ/7coTe/5t6MKUx6A5UD31WCbHEMLNgxV9Cube84QJAlmgjjhj0r +PbeAP7+4Sz6pIlN4IylGh2DiK4LISMc7yRsXXztSSbO1kZAXR1YMY4LibEI18+t2 +CSunQzBAKwo+izIVVGq6c6pfBR/cn9EnEmA2X+bhqJwfDcnDbfSUTq3MDaOeNXls +ge+WSBh0/UcH9KfGSsfCmN2Ps5wD/zkOgFnndEHaG1lrzVl5WK3gGUHYL3lfZkKX +kvkPX+Ah75GAnCFlHjrAtfFzKs3xwUUN7BnZxqnigtPl1Y5p4NGWK76SpyXXxp3W +alfdG7TplsJqjJeZthSS4N8xGwNhjvzwzsUpsqYMFhgYUaBRpkXG6el/VxEi9ThQ +CBUf8p9Y+bKykUNH57/e4NhtbKmrKd2AxrmYaCp8k7SSrrelaONDAqyNVMhM7jbO +zU+Xy4inPu4Wydw6s3ZsQwXRwZX576Fpn2qxqNJpeZHKhtORAiDanQqgthUVDAUK +V2cqv8C54Dq2Ljp/NdDNrHyoe0jhnFe07ZGj/Ym8YdxDA3EnPpX3ZU0xy44e8zbB +bMVQ8WGw0ONmNjwAbHYmEltF5QiugzB302rvRPIpHXZfi0WL6WFZAm+ah0PAAUYr +UeUBH8VvXNyp1UZMw2T8+thFB/QR5wyG+b6ip2GphDa2qhCJr1/OE6MhRon3gsHc +RMNF8JEulCNCxd7J8bgwDKyqFpWOsxqjvqV2mctqIIN6IlaDEtHnmdz6QuLJuWOj +o+0DB5MlC8ONZChcMkixDLPxD/UktQ7jdVWPupMrS5V9HDYb4EeVDdWNuaDABeEt +Woome2VoLnTUZ6xe+N9o2H6adDqm+Fttn0uZD6vb0kf4XGDx4nuA+B4Dcqg2pFIR +rgaK/m04TWftqs3EcOyrNIJFS98NpHCKAcIBFlpsokijyXnCafC2LWrxq7I//el7 +92NUYMfyMgB/PtUZjVlCfHc7Y6bbLiGu465tILpXP0rPYkCEZaru1kmy04Zr4ahY +pQUiaCUIY6oAdjeSDZDpRqs2aBTOrITUtFdFBRBgn1dWH71B4zp4Y81dhMD9eXPH +SqyjXgLPxpJkx+wkzcvKoAw82ABskipgszWzcnCTckPGCoYKiotFd7stkkJracVt +zpsP3doaT8ysQL8opjifce8lU7u2EYVocuHO8HDdi7LTYQjO/J5AjiDOtwjiwxYc +P2IzDRGXffghNuaGjiWfG9Rb17wOqeUNXU3idbU26wRJE7WeOqQW9Ct1unYOu36T +TxiSqK9sYbgQ4nMJm+eboY5A8xrhPazCRNFy0N8hfxC2e8p1yKsPRMIqTaaiEzhU +5hbKlMapmLfDXzv/9AB4h+ciHAAkGdO77ITzKqjoUo1NQw5tMMObUpK4CVDA4RDF +OmU+H2Q4oEXkOTpR1vs5rkEZ8pjsAPBCsfQOtfn3BVwaX3n2Q8epkMEbObtdnkkl +9AU4Md/RXu67BsW/UReUasxtuaD7xFmoCqvN968hxAFzpvViWz8OU7biJc+pGi3F +CiafPRnk/5VDDtuufLFpfwej92LL8vnKupbjYZ02AjPNa8PzLRLgzWhz0D0/UfPH +KPmPpE+NyU0U7NhrS6g2N38W+DuvettblZSAk3TPrxbkUS6kIw8kFDqvkSupk4CX +HBr67SzSs8MKl1aR1jzEY2WiaVDaMsVrlIOh8THe8q8pAah1YUBJ9V7EhjOPwqfS +2SDGanm75ccByudkMnEOtXzoMHgYeUz8lL4hRpCeNwGilwSmnBEjOMFVtM8L1ggB +5gvPmx8JqT/BJ6+yZ3WOzGNudAdrLYJikeIzIw5jCEd3Vb5hpIwOIRP8KIFhExQU +nESL+pMkJyDxpiAYpVe0EqD4s4H2DTQSI9VIXxYrjTgRP3Zjl8TKJeBkVo7w0qCN +3lOh5tfWaSjaeMK0bWCUIpCTvhrJeZjF29r2IroAQ4ukjfSXzYtSPVCCeh54OpMA +xSPoP83yGegzxNZ57e633UYy5HUXgfiWjJ+IEJniIUwolv3n0iB3qcnjg/37+eCj +iew0TOC6KchgqP6LHZXpjGsrm7wWnOOx5fXF9CKRu5w0f+hUkTzokhSqcMCq2BrV +FOhWeW/sFjL2EXNCv+HlFxzNnWpFOOUSBLwgYyJJ4ZDTfUTYG6cErXzhxK/J4gGa +zJYpIbdXURExsq5BOUBrbRLbwRcHicav+Le2cvv90eXYJ7WEzRKkszI9e1ve9JAx +CCjCHMXzI6z/DowoTLp3V2mUVSLUpFrkp0oltoQv25IG3zfQA8ghp+CRwgd9E7rD +TVHx70Tqs3HfaoxXz6xiN7UDmSYC4dzWrfFpM0tK29rDi1IK2oSZNB27NMQ67RWX +n98zYy/2jYS2KcmXxQUKgXexeFlMx7zfqW7wDr1H+HcvxgkcsSltyzxjAPcew5Qm +PbOZKAA0RvAZ5PckaxMnZewl4uH6xpvkfhMW4E56Nnc90dSdvC5+XruSc6UEBpwR +acpwzrh3IEqCyhvq45bjUhot36z7h82+ChgxyKqzoXmoLB8zK7QWLv6uBE+dvTf6 +QLIeEtuFh5vA3LjISGavuAtu3VFUcVxsLVsiLLDMuZZKPCtt61L7IrsxG5l/9xTl +wHm0+0/a8IeeN6EXFqJ/6iwkTfBRoNqFG9RTA6ayYb7SCNXlyEcjNbGBvYD7g1UL +3IZjMjk0jOzJ+PLNe1k3aPVCNrxfQ6vbDEsbcw8K/H/qoT2tWJpaBUxdZ73sSCOb +s0BvsbmICJ3X2f8v90aX7SVJqe5XGPEGWRpfy4L5Ei78ZSkn3XrrCLVsDwobfLbK +ES2ftTxlE9CIII6ieuQJ1zo/SXqwtZhGOm/2EGnMT9YveUsu9V0dqvN9gcbB5NTh +Ju0rrXpmQh6ZO+mD5hBPY7hC2Ck5JcI0ihPUtB+ccO0tQzKgATHg5v3blaoUOW5M +/Fz6cj3YhPxnanuL0Oo0v4mDKiBHfPDeGfdW4yO7/Hhb5YBnKEuhYXal04nIgRe5 +flF8DKk0U6VCPIMxCoQh/gO8R8liGDwdRrpqsuEWPCqYcB8ykjCPtMKfFJSiZ4Hs +nEQ3NPgjWzXw84Yqvgaquk9+7AsCjGHAfg/asoWjYVqCXKdtD7zMyuI7QjreleOr +pRVX1rT+F9mFWelzTdDi39y1CFu6rEBRyCJzpYlp2jLs/WXS8UVVDBljZrfVPIOZ +ZG2g7qWzLCd/Od92DtlMQ4Q6YDLkPnFYpjGUB9g3UcgKsiIsxItXu+/q4alhYVfk +u9nNXKv+EwbExOoaTesuKTMcRJEThXuh64SoZq7n+GfEAQGPi1LOSqrFhFBDsZZ1 +BpZqxUSJZ8pYxdtXfNMQmOW9AE+Tk4v12K1BmzGCQzim04Pw4+Y/iWc6VthRFd6f +wNYE1aI+miVZ9VLmlJp0EEaI4i5zHptA1Y/7xe78tkjhcnz3Z2Zqf7LjA6EZyH46 +I742Kr6A98Ef1tDErk3L55klWO3GvX6Z9PvVBw5siAJSlyzDY4gqx/VOC6+DTwYK +kXxbuSiCmhYsg0hgj0DZ/KXVcsGZapLjKEPvEtLqWwjRe7QeKK+u3POLUT+K5GLw +/EZ8e/Ubro936WzCF1VtkUJhTZvgeM0ADFOZ6aKLdEhmpRKn9XB/8CbG/fDRzwN2 +9hUNhzK1c8kTZj/DziNOcQm86RAaGsFoD8djwnNkYgZMC9NDOuXr9JC6jfZFQig4 +FjaMycZjLz8m2RLt/5faEO78cWSo0s51nV9BzdUfl4mCZwv5+1a+G56Q47pqmjvN +/BTro5OOUFJCVbWsS0hik5RuTDakJ0vCZPe9s2jzhzHHg4BM11TJF/ucEoezzRGF +wl2BB11pB+yWmxd8+fi+ukz/h/uND1r31F3KTEpMBOUs3oAC+zxp02iwRb0fIAnj +OOfo7ddfoQy7N5lfIEbjkUwkPY8N5I7hKvF13LDOx5F8tCwWmxm58S15tIi6V4fC +Rfl63DPxd0BXizFoiS1BZ8fZoW2UCVDZj0RkEj1lRdeD/oaefiTOastfsIstdOjH +hb/v7dfDcPWM4eQjyRI37oMBs9Il/pRTCj83nBiO3/0rm2IHD1MqeRmu3RI5dYQk +Q14FqJsZdEy24M4qaOKBWGZwIpjpNfv9LQcILDv6ulTqaD3CCJFpRHEL1io9GgT5 +wre1BH0ZvfWxAxGFEZ4S22en/MBhzn3g25izS8hvi15mDMlaf0aZYgBE+VXQ3BkM +YyJOsKKACis1JUh0y5YPtDnhCCAZu5kziwlFZywGLRuDUV7lJCzHENlFOb8JHyZS +D1uxG61sKEhZNZnrk/29zNumO6aNAF5Z6K3tyW0WDG4C08aPuVWsG8ok/X/TTDc/ +M2pbFqxkNK5EDBqyGdK71U3uRT/0AksE9d0VI+8ioqejQH27zKTQEI3OUdq0D0+4 +77Xf7yOMOig/z5OqzsvDQLydjjTb9Ohn7dVJ6SMinzawreUJqC5by2HH/FjTRJRn +/7vbuyYzUJh3OejsTPKUh3tp3D8OnJD+65V1TjPLJcvU+5EVWxY1hqFJ9fk3fIfG +lMO/fgj/CKmwqMjVzgsFUoCKAuQrEro66vIi4Or2kLA0mcMGcY3M6CKTYQPMB/F3 +ck/IYGQ6rSHN8m31t1ydF8QLu9/QmZzDuH0Q9QkFfyggfha3NKOOFKT4xlHTbb/i +PpOdmEiRE6sEQDRMoHHQmBwWnLS78lL7+HiIrhckEaJqfVBauBPbbqzdKPPqf1JB +wBgx7x0mdl4h8K/yyH7o2CDN/D8qBrKm9gxVxD63/P9YscQj6lYuQs7rjhC/vqsT +DcXgNGMBy9WMEfGjcP/dTB4LVHmY2nWKYpHz16aMPrh7qgkx5yv0ZC1NJzEMI0rM +9O+rUNNCqDIFiCX26pz8mxbqLbNVbTJHSc1oTyyglr4qPWJSIEq4AFPIzcDT3Siy +cjovfZUsma+iRv2mKZVV4iJIuowKOCwplo/StKLkkJ6Y1Q+GqeHfuycrQ1vKVD+2 +79y6MakSFruaKOfVrRo0CS1UoQLB4Jfq6+g2RazcyPbGuSVJTH49OKhsFL11ULD6 +PmkFpmbfY7MkdX4bablG2jGHlRVZXn4n2k2x9RDGk05IG5+t6fhRVMCTlVciqhzZ +IYdojCMAxHSUt0DkDMWvBXNPXWchAb0zsLkkC9hTGSXhZXAW/FgjbjT+mSzp9nIU +dESV+jfHyXC5l7Bw85EL9fkZDcRY4fDVhDHvC/Rh3kEepcqFK/xiizeDsEvG5CPc +rxE6RcygZymcPMU0mnpkSduB8VSvwx/c8Bi7vOuwl+NZogRBBgDfLfRdO0lVtSdw +LoLxKxXDeR5B1oNGLa13LRWhHAGsIa042/+y3S7pmHLk/kg5+wT3ZlboHzuNxW2p +IaUFl3EapOiPnOaToo5Z5uTtaZZ1Cyer9PwElu7q3p5S0L3PVH8N9vyapn8NEAo0 +HGuf8W8GJ+eGQLydOBVzyHtoHw+NvRumBufUH5WLzLYJc/SfitIwQ/qjG9lx/SpR +xoWLHU85WK11RNBe3KfDajgHvXbuaSqp9HV1VlV7xVYaEZOn4wp0J9vc2fRxo58G +ab+0DGbIlizj4ZsdtowpnaWZEkF3DduPPeR5lxsSA5PJmq1PfhZKPkYLCawdLTsQ +Xz34h/by/oduOKTzNNm47U6Lj5sZ1+pGIwNGsIwsZrgiTlkYAhJYMsQfxCSSAwHf +JJfjzlU1w3UBGIAHIN5/CV7J4Bn6C4T3oY+zhF3rgIzJCq5IhAQj5NAT+kunYEXs +cGPoEv02fT+UwDPTDtxAE8QTE0gnl512yffwZjg708OAlxyod6Tehdr21mmAxoon +5ySGS4O77Oro1w2V8PMRg0azdpdiilvuLnL5g9vZgxD7OcPAOiFhpD3thnOisTVw +3YoZ3XC6x7mHctcjGelkHsxHg6KPdWd31kDpSH29ZLxc7ex6ZML+SVkqMcBpihpV +zq6K0V/hVQVtCV5TxOmaNBTGY3gUyLVwHylV0ZSYZXNDmm56GATKMAccHWC8nuvb ++GKkucsRRLmzWfv5v/R0bvK++xUrlpeMtB+8/6DUVCTbb/ql0ovb+OUsfYXdmsQe +f2CgsChLiOwNb46uNsmwXx56Jxzsp5IeyPXzqae0u0UEIEGMrxe8V/5vR+z6KdGg +Xy0v/i1ymu2oSkeEB+5xV3nF9Of4tjG04ZkXmPpe0l4krv8kMfVkvyec0UgHP23r +5k3dw8G41yf8vO56B30ZDLqY7pwoujdEXdZ0TgvkieggJlIAjf7yLf7Py+hNRUy4 +rWPT+uincXa/MWPMgDoim49pfuVFsYwHP4RXGCwE6J5G9xkwJiRBLAXaPBw13eKV +D1GmBg07KVMZrxJk5D32yEOXyM+c43i4V+Xxgjsj8Y+phV/hwSrJuNrPs9aGq5yn +xNOkaVuT99v/fttiU6/FX52dzyxi79WmtEvgP+Ivfzlz/SJipmGQqQ2AvyDmu7fH +DDFY4WlAS1l4c7+uLHsUw/Fhch2XcZlEzwi5yhFhw7V4HXEYipvkB3njG5Bns9X8 +vjY9h0I1Sr/2JYCi1EFWZ1qxeR/EP/BNaZoHlw16OVVc3l8zMNB2oW63ZwoeYCr7 +HjWDBGVNioGKjuu57HF3mDTEBdEd4CsWEuDjhziu5OG4SgiaTVhsIKWm8Tiy11uA +GKPGXLiDfrLzaUqOr6GGUTTP7FwNRtxNp0kZG5KydbJNiwjEZ5sTR0D0NhcVLv7b +40HjHRvLLOY/0uvBKEsNXVjaYuKrqqWVr9XD2xHXmthbP4cPbr4psWyzhBdtiMMK +rc8NwmrbmeEijnE9UMc8rzkwKP8tp+xj+1CXU2NTZCFaxOeJ4VdMt2XmrkBqM1ZN +CX/CO/h/UG/Acuh5eB1avN1bi65DGIyN8jSee5AbNGtL017ROUE5ZE+65YeAjL1f +TtE/0Qt0QBfQoJqQB1IDWPVnyx+tFKZgO6yEs1YzUoGR8oaaUei2jHfA1YezPWZT +0J+TkSaxMpRGcRnlxTNdWfHclK1xKM7iqAuuJJv9+2nDbfwpFQ2+tciYNTjEwdk0 +kdwvs6csieqJwTbVVS0ckGv0x3wwUebOZYfMLEmD8NXwg6IuhhbFm676jKwPIXoM +ZNKU1GamsTC293FiZE09lvIIFvIjyPtkUJCEnVRMl5aD5N4JIHI0VfM3PPQllkBE +R2C3W5AQLXjytipiVTvdG0zE8Gdw6g3h79ZnXLXTFb/fWEYGOjJBVBfH9Vqhkfox +O91xzw1WeZ8jERHQnckThX83rI4cBE5mfvCTyx47WzTSBv0c6VzA8jkt4QR6O2P4 +bwXLjdjdkPTrhe8g57ShUsmpPEsdOz7lZBeZtBS+AaW6Unzi0HrxEa6193/RdakN +1dEt75SbXnnSrcOx9I1Un83H3a9r5ajQ80kRUGw+3P4UludwoGkmKQFFqFLsfjjW +ra+BY7nCMgUczh0bw3BzzHH5fJWEzfqQxzsfYvbFtdx7aoHwUT43A9uUlqFTw+1T +jU0E8BvlZzn/3jsXbP6axbXlHZBWcO0ta3D5y5lT0wpHj6BGHUDzAD2UgUFAEIps +KYMuGjGUujFxW2uyjp5PGdVuYkR91mch1ic7UG9DgnRUB3J7Pcn7oftpu7TWHkG7 +P3o4ApLdHgXfM9m31bAum7vp+/qS4pms/v+x9Z5BlE94bx6aEMnLcE4pXl8GL7Kz +vh166U55t6Kh2BgaRH7QZViqF3d9Q3sivZFvphogQbh7k3zOr8lJY4oLe0YdExSp +MBq7w+Wdi5SR/GV3KuC27M41umE5uVxNJwR6BcYjvCei5HC2mDp1LyGzXOiG3npK +udHM3jimCkPjTn9Fc6rxjhrJGVFF21xDaAwNIl5CfjyZFXFnG5mKo7/Mxp+6J/DC +0qt+EDShG2rD8B4VIkN6EagIyniGnK3r3RlIh8kIOxThgGONkcQG3ZyYX0HrepQN +ui60MgRcQX1vKee9poIngwhULmviCvdWqdh+XmDBPJ9NK7cLetehxMz7mD3Q3Ca/ +rauZx+7CVZTnY4XoTQhRZJ5SB9TzluNCJUioo8MdFNkBo+iprGix67qMSKSm75qO +seJm0PCy8ht6yOwzA6ngclv/d/DmTns6rTvLQ9aPlSglr5FAVV0x2Gn9Xxv0oPKs +jvPMJUl9xtcctLitphrjNVHBog8eZcXTkkAKLcSX5Pe/9S4U12EGKPt7U+6YRvqI +ylEqYbUVKriQP9SvBONg9HYySu36tM8zvWbuP1aIAyKUDN/pgG9hVe0m5GyErXeb +V92ff5daJ8J44tRLdb+BTvqG+cOK7CmGF9VKD+BFH8iq/i7W71zXNnJzdkxtv8Yx +nUm1YODcVl1LOphHuIy+3b1H1Eslo0RS9QX2O8a1Xl5ExE/djN9rcxoPRaVLBeWG +fqxmZ7mGP8eer/Ur7zHqCdlWrdQ/bzHdR/42j9GB0zkqSMkHJJpEbk9KtX/E6y+/ +EufIqQkBK1Ft0sUKL0mzjWCUQP6RZehPoPBVILhPjVRyNszR1tSfLru4FXsjFbnM +DXVzxTZWLSSVwGH9u86Jb5+tJF1f2emA0ubkJ4zUVXY4rfKURsG7R57EXpek0zCJ +V1IV1RDsIUe97YrUnwLb5oBJLhcvLPj6atafePsXMEMSbp1T0Gf9/QCNHTg4pRZp +s2S5gjLzM1xt/Dp53JzaKhmgdtvojr2Fnpbhl0WkCJuvOHNtVLRqIuXZ/6wy7jvv +AcG96Akz9brUzM2zk+hdtmXe+TMTYD+GNVCNDrhLSfd6xIgnAswhd/fKApUf01AF +qMQMc9NeAshpe8a2nLDKgKmfJ9RnrtXxJ98ddBwVVlfxq9rwBbIS7ig+qag3qPQU +mcPXFIFsxLCJBg8DtgQrERfBLJucMkQyLpIJk+AJeML46d9MCoEViwq+gZ+z1Eq1 +VNd37WFUNtrQjHFLayx/IzsiMKqM+/blcDM51j/4u9nVCM5wLAdsNa1LzUXrh4fB +aAcVrEdSa2kTbUkHkH1uM1u8789L/vdbtUIuGgooF9N5OumZu6PrAmjh2rkyx6t4 +w+xsCbNJTnQC6BSljB9+T19gd9KpoEMZVLUKAKJKadB0K3rmhoUvRmc+o499gHDt +ABOcKYB1Qw3IOFu7iWL1wRI2n45wUUReGXiFq5Qs206Lb7yWlAaYzOyt3CtsALJf +w8wJvE4XwQr632Ml+dFX32qEISxUIE86Rz8REB5zm++LxemjKLcPc6BvUCmInpa9 +uMHiSgT/eVYJnhT1T2uchmRdJcAWAPgcJKFBznX/OYu62bAUditBMS5PqtYEq4TA +OK7YioIP4TozCyWsOSN88iJGDtV+l9SfjYIYab09zaIZMYHuawK7Y3Zgf0oSgU3m +AigdwBjHbrMwv0XNjxbqS7wTfrYaxAkfmroeYE3YrP3qFxD7VR5SSgWqvqB+fPrN +TpgDB0m4GiJv/rJfDfCp5oNxvGt96LHNAiytEG1qKBGJ+EM9WDRjM3uJYQ0yZrjY +iS6HGpia/EGXt+CjcG/lgFFgv9Ng0GWfaceleO4hT1Vu3gR0OG8xPNRRnWjYeJLu +oVI8HYG+416eN61GwqqzdriHUCHAgzsEY9Mvzq5Xfju+U54aFxc447brWOHRzPo6 +lG+9w/EWnBE5qYv33KKpF7TplOUwm+rgVK+e6wm5x7PjXN+nVR/TDtMCFFQe35ZF ++IPIiOwGWMGOXhIYd28w6Bjiz6ci/VbMupmy1/mLUOTA58nsVb/rA0aIYlRJcg17 +x6HL6EiIyAc9n/YNQG0hoYsCfxbIPpbp95KTXSZ6FBPbIhIUVVh4wb7lxoxWXo10 +LN7P1/OKSwZstswHrPo01nERFEjC5OL0GE9ZlrRx33SSwj89Lrj9XrVclw+pmbP1 +Lsf5YyFi8xj8XWERD6UqnqcC4f05hRAcU53yURXoqe1R6J5DaHcZoqUi6EA+JtSu +rdnDRFU0AHlW1M9Q8xZ7K0TQkYdB4Hrfmkgd7ELUvZH2b7E8o5qwUHq4eLKK4iUw +mh8J2R6ra3AVi/uh1oZeg+XpZNzOb28MaenzY6m409sLGe2O3utNK9rqSLpvEbBJ ++KtkZOuNYAmLWT4R7pdWSumjuV3fuTUNV4hRQRxRqltbrhbqHWsRTapvr5o8HClY +H3u9v2/LAuwDSFgIm/hK773iA8q83Ppb0hp1PkO6V8Su8/kauaZV3ckgMdTOGPC0 +1EtfYtl0ac6NyL7dHasGvIT0y3S92Qu9Ktiddb8WYlpwjLna53yNR70nhNES9f0m +VGdimtBIIrop6Zm0pNecf/tSZf2lddTYU7rt0jLIIHsfFGPzbW7XT5z9MM/GhUWL +9zHvG/2sp3ruMkCxgIsM0ldTd70OH02GEdT+OQHwA46LNRm1s/ZycaU3NBrgmcfZ +sAeCsAsbtfLpH4dwHgdQ7pSWYZkDNiEDOwi/lTj0Z7UhuPs7Zz8cLgnJPLgfZQUf +nRq4OZjsQ+ps3hghzIUSlgdSowoemhqT1kAbgQELLZVJ1kDtJjfvgTPU/asUt5/l +HYXka57mi7GvERvyc7EzWja7abyv6qrRwYkM9YkoqKB2oYWVOUwlZYO/+uY5/I04 +gjeRumwrx+uRLNAOp4kTtgGtg/hxTo7evlyaa4Nm5vXcIdRYkMehDRSNIeEtpcjP +EZcdCuDLbBQO6VIGT6r7AGrYXPYsZyYaOFEKKC3O5ORLxWoLXeSzxbXhLkxVmvBV +thbacXGtEwhW22xBo7LWEvxC5HFtxggCK/mEINJ32GaHO0Ru38SzAzKkBsVMiCEk +86iY+l21EzhJ77kK1LUloByGVbdkurP4GN9Nzm3/L6FJDuAJvjOnIK+52lx11rjw +4Ng2kY5Cp34fXKSK/5iZUQEfGis7+yPAV3zH0vQ3rtzMhRHVqu7/euCGXK2tWK2R +WRwo+sQpFcmqmq5eLaqut8pfO4c2pMS6tUwb/VsxBWhhtczeDFGbhv+eeeRto4hO +KkRBMz+WTbfcgSsSdTXmtBS6g6C5p2F2v2lKpzXFCfVbkEh7IPLEx5lbhT5dfnl4 +cZYPqG2wM4nril8qWa4x2Fe1TI0ZW6iluhaQmzrFvJQxTFxiVrOBwSeNS7+hkz12 +r6f522nggMr4QkTvLGY8k4Z0gBfgC1Zey0W1Lwnz6TVFPIfrQHfgTTcX52p07nnQ +97Ta8+2rfTYHpezZQKpquyDuLBOWJhfTZTA+uWJCJwdqf2meAYie4jfBb0UhSuu1 +VflvL0+p+ct+8v8uMnp/2rXTiPyagwa8toHECtak/c7cx+uzNI3MVLQCP1BKvt2w +y6ZJkXvCftkQM5nEii+RwktnxP3+y1O/tcCNW475pTu3fi/Ahitpk+eiwkakiDAs +oNtbLgSWfykheFUjCh9Kq9KB5CVUe50M6Y4ayzD9Wzcdarh/05pkYmPND45YMEwZ +C7OkTsSLbh5LRfe42kYIzHkijV7EsygRCEET3D8xlIEzRpUqSgxtMujuyOhOx2yk +e8TKZZ1TSYgRjh2/TZNuyzTiJHimhbOf2p4Q7jgldadRvF8SFepUXEKhYXW1SkDW +erEhHRGetLwuCf1WOmgR2mIQsA67H7VOajszlWSDSUoqE2kIj79Tz+bcXXWq2DoF +bf4DJazzBtejnaL9PtDQKjh3v2jcDyrc93OScJeJndLzZvqmInXlNiX/Yofvgtsn +K/T9J8hY4Y+vaRbhvVoln4fTNwnkv9rmzGOUoy5OTRNHGrNTL1gGaSzhuhX9AlIJ +T3G6QgUgWYzA6T2oTG9EU33rU5aDza1JA7eiZVPLYknhnyF/WK+9XEtFpuqFpWSe +WSP/bTMmLxCRy5NuEOwphFNS+HFnX9XWVj4aKY70FL59IddZ4Mj8GqAPzlnBAmLa +gxqaIq0uT6ysV6iYLdIqz6XN4q2q70TDYnP4VkyUeGnmzDfdGmBd0RV6ZuWXlxpy +n1Tph5OasGrh3gWaYq9atgi/yEcVdTl6VGRnGZcrUeCG9vdmUNLbSxjJOW49IzMM +5Sl64MPWJPEklFMEUhPe1+1OoR+epkR6jBYpxPRYLG6KKzc0bp9BOxxcBnmIH1Ji +ZAoOCdFwhlSe56gK7nFDFq8fvxNG253edzFNInD+MmDwmVu6gBS+7/p+WhlYKUE5 +98edmxRI/BqJknLzQDgXlR3RgK+kexNvuk6qS8CZz/mJaeSGXDTHr4JJ6rWznNs1 +GYpOp4/2mzY97cAqnSf/B2pk0QyiMfcTFMRlj+5kkjYdbo3yy1TVEJqUNms3qFKd +f6IxO+y5G1qHVCwIn4kiWOxGwzTxy+00whuVfjOcCEyBH02Ha9Uk+F9gXJtr0koQ +dWzz5Lhsrtt5JKl9QEVo7XpKJnN1vfaUXakXQgQhvz4s6llFBrkTG3DOal/39GzP +1I9D5Z5YOh80W2WTnYJJM5FUDq0yFBegIhWg4qu/jGw2EnhikavwBHeDqVvkjKwC +M+64+oLk12H1XPNnq8L3Vz7ThWvfkadHTrTeLz/kp8t0Q4W6ZNX+ZItIHTPzcttc +34MhvYlpylpUl8vJbGys0yg2qFoezEhBNigNn9M+n5Dx591nSLMqZf7Zc3l9gXAn +0X40X7rHDHoVfTOB2eju2+vKodnHWI3Xdsj+/4dPPlnbiL6EBJa5cZBRFPb+GIdS +qCGW50dP55ii+f4QI9xWSSao+Pcho0sce3iFqKFeC2XDMKGvIOfRHshX70nZxr9j +ZZkgGDbcbTHW0QhewP+ggaJTB5V7xgQkXOw7s8jeei3Ol3bE7nRiHTmh4PEazwvt +LhSjLCE9J01N3mXZLirfbFBXVltGCHwo8PVsOl21tv9N7n03C6Z0QgGrKcgPPvNh +hMylF62UGyqQxr18hygegad7HRFscKkdwv1FYSAiK6MmxTA6mLHNE/FyUGblmDGR +AH0b6qO+iTrz9xO7LllP3/Y5gNT2u6dgkvknfvRLTpbxRGRwMKTb0TsSesR6kJbb +AHWVzi2OuBlgkiuBZxuX3LNMb4oW1pbedm/AVRiPY7A1Nev5sen2UNwMaNUYM+L5 +ZG8A5+/Tn33NrnzyQh9coRbEd9kDJIrGtGO88WLbQHvfSC4Y8oOUtzI5AFucuGaa +7sgLa+x+NaH3bXoJtnSKvlbhXOfzql3kljTrNeHFNSTQ9Wy0ex8733tRiXichc+S +1MA42PKzHm1SBX18FAERVUrlFGo72IHKY4elr7b5aZOXyNBbH9jolLyxykrlFK2S +UFdQGuOggy7/dMDR0Ra1RJs0mdX3Z9jBrXtp4IfPZ8O2KIqMBYd2VAYAXcUApXAH +U6iU946cMdRFQM+irTvVcKb1s5B9Bxe1/4BFI8cU0jBIyaV56ciYLvSyTbmplpsZ +712snLvBw1oQRUOk8sbHd7yKODLF4hr556wtq7eqlpF3LE/6f/tJTlHaSoiqqpm8 +q/1EcuKv8vdyv3LxkhtixsnXw1YpBYTCUvLvL23taewLZKACLL2dO662p+GyS17G +efGgNjXlI5/u23JUu8A1jUU4nnLywcu/OtL/Roz5mevuMn6dcON64H+XMP3UB4NN +RVihjo/GNF4ErueA1iWfdqk8Zxr4CHf0fqS1nPHTU9Mu9EUUlSUG2gvfI5eRzl/K +6y9jOd8iycDwMw8EDbMxnA1M0sG4INRHmv+vTRYKEcUxP2uebQGXfZAsaB5Y5lnA +xRPu1Q6CA07R0ePW03L/4CTzBDZATvB+vCZCH08tFIUlLV0skOac/vS+girDXHI1 +wMU0bINgwRx25ST+s+7sUDtFperzkK+45Q/AvHNVj+3aDsmQ8WNOGsSOOb9bGIiM +8HPXnDwNpNGW4d3rrEGOP3yh5/XIX5oYFMPF32GiiyhDnNW9wGbtgH0lgtyPU9b5 +4DqEroad9bfgvTD6wP970HPkrvLPAGOhmrnyqoGjDRpkx+50NxwMDIqkogGBcPWq +ThfVrjNWRdhLN00rwXc2anMSnK0xorikfoK8aiu6a6wnZkHqzJnWEKj5zfC5umzv +qhbRERrALD82ElHNsyaFHMct3sMFZsNh1iA0mitDpdwpIEoE1Ab7Va+5jb9ARJx6 +SSHTK6ExCdYzlGV6VOnlkCHqb3mkDxHEY8n0xfbxhgj+nxljR/las3+vmtzx/Ltg +HCEsJyUUv1roCmD86a6RfP6Mbm0aL8alqgsbjrlMdQ3IMG+FmCOtb51yTJR0wq0R +tIf6xhRaWtTbCu1vz1i3YjkiQ8UCyyRdDr8pyvB84mfcaqkOyPRuqc0oKUE76WOq +RPL1vZx1h4VXi20LdkEcVUd9IemsuuQCEv4GFmZcuLdwGfkYrHrmaqVHiPcYe8xD +tuHAGDs/gJIRnuLRk77t0qF5ARnNCfYdFqkAM0Lk8xxh3p3u1QUNkUoPV11Ou2u2 +x+eJIuv90ngTlSLmwGxhjbIt133iPAgV+/fjMxu1Q8TYCSmd9TC1BViG8wKrFpNV +uGOBtIY9OGstWvBWHEEYIRF+F3P+l1pl7QOEvto9M/WrDleDwadhP0UIaAUBx3tE +Cm1Qw1Ph6PJaMUogDnqWLOvspRWsoiD4Bt7M5AJbQ3kssSlBb5HRAW6UUygA+M8E +tYlKaFFL2FwQ1IIkopnUx/bGUjAHxTM5N4uQYpPpZLHasMM5vmwDBwJBvqOJzSCX +UcCh81s04HEQKdoYMHvMO1pWJ6k1bRDhKHjG40zmdYMZZaebccMI/FLYkyhmeHiJ +J+c//VZcXPBOLsY9jA9Qhjko4ONx+HDzRc2P4iJBrpz3Y6wcJ3XrQp3l7R3QDlr9 +Q1LjKVrXmaC+c5DJpIvHHJ5/QQ3ipopTbSS1lKvxf95bovzmEuFKVffU8EsQOez7 +5I0cChyDtniZ1zLZrSy/5Q+lAoa7Wbb9U6yU1SBtMTQ2zTR9B1DVp3ziF3lOD9fb +k1RDV/zbqrtsR67YnG8q0I6FKhRWYzLQPBczkd2wBDPKiJejqJCAnQn2nEDa1fCV +3IXKbzGy+EHFoaZ/xwKCWvKvjDukLpUFT3wrbZKNL3FYRySwUfpGC8TPQbGTc0Qm +Eey3NkMYKE/kJOBYSCYGJNcMHxn7Ykr2yx24/haEo9VHkkFGHckzH9pL6xyIXnA4 +J7MyODy2RSDTs/9PL3QpE1x8vAWf5txRp/dH7jdtymAE9WJRSkNiHCDooAQQnsrQ +jnUQKkZ3Y9KSp6r9vq5v1ORGjf8x1p9lLMoNDevfSNjvNSwnusQzddmsMastFcYp +e5FLdL5rmK+8bkfECoIDP+yCiq3PZeleXLiIylELhfWrzB+BcoRILaCHvW9cMlyi +g6wdiwDNr6CzjTruoLlyoLp76th6Xr4nabgsYjQ/IdcfyVJ49LBVg1aI/x3dHKBF +V0qokaYLtKHsaSk749z/8DsLm0DRngHLL/pgD7qVMR7gFyyycCwELlLGSWcCE7M1 +tKCbJsT9WOAM0yhQdEubJjf4NA/gpP84SPAXkhgILO5mHTw1rC+NUd4zECDaAVaC +mSKPOFZ+fuGnp2k6xWwxD7joFxlSVibsMH2sf+5b4hX2U1qJ06PNqDhxaOAhrlwH +igqL+I8wK69U0DE1NabSCYE0j7aV55SdsqS2fhpy8YxvU8YzhSPP0LN6deVGqf6f +QNZG7H6th8DICrtokG+PiewDJaraN9EI7ljlvj3/RLYBmn3oAvOeTTPqJqdpfiCT +L9ZAVViwlVKMn7Ipk/pl7dc7ynEnM7jVPMaQH9tj/Av98NsEoUk1QgsvPvpNmwjz +oDHc22a4LKSUiw+Z/6Lnu6vIqBVGLfTBFZZudnG+aFLOowccDZ9B1hzc2OZkgw1q +oUNvK0ctMQ/m2KIzxnQ0ZiOclbVd11oMm8AWzolWiGLCipZFuODYLSFyjxq9ss30 +69YMOfI9b9HBsxssOK0P/9q4JBqC05JIWFhu8jXgFzlHNFKlY9gdQIASOOllAOZr +BACZCFcwTKq/q4QqQlbDDVqezO6f8UXfCmEemrbeOIyOEN9TNJnHPe+bUVtCXNp7 +lNT95QfD8CkRP72X9K0xiGeo5PEWhok4PF0x5aObUds0ykoO0JnZBBxte4W9we+n +on1F4RwpP3MKzHCEk6q3bunhFoIATRmYaZ4LakaXpbgDVIr8yVro7nnnlcjFVC3c +ToytkpLObCgU1nkbhX/hRH+jdLKpkNcEuRBhVP2oVsKCz8RrPGTl+DTkDnZdGBU4 +Naal1rPIsBzN/2J9GexEmRaIHDLpyq4MTpRa4epqa7oEozJuY9ivgGWn7qowquW2 +PM3Oww4zoz0t+BppKQ7M/+AjtR0379rG7GuhpHmemXiHUguyJockbQ1AnXjMZSaO +yPVN3xM62wtKXMdxvXfHvM/YxRZoynrO6noY/J9mwdgqDtPVoq/fW2uyGvgVX80R +2HxI69kg60XLtubhqDVvgRyDPEhGfAFvuN4nYjJIcWbogi7TDH9KdPwnwsQV9dII +ZEhXCPpr4j7iE23MvlWFhq/B4Kub5cehYNUNFVppb9sQxifO8matOg3fd9jqqbii +bLbVdbz8FWPWDXRe1cMcNlrquBokEKGjVwsUnIF7UuuyUXdwB108RCnmLv1UNCJR +/PFg6pnOBgGGJe3wPc04CsK460oVYWqPZaCyHusiCqnD7jBXSAMXRgwtr5TT9TZf +gE5MVYqfeLq+PLJO5H4IxGQkuI3wYDBVOAb3lbg+xARA1PQs60D04BMNVitq1iVn +b++4RafiyaGCHtcC5UE6XWjRWxA5PbsxHfCv4S0vaPlviB44yHScxtcVYdibrzSV +nfBXUhBG08nVmnnI+Rq03Dylu9kDpEUuJUYMxbuqEkAossdfMDUedsU/+OKKPl4J +BeODlo5uaxJBrsO2ALebYaP/NvfbhjztUUrPb9Mue9u9ZNFu4ACHyBkMOEbcv5Wo +kqiLm8AOyqoB5ACMe7CkUo3OHPbJ1nfR7V43bq1OUSI02oLrCXUCwWYpsL4BB31n +vlWIx/CWjRDeOZCQyCtI+HMCGra/e9+Xgs/Q8nJ6WxrYCE0tBsidN1tlcwlidf76 +qBO8d8UYBCTO9G0qPdw4HkTYLQQDVDEQdpU4QhB/3UgHce+jNlufqGACd91OPVYz +PiTjhma7NJSoj7XEMRs6EEF59rX/YjoRMoQOd/3SBvBilp3d4vjg6riM+p2tbjut +PvllyQ/BBbr7ebtpyC/c8ZEWbLBKs4IUtc8SfYEynrooJumkH1xvUrnRU2d95M6s +CAmS+zftZ7+M6DPnlNFFZWSDCG2wZjnNIt14xQTNhHh2UU7OOojti+HPhOoSapLa +8zDOBW7hij1w6ddfyQ7gEaGplzLvUZqsjLH6Kq09lUEKdoEqFVlveLiROh1L9w8y +tRPQmp0Ovr6rmpO5N04rS689g+/y+yo3h9yTy+beIP7JfXzYOxFhzenB6aoxmfxp +s8YZzIUaEEB0NYkEyw40qjWI5as1bDkTbnlw10QtvNvHoS/r0Z7MpOqxp3o/wEZa +AggmRxdmSnnGUA1F6Osxk2u6k6kvr5Fvv8R9UpTcRWVSNLrECQTFj6MIR4beyV2Z +zf2/N041L4NsGDjrgdybiE+FRliBJGzyp2gkrGiwCGObWPO02+NXj7b2fYQf3s0b +aRM/L86BKOyzmjPhFLkYWaDtqpMUNVymoikYosOLpKJxEtXe65PYVYJdgnZrr6F7 +N9Y9BoDoSgUH7jYwmMEJlV0paB12Y5qxLKSEJNuNdYV7tYofeJBZ/heAEZq+WM7e ++0odLlqy9WYoamyHFFnmmGjTZ1b1cXHgx/2wc0ypXuJdanXPQHDBCe5po9FG1nIo +jtAUaa51gEpbP0i6mz9EJzFlDZ0WZDpO7Jmxk+ou4hJQgnWAlI47f4OfJAKIf6Ck +4ktbDo/EpFXiErRk+cMrpgbiZ4Hq6eA71EsC2gbx567SsG+tPtrsit2OluBPg4O1 +AucZoqtzPl+mowefj6zMhgf9zAGYP+gIxrqJSvKlmrNQ5C/lEAsPpBB/nc1iWlTJ +zFxeVLxD/Yt4LsoVaLH/Qsfpo8wvOeIZUcvDdnZSeZ+idsB2mZY3RQgdO7BrYf6+ +Qwnsz1uW8ktMkatE1sqAlRf33S5PbLbZ6fJ6OkGLmb8hEVvoeY6ZoVhCbyKyOh44 +d3r+VsxGwgr8a3s7aMhr6rNsOLv7/zgKZZ5KVIG2vK0KIje3AZr8UNYPhoIe+ZTU +mQQs3L+O+j7qsxUUR5sftOWvuL/8mWLMhmAlNieqqGnUVo+aMvoA99rXnG7vFnMe +bHz4ljSzYeyM6X41fV7L/gEEua2T0FbAW8M3LWHAJI+sL/kyMV3StyC5R863LcME +9w2eRPxjVcmd/KRQUK3cr4tbVT4s3QpgZ8txLAfxKhY/c8VlrMgyPpey/Syqd8Y4 +V4E6wkokCZpLGPvZTK0qSdRobao9jwi9TU6YzlLJppFTzshTvQz8MrA04j8lmo/u +oRpOhrw2USD9u3uwUL3MWNe9m8Y32W3fQT7gzNLtXn3XaVoyn6BuMenLHf6+/WNW +3x7mv2qc6N+A+vGGxr6/D9K/Bl6qP4rtQNiTWA15NVDEuf0hNZd2OJ/YJ23H2tRq +753dHp2oq5cC0NC3hBVuHQFb5oVccz2oduwR4wMDD4y4HZ7kwb1FwpZP690BMJBJ +UEKGHhhyc26QHOY3t3jNPcDmyLCxS0bAtVnLunA879N76sOjIik+fRM4s2Lm78wB +Avl80BfAxVnDptEDNv71u9Dn2iBcI3BCObpqF6+MY/qU4+0bbvBDdVSRnAcbfT0W +3OG/xpZulA/NoffxE/mbUT+5RAAYewJNkiZUOVZ7pRUCjfuVQStqpIfuGWC970Pu +wS/p5hd0xxoNbXX0BPsXUzPCVm95jbzSHwn2X0kfBqjs3srCosr6b331nCyZYjQ3 +tLPA2rfpPxdo/wXG05RnBJPTnr9XlWyA2C5EQ7PZjYNxxb9cnIt2KR49sG2LFX6w +Et4K2DEuCqUypZANvVlu7cawZ1pSP8XRlIMC72PK5WAHuATLiwOd6qklN6ZMPGSM +80dJxE3V5Tbq5ASRR2mxQKr3E98bvq+BQjx7zD5Fdb3om6iQ3Cka9qXUDJDjmJc7 +iEkioUF5v5MzDQVPKpfOXF0XqadLPQ8VeylVzHOzD7VIC5M5O9oSraK1uxlCOpv4 +VUJPBayTqBBgpNeGGn0jnRyrjYFcKUcc0YO0XI5N05JcQ1L6r7siMvjt7jcO9JkP +UmPKOGUiKHgm9O6VhX+ZmGSZNDD4TRWDDOLmFGIDYX3GSeH+msmNhQBbdhVWtAM0 +80ee69TeODfLgq7CDzomOTCRiEMrrMVDS0VAVYfGsmSJPKfXqkaOrasIKpv36DS0 +8LsrEwXIzpBkMjmObBnnjLYKWTZTgMM/p8Xwgc/tP9InPq2vn4lPkz/OPRJmAVHo +NYhdHXxdnJzCX6eNfKrNTdtnHMOXh5vF3prv+40OzmW3isrIujZGzMCh11xdAyvb +4u2A7vv8xATo8FzehBzCliw65jrsU87sHnMGh3z69X2YAzKGZBfdTTL0m8CP7G8x +jKLGLxqjWBt8SWPCnpptRcWKcayOUnxgu1cb70ozQ8YRpxJcmc/+Y8gO88+az2Ux +KYyjbbh3f52MABwhhrt4zG7w8xbS81ksRwB9sAyTQaz5P+4GI1hyTRy9rDDIoC4H +aE2sUmLaMPNxbecKKrrlofqw9p7UP+wr/hOyzbqiqAiX6ld5gcNGmoVJAgDVOBEN +UqdLawpWPnwQ47IE1dnTsBC/7yyYRleQ77AGxk/Oh99KD9YgRwn9vRxNEmmzaKhk +qVLdwHVWmtiULU8pe6TaQnSwvevzGlfpTOZFm33X2HG1PKt78AH2BG7PYhkAoxBZ +55Ql93fTcMUMmz1T1FRl2GwRw49B/VdAzZf0GvZyHUniNiM0eQ3KrkubIrY+nwpf +Nci5h/b0AI2FJbgDgulfQusyKWuLglfQfTb1o+fuZaVQHiCmt2YYifQTGXOOCCYU +nDDxz0bmkNTWLADc6iRrVKlTku7V3chAIKXPep/nozUlHTyfOfobtiXgvS+TPlRb +e8ydlTVaEw44fwppRTOAWjiPt7FztsNn05IxncS45VmKW1qlDd0aJoFCK/lQRapW +8t4GKCQPlH2vIHyI1azkF4N8XBigh7OvHqu7PLxncC4hEA64ayd8gdrpZr2SqPpm +gaT2IGHlKLjjdMescad4TvGqFP83mqFh7RGF/TenBb8gDdx0uPIpEMIIPelZeW6+ +UP/GxHw4gm2JtwT7lKIvvCp+gtcLsX9ZTD/FA+2SNNZZBp9P0b4A4uZeWAiZxPc1 ++RVhEC9f02zUGehoK66XIfxhvEKJy61TYe3Y0n0nF5HZr+tcwmI6lLVs/LRi0RNu +MoiryT+zQQnk/LHKgXz/mAtbHfFfd5K42k3MopzudT16KgzdPHT+3Lrx8vfB/qde +VBnKkBohdBJ1HIgD+GwjAuKj52Pu7tU7whhdb5KXsoJo3t48AWka+7cddY5NPJlo +VU9ThJ7SYBEKopjglaDwbGZwnVM6iOqJV51AmIAdMIxZAwvoQ2wFckFs9FYr6Km1 +oc6aeL7mvHzUf1AZPyqpy6/tnMBIsBmihFvCA9czEHxe2bcwms2Co9AAb21ssq1M +CONV8zpubUAXUNYrKaHbzHTV4dv4LKIk8opU8gQI2gbH5tnCbcf/GXWuCTw3+iCU +S4WwIKg5Y9e2/Xx0buBdpsVLZBTYqaZ2S87iWE6NTZ/J3faBiqoput+90mLiCVfU +AGUi2xM0Ps4CvHeWyXF3+J0ZbktQyFdVBGgdTLHqS/HQMoAhmTBJKBvc0Gfrd6Zf +wNgYArZRFwCftBZXvCGKMgUJGAU85xrpEg7YYzpvsBPdpewOLVBi0fCyuAO7oPtW +MHA+vD7byRN70DeGu0sOHxdGlEUC25JK71/RKX0gkojUBEdOxn6qUHNAanBt9QUF +VM9WdAoaculju+epBNn32WCyJzDLdGv2dyKycCKNy8ZjRzDIflIwer8tpHXeBjhF +bYXo4RjzLVNFiX+iHrpxZuAEj064XAGywS8aHfdgNyOBBdqCYIuCU/WOFjQJOkxP +BkMZdnjRAK1z5NtzKKHyiyweGZjum9ytuzIZ/M/uLNRWPWd1m3TksgOU1ZF6dRce +QfGNgMGYrLHzRx/6MhzasD1TeDqMolAmgSOZ/vhsRd/b2OLQHr34cOW+x9bdHhby +V5RYZv8hhX9MwzzfwTl+/kZ0NvIHEQTDT2FdXNLwjKrFL3ZXsZr5mIg7tMzbFI5h +hgjlpMjWI2u4sztifTf92XJvAwhm0igDZo5N82O9uGKTNK0DMTm7a7zM+ezb4B0U +QJkjMyiiYdyTyZqP2kSEhhKicIN4RTGMbIJ1N5/YpUvrWglsQGpsf55ZV88h1NLp +07goSHpuTo+2XoplkCMijmzN//V1+Syy38i0rOBzH0SCu4fauQHdlFFL1SuDdQ3O +xtdfDxS5qrQU+WPB0k9NjRDDpDQN5TskNTV2endhXqiPWvbdW/1zgx4jvz6KwUhQ +jSZNCBq0xATBg+JlQ/vIjrKMgEeR3UDAt41zUyfa7ZmhTxRPwSUL+jrqgbVp8Uh9 +14GmvTtB6//qrCtl2MI4anxnOTs3pQLCtE+SkUbxK5OY3nz70FjRjCgLYrY6NTy4 +Ay43MtVY341C1j+Yg6MCTHG/kQynb7tbj7p7Q+GoGikN7+Uz0/s0xA8O30wkdViJ +6GUovKsjekcLW3kjBMVzCnFjFl/DnghpS9UlgiLLUtZ9zDMc1cEdVCEnDvR87Vbc +0eXgyYae0p2HY0OaA75YQPm6Ul1pmE/J6Na9qSxeNtg/Siq7PqVXF1dXFVU11/Q9 +cRXhz5vCqg2kBBDdZbmg+aiLtF7VnD0TQuv5Z1VftjnzrvkPFagMh95PDXRPLvf1 +fZLmErqqZXy2u7T3QQWk9YAGOhJLkj/Pz8SoqiQsmOb1zh/hvTYQjQPl8ugTf77O +OrQQemnwjZUiBQ6p/KZaI5OEjUbUTvxLaJcg59u3tXhH/sIDLMl2JyrUmJs7tU1v +hY4bTR+Sdb0g2zZwzYe+9GEBzx9PQ/qqE8oaA6Z4lubZJRw1PgPii5i3YfX4MnhM +BQTKNeeKpZBpBuY9Gjy8ShSNW6E46zbdGu0mQpw7kkwG132bmZtFEIMb0/doHpnk +4dtOVm5E0nw7S2gF6GbYfIJ0WH1nOojz/i4lUj7czXofHinMRs3M0zIlKBbtOU+a +Rc7YTrU3Qm119ifqoQyMzVUHYmgjKwhPmHR2i+bEvta3B9xEypgeij0cxiVAu1NO +P5n7+2FmT+O3YiWvtxg/DSszUR1OcM8F002WUPebls+9qgNeJlF70+TWl4LCHPB9 +UXyUMsUGKMdlmQByfwNkakyCUrW3kQ782ZHcqyysfk/C7I9dOS7xwYSiIGLGuHiM +VVnHwIKHUToG4ACvj6SHfwYVdGJpK7bNHzHTl14vn2Xv2qAXQvmvMgmeVTIqFgvG +/O2mAkMqPuxZMcfNRjB9pObu3YN5utkXFjRIgCmrCbO0L3ksZAdN2BWwH6rYVSk9 +xDNVKdRA8aT5yzS0mtyo2Wt9H6n0GUAyNhNgr5XDX0hd7HooLIbtLC+OoDCnZFv5 +H07t7VQymZE9fJ1PYruE9W/jEYUgIPGFjxJOlJdPfUCu3L1DYKA47fNC5C/pvjZQ +FgwXX+qTYkjwVKL4JHH7iAED6gw4kxK28pS8WXNk3O+nNnzgmkvvtQLHlvdbsBmv +fQAjbtBvuk1DU6JHIp3jBfkGwb1/EDL3glXp6Kni15A1Zr6Hoyq+kraVi5AH2HIm +6QzdHC1bSte4gknZIx5WwgwqKzCxadjUDzhxoSUa4moNd2Rg8uysNpSSd257/hOk +2Oud3cDm0XIzKzsmJVcTljryBwP+XjN9lHLuQB95AOc3POcgabqGokCspGsrmjQq +JpuXRyFuEpeoWWjdfKVIx3GbruEmMZ4ZYzXWexLqJLuRXD5KOvahH2xv67CMrrNm +YfDv61KVjF7wHdi2ey0YltQwr16lc9h5xUa22VZ0McYLgcEgStv0XFijFqU0L0/c +IxZkEOul0mL0tAkGxT67J3J27aK1xxXmSypZY6B3lFDV/V9MvBno4YFYYkEWRHl8 +py1lHguNll1TKQQJPHqg3olgMWuTwZUnu0zHftK8xLadwDLZMh3p1SULUS0xBtbJ +OM5hjLweGvXfiIOaXt7y3dR00UriExnYFujxtfRboFLdBRf3R1lbTrj+F6qcTJoj +j8lczh0YW97TShKke5OUdbDE4DZ7je/VwyVB/ypLZnIJMMqEbyl8s9UIvuZsMZ6w +AIx7iVDKJk05P26ThTNuLUao0eSyNiVaj9qg5HrhcAUv/A63Jle5KDoJ/oew8z5q +zsIuIpd/xQnLe92JFYJnHIVYgIaaFdaPdA3sG+W+kk653pC6yTOuZ5F1WNSDkDMq +K62lHfBAvpw0zSFFGa8kuRhgmm563kg3grurmevKA9o69uHJiuIOM3bN4Q6QWnox +n8tYGkcRuJgmHAKNhYOpu1xYI3OAt+zZXwq0Qi4H/Qvb44iDaCHtv5toeBOHHq7y +IArGVeJoBn6iskJ/Z2yYsc3bO3lfieUWECskyTvJu/6i4IfDxiUV4x0j9oIfsKD8 +8M4ul6uU3gtZH2/r5vXWqviB5Fwv2AmpDBduymIlRHgiNt4mViPC3RqYzCxrjVXk +3Kq65UIbGHcvm4VlkJeTOeLgLVkaVMxywC+moLqEKzB3KzTKudJ1U1e+j2boocH0 +2ionB/4XuXeeWXcgNXOA0lROstm7CPN44GkUyq8OBr3W9dOrCH7EW4e7hyAJZXRL +e2Mg7Qh5JzJthGhwAD1PeqNdcxLPr10Ns6eBtWrQ/UqEr+iZUntAISgSYUrDkoOz +nBLxX4IE8Lz0WeA9IIQ8p5OInv5Coh8J39tGs61UMEDNiRzug3uLv6aJ/05avOUN +mheBNWa2mzRf6xVimwj8iFaB8JMsmp/YLuIEPa5v3EJCf3i37euHVAewpw+fFP8l +/8JyXNBn19297xi5xdx4gT3aRlQT6v1iUBlG+arD5HS3lytWF6aAo142ABiAH2l2 +XbGqKSJodDKOwTv0ZRzTavYV6TDO0MRRMAUbnDbVEXy+D0xwDBv1AM0ldjReJBQ9 +1r1PbJg93sWcg0mv+S9zXwIfX6nOdrEC3+OYTAq2wbWbobpK8lZaV3oIoeoCC4Kr +qrhB7Hp/Z0Ttk/XSwto6FCldbIAN9rafx+PgaqHcwpy4gj8g9rHmk974MS12cldZ +b7V6Jd3dAiAI7a0PfodvSx3z0YjN+yTuZw+JB0+AO6yXioLTyKgOqbSMHVHlX9gP +bXw/nO8HzPry69UuXQHsg4VvwtOYh/oYYc7nHaXE0Z0p8yoOf32it6QaX+wWTPzB +Y2kWvAXbKxVM924lTsd56b74YcsZdCin+Ho16zJptkWDE712dwGiDRm1acmCeTeS +kf4l+DICAnTzEhhuO0WsBepU1hEZLq5Prmwt0SfiWC71fNp6f8mVjsTE2pTORO8K +14+C2EHiojxfixod9aglkopHzoe9CscI/ywIuyGfienpMOq3c+NI/jQTLxTeJOR7 +jNqxIgZA/L9IUHRiJv4N8zmXNTwVSwrxASpqzszVA4sjc3V8fxDWzdv6kDocboC0 +HYC1fQSN3KkmcuLKfydjrYfl1P/XgXwCSklwJMYjk0HlCxWSipV9bmowISUdILYV ++isfRgx2+ChEnUz0ClINUG18z0fdIPl3aebXF/NerQor+AtQ90TQbUwSeVSI1UOB +36NCs4hFkUdhvEJRQIGbiFVpUjhoWUKiZztJmSNYaUS+umnbZvesxUn/I09K+Q1x +T5UMKb1VVuuy9HfeM/UjqQ9GZ/r8J42ZgsVLPLXfKC+OZOqj2Qs4NEItKn0rntQC +0/VSARs4tWUlBQXZRj7l40VHPc1uf7U72lEyys1fensWHMnt6Ku2WzwrQRww93sA +7vmqoteGoVuVgXzIao9y6QINpp0HP/QFCF7K5uWhX0Nr+/HkKVetYJFqEK9UBoYV +7HW9iQX6LG77wk8L7dKhjkW0ugBlCke1+DVy0i4BV0PspdRuv6liBjaGMJ/iYVJJ +Eus62GvokGXdjmYFPL/lo7zXO+LCssCHLBUYN3sC/VykANAPFqjyV6dW0OLeyxW4 +QwZcrRmBODskS6IL9Bq52X3h5yfyPsRQN/nHZsuKf2+Ux0Sg8Hm5z6JCwYtR/RAI +69Wjh4FCGfCgDG9oAqzBA8hkrhEWR/cM734YCFpAYtgpU30XKrJ9QTgn/et5yQRG +9nH7DXvw+1MRyBKDNCiuS6NSanKTcqEwDm/D7+eDUcnieI9QMY3HvNIyEQe3OPDG +7fGR2PmevISnOYtzATczd9zH+ZKKpHgwWv6VRh4xUnk8sEH7LlMYBeSUw9HeI4zJ +R3D54cEXL1UlTE5Qqxnr+wpprFJi0By7d88Xkd2Qki5ZLx2XQHaj0do4zxe2WYD3 +K5QWbNfq16lgJjjg4Cz7IL9YPCULzKx8egFkdGJSK7noAI6PECOs2GJRQ5bZsUFn +DBUSnh68XKg9N6xPh8t8FOyVk7+efmYfhiXmZPiUUYxmapZcjcgZG2uFX2d3zJi2 +WboQOxPa63/cJ57GzJmQrQxtOLG/0qxn1Ua7Rga+YmEFkF585kk63fqStubEDebW +LO+uQHpcx3Agya2DO0wEH29tI1vNeTQB65atDRAQk4ILTvF3zIVPo2eNwCDjJ/ib +EQXo58hE0A/EirkupmWNEUVKpuFsVCyTTcyASo0pPGODW5Ca7108m7xB7Or6LPku +LmCqFqP2EIdwHY9Dh9ujO3DM+0ow+32UEk+ZymSLalQ5AxzzISTF31wWYJBUhLvc +rXP670tH3pE+DO8KrmOTpqJ4J7gojLWSQRI+jgLmjRdr7ou+vlwUfrHwnfsrvDLl +C+SMJ/tQQWx8gQKt8+IXuo8eVMW8bskeK5Tgdv0scIdJ/HcueE+lAzmbA5YspEyD +ELr/oJqY4UmKialK/24UEt/qXGx/mTJ6AjGzZX7f7lZXNi5iaP8p3YTMBx4rtQTH +haLWQH2rtlYuomYiDP3vNsPC0XJQqpS2uX5BMOsOCMr3efTcBuzXvM/xYvK9IZyS +AEljpVRswtysSki8+nIa2RtjWTS6ENLeGnFLPa7lamGQ9wdXvJws00rvLuE2IFtX +UOuxnO3AhPy0FV6W6h3YR5TBwxok4eGkiSu5yS7jcdfS+H9eihxSul7x6DcS6OEo +F9NqmeVC/OUOLNmt7Vuzuc/KBEMZ2nQ70/Q5kPuIwovV96APoGWdptent2kijtp0 +zp50/ahZLKLLbJ+nRPsjal2l+/cefr3OqXuONyCfhex6cFoX0f6B5csnp2CfqgRF +Ww7zDEA2zKu8OyJdpltbOFmaqYDOEC9jxbzrXTloROoNacITuvGgs8lDr9MtBzJZ +SAosFNRLk+If88IuEhb1JP/xk0LME7lO+g8i5ka8gm89lvwA6axsc0mqsJvOAc91 +jfsao3CqkaowuPDHn2luJZyGvhUiPT3amTbYCG4cKcr13SraTS84PZUGSvpqFoDM +ZY+7bLTuTbY/bKX5rliCLGGpUk66XcJrlI0PmvFdIZrUzWcm4CSZyuAR0LNeJBb6 +Yi06Xmae8Zsen1zAaytdMFk645gz3P/mvZAy8Owwr0HiIZDQ4Bp2I91jt8OwGuo8 +spA72Us5FBmsG45BVOa4WXr3dqZGjGK/Ry+mXQzRXfe8cV5tTy98v0E/dpAeovtE +Zyo9HL0SkgxDo6Lp45GjADPw3pqLvpJAn0c/3cr/Rm2kVHBksNHsYeHvip0KGbNt +M8Uy4ZCdEXJM9/kdCuEWGWqcPUidZO7AXNHQLaXPyHataPJw/LSxtB25iVbh2aDh +OClc5HaSqLlqWXwvB2aaq2BRS191AXDzyknoRnZx8fkjRnrn2CE+rCQCkUJOMMpg +QdxILGU3p9ijF+ehG+6tQswMtjuOq0KoxI38gUSSjm48QPIu8sCFKQ2lP7xvD37g +0+e5X/vMTQV9Jl+wjaQWs1wfJ0ldqsqcmsVH1EWDrqPlb1e7bvPqFyrrZUoE7aFS +P5Hp4ha4LQNlfH5xe1PcgFNEW7maFQwFAyseuVTxCIlnoCiPXqtVyIz8hWg8hlXz +LDdnPHdPmdkeY7xzONVjny0ydq+I3kG2m/fhU54yqzHeQOm8POezozyFX9xjePA7 +zdDS0ywjOPQHTatXeewzt5cenqKd/mmG0bGl30BeK0y/vGheopO1oHrszA4vF8O0 +dvTW61hLCS9Xp0sA3Sb7bK0/5OX8czQyClEv8QwYHPg1uvprqw2mcXfEJELFZG5j +Qc+MvgerJqYBEKKLEmneMwUmPf4t+9azXEjeQY8Kh4y0j/JQyYjnLnijyZlk0pca +uV6ivBvSSEDAC6py72AEsdxAv9SJ9zNTeA2YT6ntRNB1gvUT099FNL7Aj4x+SNv9 +jdeaaKqeIL1YmYgepEVnqsOa2WwboVoYlwDprURa0K7ONeBc63pd2fVI8A/bbNvc +wgFPGTn6PK2Zcwd81mWpTme2NKmIqn/GFuUXydF446PdLjsaOBW+89kF+TtUmZ6c +CPmaN+x5TB8/+PrlavCnnRANgxWXd26cXiMOXmtqWKIBknW/DvGiEPTKsqwAMWax +8dl5viz6aSUnY/CY1rZl+WgXkfw30EdcynZIy0IIdooetgp/MieliKURHmPaxCWA +Gt1DNkIIFeCjv/9sa4zrTVV1Qc6ALFPc7Naqylr5l86CBNe4cNY5S4ShW8/+tsnJ +02VVdToigRrEb5nw15ftl6fbd0U29R5Wkeoo5AGeqS6MJxNRDc0kLyCRz1pd9ppJ +xywrkophDRcAPFa0xI+HXRJ3juUPqsjbguFSzqgW2KsLEbBn0EISbBKx0RKisQCW +LADF0j6O/hYFxg5BlVnWehyVYXwrESXYQWp0NG2dD+5OmAcPS+k/61W8dri71SgE +XUuDZSCVJ7tddoU9LZ/P2ItGZvWC6W1gGM8wjCNqItpJyY0Q7F3SxQK7MAgGk3T3 +2aYRUx38hdGgyAi/w0NDGxSeaKrsjSyAxClt0K6EMoBv1n+1TDPsu8lMEKJEILO2 +kg+YksFJ4ZZqSJpO3TtXZXBVaV7h7UAl3zN3wJ7KiE41A4pEpEhrbqM8EplPbwos +7c4EhITl4jYP0F//QsksP3C8SfGJLPdclLxzuDOtONg5mmoS5OwpqY5KifSWECjZ +GZmMhzM3v/2a2YJ8cZP51WfaFY0apGmx84lVh2ndg+CrEAoBmO9wZBg+5zQViau0 +lDe6Mrs3hVOs1KOLjiLWClXqROnXgdyHtSCAAPo0/68sCKpKvFUyGXNOmcih32QG +GgapjSy7njctRAoqPHB4kCy8ksGuq4RC3v4eVDvnem0IlWhXuiIw3gbCIe+OaCM5 +EVwXVM1kfWQmQUX5tU4B7g25N8urQXJFQYxP6V7RHq8BskR6Cni0PexLv6Y8iVnn +UlqQ9XDYfvIUiGM8u5R3XajnW6lzZlqBIWfqJVkqcH7StDE6Fb28xyBzfaKj6ZLP +petlm2hYDIYjNVggGOtVAesEyQw3c1PDWix9WEvy2wQkOM25IyhbFpzriv98NWgG +Qx//CgVapRB2bka4L2JTn6EAivFJicVpFGN6cfupZ3LHhRLNpKw50aEhb7AVUMLj +RIg3y7fHrpoAWIXQ2rdH/jNXOSGwP+ofQvQydENaTJbBoHklM1dImj45jkfEuNuR +ER9PyLH/j7m8tOKtLUITMt33BqoxZj30ow26FCAQ6M+W6HJ2gaeKvJ52Cp3DMrnx +C6WPmQHXoZtYhnU/EgE5zMblxpo1+SEfy5bonCgV4R2Ik8939u3W76DDOS2bKNOP +UrC5i7Y3GXI+h1SZi5cQypO7wJAflmDAmkesriF4lhxucXaPc9mNu/PUn7itUvMd +jypYlvglz4MYjoCTascVeapwyzkY7IKe1B+8dFA5zDa2Ijht8lyeHyVjTNu5LIDS +HiOFrImzSHWlKYNUJAXQ0BTgMb1IX+HPUqNX3sR1gO6fBCstqVvjX5R3xL6kSJrq +et1F/kMm5D9TqwxDBlZ5lDPta+0GH+0AC0sZ3hTuixjc6+AOWFyWWABh+xg8ipIT +0w6yNnzoNcjCZTMsQ4qFEPMl+eBSn31g30fHOVWmvYsCkHP/cXMySY+dhWQRCDPw +3psOzX1N44KphehRDAeOs9MoYC3S+FGzaOamLNhcImuXdLIJulAhMx+BlS2unWrW +HWLcQeODMz0oSxpPGNYJGNGIzK3Lu5Xyjco49AvxMC0OetvoyIxbBLN05YprogHa +wZgvsW8nemrLZ78N69pq6kyuiSOQMULAYWTPzGUgFaKtHmIKLspMSgPRk2QnWGoG +NpGnLWkt6fEMBQ8WROUb8IzpEN/yxUh/V1rTbzEeF1C8/nfDKbnqc7w3V5dpWJTj +B1mO6EeKS+FCoYHEBnDzejwA6fGgcaxlS67iF20v+7JZIeltsSDdicIm6X/hxEZ2 +s4DkoPuWeKRiqRMgduiNXpyPWKTWD4MtQgqiePU3ruBiHMCXfd6J2Px3GGL12uUK +3A6DQ+pcLWP2ytYRTlmdWKqSdMjO8P6rpJj4TM3V9FTbsjcg05qzn1OB8fwpaFvk +cU6nJ7/1LwypTG31aWg8VVp6gpDE8yEZaYSQy/lq6vIfHN687RGwDwpxEpqCJCng +hKO9O76jEqBWCBUuGbBjQnp92dMxgJVvYQxHwoZ4nqwbSFAU/xyqFGqaTZEJ5gW5 +AfmP65t8PMI9ClYEn1HV79KolTVECQ/mNKhqmmUvm5iqWTBZTthwlH78m4dwqiDd +QPRN36rEzk1+cFXwV5E9Kt7m04O9O2oNQ/zy/Nz6h13GVIcAPQ2gB43xrA1ykQwL +9soG0U/wrMPKGNngubiF/XMOxuTlzpze2h3iUDnZ25idsoVTweMNzLX44dQ25UDU +0a7SbfsJ6ADj5MO/JRXu5nzZyVDTz2jtmu4ktbkfUFXzNlD6uait2Irxv8lcTWF4 +b6pC9STa6FXSbKV2tsPwuNUVhMNcT+8ciQ41Z+DnbS7SqPLP6fm9cesIGtjlnesG +K+Uxk8C9U84iw1ZkCS2gWKki+B903cx1IhVykIWv0AWHsaVnkKpV9ezz3KoHexLq +04tEHi1EhyUoug3gsww90Io2PkPkSBXOFIP3v5jF0aGRhwA2mIJIC9bl7iQSuhiv +KsGC+bE+5/3qku488syC+7/xM2ijdQb5qbix56NRcNtIgwKbCUkYyBEyuU5dXrhK +Abaf3/M2zh8JJW0kSaoP14//8aOodLxuz44dHLkM5BbcIGut2Fq07g5TQNLCTz87 +qLbX0Hc8KS+Xz/6ZUwFxhfD7a7+QL6GJOqfWDKLFLrjUR5YX5hyZ3bue81IwEo5l +G1niHf88dIKcsS7oB4fTeBR++f1usawK+Uvpx1nylA4Gw3k9OOPQus/S2JcDAMNu +x0bJMh07dlLapZnr8gYXIFzMOkv7jhGo0r6/9EZMFtLDrG2gWGwc1nahuMf3SeXI +QVlv4UCpZV64fUXzDHaBjSYAm+LdCWw6xRdZThICV5mYMxlihCuHwrkjivegGbMt +FzYLFGz72ngnZrx65fLRzPMfVgxMAPopDkKPYLEnXx7SoU9lQ9PHBVpAMCjfOJD7 +1OEwkgHWo3x0eMpIVPuEJ9Ssj5iGw2XMVafdPwi5aAkUKLIEUJQkj1Xx6GjDSF/t +7YzXL6gAWRHgfPC+hxjGCfpe0Dju5ZuoN6N/KeBY43tgkveV+RoRwxtyyjLbAyFm +BTUeWDQbEUNAmxCZUF5mAlHubHwVo4Oi8od/5w4AnZNz5nPJisCL5vjDI1PW0PFo +8HQ/MTL4un6Kh4igQ4tpgpMNNQxA+8H8l4PIvLjRnmo1ksQ0WswumPmToTNH7XKz +7VTGF5vU9poc3TV7E76+HRH2+im2a8avi9ELhSbbmoyRII+zqPHncBj6HKj/xZ2a +vll1DrgDZyU56VTcuh+/n0kkJMBRf+F+2YeQbDfWuhhAoTnWGSvcjWrsENZO0gpU +E8IB1XOh46y4wqA3PFkVoYm5n/rc6DDFzMqpRpR7D6a19Ihn1ui63/JfH/RyiPMq +LYYaKm7XcQyn/E2bIFEMaWygtcaxAgKzRnbgYrgHHSkqk6MuYIXfIxFH+ABB8i6X +khvM1h2xdlObBIbQcirJMF8cj08+c62akyWJRK+s+ScaEVF3iCRqHS9ERloCoM4v +BNsYNk9HkTzatsqFmnxkEVxN7ERM6H0CuoMpwtPJVlEIStCes8SUUMh+jya627Ga +Dc1tVvqpEEUq8MvHwr1Ft+Usk9kNINgO8MpuKwy7VhvFGbE/5bxQQtqdOeCRaSvS +rHzEJOkCH/U/3TkYi28D0JMKSSZq30/vEXhaS1pq+9mH6tWGALO9MaQDhQ7ewJ1Q +two9CR/acfEy9v7fUaVho6LwC1cEcuwx+uL/ThoVTXU2g7hlhbuJ7/H45IsJwKJy +iR75yhTliWslWoL7DI7XZNmlQiuDPV06mlFsCTPyKuEKxMaps1+oYOKuZGpuzU/P +RPmyxwV2pCH3ZUKrmIEn9brnaqTZG7qpASnTnU5WrtDPeVGaXOCUzW6cUV94bc8W +lIwH9DjoXi9nK/vAv6r5IWacwHvLDDQDzp1SInHYk38sHSwrdkOGzYUg6CRjd3A+ +w+E3uEHF60WXwqTaYx3AN6KKPhjJKi+KH6aLiALt6HrWX4WT8nFKgMzjYcE8tBjh +1d9HUP4CT2hmi2Wx6ehQkfh5k07STVCl2hArbLQwbaBJBFDZjECp1K4xJBiClgBa +Gxmf/qiqrNj5ysDGynn0y47QFIgHj3x7h6sMActvP/8mcmjUwjC7DXpP9q7O97BC +uXPbb2nW6Tx9p/wwgpNGTzcKvOvXTVGfBpUiYCwAcj3P/3q2Wv4X9uqK2MT/32Hd +4TLmdDydnwhyeB6ZKbk6ulLFd1QH4/6q9clYLTqQXznKnaJEkfDGwSm03/jczO7Q +eZ/5/b8G/IK+SiSnRdQF7UAYOccFORKSYyAcNe5TyFIm6hktRD2y3A5JmkTgyIzn +59JMVcHrwk9Zn08mUArZyYNdtq3lVfuFA0b63oGmgTCkF8VXgLrB6mKMPKKetB6z ++HZF22DS1zVrwbnZQo1mj7guoEQ2+v2g7RDawbMGqcG32sfDqeSbRULJiHeUl6o4 +1VekGZRF/jU8Z35UWA8DDAZ7uiGbzs99Z56dGpmb5qIDgclx5YcZW4nQRk/IxHi0 +Fw1Yui7xZZg5IQ/XVfYrgeHVQWXMcMBZ5Idcil/XyjHY8Hb+GB8EapOlELuSnPdf +F21uoCBtIIPvBOBKChURpYv+Vmsc5zAABGvrh8YJqdqUHvPEi7Qe2cpEGPUOzXh+ +LJ9EJ0s1ZE/XKEvJxlr9cUAdwd+lEj50MKlJbnyw2VqL5OUTWNvPrBeEPZVsp4hv +j03tsPqt4OfCHWqskFga0e3DslKSK1s6sIkED8/EwFGvRTNghIiewcPlHoOyOwL8 +QATI5f7imP3KjX0c+RDriBpT9HSSPux4ZHS9vrTg3G3YMjAVktbbUmIi13ZGUuGp +A1as0QL+Afi6041MDsdGU60I31agtCU0z3NuF+hmxQtqqyKLzujCUQE7N44w1Gjf +Ochgw3bTVIBFiLqLABQ3xReHIt1O7BRMVDG01ekfGpjo5fdtre4jImlxeILEIHO/ +66pwDFoZW70QJ+HbgOduh1K2odgi1lVne1yTK/sx0Mbqusva+NJ0IlHRoShQa3sk +StpK6nDFnZrINdX+Y+Vfu6wlfzXbahLz8wgxUh9MHFF0wYVSWzgL2VrKTs5E9fDz +c96BkDUZj6P5h//WLyInhyV8cx04oPkoaNT2Q2Qk17h+kGB5RDOavf6nWnUpmmwI +k32kwEReiPUsRdnp3u6+Lhf/RWw4Zvuz4I2+CYV5R2N2S94jPDNL3i0oD2qUg3Cf +BarHZ0E43QZ2Dvn4dHaiamRuWP/gXfu7urbk2VfrTIdTGCfJ/X7q12wCL3PMlRTH +2z4eZlmX2gOr39ZPrYmJ+0ttmTkNRCn3QCqKBTBRKuK64/SNcMU19tEgoXxR7DsP +GZi4KcEdGZRClLSBXIm7UBZbzb6juZt4tEh/f9S2KpYN/DGS+pXwAXK3Dvg9QBcH +CWJKTJNl+hrRTypdufW48N0NaWYkL1nRjRwPcDINYCIZjGtZcVefLwdRQC2I5CfN +qY1iJ8o+wuvfHd7MOKeD6vdp76DGUCoZzHkH12shNdMuONjKOwd3bJ95beLfUQcz +yqJt3uJtDrHriNQ085A6zj5G9lT80VyFkS9hq+Je9lwIFFF0UB3tQoyIEF9Yfk5M +I78SKJjXUtXqStdHynMvSmSwQK5J9btzq1AMAPYiETTXI1wiWeewzQGKyEKUMh9e +gIyoKMmsET+ZWjAygQ7AEx//yK4WmmldwtMwumGuJmxstGvl0COC2l2dlb44e4ZA +Rl+LyQSRIX3tq8NTzuTdX6yb+/Lg7rmXsvG4EHhMwbm9EO059hM7X2jeL+/VYR0e +c08WwLKB/q6rVPEi8e33hJwDCFFUeOtQEdMIzP9nLmOWqDi0awb8/5IDcsrFtJ/H +o+rdmsGfcKxcA/tn6X3WmQn7pNGK+by1k/Aihg7BCRs2s1edJuOp+eYtnS27BWDC +rNCa75UnnMx0RnY7OSHwmc24F40r11kairkJhQCfJoJGhMrAGafxDvlBd6nUOhU6 +uKuaLMYa+Oyt00+2S/ZZFNON4/t4yAwFy7CxS8WpnkM06QfclDoyhcCqZglln8p4 +EwDzYCI3B6bEp7hhmv+fcAHYsQ0fXF8gEkKrs2L+9tlPRf9T0uuoZzBWmO5Xtgf1 +F5kv/Y7wPygxmebSMF36AgSxZLR1aB6g0SdVYnfSCmfY6JgKtLjkFVAoBddVQKnY +xApCrvBFNgylh/VUP6eeQFOox41lQi65lAdrzknZxdU64Mcc+KR8b0kFKUAVuPZZ +1GOeyUy6BqhliAYDuUqoPfmkYWedS3hIZ4YC3sErkXM+yJhQChFIM4RUjEl8GNAf +ZJsg++K0AAXuLj3y3j1NbaBYldoHJAdDUwNxYjQR2zCEQqnaqAyM0gLF390y5xu4 +A2kRZf8VXx141ODITGm61250Q6B3Ae3mNgQYOG9zfnRE7IJmjgFTmMX6YFaGdkJf +CvmKjzFWJiGcYQ/043Z/ODxwuKDfjElITsda2XIZ6zP11u8oJqQad4WkYtFP9m1/ +Y5b6unlxh1sR0WTH4ee2NZlVzw6GehbFGOYzOjkt5ENBEpy3oAeH1WsUehnMjHvU +nH0tp7NJGMNIQqDpHf0AmhmSDZzJE5EXSgU7AVsPJl41bXaj8zZaWytoH3Vdb5b1 ++dm0TKr+4aF6+tf43hoQTVhF4nFANv3laEgAq/B9191C0KKZuSEKBc7ut3npUAdd +WTKllQY5OoeVeqzFcEwMiQg9Sn7JujFxUdBMR5FFKYCA54fMqPuOTXF3l56bF9Bh +L9HW1kmH7jgPRhSm9fMjcaOHBedyGtqMy2xyc7YzP1jwpPsulu/EzzeieZCRB1or +z9VffK3JnHz2Xc1Vk509RWwWOGuyLOTx1B/FDascUSi9hjZySafyMgLH1LYgx6g2 +GYDyvxZf879/SQsb+lLKqVzw9Jkr++MUS06ndkz32pnveMm3PmtJg5JWOd9V7ZtA +nIITcVGHeoKwp2Otf0b525ugv8Zysrs24mA8wphnmJTWO73bwK+VtD1+THm9ZSUB +MZuLPxUfcz1yEBBVw4CVZB8aN6+xzNFqo41Qws8N2VFT9yD+WI8gZqzMVuNRf7wA +abxnNqGztL7Lq3S1YQkaR76nMX6fGeaWH9xPo7Y9PGV4j/IM6biBmML68pmI/EQ8 +l5I7pFYfMXszvOPoKMfKnFcHLc9Ll9bTjuSrh+mFV/Budx6WdAyL1pDVZk7IPZbT +SQ9qAhSW7SbnePImX/t2XLmlbQ1dp5nM8fagracbJ24doHwb+mXGL57EgmZC9tCw +HChTMnIXLVyGA4A+sHzGiNEg/IGfWysHN/KsW1mJ+3+D3WTQ1aYAN6zQ781xZ3cR +fOieItj/6E261lrhGKIRje7ccP44GLYXMCm4ZjgzYtQ3X0GDAgKKJGxmQsdWyriw +1PvvC2yyCQ7ntLH3uwPV2bGPzPIvaz+wuFCgrb2xJ4DyVEiEjJWO9lDna5et2O7/ +Cu/w4L5IzH5ERSxqiuTzjXrhTFk2WNRhucHvpkLJzlPpXNLrAAp501e/DjZRVLw3 +NE7pwgyPE+yYxJwsnFAtSh1iM4q98BAMtt9gr8CIckXH6YeiegFIyNOsyqLK1UTO +mZB2GI/YMfGoriPbOuwUf4Cpy8oS8IflXH0ljPokj3vAC8m05tRqg6l7tuqv254z +eKR8AG7ShvK6Z2K68+pRMSYTs26doBRIoqmGy4LtMh713x1PTEyZIuKofibh47nq +TUflR3ItqjkqtWiED+3e9cl/+OLQtIOPqRE5r0HqboU0k9qPa/B44d3OO3xCC89t +NL1QvwM6lNt1mBhVaUE0QBGWQKqde1ecmnlg3Xesd97mxqu5wezXfkikwsnCHzSB +Q/5nhY96yOLG5NpQ2rQ2JTJK9X7ceAZ5wd803CyQpV6lU8rbGvSOCXO8TJx5O3G+ +8LRLxDK1z+wt2ijZ4J/GOs80Z0C0iNgWDM42PdUkeGE/2Hr6F2GCe5biXwtpP9CA +/EBL2DDO5DVdxi7eIRRCj4Rl0OumbFuHhvZVS6C67SiNW83xYI8ebOI7QjB+SoMz +DKlO8waFtMq/FfyiZNQ2w2j64G69aby1CYJ4i1C2ap1uUUu+ZFFDROSEX2Gv2T2X +LbNb/fPfG5zD/bGjEDUstfTMjTqYfQt7OaTb3dp8Ahnq8FYlKWaxvHU9tcIVuImL +NRBGo1VmAmBDzYl4i9BN/o44h9POpjgTI3XsHuF/p7NYh/KO8/WLuYwAybagiIHz +ENJZHEfb/erYFiZy8tdBJPLIgH3T9mqrCeUa8HIDmHHkef5zb0Pnxz7RMVIhhd1F +uvA9f5NtDvzFAG4oUDwxputoJK0yLYsRODuY2SYX0rYlXMjpaXiv5WhyRb/UhlcA +vRio7KJjDtmv7pD+JdqPTLzApQuMvOW6NtnS6+WO+NTr0cP61Uj47akdaHRmwuzq +pYHbmAnRqtcoxpWWYkgLNc+6ZUATWAeWlwOcq2todxqP31JdRpVMOyo5EV7vfGk9 +U8jeZoFu6L3VzgVsJUi9HaTzer0VVmvlwyMO690XFIdI1QJxQdKZ5/Cn3upBeg5Y +EFo036WnT3PFapajWbnWRNritFltnIUodnyTQI7hQNvstrjtC/X91Y8RVT+R0Ear +5826T81/bUPEpt6/wR2x8jlwPG7VESQkdQDHU5fYAf04yuXckQgH4X2ugCGnLyob +H/Nryo+OgraGFERylXVcpMh8QIBqGB9N+jt9S5LnGZk5sKYVEPAlxv+1wfPD3Ady +DiiG+b30iyC8UOpuN9WfZX1NO48K7VaplvQUi0DWoU+1ovy/vLrMkSKZ60Am7XKX +0oJzX1G1uvUe3j0yhm8T0JDAXaUm5HF2Wwjw0fdrt+/PWBxHq7kDxQyLaB7ukG5d +rBsHUjgu5lEpkpOSeU/RI3OQAmkQtskexnwA1KiNvWhv/GFq6v915dnlbnLFL1hy +fqyKm2XspnVcNNTA3lzDYgvx8dJTTqLpUGv2s1xKYgrM61rJzZFDRXOnpwPZe4iV +t8I01PPpFPlgIMAki60TvOwrC6ZFeMafFxGKsFy5pHVmkdLVU68BpRqMJ7XXSO8g +rIRxEVIWgUIRbK1d65/cG7loV+UmbzC0xnVopZ2atbxPRU+08iUXVxZfz9Rs8pRA +GX1JS89ygh88lIhEZsSP3tNvujCDNXN77ieBksUBqFbFJ6oH6hcUF44rPs3utCG3 +YsBriyMeHrYLXYhrzVib+cxdYiH2ffm+h1hyzTMMQqay0aKyu/6WGQS7m6Mqxx/L +DCW/1WA5CK4mCx0XXVmh7nC9JBsND21E/y3Ky+sbgaFUH8WpH4sXxaZCyaiTCdVb +MKBgFZir5derCLRyxusWIwWtqgCgOALstXTcWoH9eA3ERmc6r1NiC+Nx7uDOWpGp +hTlmEDk8hDiRU1g0J/VnKYJaQjqHqhQsJHOtgeUmyFZa43280sXEvUns3KaHe52/ +r2U64y1hTGd8KfYznvEW7S97WtjMlYQqcUHYKIn+P/sNBF5CtC2JSLA7/jD7PeXn +cC7hITUnylVW5uprz0mH/jLrMzhkGPUGzonGd3lZLNirlaHK0GN56iDI//AZmIVt +Rlsw1S3m7eIdQKbxS7cSqH6xV0DjSEPqEkMOwQGo7iq04sNHLWWPFOTRATKboOgB +zYKIKmSDNTAc4/PIv3XQwXeNrdlHQ4L7P3ALwPEene5pWddimZMmEt6RBDHAAaph +XRyhqhp9g2SEsed3zkNuGPOcv8GcrkaGrLZ9aw3FfPamg4/8brMW1okx9UpheQZB +R7ESsHM8AxJtEuGPIC10/KzMz//bzvgyTd0S606wAxcan9xJxQ+HJFYPgY9uiQ1T +ff2JIK9vakcWlOXZRtj+YmnbVk97MumrT5bUu8BQ94+pl3lmQEi+ftsjtKF5aamN +exJe1diA5ignaNg0zGKXY6UvQxD/1haTGm3Dho5s9llfgrdxxmGQl3rVTyRRiNQe +Bcu4WpReU33/zoPN5n/7uDSADVB2zZJmJ1NlBPeZN+wdUkBG4WD3xqnjVcMF8IYB +ZNaz7zoVQCu/UWxiJq3k01PNdkHiR7Gj8YubKrIolcoUOsPkjKNT6IxGTWlibQOz +elU+nFVg/4e3oRFX5pvwO6i1iOiJKvnO350Gbw2MNtNR7DbT427Y4ml7LLcJvJtZ +4vCg+ZHtqygNjJ0HpQsQVGfWjZQ5L2D+wQehny5qf2mTNg2618+UUlStngNmpxvI +t9aUjkq4VxP/+ec77oD32M0Trw3HcHW+HfIJYx9iMGcZGmcoAr9JC4zhLBKIQSoQ +iUmVDYodWB7ZzRtiW15YpqgaU8Vkr/q7XbhTysn7rYegtdniTCodeGEvvGW0Jw3p +UhBEm224EC891kkwBvA4pGGs/ObiecHfjlVZIC260qgtkmfaXbN2H3oOXXH8B2EA +nkts5+kxcT04OTqjSK3ShFsACtMR7y+T6/6CR+4U3qOpe0FGON830afIDqR4jzgV +Y2h2otBtnao+rdAQAQaUU2YWZz0E/l+NtkYltecu29jZVWz0SiHKEzvT9O2OzZcQ +QvO2nIe/Doxa8oSOkLyjt4FIW4S/EDZfM3Ft/Mjd8ssIzUq5FlOHHPPltkX9k+nO +zgI24s2uDS8viIfZ3gdouzeBtF+wniRKL82q2kjf4C3JnUBvX6MpnCnuJjZiXm38 +of1cWCOLr0R/bcX1UMnLYoXp5vi7R+U7ImLwL6/W6CKdXy16JnTCkE3/jKZOPReg +dcdN5fxkByijEDL7wOEcMYdHqTS7FYpDOL+d95nRFlrdwvMnrdFeDS1A6klASDXi ++q6wXIwTo8muxT7pt1tRPws6WSMS/ALFjVC3YR09pGLe8NF2UlpYPqCzPysm6VJr +lPW7oI7l29xHUOM33s91/VsuQBb8xUQmDmAu9+hnxQdcx7pmym4Tn0KhwseH3VoT +hKYL08E0RXopXWHEmSfYsZPhgSbevhOhI3LBh+p6ztod5K7qAbS8Wzz/BgXIiK9Y +AR2gsgDRvUwRZ/zZHXc3QZoRPnN5ohaLDWM87X82m/PsBSlYFaF8qasCwL0Dy8Ut +KT6boLpnJnTSio0Im6ldSbOH49XaSDSMaMb1TEOH8cTHz8gABV0br+TbaFNsSMP3 +GwcANCg83Nl5vEjGwqBSyK0vAuFJ+d4MYARI/fYOav/4cFJOHCSQXui9T7mHkXAP +xGnfNsHZ+4yvNrnGeWSEa6N9ZcoCDPzj8E8vFYqvLCZMG4TdJNCoBS9rBvcGFbOJ +oEJYmkn6tjDm5jUPYFSPbNnHYnKZ5zX6H5tMEQ+khFAbun990GoddwqxEnsKULJ8 +HEV6qaUmly5V5V2z9GwxBAuhMJ0yqRmlDVCanOUYbQS6nj5+0IL/aYLA5Ri31FUm +oLvykb0OhQxb2NAzUniVZJakmF7c/Ctuz8RLXVjKsmPH2oc3wnT5NUx0PU9gBtKn +1IP4bYkluHinMppQV5blZiHp4HUHdpXIQdhOrej70DuLOxJXuUAE3LU4tU+QBdgh +HxZgPCZva4YQyTG8bk9/yu9lnX4ysjq33lhLkWWKQJeXepexbEcX7AEXBzoTom9h +TmU7uYycM6b7vLgbyxi7dCP2uCsLzGnTGBOyRzxiZzOcrtBNjciETHRABxp2Uoac +fn3E5ksBTWrOeq6QQAMc3TOOpkvjN68Fk3nk8TzTZMdX1eSqzEkwXRH3gtF0nze/ +DDRvgdaDDTtbTdPYAOmdMPdHOA+Z/jxJGBicbmocmt3MJ/JsbNhYIxxPNC+5cT6a ++RJdEY6NWTD2qwX2vMZi4K0Rtc7p2dgZJHMJjKIeIdA6Glh3BTvygXBkQKMF7jEy +aFHhsGSR8gckjj08T/+SKQQxFlfTPNxcJE5ygj9iXYVf04lAuROOMLMRAMUiEtwX +fhPA7i+ahMc4RNXoOPteJy6Tplui0ewNpqyF/HYYJrkyJAU8pHw/sUuti4uBOziZ +GopUTfWbHpCMTzNvJWbj59vB28wonxlXgFvR2I6kYvgqJq3EsOtVbctDsoasAEl0 +UTBR7OtHGR9X+4OaI5UTK5ygsk+VWsd6Bz6jUqQNYpZDlxmxEDzFgLXcmqiOhfNk +KyEVSgv/KyaLei/mXJWiIf1+beyU707VtlMCrWCPqeIMOtpAjqtqlzGGklwQjH0a +ZldOgJVziDKr1wmG0ADD+PnD0Kf87DjFpeY2btRBpH3I0p5Mv1n2siDNiaf46U8I +3wbRw0/1EROxHXaqN6vjLKMyrm8SDqQ6WFNYEE4e9wRiO5dS5G9skAGPz9i8aG9o +HU6/jZ/45W6K+AELiyjFv2Tw2jYAJNFmNZRe8EOEMFJC2VEiF/aw45Gs+PwmXfvd +HoevOHz/25rG/r36ixwx4G77AYBfrOZcY7Zf6A+To3rIypuotRJTHHC4cbNO28ie +coRwBdh18K8sZZQaLoKrG9iLXV8KdJOhIpzihdZd9ow3TfN7/OwS5FKRzAAUOJ1N +IALzRrJi88N16KVav54VANZtCF82zb0LaxMnVT+wnBq7oTaBQ2OKQYSlckV6GFjL +BORgF/4MYxtAeJ3aNW5LdMk1uGBQHt/s+O3TN2ig+bDxK7HoES7Yzi5Tb6pjNb10 +zvNVfhwcDAbmpliyfaYnTklTwipnly/TikzXCx0mWCRwo4bmrk8Tix+WJTQHvX+P +/R6XID0aFE8DhNaTZfXEaA+9s8RJLxLt/kBVENzEUh0p2z/8RiLcLWHfANnsVuup +XGELGC7JSLudNeq7wzVbaeshXYdabDfyVNUTuQe7KAOG1GytXpKoUA3lBf7Wlkxf +p/w5ZZFAEi/k1FytLlcXgKzcqjk1PV1DD9a7XCTfChRrJg3VltH59bnzwhhmM0cu +jBcZWnjRCfJkGTU6hejwLqMbp+y4nAoz8zXSnB1zNgqw3glbsPWKS81NAIc9XUGv +WFCdWZVkku19kGDmrsh1oWBWprSAxBNJ0Hm1OR4d3qizzulS7qJTUJR440PwznRG +p7/zxNt6uYeH2RSBRTVP/OdAaEr1roac7bafLIllWU0ATUqG6WYfRZhkJSy8QeTV +HOs3dSCMkxrEVJ2h2XPTRXHF2tazhCBTTze/WVmUUJyryqoiM2E47V5tRMq4iLRb +76tESDpRJWhuuebq5LjHBMFnQ5/b6whcpVSUIB37bTGv/zHvJzayfwWiTbsYKUi1 +YqXKp0yWakY8CsxanCuxr9FTz8Nqq/9toLJwqYKpVBIhdC2NaZTd8dWZVY2rxFIC +nYYaDz4ToBliAeLje+VG6v4s1P7vuliRXkUkG1gywRGQibBxY1CjcKJm/fG1eQhN +tn9h/WhybzGDptEd4EU8/Kn61TCdtLcB+3H6CfKIA9ZiQw/TYpcXm/Hx6xQJS220 +RZaDjSK0BqadJiQv/ydGvBgw1+KpnvPsCrUyI7WJUqxBZTX5MTBYN6oIVhaUDx6n +cIRbIsRdRJTVLlfeh1lZe7mJHzgoQEPgr51n8uI7qKgWdQK/dmKoyYfkxTYp0g7/ +M6FodAZo7kntL0d7NX0DiYhgEwCFc+KJmxASGr+dqeGWzWil16ztL8eshrcEtzl9 +efrEmamKsxzVTQTUvl0sgo65QTX8vbV32IubCc2XPZT2/UbTCrAzLZU8bUa1lIEO +sYY/RMoZawA9mA0IcAQO9FsDdT2PViZhdSYcg2PbGX0G9gMFTCbscXzz1dRD0576 +fSHT1XN1vJOjjTOuHxD32Jjq8Ir1ZSI01NgNfGVirJY3bwtHTMZgKopDfEvl+Oje +uYDG5rbupzxGHCaMW6I7AyGd21rQu+A7I0JI6gTIMV88+m2uWADy1MURW28WUzKc +5RvHVZA+Ynid6KUEcO6v01vlcpEIwMsPeb7c+j6O8FH8EAqcWBPSIGdAbS2m/8w0 +ZhSqGKPKs3dV+qVuakMjz+dllk8+WNNagkLgdt2aoM7tCbkXydM+p+x438tF+4R3 +A2+KqW/wOziK93WqIxNq0psUcRfLRAKCFYFvUO7Mrc/DS7g8sN7M8OxhyOj6f/Rw +hRCH9fv/A+ST/5xBHct3PSEpnlHBQb9WBqyS2wwoqm1Cs+0Zy/mXn7C2p0/6dSmn +cvtZE2vaZcTMjhxHmsdshNmCS+NnCOwSmS7/1izBTRrz8Ozs4RXjIvJ0Z10ZUhzr +eary7FNqbrGpwfiBfqoPWfg59N9+sbt4QuyEhCQEJRJKDB4J0ixKLwqj3ZsuKJsi +XFTeglKN5fMJPc1e2gKfI7W3ZDL2HWIO8QxDsqvq9BubLwIYJ4gcTf0l6Uo36iYg +p4uNbXsZ/DGEtjIEmY79/QSLuO/hXRObXsxRuNBYsQm39UFfPXwNovXrChr21wGa +8A0b2OIBHrLKtVlOpr6Sw/7ATeszhD6fWr1N+q6qYR30VrPGZN7qAQhVmSjuC90Z +D4u++mWiJu3IDnv1N2hP4qeFiWSrEwE2wudjoLxw63M3JFI98oxhYF9xpIYxnrMM +VhyWGN58BIDI7tb3W9j14BzmiRRrhZp7Ptqozg81Xl6dtg6zgFUqAbwIymyflfwG +jhzJqAiUQyrAtv8TxuDedvhiAGOUUt88PJ4omoS94YSjf568PNP3qTpxTSBtT2+x +13NExQvJ6saIAUp9g9iPqDEGN+SMxpknuhnZ5RtP+mgjJ7ydEIbKPytsqwyeLfH/ +/qp7kdCePBoSBaLQB0pb+PgyyhTrHoHrFqwWlj7OTXdphWQQElL5fzw+k8Qk+/OT +alrRsgqSpcApW+7KH47QcmGMFerkFCi2SvymdrLy/6hHBoOFb/eBkfvym3BKr6jo +G4agVFi4ysAw3bdinX9UXJWgAbujcs7PS6LDG6NDa+X2kRUDFmX71zpg6SJR6vtW +ZP+q5Ez7xUhGcZQ/XaOR/BkEOWglvIUwSvH0bcrprDGy97m3/JFLCQzkY8yzk9hn +i6pBYLuZSMEDjtOsTxZi7uc8oj4HdpChMXtYwarW25engc5ctHoMLoHx4xkKgf7L +Qa5+Ze6SBk9xq/DSs7LHim6v6Z84spc3ncVzvam4sQ9trBWj09QSH7tZrWqQXTkj +7pKq+JUsAQZToB7O0tyyXK3Oe72g8mB21ey0Bbq9ATWiPMWoe+7V7M/vg4uHbEm/ +CzEBwD4kQ/Q15a18KrS07ha2vKAx1/76fNY41NxdsnLZjUXljR8QefW2ibLIqldT +oyIwgNIb6CqaykKySY7t4YAQW2vovZezGaS0WGD6nl9zQaD2RYWr3jUT5ND3pLxA +zziAgK6m/6pFL/u9+pP4z9qT0tNR1p9rYZMcU1XTvxBu5OjTiTJ3ro23k+cE6lhl +XAQA1rr5OLzRAHAXtIjjQBon8LyIWOyMJa4e2CJB9tI4TO1Xua2CThvHzd+eUbI0 +RPuIiuzGp8dqv8I0kH8/myOSo27Ok1qOh97i0jvnY6Y4h+kMUk139idummHqcz8p +I5HSz/Tr+3WgLrexzox/Fk876s24wNsfxGTnDycX6n8D/HbS3dmsRdzyXb/qeR3A +dzMJy8JbDm5KdTYZ/4vFP6k+YAN9bAf9gIzVtlrhHxNlIdX8arueKa4kfYSDANXM +XL8/7Jz67WYeG48xPpBF+f8FC6XQDezuar6o15gq/gv2MpqFVuvL4/Lyo6DBAuWZ +40IlHBE0vOqdakbrdFp40NjIUvfwi4W4JQ2aILMgrE0seNqvJ4w5NA6rJ9MCC/NQ +QSZdon+8mOV0kqStykzi+TbGynmFO+QAm1+Rhp2xfTNnmdRCtqeeshyLN216Gkzu +6NWojqSGgn7gXr0UKPwCtkbx5NgSGAf6JGPIiaFq+KLNttD43oflZxQkS+d84q36 +kv8FPL4Z+2zaiBAWwgiSI5kqCA6GT3NuUhckZzeO9xxwhEZi29DHi55aBfZWcwBa +FNt9dq1lixrXwEGYV3ItauXcYol94fPziEAiiJNEGqwLqesxJBhwlnk5ULsIs4w+ +ZXGG0pGM6a1slN1N+8iQhmWLZpZ/TyP0xkBhYUGtPVUJIMnjX+0rDRrV5bhNssUU +uZG+HCR+Z/cJiDJCGtrmAPvj4KEmZE95gIUBkocvcTERz+FUWaYsUoePqEqUllYv +7jnLg8Jc4qBtQV6UQ21FtHLbFXycUqxPyX1pwhhAOkd6QS5KEXuqSYHulx3EP3+S +G5QdyMRoJblS9KJ0U1k0weSFp9vm9o8o6VbUApSUbwCmkhQhtpw42NRQBUPIpJPw +BpDXnB/MjDufUciZgfysnB16nIXB2ovru5lafYLluo/W6Ehh4gJFt5AyCV1XQPy+ +igRgyoMilfK08s0lgCgy9LYHA5HRQJZViqvYsxkJ2KXSLz7mHUDsIbjsJTnkYovk +Au/zB9K2lyP0SQOOYWN5ZtmVtiHCJCKnKeFyI2XsWJQy6CJWVN5VGi0S/oqs9N80 +bPhnzoXw/DQFzz4S2QOCgGYAjeS9RzUhUwAdPEaKOXPOT6CBZIp/Iyh4yIZPmj3B +dsAvffB+1Yv2uNeW7ZAfTnWOaOw4z8u/4Q0nJms5Eg0JTF2mtMn7duQsq+FOQ+C5 +GJFrPYzmcX5jmFmxU+3BuXwuw8TgVdmjvapFBrJ31lnXdEkAE16oyeDDrtgkczl8 +y+nII/WUWTUOsXrCefMmPTawCrr/P0PQck3V99RRNrXGNxraE/F+jvBRuvHgbhw1 +sAk4ty7OPY2uRct6QLyV02DdPAycknf/lEhn3zJWTvHne/aX2SlGiJKsiIM3bUm4 +DPzgY4dRtnb6n3dJrxwYLMjq0R0zqeT+1xvZZPTteaJJtI2kt9Xmb/qcC0nUgBDj +SIR79js15CJhzzfN42pfVommHoeA7e5qHPgW2ixBFNF5Puu+a5V0XCP2br0BJXff +VknbycBB3nYJP0o889vZq8JojapIR5xSuqaigBZ2gc6DIHfmmoNhfO8QTlu5FKoy +eSQzqJqszc0+xqIqcZWXqCispEsWUcHEsnfw+s2zqVqrWbFUIxnPvZzU39MB0TWz +pwgGgRIUaK3ZwsXksUvKGRXPklxG7mbL/BzczAaJfgUFBniaWIbK0rODInjemptp +RWeOQFpY3WBdAFLOqYl18l1wSbzUC+3V5HImup2/y6un8YKL+R5pRPSG6bpR7PRq +7SCzDZGzfycjQo9hgKNUcWwKED6lrUV/oQ3TkXQGKtzRBMAcoXvZ0eM+Z4/eEsxL +f4clz64PwmJnfoYt0LBtmAPI17YXdNGJeOO0bYwx50Q0rK3t+Iy64iOxcoEA43Rt +I23LdpIwqpy/mrW9t1M+sxz0FV7XkA8Lp5bpUS/qg+CVPCtSrLCGnB1XQgTyYi9Q +ziJ5er4Ne2g910FOJcOoAZvnRqXfID3OO2z3gr9yusfNka1VEpP9rt7Z8u16m3X6 +2axz1lDkA8k7pvi3uXU8N7blXpi8uuRSpiT7w7AuCZ3kpXQq3WAEXpbPClRAcuxw +ZnTN9l5za/r78kn9f0EL3I8kqTWpDjPXAqG0CY7NWDHorqxsUv79m0tNyJiqUSr7 +TEtKWSZiiy5M3fBbURFIowEL7aJ7igHTpURQM8TWSI3AccecC4sDgbzTcogCwpjL +Q6qZTlAGOpSy3C2E+73URt8YbMrqOBxdINdwlAbS54/nwWbSnNyM5+GJp/yHJg82 +NcOPpJHJfMrszZMNlBTTTQioYT0TWoSAaoKHxkllBqsw991u5KbvycUyYrRHp5r+ +PQijTcnhuNt3J/5LaqEQBLkPj0WU4nRd6QLLsfAAaDbqvQhCPllOYL7Q0PVTx9/p +tMjzPi4GxG6bkVQw84PCFiGCiaJPveE2Lomi9rUgLUEgdsZzY3t0AoosA3+vijnH +x2I/MmxVRaLDxlaaKGeUvmGCHngCvcYhQ9Babdw6fn/SqEcFQXJ4KV0lPDXVADBw +00fLLeQYPCcmz3n8P8NIr7kg6nmxvzhPCg0fQQCckPLvDDvUkiMYGOTHzFWj970N +mJNtusII0enj5rvdqyIjrxwZeOBDPuBeaKYTx+VYGlWt/Nmfg7ZkA7G5ml0m139d +HDaeCXkxn70RV2OTD4elyy4RW3ZLduBgGhXqRbnqA5qGcpv++PUoIdddbUX9Mh9f +zqAShfz1nHguJ8OJWA0cKTooE2k9opD2kU9pZTe2Fx67mQ34kmEC5g9SSF00HGQN +coUzrlpwaj00V8+ENb9I/2m0PJ1bWJhrp3DE3nfXRTf9H09HBWDbduuHT7BLwF1U +rLuEacHhfuqmaa+RYnwlW7X5w2xDtGiM9P7s6o6X+eFuFpWKd5oDC5QFgvgX74tw ++Zu+oIGlS23tpYyPr7n63V5Y3yQe8eBZdXi+8fzBsrsO7MNDtGFf9W4+Xyy9ze+h +nudiuvbPJq1VPMCxtxTzfuk6y+AqwJ+VRzQ455m4xqWE3kLA4q5VOmsTlvwul34g +Slj8dw44+ziMcr70DDUEfU2h3tcpeyP+kxBzh80mPnNWLJYP2ImSnjd1sGNc4J7l +6b7FzVuMBxc0n6lZ4OP5M7gbjulsrm7edidELAVVvRiLiVf6he9GtbCpzEOzcxVt +1n4u/o/IGIUbb+2gW64i5T9qBlREmQAMx8wP7DrxFxTdFbLWiQxf6hIaBHBmu/MA +R+mLVYe9cTReAATeXOa42YJws6L2HVJAKnN6rrdOY0wUusaefhQSVloYMYBGhI8/ +dIrI6UnbY0qvxdFTybeDrxkNedBgYd6lurvxxbe/LOlI+9jMhZ+MhLataxYyhIuG +Bk6m1RQ734kQykZQtfkyVequchdcguVq8N6fXx7vOCYQCcMkqdtJ8Gs36vOW+3Bf +jequJdZwyBfq31084JoEfcIvrzurvIzIcCjr7O0HGMJU2rdE5qTde1UEBJvxBhu0 +V+XHoCEDwqbmYFRs03Bj5+q1NfLqFInwtro2BgdLyVdiGJf3VG/ldPEHpicEJP4O +xKrZwM7BnWof5RxMm4CsBY7sviBdXXJVd8paIfhqw/F2Pk2/w5u+2ry/tuiU+X50 +1uvujX8hoQsvKwOA0Eampr/QprBYoMo55W9J326kULZulZJvjwAXZwXU/7U/+Gbt +/pywfZjuC32jUrJGA9EgH2wpGWxae8abd2XEOL0m7Yn/MiW3tcDM/J/NzCybYq2Z +GWIfASPVAImWGe8ujbtv+QoNPsLk8z9fiz9D/r9miPoYJzXcd3FjLbb1keOpVZ4Y +H5Gojz2c+Lbq6J7zYMVkUIdFWMDiQI5HpI5reC6Wbjn/RH5WKAy8ZHobKGax/rN0 +GNpPiyI+GfZDdrKSoMGWZakkwTyePLD9Um/4HCOU3SU7cinWIxqoL2DsCo0hOJvw +hM1APxmc+hCcpD1qMDh32B0XdHvIJ3Kkio7EpJUib57SYvDql4BAgyLsjY/gQW6j +0OQegAGo5T1ROtwOYn7TVRixpz+Cfz18vu0KXIXy0MZXZI5p1xEgwcae0h+w2R7n +II8AjikfXAB805j0fp2Vg7HJPMcWPrLMQLHM5hno45vGh5QSJ0Ib2mwFRNQdjdnl +8i6kZKNn8aVJWSgfzgjU2nBAsPVxeZmUfC3uzfDmMQ0vDgJl8MWauLJ5Kj2wqjx1 +MDV3pmFkdwDRP0xdkLpCrRxFx1n/qyGK8tm81mzrnTpTgCazN9EfNgpZSz6y9hWy +vlKURQwDHxWPBbHlvtwHvd9b4t1yoEi8P6L24sgE54cWYbj1HI9N7cchTJ3pIlzU +v+rf7LoUGvzn+67OP5ihOo6nrYO38i6XRsbelM1wvDsQk6kbifBjrtd4tTH4FAHj +EA+Zw6+4HXYil3o9KhJoROfCBbVuz9xCD7j1E/Lew4WgrX6DOJeG1h624zMypHcb +nEGAsiQIJzxT28VbwLNJ4f39wyWgGEdYNFIdJumIQIGhfURlefc4QG9UlHGUrrvT +tqzmojv1ozOsaL4WiowwqF/yJL2PKlQi0b6bciXqY1Y7C547mf43yF9pE020p3fj +J0O1W4ki9kwhgiX/ot3FeZYYw4JV0IofJP3XZebs2DXyFqrwuQYpbIjpDdYus1V6 +0OamKyD7GxZThUdkz5J8KEEAmrbISr8ZZDh7xMqNY/7nAVYlCmJBXr6i/3/Zfnw6 +nCkOEDVS8AWgaauP/dPxcNsjBrWv6kL7QMlMuF6f4SRPCwEnoptoRTZb0GYZ0c+E +LbYpm9bqC2HLuQxlsn1Y8IoGFIvIhYXjNZjptpbwAlv9svwXvjLXS9rId70fKvkE +D0Myq6doeftX/BguWQGayCv464d1oDE8dGHJ9Xgb/zCqS1xm8lO884xyDNb0l1Ht +C4c2GgQESyGKYL5LKzUfAJTn5VT6tWcxZivWGgjKj7Vyg87CisSuYW6fEXqnDDvC +HZTFvXcjFACaRWUvPKv1KR98m6EE9+NBHSCuM3gTFR4i5x0apotLRQPg3Fg2YBMI +IZJVT2EueT5FeOArv/z1rTGxfG0doRWdpYDrFylkqTVtER0hk72EMfxZnLp4reEe +9Kp1DaFDsEkxnmk2f15+hhQySqabwQ1+9QwS8F91zZSMb/rUQLhmwxFhSk//Jw5p +RBbbtLXnIaUTiL4KsxeO+w8xJV8G3f65EqEsG4RG7PBGEjLyr8fl/3gxoRZ+8cUn +UFqFN003NKPIvyZOexN20DEFtR5RKsik65Eaqk0tofuJGDSU/fLh+t2cAWk1yQLD +YpqfiY5qt+eshN5ptc9VLyjd4j2NpjrZ5M1WA9GbLxzFR+W5J6kU9o6Ir0puk9Lj +aYiB+jT+Ngx+UjdLYVbWYxzBSRe51UewZOj2snbsy4tknqHnBCEtY7ag+CMwpIr5 +RUPPX6UN7bQf6YwuLqwAN7sKlsgtJJp2b+RzCZ5x8hIjUomtWSk3AST/9pZWYa46 +ScGh9T3jZy8T4ALh1qva1EvkHdk0XP7WTnrkEgaEo2aEk+7N/vx3BbSVQIy9Av6S +lVVPIAzNoGB4Bunrg0ncKvlaZv9m6fSGnLR9ve5pz0Tiw5pRNfMfqdX81gNlPR5c +SQ46CiU6EW6CHU4tFH6WKmTmyx3d44kGTj/S6/Nabdx/mdC1o7LeCr71P0XTSr9W +KZt0lxpUsihiZMMCP68UbkZQmhap00UOQtsdjYlSUZ2Qd9h20qYmbs6zOGmBDkvp +hKxjlPF4nvxFLUGTqLpWNOcIL6KGSMOAVXrktxo4v48Cf9ZLLoQLGf6Fpaf4qrs8 +eMFlXZzgTlK8kREkHpg3ksu1UI8AMssyCHIwCtkQE84c/JJIYGw/OfP0+AUkPTpn +YoCW7+rY3jeyfyN8UMweDsnjSSURGjQI071O6QoRPUavJBXuDhtZrmwMvbgEzNe5 +lcHyBZtKnA0BHevZO8uPggyJfIxFh4yMu+ySsHvds9TZnVlzD+RPhFjKHW5eaObl +qLxZhiYda3irRR4y66wdKNyBmwQrycoVayzJtcFrsH24sSexx7PUEijWws5cYZwJ +QcCtLM3or0FBMNrcgiwPGAFKYI94/ytlH2RkqccACyZz9ahycD+6+37C3LXkGuwV +87MdJA1DQ+iS6sq6d47MFqlc/T6PNQJzJ9DvFNXJ7CFBrb/OUNfPjz6PlbxeLUY4 +IxrAJ6apPM/ZAZ7b8tVe3VlfYAguPHrvOxvE/XT/oavhYl72LIpX/HTNCwre7M/+ +0vPLZVSJ+AIH2kKC6o4o1dyKjvRCenLUCH8fqZTCwbG+gqOJzmIE7hnmtnAMhSNH +JvT8wIcCxIopnm6+EGne3ZUv4oTLKnQG+BQLptFu9NGbnIlGbOtJUF+w41rgZYNP +4cHchlg4/twJ3TAvy0iPi7PnjtCVb/fL/CrcyLXsNEfWqSQLpMYEu/huTL7x02Bv +MFTIBQ9qHhVXYKtUV8Yj6OCnmp2k6tWFKYXq8XmjWFjmYik3p9UHjFGMHZj8wOo2 +Sv2erDXjCdm1e2icJSoz5QIs0Uf6rIFBHfFTVQlym2NJV9dsiQC/I13VeGxFFmIb +8+4xGys5cF/iDSTnV6lqlKttgJXVFDDB4eInzKTUquacZCMkTpfGV98NbZlcXbk1 +BpGvMgc6pbQjtQbN4UcYYptkIpnFhbQEh1XsSiCPOEIShFHwYyR/qQUnzK7dgDpK +vlhqfIkBIsHDbNePnfBZWvvAYcVDCjk+SWWfyfRZvQZUCAlKF/HmL568NhoFd+8M +db+e0fQgKs4VM2esRe1fWDA6otF4zecCfobvrdwY1uJ5gkeDK51qIK3ZiTkMuyGB +xC9RNEwlOnliQMSqooPc898+AhhtbdZYFEwFtRv0PginRhjWApQSasorcBuokuMa +WQ+UOzkYfE8AyyoUNhjReLPzU7py7umx1xrxVTNQh8RSq0CBDxMxCovd1hRqzZN8 +p5IWvJfyjByJJhOdienM0R53wiQr/FnEkoKWVRCqZEa+QRD3a0OS8NzTztjS3/3x ++cPfQwdngBlZORGRvhADHB7ZmOM/tnzeSZMMJN9m862hNBr8cSG1l3WeRilKSCYr +hOc75u0gbXOLhVAHPdDPs7Yap39u2ztX9KYO+UtMkPoYY9o3QoP+JLGzPURyd4hk +98Oz9hobHLVL1BL0TBFbe6KjrGZ3oCZk3wFBRToNjTaupuzWO5gJ8q4aHMCs7mrC +4dtfi3giwHZglzEHgVNLxMB+LKQyFmoO+lkmSdlY3WdKls0jmq5kIWj2WqH+OSGJ +TcU1Ia57wm9BCKcaJGiXIUrshtwZK2ZUh4v60ohOxzDp55piWxhXxEIQZLISSOJ5 +V0OUqI+j3srcAjMcKUcwf01asbQAlKYNnHJxtCxrhnyGjL/XHeh0blIwlMb1IGh0 +2k3eaRQoxoRO4A3LEnJIolB6OuTLTkmDaSJTRNlDypbfnh19vVjt1DCFD2WdIVK5 +O5m34SAXyOvv3POAxyq4zPGaZ/06sMf++cLB1I1Ag2RYuYFPzVophfToYcNYLFOL +ADKNnrky1T3S59S6p3udmB0iqYM3j3UmshqH+xs09tXi/IqIBu1W1tScNOf3fQNT +V3Q1V/aDI840oy06mJuLB0OfC+UG+8y/A8Y78WqjOiZieZ4S/Sx6ieah06tVNg+e +TFvcAgolb9G/Knmza4iuPzFXLXOCI6Le2nJ7q5o8wCNZ6Ij6CVtkHm8CdtOYook0 +/vi+J/CxCXkm/OyyykZUZuZIrSS4exnWTX1rS0Zfn5c5PgXkuCAynIGw3G7g250W +oWZtPPinbEUU+QGC/6Fie/KIeTEB9ruET2i25uQyjWZzYIUlVFaIVzuUjEtr0iw6 +yR7tXuJwMREsa7KCitploOKIW0TDLwe5/9/zZ6FY1CwJvdti7SQzT6egSZrc9XD9 +lHSC0LD5MH4KFMsepGGNfIOoEbaLI7ILrqaQdTYTzS6pGzTlPSzl+Qk2tZLQhhU6 +QUI7JURv78rDfzmFgE3tTViRgWDx+kqw2NjU1Oy5CdR8oeTxjlHBuzDEW4TTWYPu +yPlkoM4MXEfVqD29qzuOzSbKNWZldYNvGSZJe6h2wWi0XDOkkRYDJbo3rjN/6aDk +RlbvlO0oJXNcSwci1cDXLgXKUvYOBOm20W9qQWNWo2PNek0GnMzFn6Q1HPzeogoz +KlSrh9z0cOVM0xy/omcUPL1IG7VeC0HvWomLUNZe2EoDlijT6SUWwMXF2zldeBDQ +f4Q+RTVsRpb2Kzg61bObfZuVggZ/YJDd4TnJBFgSqdRko3t2xbHJhPhYPd9pBNxw +V9BG1nawztf4nsp8P7t+mELYH6EAdLZhUQS072NcSqwK/vplnWSc39/6bU4pFQsE +VIjs1BnDiV9lhb+SX6gFDxZumwaJAROhdxOwbF6ntVk2fXtFx8XgjOvGjOB65KkS +OD1jvVs3L9Ue9c+rejSvPGNbcaKbQYuEiWqMeRatck7aUa8HSHwn+qy0+G2faydP +jk1NKTDk3Wv3Rj4qwfyUvGK/aIWxfCC7uz8FWNrPrBhbQ110eH7EC4E9qhGQyCaQ +4uC6W91LpQaTIShRNkGEyviu8jy3LxIgA3JfJr/U0ovvFg/JaGp8O+UC576eOmS2 +EXu/FQWbw8es8I+38bY0KHFgpnrIvqglEGCh+FgijsRZV84imk4QMyr/EEKkR8cj +0BWAMDn8VqJZUNJGWxBKBJXXmewfEKkiq5RquzDs/HKK41i6eRFuY+RFz+LXkF6f +yKd4jnCuOKOBDH09LWSw9OC456zM0qhKhAvlGDlKzr03qg9lfRP0ZD3uWJkkD940 +pkg7mEgx2OO187D/BvWuu+of5Iw9t5R+WpsbiHj6ZTyHmgygRE8BEQ1ndxIBlXKe +dWm63FenIBJRfuzTyq/UqrX5I6RC00Ufkhk4CxYTcV9CMQn7xEDl376o6T2rSC7W +RDiI3arpIfnZxWAEFffmHvwoHeUbNFiePJRopgFE7YINNa1js9CQ4qidouKdpiTx +6P3t/N95x91y2sPOKU8MoPIBdwZs7j3vj6CcRDzb05chXeMF2hQV9pIPn3tc+tMB +Cosqclp12gVkTjIWfSHRt8Jx4+x6J1xQijmenqDXadsluUpTvH06n4o/gAt1FlBW +SRFXBgmkWfKHxCZQ781BP4rkAad+8tDF+ARb56klpHyC+zyOvZH5MII1ZnkRGBPn +i9q2gtyJ00iE4uHMtTROVIijJhrXhK0G3Ng7XCyqW/idUS+23t9fobtnX73oanD7 +kOb77xz8ORs2ntyn6Bw5nl0BMHt8Lwh+UOaVL+iQRJWKh3C46PE2f4byk2R8taI2 +JpsnHlDzq6HPIgK/2r/LwjbsBLfUPI2mC8PRSSbjYovpb4ZhgiUZDdLhmAN4yEhb +U9VtRaT01u9FpI5aKY7rj97/6W9xjoZzZSG5O4N6J4o5nAp85lH9e0eTYVfdkQxo +foeentansnIx/Yr+DrCvJvzPKZZe98pCuAJ4vVs1rLFHcf8DfhfYchuSWGCTm/n7 +698yOz1siL+P2+UjUdeVNWMoyMnI1/dL7e7mLmoiVo4h0fPxSptyNFy61IR+wKhy +nQB+iHl3JpZOCI83OrhnDnln0VZ8ykMoXCDKkaWX8GUVr/LWI6UKbTL0IhOib9if +mclA6dUdv2kZtsFVzfMBnQyaxvTyjXq9o0+lboTz+u6Kv6PRlizWzO6q5IqawiNF +UrV2RtgkVLpYnRuCMZ0fiabpMqNJHEvM77+4P5sml9R2h2pYV/QL35Rt7kWH4p/W +I2ufY2VqgyQkHMTOE1p1m2H47VGbWuDIskznFOi6+UHuhueSybEFDBimNE6svrp+ +3+zDaFqYpyf0l6f0grtqG/UbzFvOF9m+cmgv251dUgr9TYdLaUd7LjATtCJSeHwn +4jTQWCYhr5jg6RXR5iBsYEyZ+Xd+pCxpmV8h5dG81EsKv8XabXFxmXV76Anq8hNI +XQjWHFuI20eHekydroHeQaX0niapGZSnqvaAl93XRMojapHH+07w07z6WtXV+rCa +tbpSaaThORUIGtWa0nyFi4S8k8A93JdJZV775mxnd0h68lsycu3vs0ER82dcbBNb +lhb6HcxYcM8T5a9bzy2W4y/IX4O9WbkcC7a3hJq4jVqlutuKSL0FIVSWqWX8vinF +lWbvsZzV3XloUZFU7rWAf1+qW6SNV4lpc/oYEoaMMYCvPpVXjwHTPRGMSvH8VOcH +STMdBVPQomrwschqwMH9xzOqph1kHf/TCjbks4fUlFbewpvwKEoiocrUrIolkJgq +zpfquNFdompvt/EEgieqR5NSgrP5gcmXLkXo1mIWtRvB1VFkUFWKe5eh8Eb6NZFb +m7L4B49NpxKDNecSz0udiOUJ7WwPT2333mj/rzVpvj/4ls9Fh5rTIDLDspD5xGus +e69mRmpvKgLdWeUhyCPooJl/f3fZHvyo5AufDMXv3iXnFFtvn5Sr1RBH+EfhXxpm +ymEHYEAVYjgFdUh36jy8rQ0RTbwIxWcFQoqQdFf154ZVdSucZ6f0vzprvsXuks7v +B7E11X6lfOB2jjo+okziYLnhXIC/9eNcf1y7dJwIuh+2Hqv7Dmv7C9vBjy8StkYW +ruI75h2NLKPvaKU2RNRLDku9SztM0yPV3ZdUGieF1v+Fu27DosmRar1BX2yQrNeS +bcnHyR3Q8qn+15sP5dpB0Cd80hnrRhiIj5VALOrKbjrDFwoaCXOO5vj0Rb+5bvX1 +DF368LfZvn8z8ZTJO+zfmT3WAwZAj2e5QjkZKaUUaE7Vv0KB+YJaVmgPcemkoFoL +pya6ZaE77e0dpOrIuK/TmZjEqy+RG8v5ZaRuaX1NUnNaKfVT6A+su9mLEps7TMt8 +uUaMn+Rjwa096FMhxUtn1wwvXJiV9zTBw8gM3/5Nc4N7xOR+GDnYxLFYHx0lW7wB +ZC0oqt4muOtNfN8cHnc+pt79HEqzbuaLVHYrol0Mr/S6V4Y9+aKD2tElxepkqiSs +anavQclaBw6ezZhsJUAD1RYguX8uv9s2wYD2ov1UbVTHj6d3OiNsG2Y5jg3Gpcn0 +8eYW1bE6oTAHjagEx1WWCfRkj7mjvab5kdqnQq093ueqMe6nsTBMsDcos8/fTUQ2 +4BlOzKs7lw1Eg2b491TVcnlpo80KVqEnGG9BHxKx+A192qteZATUQQZnfIk3Kug1 +x2u1ug4IPGxez8aOBQ5eO3XIDPmQb0eHD+6w90Estu7zkHGWSHszNTFeuBsDbKe0 +IyXkfDKU5kWP2vVZZ8sfGwLYpXVzce2djRmehmSJqsgZF1/zvkJIru0oo7/ACi0D +9kFvhvNSL2q0lj0NNqoWfsNvWa86lNdvscGo73olpU5a3aEqQhZMe75ICHADGG3q +8QQOUqfGgL0hFQoxEjXc1gMzpQGFIfK/YfkxgVhTyWfH/rF9grJv/uSYx0nqfeRt +K2UTgBYiD7Ii8+1+WfFGgm9cFNa5hPD2n9hoEo7zhzPz5w3G1SRWBeH1t+uehV5D +N0+D2ZNk9SmSg5W+dazechu6sx5Xa2YmWMgd1ZB5GX8sRoIF6BS7s1pM1AzydGvl +vD6ghuDUcsUnYSiAcyWk+0a3FSmyCT2FTlcBBey4h9auPJUGPsEFhe7MOw7Sd9kQ +pPI2CPf9hNkE2eBAhEWw6lt53XeN1GR7jIRlj857nPIzYuUeh9Xw0uCOTYGlQdg1 +cW5KeD13BQpmk//c5al6olakdM6Cn2ngrpjTb2qCGg4E3/6AJHyIuOwGlQagmMco +S6eK4DIxEriUhgPkiQITaqCvyhVkhXwNzWLnq2v5CTLcTYfckEL5DUFu7vRzJizk +kZGubB7QRu0dsooUoJR8e5a6kDAJflEpq/bYHSahR3qtYilNIhC1Du16PAyo2dfY +12HR5RNB5ivJcF7qY4SEe2bdTDH8LIA6Ovik2f/2yC8eQQmrHiiMPg3BlTCvTG+Q +C+UutOHaHgYE1FIO6jFF7/tqtIOQGrsY6VEz8QB3DddMFNpA1m8P5lyLTNYHqC2g +GiHuWNbM8L/7wEIoSo0/5EdT5HttT5enF2WHS8W3jm/TbhP8zMz882fYa1ks8ziN +TQPRDsMaWVFF+ukPe/RhWTcX/uOOgV4FWhpUlbHaxSfiRLQmrHI6z07+hXewF/hW +OyllJaK73Dpyo49fUUcWIUTZuabLuQ14kkxOvHPiO6ZjdKOdrzWoULerHYn7O9yI +UM/oWyTOR3vnzrpmuIkZJz18yAAf5PV0iEBJmVWjMUDWrk757Dwm+WtYxOyFkxdE ++y7VGmEStzhrlKG7dfTaofb5CmHLgZDUtz6nOvsPSUOi1aYd9EemDB6aFEf+LM5U +qeEDZ/yB6v17e2tbvhlmTapC/SqM8eA8l63B8WwhLoyoj66iQqeC70udHJWrab2F +2tK3WsznrMGd3iJkQVbx3qoHuW+WdE3cE5ccpudRqa42pW5+QcPmrjfXseJbQqls +0z9HKhFoh3g0JvyOijlp2LPLHUaJilVRDLHseRCzrYJZouFcXezxtAIzgTTzc5cj +II3cqqk8BKavsPNaTxOC0w72HdM9L+jolnmGHKsWIFTTEfh09ysTNPUUzsi5kAsq +YzrRaW9qAsXR2I58bm4bTEsjEtoUGDhCphnhhA1/JcAYW7W0Gs1LU1j78yNiW8MU +WbvNOnNEydD4VzENkDh/G5FKjYzCBBgUzHNZeYKBKD9Tsjoq67A9J/xeIyHl4NKH +HfOnSnuNM+igmb+GzGdxg/0AJrR1L9shhegK98FrNQZOK3DliO9GtERJQjgLLzt8 +qACPTjKAYfVFAHNqB+bbq819I2v16kjCiiuVeLJLZZpvOHWGXzVzXaHWYrTL5cYT +mP+kGBlRg/a+0Md35S3js5Hw1Ld1bkuBDJw9/98oCLbXi3+rrukV/5Am0VWWmJq6 +AtUjNh2TbW7Y36+q9t35baMob5iHN17I7dToFEb6cfme/6ZK9/sckX+AsYFS6/uD +xR+22EWZCuv8bp0eKwHpddxu7/UDSRALFw8DYs7DZUbb9UKof9oTy5IzceAI0lR3 +Wj5c1ejHUNs0V1TRie1qbOxS8teXJIoEuV/kVqSIRjIi6315zYruGrRMbyvUyPRX +F/ZmgjO86kfrc3fVJSI9MT6imXYRt+MitbQgbfHf3PHAiFcv1c72D1We0r0bW9vw +wbVO08Yir6hKPF+2ZatyHnt7JTJoO+7b4IrTv+Kwi7DTPye2uQjpSOiAOlSE6f/m +ADcAYK74WH8Q6ijNOej7ppAIOhihI6oGne08AjqeVLMEUI5neLrx2GvySPE2csj9 +ZMgr+N30Nk2RWV1Hyzxk/rcImvDfls1ZJ6sKEgylnrORdRew5w+o7EAbZga++xWC +TVe/kulYA6Xux7lal2jn3fam3dW47jfDNtUdc4VGH3Fi87qoARpnQxCKm5JZy3TE +og1zNtDb0PkbgzLtw2ayjPfpT9rTwqdg7buYUSE9X1SEGwk8YFGRL3/2hVqSmk9w +x6/1y9YjpVDRAqgxzTkx5o42EmFEzY+jOVY71saK5v3gBxSDxlNlECZlbsWCRKxM +ySXFBsB74t64OTROMzeVaW6eJAJ9rSTpQ22FotjOlZvSu7YJNhHaT1rRFYb2sNjj +JNWg5cnpR0+T8gBwHB/PH1pBpHLhJH7Qzn/ZEhIZFHH0Zny6/PoPcHnCIoBfafk+ +d23jD+HPqfm+OwbUpr+q58R4RXevU1mfaNZ5LzlAPRIuIIwzHKRudy9v/1aerBr8 +JphdYo2TYAjo+AGRAtrEzJo3Rm8Dd5Bl61drEAExPD187AgMUsMa28ttzBCzQHF5 +rzwRCv6vVfF5hVAYwcMgFI0ujlOQ/hUsM/GM4dL5QoKB9ffJQB2H1YnxtMSXDaTO +V8XDvME/vBJXTr2TtDhg/rO8Z3TI7IpVHeeGSImWPT4zmLTzXOSdtxANYcksNkID +VcaVNyOyfzKp/6NeTuqRh9xwUPqjchr5cGZaijIXO61D0xrLzgkyCGlfeYlrmRtN +PN+aqo2WJRZNHYWuTCNgJ9dfqK8UhtWA9VxLfogcu1vm1DU9HO0ZbQm3z5gmRWp/ +ffDBh3QSvU6QGkCJb/olGNPFoa0tDU8PJB9LrnwruZiaXaBPQDzvCkO1uqCxrczk +od1fUgtvsDr0IBA4ciMn2zaZ+oBKMfla/W4CT/krZz7LOjO4a5HFYA8c5Ka1AxJa +StiojPb5Q3O/FY/fwWXiPLgoJh4KkzRBhZS2/amUQQElnpCwPGeiS4kvRWXOeack +5mXojAHAX1HAbBEEqqJsMgvJI02pTPYmx4cEJOXlML6vvAPcJrpZdqmWEYaHrZWg +l1c/pewhuXNgDDQiksqZYx8xMPUrt8AaqiIih0NHgRt3xXfOah4NRtvRRdn79coi +bhob/z+oqjS5Ss8mUu3vckgnRLjEndUt4mWLA+YLe8oJMitAd8kqkWzT78Xz2kvD +wNuSpd2Qy8sUibE3msGxR7MdI6/KUu2Ys/+5OYKZxjcpAYHou6uM/tSZzQMD7mDE +U013xCpRJ8nsc0I35wIMNCHTAmC3LSIR9IxEBnLbyYMFkdtE4sgKyFCtfAVXUeiT +ahFCFjwTKWFQl1L2AfV9DE8adzkNDnV5Zi63f5tbvkcOG4JqfmS9qIjbh42+baqO +a11NnDojRkQtBedf+4X6TP6Nh5VPzusVj5DSJGldfXpbyxeC3HAFJ26ubqL3XXeb +tPwwL09+HUPyJnj6zWBUD+S7Q1z0Nb0hIf/dzN46yqWEKonvxXHtKJQeEwXZzF56 +WRptljlZK4lf4Robvor0p3hrvsy+pyvlrc1G1hHoCbOyL3s0/3sSYAeP6ewqzN7f +T7iszS4a68VHMjKZxqRlDrZ2k0JguW9wCNuLFKYQLOEqGalQkaiENr5cRz0nLerF +jybIWIAzyBXAbX3r81+xfCCFLlpBACOyNHUi27GNoYIYBgAqBtJdMOFcEP4n6aga +JXcUcniEztR/um+Qjx5evpOjXJUdo7maYKfjpp31IOoTDV7ywi1VIo99uSE2LxXV +A6++UuLctLEccqFk8vbpg8ep9IBqZZ7MxTGp5HuDKJtflZNI77SeWL8nbSkjyUZT +43ixdnzI/SSdzhG9l8kHs5R3RY1zm7lAOfhSBvlzBGCH6PpbSkj8h7ubiA0TJRkx +EbXLj7EpWyLHWQVBYLdhkvx3dP94UDionW7UpX9UwXzhD9+aWLnICLDCM4GACycj +k6CxELh9D8RJlLjIaSGSFhsinJ4+4U8UBLTtIZPwqlTqPfqg2aiHAiAbrWvegBgN +w4L1cv5wSODu3mRVwz5qpQQV5iTMwj90cmuCTP5ExFv+G/Amhl0UEz9WeoqBak53 +9921TJhIvT0ycIsCdNF2DTgHDBb8IdtnCfvVr/9dm4plxN0M5e6cp/fuRRC0D0tN +h0T6gXecIJmRpVePFLYUZMhzgQQmNwuY225+5vslrBLSA904+y9bzWfPc2fkvKyn +Dq/aoS2J1ncSDGhTwe3G7v/HW36PzXeXWc8NtWCs97FMnctMS5oA2hl2rODz/kJ3 +g/AQZGrq2fkNQdpxaBuWHpoKXcHsDf+znUpmGt77q3/1uGKn9CD4iaw70yNNfZrl +hj+dfzqpoJoEA7K+B3BAAVgr4PwJUJYMoMj115Abwh+RqQNt++x67rw3+fYtay8U +nDuhLEdh83/GlIy4bIjkjnlfEQCPofBKNKQSeaEXNuiDDwjBx5hBddqJ+8RJEh+H +mP4zYxsBQf1t/WOLsohMAUmtL32q99CKUlfxJLUaNgNGKG5GuDU03x8kszb+MAHP +3+ifcgjsEFTm7dwcO/4uCpWnGncImO0+rkhOFFmB6b8EWcjOW5v1RL19Kg/u1z1H +Dx0sJjvHzyyQuaaJgz3YRvgVxJlXG1grWwikoCimtgibW3XJ10LKmafRVxjedJo7 +P5c5KfCMpUmXqIJoa9D72gp5ldkauMPJasXMczvgdhiOR6lW3vxCTEHGnEibvE+k +FNXjJfNYrlfpuBl8tQYLsZZejMLdoou3mcviPqvMV6PsorvB9QEpvzr0yKBbGeDX +gCepn3huChG21b5wUMiSQHYNA6YW2BKlcJXimrLVjHcvDtDAheZAw9IetYAlGdwj +5BZB9U9iWRm371Ybx7fZKlCB2jHU+4vmCgiER/c7TZRI5llK58zaOoyCXhTN3ZIj +QdIi9pJIvypHHmFHro9ZGfkYMDqiToq8C+8p+HmyPKoO1lVq8ctwROA70Bd0+ViL +TP4NCjBgIpP2O68e5su4YHtel2HqC7iqtSOpEcN8vvio2IX0qeNi4iooi0UHbrrO +UJUiL8/zXYOQb4GNmOwbevxqcgO3d8vxA4FRFDhlOobBj+MRy/NDNuB8S5Wk4iQK +pkGoS5myvP14Fg6FUG9M6bCfShmDWS/fsMbnPhAeSF26J/Kk1tG4R5IXHUSW2GLb +1beUa4vCIUhSXEWIugvVAafkwHQp28jJhB83VvrScKw2xuPkdjsDINijfC0IMFFv +Jq8q2B9jafkR8uJmMPOapgeMlpAcTBhZuAxB978Hq4YIWsnxNLNqyijpmaFpv4PY +A/YzJLjF6rY3ahE/avcMZUfyaiTzDo3iwE3zWbe19DUUXZRfEz+4tbjLJqK6cXvx +3xltw+a4NlcrMoIVQKBYWHF2B3ut0+Rw8ueZDT1zz+dRMEzZK++bqsYdyLbPzwYm +sh2u2WAdUMZTpOoE6OWScIa9ZopDE0P2ZPK4nDVQ8l1gvBf2zij5B/jH4lYD6keR +JqvMvyjYVgaLv8niw/WcjHrMzs3GOWu8RN12vmH5eBEq4xCywLe2iNM1IacYd06E +QN6dSjOHPPumua/5g6JVJoBN6+beYJcRxp+MJaPrZGaWaFnSNZq5fvWSMvn9kex/ +ZJBQHIhmKhdyfj6HGmrCoI1X11Fi4wijxh7/8HG9Rg8VNU6nB3RqeUt0IeN10kEB +SXCWTcHPH3NkJ2pH3phJuuF9obI0SLO/1fULjSHM78YCVQORYanxJLMLRR9GyEAZ +e24HSvExtEwMWRSE1pyzVB9krLsPeyAXUxaU8SgEyCDabZ8wcY43vczyQFBv0512 +/HPmbre1vPZlyQvfPmARBQFkMFUSVKCitP2pYa6Pc+XWmZYgBJfu22m0sC836Y7C +VvLTp+ZOWe53asWDB+fi6WT++9ZZwIlg+88kVVu3G0NQNk9DLDLlEks/sj+H+xa2 +YmzYzLDNEFr/5xPAvoElGY6R4O2M4dbq9ORPRvdhqMAep1XSjDUlQTl/U0kcGyjz +HYinCxjdmGgDrQqfc7sYEXJQw3Cu4XZUmR4sTqIljugs5jb1Rstz5wq9R1k0h3GI +9XbQWmH1eOPVoe4I2arBye7PKCZ8TZMO+o45lISPcPrgJhcrVOFyZRnXuA3njbVj +w9TcUtipxptqrBGbKJ/M5y0M5hnKRh3XI/OBwmfzGSEUP6V61nmze9f7SdCYQgxq +InAe6BknUIyejrakBmFV0swmsg6vfCRm0zARYo5TJvTtYIfDIkAVPH9WhGnTBMOf +wuQ9yqXSk/UpPzsI4BzAYBxZ02ikM5ea0ChZebes3WP+3mDhDG5noCml//erjHz+ +lq0AKa87MzOxLoXkQp/xUeAneV5G0H5BPBtHeRlkICnWV+bTp5dhMVRrAXIV/L6S +jHnNpI/LrIu5+dnstnWRx2HkSnJneu07eRzyTHWZ3aygEwQfEifYoK6ZV3YLrayY +wLeukFKhYVSQqOV3Naa/wdLVyC/96NteYxFNNUf+TXuF/qJWYh5KEzhZHcQypPjW +QeRKAWD0O3VZlLrdkkOwrQQWgRCDPl/fVG2ffy+9xRQqHFuORxxEav6X3NuSFmnw +iBJ1aa5H9NinLLpd2S/dFljF2pLnRrMz0nRQnmo+VquCvcLu2e9CCQMP5Vfdd6+u +Z2/dHXpTmKmyidAABh+z+hoTBQopdmxGFnIO2eApeIP+9uXbTDlM4lQIwjatjdxW +7Afwofq/JrTVWM0E1rZscwBlDcr36v+dqkDHeY7L/n2RE9nguX62pZvqLcC06uPG +uXnRFxn1U02nRsk3WgxpVdBykG6/QIRbVUEJJunlUBlmLbPWVsOVK05pym+I9BiE +UwdJy4MSZrqMw71TQiR0PaHP4exSm6L2bZy/iiOWRHePIjJ8DJ4Dby7seabJ3oBK +T+S49xJHCv0GlVL3oZdGJVLmI7sQrwgO4GIDL+vF266y6gvHysRSFNQ/jZ7y5b5D +U8VYbO10rbefybCTd88dUU4AqMjS9Pq6e52ou88bSdFqH1ZHFlU6HGM/hxi8JvyQ +niwdTKL67Y1svOn0fGTTJKEvsmgtCo0hfvCsa3gwvO2Hfl/2Wr1BSXxHGFHMuMmi +YMCy4OUrOdr71KIQ2AtTj3DkZEaimKaeGu9UO2yb5sELAyzHoJzg69gcDydwuwUH +oGOM31aUqS5lHWNefJ0zVxZgRxKhpOMt8gLbMZJBFMd+ZKbXV4oET0ORqbgJDHkx +FUwTu2c8xUgimUBmjUwt9kFZy547MVaT1zzREROP9TfUzdd4z0m/w1vnGSctvUJB +3yHtRAwhRVbH5RyF1fNUeBUMECm42Yn3ODUEFGduRSkvMM3HqcGU6iVAY53xjsUg +QPbHM6ulWYCATza3Eh01qHQoYZRLhLksx6uX3TTHz49yVI6wGcQAMZDmI8zJkl4B +3zttPxUBQfMiyYcLFoTH6QnglIHH5XjUeudFXfbBlpaZ6KPeYUjhde2/NFxpLMD+ +whQDzrJOhIeoQyMtC4tuBRzJFjDGji0qKq9GAVFDRuvxGvSMn6pCxAbpAUbjhl1B +4aW8iFf6nCJPvThRuw+a6c7v1EKWEhH+WYvdQkgkfNvpp2+H5PmlzPs6RqWid3TH +ugJG58cbEGcc1DpdgN7TrTe7rkGmr39Nh8uO62c6FR9NVbXJ//0K/Fa/QbYybUT1 +QnYKhQSTnAnlcVOH6DKXbV6aBxNgSjF8Ft2QXPpH9PJwHy5PzrCc33sWMxA5/KbJ +Z4JeCYD1qCMO5cTDyQw81fOBdncEKUMrlf7VUlifznc9bQy3zSukeFo6iDiGY6C2 +tyZD0g5GmhpiwUAOBg9azffInYujhyCSfP/FspBe0tLY4/XaT7iHp8ExM4Lv/kCT +QKSu1afLFubE0sW3+VJomF7JZVZpnLM5ntpOoGh8wYio42b8W2udI7U9NDbF8fPr +Wc6EMtAu6v5DsBGqFWzyXvxDBCh571TCiUWHvPC0c+5SoGONHh1PeYQYSUmJY4iS +f09w29jvgU1uSYH9AV0nEdkktJx2RIpNhH6tqetn+aUGI3jt7VKkXAmcgTmUn4qG +a1PfQYxgu3Bz/BepZcPJHAKkxbbRE8JliKO+mLKDmbmQsRE5o5gZQf1bwc0x49aG +xmdPQ4sl2mLhHt/mo3egrHhnD1Ywd/wv8SWxE/Ki70k3lE78f4TTk4U+I2A3Vsdo +YLUKt0X1+rNBlfw9M/oE3TeU7IF/eqQZdWkATPzxzdTtVFSNgy9S95Ej/1RsMmOy +0ZvnZ3FMljPs32iCsjkfeiPISgoiBPQF4yA9SnPh70unV+lxF8Pq5gySOyVT5KDx +XkIT9FzpDu8TU4/t7SK2Nv5J0VVWP8q8OwEEi2aWsyJ9chtqNPaitNR3BaFg9mvB +DN9TqUSuOkFTeNcX12Y+/UtrtNpcI4hG6fut39rn5IwUkaMMiGxkd0EgkanacHDJ +EnTJJVNmBUnE7naPsdizpl6mbV+a51q2bkvX/ZUea6kzl/uDSOTOO14SbdrRhddo +3Mmbvif3GeWlff9anQOt6gP695olED2FMvbi4eQs3KgDZt7TJ8j7RAtSMoGI8wxC +McZSGcHCU1eHQ3RCuvxcBgMTmrG9p0Sl67UOoz/Td8kg2EMJbbHjqfUlZ+buf0Pl +RqOpVlQ5AxXX5bZdK2WWXYz8fd1nxMODAFTjJM3ZDmAIFlidNWVylccqjteXU4v0 +CHRiBLglKpwj53/kz8ZVLBl2a/dRXnQt2FcL00W0frt6Jtk7jLCDzS6U1uMrnxL3 +AqHdlK5nLXfyp6t51OgNpnA7UhnOpDIYCOtEIJ9MCQ07Osp0QhwRY2HU3zPAKZJY +tlXab48AgEkthcWE0y2MNB3vPdD/tt20F48fznjECY5kaTfl52AJqhL7FZ8UgyPf +KlNJ9xmfBX41FYXvOvMSaQyqv0HPF+ILyXy5rlbG3nTw3urxMx1s7kP+zLm2YjlW +NOmyu8fnhxxq9XB79bxw9Y+BX7yL283qh3dq28NbXQyEha0XBw+dRWT5V5X8Dej4 +8l+ZEpPR22OWaEH0bQKmDimzXYgG0hxUe/NOu1rXKnMLFayvqA+l0/UefEjr7Elb +jHTuxfIuoABDALbCYNXPyOnZFWtaRncguw/OgovHP1SRyjoCIOMW6Dg46i5TCjXK +RXfi89rHk/mwrDq6BJIX313aRd3relKBf8CP3PFocjRQbgd/TG6R3b2MR+lz2b7P +0SOjU13O+NvbdKk8fnRnimbGO2dm3m1wsacEwPpaJrxM8bMtKPLP3LP7VjxgQUC3 +Xl/s6rcX7frC5XlNAMyfK5skkosNTaVS3HLTVN1fZC/6WMFa8l5J9WdcdFwiSt0+ +Q/4ZeNNuWUyP8+rxZXZcfhL2iNAvXIDT/3C0FheYCKHm4haM9AJEM+ahhbRIoELA +xOJv419wqjoyOAFRDRidM6rU1fyhlQ4ewylvJqc1M9pK4c6r/+h8P0AXbUYQGNV6 +UsPJBgpDyk/ylex60HgbIFTUlc18zqBPL5130EKJwqPB5I7HGQz7zoavJgtsOIoI +1Dl3eYsaySyHWXPy8/xegm17fSscPAL0UBz/DNsWJrU6ks/rwbooOD2rJ8sufJib +OLOSQpHiCeC9g4ZpccFB4XnlpLUwfolwsH+1RlcLRtYJIaHj4xlbKBzLNj7M9BLm +ZapNHsckHJkOhbxF7a1Br+CBNbBYdWia68Ycadb095YIw6f2q3oCFkv1LRoF5kEl +tVPBtYtmOCWz31ToInrWbRLPrManLk9Y/Asdsgy4fWbAQByVwWx8iIB5yNt7k23G +DqFsMuhWRhVhwsCw6x0LeFctT4iuOrpbp/KS/U0/StNTMaQ8M9MOCtmx3paEZCSL +qczpW48pa5KQTuEzu57DXyBIluH1RLHVqcLxrR6movri+U9/SLbCZqtF/9RnaihN +h6ZAqj3mIMOoXmb92HxlKfMQS69ZsdEoYGyTQh2hAGXntf677+K/kD4mC2/nJdj4 +j8eQyfd8+NLPxY2OfuTVaqRgahNBbAEeeDJPGLiu4/V5GXE0niikzdd75YP8gllj +wNQqTEZpgR1Hywmfp4l59KDqE6W+SAcBP3A2Io3ust18cTMaqZtcWoxkNn1TUfsw +X3iZJtBDoL1SDUj04fpo9wyU4tmry2svh1eal3AXgAMpAoOqWrsR2NlOAvJKCI42 +6h1gygmxPlJfOB81xRHm7ajvCHGu5BOUeqPMx8jdYq+RVYXlwNFD8L6MDfpeGBwb +ORs3GM5CnWIQkG2G3fpBkQ9AAvgoBjZ4Dgzipn+6mIk1EhlRpTgoQuCY4ZZVpUD3 +1pgTYS0WIaHvg9Qa2Rq9nxHCZcyCF9EhFopcB53COfVwJSJWrz5xGJ6yLpVwTpO5 +tSUDnIpehVKnQVFn9ToHfv8KxH+3pJD1ACsT9tauvzhBKLCELvlUfyVJE+5DpPYh +n0s2erWjB9QKoDmvKVvI3mU8pD3S894l3FsesIW5k0EZ71jy5UMbp5p0WzoejN9Z +vzdiKx/cmWbfrgO96bOYTuJyuzCWkO12UJ4Eus6T+U3fnVzhP/RzfyqandMKCxih +d0BMl4XvCIWvcvCmrwLnTh7plLszscJVVPAI53utsJPzqxjfLfxgIQ49WdpHmQIL +o34qR2GAGlS8KMhY+d6KLqx+qiV/82ys78AqEOlMYk7paVfulOUw3OF+Cr8LpgoO +V2CQMOz+Q1MyngteWhcfhO1Y2UtEbT/F3o5++0TmXtq1DHiNc5zzWhVIJ99EOZX3 +ukoXs5auibqi+oyySliaKexT5OziCjlWDF9HYp1RnW/p5Juz18L9pyZqfvLj68/I +CXQgmsHrozrP2E/1FHRcKtrzbGvB3DGzP6B8+CX+g2njHKvuk234t6cDuD+s0Vbk +mdV5Xsv/5pFlL1xwT9OQQFVCc9ddEv3xTRhJIzEH+LYrqJS2hlwRrvbnPNK5zCnb +7SzR4txLm6zX1WUhSwMfEDRVE3uL2u5x769maGXEtHl+Nfls/R5AClUHD5ufmjiB +400kRiAH0SjOEb27pWFydaSVKDfyNNafsjT+1sRRvAJGJFrYpJBLlC4YE+mFdcCM +MjG59OaOxIGtLgopGTrmpdJa7GqM2gsQTptE3NsMjnMvgIv8K5bAkljCqGNzVaOT +e/gxrOu1s79NVFP308jqHDPAUQyg9Gg+/Z8KM7kcJfxg7ZG5+Utzz8wjS4E399K4 +OqaKPlvvSqZaTu1yxmmPp1TfD0uhQezjdQOO1H62WJ18vFsDBvKurwa2byHKfzMA +Z7s/QXdNGWjAbG7md6VvooGDQrjF0ppHfEC+wdrXHVS6QjyE0Iky8WKwDDy+zuZr +Xiys1HhDMsxsN5DpxKvaeZ4CV/FwzdaIsDy1OAYcrkTDW0KTsomjFedG1XzkVzm/ +y/l0EZIIkeZIv3+HITWLK9f3VXJ0an/5LGuiLZaW39Pl9DdP3IZUV/FeeUEGHFab +d7lDWgMhM9Hrc2wHQV0TdvBrK/lKP/GiSYHXS/qPl2DeoXriF8J7Sqx1eQ8ZXYwr +yysEW3tZFjgO9uWQ20RuuCx8Ekp2Y0Q/nmS22w9hPHgMEJhQ6L9sKHHYM6UcGbLJ +q6lHYP5EL4fGzQbMUQ9SD8l6rI//ch7acxRbMEtlyu8e0EpuYEAvBsWGg4PSNvqJ +y2MrlEmpYYWRT6+LKrICLlObV+Qawj3hTP0y+vjKdQUt80gIkxZ70/MLHM3GBEFh +DDDUJSHgjEpU9+bI6q+3qsEpf36qbKVb7fWdb3s0s+NckvKKoTv0lW50PkOEnRo2 +3Hn2784IRZpPPjtoiVh9QJqy8z03hseB5Ce2OXE33wyqyR15x/Ysn4WRUimGA8VT +ZpEDMsIqdqqOmCdiKVfxy1kvw13XyZDPNCMIHp+VU63RQAs1RBVXNWH3/CFKFNlN +igeIXO5AljC9lV5Cm/DzfsUG2cahhNPfNeCQODPFFwvjl22HJXVW3YTFMA8tkfG9 +pz+iikl0yZDktwvG+bepxadhxotFlYbbN2pzEEPhr3edqO9OMU3PucUDnOpA8Mi4 +e+7n+mIHOpq+O67BVmBdmlV6LXLZNkTSeH2aUkJXBsJflSC9f32ttKIY2aFZktKW +XSqj+kDCEQs9uz+tTdAMa2u1mIl5cFTYMG7/Ejxbtx3jI184ha3T5HD1y2OhQXou +z2OBVXcDHaJsvVUGfwip2RPRIQAATrLWg+U/UI6g4K6HYVU5MDu3HG+o12GKkAtx +BJnI+XeJ5N6thtUOwJpFBN1D7owePIFJXkXPodXrHwpJh64Xun5qq6WZnbDIR8Ju +5urNSY5d6XB4HXVWhUJq6S/W/sZxdfSenVceHDf4tGiA4JtIQiMVhUaUjiG4mbQP +egdtP/Q5SudAdxhKZZhtrMO/S38y7hm7v7Y/QFucr0JlWZa3G8AEFeSYrBkGAzpc +f5jtmG3nTJ3/TQDp7zlcVwVLCcwWYPw0Z0qjGPKj5MMJLhoxERfyaib8JS8ez+St +iQ6PhAvB9qimc2y6T6RowYAGvqq0t7ai/grlU+M13TWpA2oAwUHhfjsNa2O+EXX1 +95zCe0XXS4a9OBwsXGqRLuyQo+DKt8D3EoWZK3y7TVktt1nfRtd9rrF6d+Nxag5m +9M7B239iut/njFBWKYnWQrKSmNMY6fN2vX5dZz5nC1GcB9WjizFOnbI5GVRCJjwz +DmTdXDEFUu8WVQrhqrIJHMuWO2NXcJMfV922qB7TkptxTkABK5vLkcULB3YEanc0 +vpyCzVPrkx3OVJeVmU0iPwSy94Py5IPLzqNJyW5SuutCoaCNeIDOmmI+L5PtLS4K +s6AR+Friu63rFjwO99o45vvITrRCeMhUiwWXvdFls+6U/dG2AqjfcP/mVAhDWliI +qsV6q6vpc3QP7sc0934/6LjysD6KYwgApzF6hpSmpwpfpt++M1Q1xCB3/6y7hmw4 +LostcxTYHqUYpn0HntUL+CgINpRZwPfD9txGJTAxHjUpHVnuKjQJNLF8PgKX3dYz +6ymMjkKSw/agDk0OOSuhCeZVjFHtfVDmG+f76Z+odZ8AvcqLH1fuoLhZrG33Z3J2 +DP6djGPIptlqm+ZtCFoyenQYesTDyjwWL8ZANL8ZDuKHry2Z/ZsUhjK9kSWvf4t6 +/aLSjJ+7cfoSmKFNrpgIz0VF4vFbD8vZ9Bo+hbp62KGerTdoL/FzqHIirDxMkD/F +7T/DSPIyvsB8Z7otdAPcH7D80UYeZHKWwtWJRxNiwdHBoxW1GnCqKvZXrA283ojb +rljCOUoCjDGqXpPDT3RV/XW46ludr/9cGof+1BNCKnhmiofYbm+hWTid5MG7mU9B +G8hjr1Dpsm+us6AY7rIPxrQggXlSdECyu0MuEZMEgafeyazGGuLP2/CwAZjoq7RE +zNooCYcCDftkzRpuc5zoAzDpLDjcAPnHXJNqYhqj3DGycFTSBl8+CzIV8C5+5JdK +EBrTbOEkDW3DzWwEAyAdos1lmezEo8ePV6W9xMd+bvPIn1Hlyh9vCxtaKbuTn+q5 +tcdE8vkMpFs+Rzi6uzAtWLb4kTjqzXaJvoupApWASOxVaQiE22uHRRosEXnVWbeH +QlNzBXnMGuVFlV0y43aKIcjUmf/ZKwFfdaRE8dFuxY6B9Gc8Ftf3rLf+3Joi7WMh +VOAe5x0QPaH1w3GQCzdioH37EzgPjZdOvN14n4ieAUek95Vx2CHvkmNosJTZJQHZ +8DTke6orPJG74DezhkVRnDWO5Y+41kLsvzMNrQJ6R0T3mAdnXN8qZ6FY/iagNlVD +BvErM/PAE6rNFVMQiRXkpe5aBUVJJOZXk6HJa1QGCcpf17lvPysda7GoW5P08SsC +Nl4OCSR5ZMuLt6M+5J8fBXByDblPLnkKSKShvVaciaH0CyDGyocUFj/P/EOSgJw/ +q5GXFBEzEgAa4obLI1ynjGNKb3J4nNgXl2fmcDamh2SsWWAN5BaVdpystjxtnt8N +nVo09g36xRu8gF8bgsLYj2Q06LBA0jzpzxTyKdUptYK4WxWlJ+cjSrxOkglbUgZn +Dz273BJUGyjwYvGZlHYpvmGm14A/WnFqXSFYAnMGoWGn6njvR9B6tfl+IZjhMgv8 +w0qi7SjmgezP7AupVG/4NvVSnzYwD7LW8qMSA6ttRCSg7KRI+cVqbNbrnO+L82rx +jidEa30POs9U/ubPme39ODqly9NPs5mle1bXVsg8HTbD4eFAXOeN2r5nG8lNuZaB +kBw5EdXpO0Nnm4X8o39rXHuwDyjpoJnFTL0/gP1MtSmhB+BHC6yzkd4JxCNv2qwa +hc33XPXH3KzXGUfTejkYKuH7my1+QOdZJh2GpqLlic3zv/YqApEAA2DCwtxhMMiZ +rWSB7AvUZDKVHINNSIYIWKpH9CqEa4DpTnbEpdCKtAm0wlZkATfWPqq1spnDrSSi +SsL7RCxY3xioJIo0rfh2aBRnS8FhOCjNgRlz2bPGM7mYGfFNLyoywABFJhx+l4zl +TtD4sB7V5XmbxtNXDBJrEnFf/fEapad1fR8F4VL0vo3XiUq2qk31hx4MBPPPw5rR +F0N5clu3NnpNl+F8tBsvzk2RPQwMs28ncROwxDNS8vnsNSW/G+zrEdDIAG6u/rAF +qmbB6Ie/hm3Kb1TombAkPzf7WREmB+dHsXWnDKMn5zsZFeKFBdwQp92/8Cjl09LW +G04k8Scw9Nz1exg15foZNmsUQkxpUW/SPjMHBN0ysvRlV91Pa3f5JiVtIQNmr83e +IeJaM28IYYCih47c4R4/Tv+Smz5xrJCvBvaWsVJgQ3nRM2nvL1W5xeaH/jloFbt+ +mq/giDLp5CqqA+Uv5h83W3AuADrCszHjHr0DpQt7HHYk0ZbbWexYeiaJMwhx+QFy +2/UohEOt7KAvjYjD498ClIfpOcCggkOOJkejeQ9Y8e9Y7FyVwI9DDhiOqoPMTOT9 +dADihdX87rJ/bi0pJE8Jh/TjBZdrToLhP7i+VaVaRtK1yGTIbR0NQP2z8d49U+hR +lLi3qFV6HIzh4R8Mz7cVwaRg2hd4dDNM8a++RXHt2DtMqczSOEU59Zrg56LbW1ip +l0FcZ8zW6reyvlyAAoAFK56T7KPqmbYW+iZsRK+TMQ/97CUdJHBEHJTRg9gxFhOm +JBjHz03HTZLO7YxOeqgGxWukA/mPE5N6LiM657mM28fDc3v9BYcqUxRxMkF0aIHH +AetOkBRSFzMe/uYy/XfWuRd7kpMZo7Ifw7muiUjsJakyoVT4cl60PduxIeGO65J2 +wNGcZWOc9jqCi6ZDdnwG2HVeuyeOa7gKyAbhsFKQuKCy7DqEbZBzXpSUoW7deUNJ +2cBHbKIpLUCfQwkJ1BaUj18u9ibTVxdtwpP6y9ykxMUoa1FhCcq8s8T8PV30tx2L +5CVDkvulLMx1gQfw/E5hC4XHrJI8nycbud7nSfN7lxlo8tHUDrcHltwZdmv9eRUb +cNXHqVkn6XwTwrBCaIgA/oPfqwHffVQPMmAcespM/P4JBdTjN6l6MQBaq91LiAqp +OWAqGAJhlnvJkHMezjvF3iS/uqo47tVaSvSpr97nV2WUyIwrkqGTxai16iXwlJia +Jiys5DXqdTME/fNbCiKexaBeQtnFZH5FVU3bWEnHt7SptE0jA86r+QG9Igwai6vD +LKGqNCICwsLnl9s5dBKbb4+sBfqcDVf6sJCCy45s7GvpIBHWl8HKuE/7ziKlPzK9 +/zN/4WMzJ3PgU2tFIlCoV+Niw2zz+2WOv4CpYIo+fO7FN7XMFZsFzk1MocfGnyJY +3PNCfkq6MZ7IgcqMFHnhjByVFIpvFWIu4lNewvZeyUTAl1A3hoiDRxUQ0oVBR0eo +anhPq9oIa17EIQCkrxse7tBWuBeKvaDs4wrpN+YD3hli3/njWqu7Jd1jOMRBEtwu +XiQWwAfgYaQItjRMHh/c5Qg60rwZO5oBUsMiaTKSWAWKsqWwb/xUuxVS/QtcDJXB +MYNDQ2dqD09pOsZPH37Bh34CXON/Rw0lVVuDmihrWbf3xu4O/Plr+KAjNwCbCyCZ +7HvVmmuLfnV/+1aNUUYpHRq0GCCEBLBdLDwgjbON+nBTNPOGazABMf/H8h8+cx4+ +GZp2wQK18hpFb0hMtvLVm5FAkjbiYK+UHKbpJNokSA5LETKz7/uWz1CeD6uq/P/Y +4x7UlHw3yDgKLsyQTsFcDU2MlFN+q23CJ1TGJ63QnpghC2a4Q2wRqgxGWOS3mcJz +xSHswEeCA4ge+QOF0jeIgjs+R8w21edw5EJe6yy2IYLYe5v92Wo2B+Ws0oYlRVe5 +lLlEkuQVocrEE5HWcUiXNUo47rM8zWo/Ot2fj2IcEPLdzoJyd9FU5P5/AeeD11PF +hLZeu3gtmeiEGNSHlpZP+FG3QtdCb0zakAOuu20793aufsIsbBGpDIye+bKfmpGf +1R6hMFCW9e9uXG1QIdL/FejfpXf+hCHajxaH+bTMXbFZ7iHSTWI7RLIsoaaxyo2k +ModmMIg9KXKNWLrFmtsXZ7ZwRgtinlr9EbpU92VDM910lB929fjTSXZbgf/wl8BW +rC0UsNDqS0nuaa47NoxDIkolWlQ9O/ww/2SpOpY32iszBD9VairNESkhONzJyugf +ET3hEKCCt+wLcK55+6cPz9oVldoSAFNZgUcB26W+R95xUe+tUqsuq1TGtq/SHZrX +GNY/Rpyq0CrhPNX4ay48uVnVamDRxpnkPQCCcRbwRfFvKawvRqjwGYQ9srVhUndg +AqLPsep5JlCrsqY64sYKla9n5ZqtuH4IUZTeArPHcQnlx35Lr/P+QtAGobh4eOoR +YUFUxPidilKM9qDE4fj0KdTdibbnReBN/WMxF/xS8qNWXUS2m7IDcbcS1ObDaeCW +Q1Q8nTtxzzksJPMjF6lEj6GJlKQ4odbwVcnKMKahnsnZ7ATcvvG1uBwg2cswMe19 +QRcJYQpUX8YbL3NJZJpMn8/bsEoKM8CO17Atw/h/Fe0qDhqOSelCYyFfnK5yA0YA +Oeuu8iGNTOQQfbMXe6pewAl74diPLYUIp171JYAKZTuyPlugObanEYE2OZrJnvWy +hAtlvPNIgdWi9tsvp7VZSQXBWiaPYVwhg0vu7h0/axbRLelnYIr8CgJbxqkaLdFh +Rp6jgOUqLOp9VN7H6CKzQMeKxt45jATNR+bi1niiNm189vMldy1+DZohLVWX2Q2S +7zqoovqF8/dNEsqhDEa1mqbnOgS6WytGUN5cK1b8LONxzruoXQY0cYzUy8ZTEjMa +5ndrI050fz4bmVXqwD+Ag53hjWvWbuVyXPiWzlZGggQK+mkMOdZcmBDWDLVsYVnV +gSUokI5L5ri2/MujgNGbGQvC/9UVfYU/UyQOcx2t2IzoDUfZQ0R4Njxa24G07uqX +rDkOSHKsDCL2rYiUv/iNVz4OvLTdlAjWHlXCAZMOxSPEkhDXOIpsBpclSadSVMjY +UovU5PwkM6zx9HuI+vBVR06wwYe8/K1fCHPfn63y6bU/AEqCfQvueTe5tfDNKnFp +IE1wkdKMR7LE6hSk4cSTtl1CNUtRYGgTvEnOY/TkY9WiS0MmTCutBlhlgAvPQdGQ +4iUensu59dkbyUnn6Wsg5bC5MgJmCW/zjQHVspuadvLh7Esy/4cmE9Fqe5arzhcB +73154OpnSbKGL0rcqEwCTAfIKQTlF5VipwRsCS9aesIj9gw1bHAPCYe+XPZSSLx2 +IQNCdyo9/+IrlA+CKZdtzkckdcMsZie35aHl62a367ynAvMdMF8h1hF4xdmyCJ0M +hqbil39Bl3cO7B+cN+1XJQQSaNNAh7RaGXCLDGnTYgCZhnXkyCd1UbmFw8jMWXZB +o8k1rdS57ed2yUgxT3yRqTwaAIGPRyrIsL34lHfq7HvwnTCGO06DEEpwXLuQ+ehU +PMXTq0KWvT39bJnMg2i2ITrouApBHQKeiKPqjpJqnIyVd9v0WGFW8mPeQX/IfQZP +kM5GmMLf3bFhHokPVpAuP6YAp8uJlLtE3UbiHYPvVGAPAzXxS9hdceeWnwoFesqa +AKcxzHxz0ZUmSDfb7d3SOmmRLlCCjfynUTSnWRfTiPBkUoAaLWVp+v5SENSuw2yC +DkVFRVy1pdjEC4K52cl06/W083xTr5w++yc1N7B2OmBegoIwpzk2S/Llw7wWoaP7 +AUGOB+CGKGiI5PLJtVPCHwVheffH1gSnGcTiNEfiXHKaVTXxpE3jY+x2W7qbPY/s +RvwRubCLiAnSe8TLFFb/sx0PeUrMdj81PF0uKHeNsc+yQP5fcU9jLymLGqfKOfJ7 ++PvP0d+btWCfS0h0/oGXOjPyd3HMJoJOSWKn8eUGy/bqwW1K7Js5yFb8ThQPXzGv +nCSFT5Drg8dqQ9GRht/wGsd6c+3di+HeAMDLo7K4YoLyAhowHlzs9R9Llwr4g5Hk +4chDmc8lA47a6YUNHMXyv+2gYRbLjghzbbX/lfN/5pg61PheIeouM880erSFbBHh +CPk2VAxMhlvOn5NEekOh1iectWQgV9LvNsK4kiem6ObZQc1DNrLJjbsa5pxwDTPA +slYw+P+yCwqFCcJl8tvpgtJV0f4+3PzdeGuhrdo6YcPtNUfedypjaQk0WXHrQtc4 +FI9c7lV4dYACNMxAUmgOz9AywOIxm8ztXFJZka3Q4pkblsi8zLwl2dYd8r6/6sMv +NQNsVBiU01R6eJX45YWwzL9GC9PyIM9DGH+DLb6rOEM0M6ENOXhfCfvuYaTrlgmK +vwogsYeu3XjGDGGGO0M3gsTeoY7wO3C5GvX1cdDFj3XWdYVgEW7YFcla0/oP+JZE +Zgpu+MUsBk+6ahS0m7kvY5ldfQdvycDJWWOEkNeAuKqLWfFxS060S+sb0IzpiTMF +BdF/tmx3eKhfoerRy3maAHjD9GrPSIRM/7BxFzFEaq2I0AQDw24WohZrRwt5v6Sm +WtNQCzpIqFocIw98ADS4VXQW3QCdAWF8a5e4HnuWFmzRe0s2Gf9lZOCVI9qWOfGb +MACGjFK1ALIojDbzQQT6SUi5PIvYAlKFuuPyZZRgyKmATbfIgdvUqK75AXGRGJt8 +FT0DEskYKOhf+AR0mQz+VJ+r8bdVzNU3qT4dACoJX+PFt0/1DORKWTMDA61W+A7i +N+cJ+X2+5XS1LrfmZW6a5FzDwuxknRx47a3TEp5MECOcINM3Th+FhMgPGE/RgqQr +juGJ2FPqD0grHWtlBvwShtP0gffdd7MlOwn9YOcwkvLwVsiMnvNLaU1d0oa64ASF +S9KRbBprltfcKDtqSo/9tZpwaMtGA4SC3o9mG9a3WHOGScGN2M8D0RBG+5685ayP +1t928gGZ/SdBycv/ww+S4Z3ySFkRtB9L7ZUZHDPrZSOBG7b1OcjHPq35+bcv0Emz +1SNF0ZiLjgaAvFw8zZdQPoA7XZxnesx22xh/hsC2ChoVOLyr3m+KjSuMqaCSagj+ ++EAvutjm5frxN4UWTkRv34Sni6cO8/O6P4m4xn8ZpyLvmifPd0Z3J4OMZWkiSXVj +N+ujEMVZMM1C3qnnxlE88MqC9GDvTukYRs2iqc8+sKG+9HZWyUnpXq9YyXyPl0UM +Ggaxn+UVrJCleCDuhWwODg7aG6Xg36yfxmAF1tPdneL++nlUQcVHkPWlsHvj9Ibl +SwSRm9aJK3NzNW/pJFb0pPniC8WmxhttyuinVklBhFOSO05mugomFfVfkUOIvL5r +jlfzdbUT44pdmeU4ROY2aWVANnDbpICmArwkAb5Dp3Ck3Plv4OkNiXm7llNdhVZW +c8lOG8/CbaHPNf/8s38YzDpb0qz4R6IQFnoES4RabR7dA9NNACDk1GKITGrGsSgg +VZCfl99yx4fBv+i80LPoLJrtjWBOy7gWbW+hNZLF5mnuhmlgin57xC1Bq3XHutUe +ppgEM/i21pCh57IhKEkQ/hYvrKydSqWlVlT+fDm+7j+wrduEQJzBjJxnVsGh55xn +4wKoShYZonT/7DageVPj7F5Sp2jInDm27aQJGSU+khvULx3DrBTNb4fHXzfSfrtX +edWYGW4DmG1WAU9cLmSB0APtzWlHA9pfcj4LuFbGH/rTQei1gB4sSsxotrJHvwqq +7JUzvnOz3ZYAa+3qOqLIl2Luh6IB6JZ+psV+AihbDnIdIQ81yjqTayj+xaWgvbsT +Gsd2aJGj53DS4WxbrfEnrxit1vaJWoeRFjzdpokVulU59Za6f23uFtuSl6zYWoZI +bc7l9P4wmJzJK1aGypY4L2HlIihkYNpgf9KLGpYiyURiKlOF6b3wH4lyHYbzyi24 +xFa8uoQ2iNJXtYu0vnRurUjtiYYOc+SU0Y2NnYWwmfbEIKiLbMK9Jotb/QUYVEft +hsibMvDE5hfVEjmbJdGlviHatHK5oUEEN5MGwZwISAksQKWLiPQVk9cc8XV7Xprn +8NSl3xVuelxUrfHk9fTxFxsoIw2C/d4pnd1YXt1t0oG1mUCVDtrDfgxcDp3BR5Dz +cmDDDFYaX8BfloWBdPslWa/gNrVk0Hoew31Mm2Cg3Kjo4KDhqcleJN1CNf39NoUM +QPWj5nXgnG2wzwd6Fp/nieolR4ww1rvExPe+ZNkbDagHD/VQtU38Yz6MoV5nNS2X +H3S8zujqIU9s6rIz1qVD3HE2WxquE65D0GX1QoyWMsR2wXmt7SEe8+tkPFLcZ3Ma +6hTE9WHxBEOyDjsucvvk8nhFEfCQ/iwCOih6QNlqx83easv80jw/NDF9Pl2LpL4F +TAPEdOWQ1C0tdDhUubsedh71Z4wSfsx9Qqcs8FGL7htXWWVwJcDQu/rJxMew3X3y +vq2xsfiLW4RhCerj/snmyXMhPAGm2b4YL692tDtLdS0LNkXA443lvGUbi8xPnPvx +loy6g3t7gpkD9rPp0sxzk8QRRtC+9nTQbk1jRPpPgUdnC17xlQXxsn+oWrKkl+6g +lSeY/sdwWWacG+lLcJurstfi5u5HyUj0sZEsuBlso5O2SgrhHPaStmXs9807yOXv ++R3bbSqLOIdUnol13zWauPSPF0E7tbCkI6oEkeo/1Lve+Iz+xrg7FQp/hr6CblfV +1btLAX0kxRa8nrrN3h9NPQmCptBHBDykbp9Fs5cnVJ5fbkLlMjc46BMFbB7d90NQ +GCDtAEDllIZ3R+PAXyAyp/ZyXM4pAGYFSt8oCh6YfUa9afOib9laPjRalkeuqHaS +L+oS5wF/2zBMaTQrIYR+7/NOTydoNT9qTP0zFuHigddpo1Z2k23dEAd6L/AGRC2e +aE/Xho6u63j+o/V0u85uNQeW+y2yK09yTaDfJUwDOh5RLgb9PzqnlNOkblqq0vUX +QojwOvDwSNKjbthfTM5JAoR55+Sdvoll7KFuYhKhRfpJPK0X71VJDEOSZpjMVet4 +n04UMNawNpLfCORARSFiYl8k+T/iXKucNekMnC7WbOdU1+d1/57HAb0kixR4KQIb +8ACwD72gReyFMgML93OHYFlhmfpXt42iPyS3N0xoXtmBcY5Je/IFy6NiznKf9F6w +hRDLMLWu4FpwF9cIBUqvxvmDmENQajEBKOV9UA6zkJyBnDRLCPOhkk4Q/ADZl38w +Ky7Y4b4Nav7vSarX+IK/bNbRsnL6pIHRr+a/M2GoJ7wQy+m4pJNQJdcFVoISL/5w +krFCvnItp/BmWoCjoy0858pIzKX/Oki3o5mKzktotzVXpg2pclcrcgZYfRaC9stN +fW44z5Xdb8cftjiKysBEMHlEk8s2XnGt6VeAc0NRRBfh7mKpOykCbADZwgQtvquV +K7wYjymPG1z5SDIDJjjDSn0S6oAxX4LMnsMUb4eIH13TOsLVTaxJlDSLaNVNReOQ +T7O84YHVZtJJHcrS5SS3UCgSNUvTKRUtszhFbiSJ4r2Ig4KCDcODIWW4mgmsJX5j +FDRiG7td2Hk+jA+0b99qJt4CyBpSOVqkHSln5Pb9CC9Kv5MmRr5bUFYKQQaPq2oi +6tynE33MuTyKiDCi8yRS01Cuk6OV4huUboQLcqzJt67H2H90UwkSZPtzRBH6yqVw +8MIteFSiRnDPKxgmQSvL+i/7yjrEkilkLL0zd+JzmM4/QfvHUJjGpvH+Ip4cITAv +CAZcBnN/sH/KGVvTBKwVAdY8tX7B37jbNneLjzPDT9iggeaGjMZPwgbMNyIc/cLv ++nKaBcfxBM81wkCicGcRXfdW6UBfGf59g9pFVXhBZvzsUCJqLn5q3hg5JcnkVsJX +DBgRz9t175ieufbLj9g3nswAE6oRI60n0i3Nwgj+cAoJ6ViBgheNsuKRmx/XcW/r +YHeFxAol9UQetUQwQtQ+mE6/3jFNzNa2KJJgnCuV6ulNRVi9gPw6vWMuO7iOBxb+ +BeNp0t9u1F3c604W2Vpl2CwPuPoaRyU0JGazRSLk5PBK93+osk8ja5MP6475J6Fp +SSJethGtRQJC8Cukf47gV6ciyQ7EJluEQNS1BTW+mkn1+jfNAhebp0eittdTy8V2 +lgB0lb/gG7Wvgy3CA1Sqc3TozKRmitKrySNcY9M2V5NWPA9k3xGKRWCCuRpBXjyX ++U+B542M5VxI6Nu6fDGH/W6EFBdk5WPL0jA2C4vJqduJ6ZWDLIeWRJX4Fiv17kLd +ogCzuDzj2mi97ltcgV8rorAJV/zlX1G/ij/vL4h9Ovol5DfAgCiuitdbnL61Et1V +Ie4+RxWbeMOBdFWd1P/UMrumSXgpTkgfmPCvJs2xZ8QhoZb7dPg4i6tb7E8c5B4K +2IGIzEri0T0O1h3gYsIK4jNpY2XlaVFSjgXA2cR7A/aT3XMljIdGaH2wE6ttkZ6P +v5YaxnYn9N7MYla69SdasoQUJ8uZMp8Z2kSdJvGvGjLvjHACG5DzML30s2QOMXKo +T6fjlJqDgxheQe+/SN5FZL/hqC363d2yHO6zp4pl6WYo7oAuR2kuuGEJ5pod/qm/ +E3fKn+fInGDpmyVJARD3PTzfiPFA6TtwQOEuqN9FdS6eZmxAeBOK9bDpfv3k7kGx +hFaC6PHfSPC8l2QnZKRSOvDmizomYMpIMuskM3yWfnzLkzIG0i1mvdWXewSSGOjh +gtfwjkh+Hs2fO/pCqGNIn7OWRzXhT19jSlMRgfVWD1hqKuegyesMnmT4abAWWgHv +14NNNkt6L6PkcBPShOonQTmkGfaQitW7auak/oLfIq6ZuVxs60z4u7GXsMcvdB99 +DTUgEba9aj5iDtWz7NYDJzyW248dcgbo8A87OhOpSmgBfmx75WfYwB5qGbC4eBaz +S/cOb7ZIsA30ulnT3oAe8KJeVlluZ1zcQyOgP8mP6KgtPxmpodrbbn8L1XhQpLJN +1z9dAP4JqRTrLE9JWHaDZ10lSj/uG2lbHNrncMK6RqmKn5G6VZg6DarmaTOkFlhS +XNvS48uo5m1+wRdPTrz2zCFgmLf2+ZTN91YDb+oPwIn7V4XLPLvgqCNctDTdL+Xu +MZwtmsGG8l41SMXVXqlURXL2IkwT/aTds0cTC7EJdquIBfn3i/4JH1ikBiFtkMx2 +7eUh5+R7JTg71fva2WXifgLzdFt2majfHKNyOqpABqKwv05nFiognLRlWYKzwtxs +S4CQGFO+hf2cZRjXWA46CAE4Yw8y9yILVj+K7ZDckzUPVk5pNqj4z5skH85nAQ5/ +L6a8iK5NmF6GOKPyIbxyzzmGpJEdwsC+g2Lncjhw92QwEi8zBXBmKhSirgkH+stC +OqAHIYg9M8b1DPS8T7WB4ZkoKrkMJYEMtrBJFkl4x4tcKJum7ST0/OJyCtJpE8Ri +b0azAiZYqGTTL4s12uXuo3/0Ii827Z5b8j6BoewgXuYack9+JNnvfvv03843zMjm +CAKGE00CMKK54SckFSk8Hr+ZsVV8VsS3XD/WGqhmiUHmBUlUI0bl/ufVpYKOhawV +qIxYcRt6puLW/Y/9WoUFJJqbbY0bcg3y/paBez7cAzCgXl0CKQyvA505XQpgw7E4 +fUtGgWG5BoB+ByXAHIlzevu2vCbgRa8RAGpkAPfECQWlrVKzba57ohyce/Jikhv0 +qy+BvhgmxHESiz8EB5EJwy+WjDxdccfj149400bONc8KMr/whL9Cy/KiTpayM+NA +zCZiJ0JGP8H7sKtPmvM6CsBne0m4IQ+RWNPB4M0kbAZsu5ZiwZAE+6f0ScoJ37Sg +2ByZRrbbDIJCyLdYwm2c/V+DAKIH8/oZBxUX2fQbr60haE4HCgHC2tQRWjs3/LGW +ljCZpjCi0smKgez+MvJw0ylHNVXQ43BLxkaKHnQA1Z6sD3U84gX6+qLcWY7o3SOm +IFOt7rvypbkZNE9KccYp5VUy5QyB7VhwgmaArlzMHqn3ERJc24CW9nkNHWFC6fRI +PbYpI/RxZhkFMO/wWLtqh/FkkOpVUSw3NFh2j94r3BThP1SReGD69NBv5HRio/Jn +pwdAg66S08v3O4DNXqmcIQXi3mT9trT1UjSxYnd1uwpxzVzeTJeaf13FMWTkPQSo +fmS+Lc9UuyTvK5UJqa76waj4GAx+3f0hwW1LDxuVa9RGKZEWuxybzpyvO0ZmU79q +DdEFGV092aen32u7fL5r8sn0N26JCQLozc9mViYgGBF5/8MAEtEFn/spuNkfGnyA +L8koyAprgU7oe8PTsRNmE2WNrg7EDIQ49MF8Z2vCXOq3yWl20YGuuCVjD9KS8Duk +FtRN54q0AKaOcPSYD+UlSCGVFZZhFtLCZvLhrxL3QC5bRRar2A4UP3m1N0i4Zc/e +OLau+G9QXnkm0zQSRLKNMz0CiEK9NwgZHyc/kINsSYSl5bFYTjBVGsT9ryhihXzX ++rH694rgaLag3Wncq44T5/7ZMoQenfsYvjLp3INw4Ra54J6v15/4peM+XhH3iocG +g+jPQ6mPoyhbcnuOVaGvYKF5UxIUBHlZI71H+C23HPFXaUaDJ35CFhsM3WnYuO1K +NyVPKqBEEavsFtzkX1Ybhsyd1YrT5mMTjXAbAgaCGfT3k/CnsbVt+kkqKg8m0D51 +6E4170XR4G+F1eoQyXXS3zWMy2W2TPtVw269qO428wAL2vHxMFquhEzAYpEWAr/J +tjkY5mJoeb6/gybmEJnDxC2eJay3MnRCy7sCYM4jeItbRvS01EK8CoPQAX7+96Hi +/KtXiPiyIyZs58pprNqIY0g1F760BJ5/+8EJtC2rStXskTw671+IgMhxOqWK/pFa +hCKdwiUnT7+MQ7LPJgZ390xFjcZyEgesok8Jm0Eg1Sb2FEXDJrMb+H3n/YxwNKal +drKROCBpwDdCSPcC1OEDxkiFAW62UKnmrPSCauKncolNy12KFqwuxT5s/gX227wo +njeKxyq+Wk/FZUuLD+nffUt3qRlv3aAR6TxzzRc2f2TqYx4pbges1WAfxo6lFSxB +BawsRONuqJT4OFP2S8b1chpfDl2t4DkTQ+ukFGr4L+cpNZWvbYS8/nuSIX/EUaka +1FsEuf4zj0z4dPZboeo/Y10zVDPTqiHRcWgk71E/pwvW2sKk4tahXXGtOjT0fio+ +BJtuc4m4eNL/fbxT9RPyO9bX3Ru6ZYbDyZ2JKI+Zhk84nc9mb4WnateDRUd999Jm +ihyUYPcFCqbqWwtEM/d0mpv7vmav2f4I68tHpfvkYkxB+fJktxhTIjnc13I/456S +V+MgMpM/83HzoDYgniGM5rXmziarEkH/8as8zV0EnBW44QRrE5CbBKxmN7nu/MQD +mRUrX+5L9otQAPj/C7+OSVxF33sYotIRpMDSpXM4WP9nhhGzDi8nSDsKGbWBmmWk +ldkvvxt8cbRciGcXxmpbPtgCQ/+XTAllYmwX9ShyTKQ1xGKJV+y4OZue8KuMy6X2 +Ev0o6qugZocX3p+IDdTfF2t3StiMiNM7KLxAKAFWhxIP2U9MV9rTCby8oA3t33fB +eXzLyqZiaV1lrlHLVK3uVtEGq2VwYb3Jz2NlVlnUOcu+uC4Zhnlaw1BBrLeOPHsa +mqeJea9r8DUnoLhXVXtfQEjq8YGKVsvoCtyJ6Omcl/mzmC9ujzEBA5MA5TG2Y8qY +Gy4bkyn5tAlUVSs+kwNsVkkrR9NUyisqAKCiDwks462xk9lTF7wO3jhfUelowock +14nGbtV5TlpW7tyIxU6vzFGQQYZ4FiJ6tbgHw7i5eMN9Sf52lUXPV7GQNH7bHpSq +QFc9RZeMzNiSx0W+EY4s8vfTe7PKGFuP/1nsOtwZo/e4SaxfOLOrT+yptXmHtpOE +riZDBVhcKlpQikD4ADpi3Qq2hEU6i2OPgwnK1YQszxGLVF8f1GkNEN8tZ5T/sq1h +5eUIqj6zcUuyR0k4hUHfbXpdoo1MA1HezCuCVm906MWvWDjd73/hrXTK5kQLmyRK +sjM8iAr/yYnAX9mivaxAcbCDxoIszUD5565C7yLhQB9i4O8sdaGolrodaWACk1Xw +uVcxk1jUHkCBjaW8xVeTkm+EKaJXhgHQEPugMTtYNjYr8DlKjhulvYaHHOQyUlxa +G9dROKVEy1g5FfWo3GD0UplgZ6+nqQXazQHpjBRO5sc6C+JXi/IRvkdLvApUdoqX +FMwAnGYB3XrAWN0t6YL+wQVKr/52XbsFiC7lVoUHe6c7gwnsTL+kuVYhpZ2tKp+0 +RWZiXTeveb7Giui/8Ws80WUzjP05TZHUn9bTS9mbI2s3aLXnWaIfD317jq3NYhzL +TQWUn9fs6ATc/X7J0+GY2EvFycVWhggH9Hi+jHFSMU+Ss1uCYdToTuuTFmNVKCjt ++mhW9AXwqaKY96ZqUwHhjfbYu2gTcjFSxTXSwcaVDTUK4oVlILgcmxiUp9WSXTFk +S6mqjWGMB3To/8cJkjJQrL6XXiYrhHhyC2bzeKUugRU3RiTSsP4eWRA+PE1lxjod +AOR1uz6fY3xpda8lhxewMaPl1rduJJnGuexqKCg+ibyLif3fVie86Hu8zxXqHUA3 +Vk4/pInm6wtvLhZd3Y14lhbpAS8fflFISyazlUnlcpTCkhlfozu3VsE0DNi3MoHf +uV5rQ4TzzySnLjCp1NtvgmLpU6JejE3jmJKvLvF8orcwBCX6E84sAH4DL/yQ0fYU +2XtXM4g9yxWscwtZBahURcZcJgd4Fl6+A4YswXEbEgeV+bQfacwdb5/GqMz2ZZqR +aZDlZsGptxe3SR48zxnbj0cSu0DTegfq+nLgU5dIaqUNrIb0MmnQmajWTkJlgn3s ++ypDTGb0QybVIDRqLJFMctWuaq38Fcekh1D+1oZKusbvlaGxi+weju12icE2kXRQ +4lZFJimpTnxWUk8tx0UWWQ+k7IxeiHsnRNxS343nbxeo2pSFwNUFMlEh2Itzhn95 +aJ+thkU257HqTAT/f7g8UFFc5YAoZvYim5kAX+qAycRU0NDiun8wceLepE2xZDu2 +hEhOtxg70IYOfHUDU3qn/kjl363AhslJab4D7Ncm/WzwaEsECdgw5uI91dJ00nTU +2xCMNl+gpLe9NVbUX9gCNxnOnAcNHuk5QE6X44TzkCHjr3th35MXYnFfogWVAixn +1aRpR9BypwbysTRYeXpzJKhaoF6jXCRTEouLJ9fRxWOEboy/LxTjvjVbOIEY1HQi ++stmISEPniuX+xwR2cbFzH9/VrioBhHuk2vTw/ww1s6E1Spn7ZIPpSxREseqBe5k +GIH59Efkd33pUxGnIiz18+ou165fgngggJCRqux/WwoZLUWNO2Ud4PRclU0Nsw4e +QlLGdYDtHC1bl5qOqUj2mmbGQuN9dlv5sscSg57WxYzFNwBjVUETfbvWbpI8bXiW +lNF9/5j0AbWKat+t/XMaYsZAvQxB+rf5FYbYNmOp1T+U9bPMX2IabyKcl3kFEkfD +pfdzZyp8n8eewwzslGm8S5eelSSHpoH+FH1ooyY3d1I3Lfe+N/5aak3yThFv8/Io +8VZ3tHBYA26niIJadGQgwuCXzKvd9eq1gUV3dF4uu5PJbACpohnD9nMegPvTszTV +8IFscSg2FAnOC2v9U65H89eQnfRfTRs6BAMFife+/RX1Pfr7a5yjE3PElJm0g88p +UiNCvW1phhg8xmrp5X4eh/PqJWUHMSXvWkbKzknZL8ipcgMhghGDajZnLjYisUX+ +e6a83vN+77AkRLsU7btP++3dR+nqGTIUb053bvw3bmCj/5k3J+XMFzwqcphdRaF6 +YDIZWK20mYl/suGAcw3VBz/cS0TS9Kzj4JW1oKF4KbXMoiGTXHbFtWPzoL5Wj7x2 +JaCYebgqRRCKojISkGYGSDuOEATu/tUFYDc8SRA33Nlxu0p3Z2wYMUFTfBFyifWR +J05kZoIBTi+ceKo+xPrpczzvbI4aVCOLeU9l0QE7pmCoK8HtgNldq+dvqlCba7YS +YSprO8hoPbxYOQGDOiYs0vkqsixMiXCAYBQqw5o/faOydXQRtptD8QtZEr+iRuqv +CrM6z9EudDu/710faRVm0jvGp+RZU08/2nVxXXNlpZOuZoMzN84c0+lqAV629BCT +hF2i9ck9ZizesibQItiHkyTsXqdYy1cv0MF1i4j1U+vbNHCVYNBh/YWH329NVtD5 +3FY7ukQmSdfHrt6K2UP05P0YnOn2kQOkoNRz/Bs4+aV1F+0KI6kNyClNuUad8VVa +jZH5AscyJOBCL36YD6+tZWdVKCa+qk/iCm7lUZyNgGbtjyDgILWpqIW/1VzaHo0g +Hst7N/Al+AxhKuJbLo6FzXLZtvgDnzMc0xRWTNnYLwZfRe9rdohpOps5j/LTmXN0 +We0i7ATw+LC6eO3L8D/mJoq5uekl/LMJWnyz4Vr3g0fi6mC+GA07IiwTn49MGF62 +G0ErMLE9Agi+LpXFl9i61WyIKNQCY+MfdHNQVxMXjnWu1yT/4UcEpN2bgbqN2wQR +Nzel3V4hjvG+R2f+aYc6zF05QWxRnwIf8wkRroEEJfpnO9FDn17ntD7cbZOLMVBq +5ohQqBJLcspQMDgguV01ArrGUWd+6R6arKO1h50/5+ZfXvkvgdwJ4mRqZ4z29vzw +3rOwjNd3SUeo5D1fES0JgO3nwVtZD9rNkdK2Kt2+IsKpRpyZkbe4XiJNstNfM90e +0HYicu9+d3Cxz6xJfKB1RNXRIgV+psuE9oZ7i/flXqEyLFbYX9wNfbb1470jj85x +4nSMWYbFzFTmm9sjbRrHKv79d4Xj/yU9Ja9xJPHdxVDu0LE3wFMQTiPAHK4cSmIG +rBVQeH04ybPG8Pfb4Z840ja+HKUcrRNTRARzVxCW+kJl+KaijRhJ9vwIAG5QXj2I +WlefZNdqvDTkVhYOtNnRrW+Peu5Aow6FXLJ/jYwo5C173x1TecVnXYB1xKjtUeQ1 +ZKN9oSFHnQMEM6+Spl9+7L10MgfHGkisv3j5lHBl8+4KasgvyP9Uxa4X0o+0jyP8 +XTWymLcsexAW40830sFL30te9IIMp82aDnBFP7Nu6PcBJcXNt4SgOvt/hKm+HRaN +0nep1Vl82cUYVU6cysTEKec3NBTKH7+Nu6P8WraT8/qHXf7WuV+TUr42nkO7z7d7 +WrISnKbCZl09Qoc/jFoeIFzCMt/UUSwYM9Y+qJ7pCmf9w/NbF8LjjWi65bG8Dv0M +8ReIIBLCPewIK4eTLezvaDuMxjjobNsVKBmv42Zt9GBfoKSe0Inj1ojTDT1dxphn +az/YM7Q3Ir8fGtHzu26HpaIlFL8kszmXY0vplPLufEZoYCYqlEBxB7nEdaY5RVbb +owVoXCREUmhtY3FUY9VzMLaGKS4752gHEiiYH9VarjzSgGOEqLMqvJ+VWq5TrEvQ +sKyJKOaTlYY6VoqM7kGOV25F1tEABcNkLHyYwcHBPsCKx2Lz47wR9qspm2htmwqe +Dv46RWvKW1smsWbw50k45jsrS+zuLUH1B3KmUcMO/nz8MaJ8XerxLwrfTZHXKz3x +W2DoSbV5k92Fb9qDYmKsQohGcHEFt2ia96G+C/9VKqnuqBa0cLgNuYKbUVByAfSP +YJddSS9A2RhrII9fQlAGbI7QrBDkXiudFqwBo57zTD/vbATMYy5wnLsQwwnnjGdu +bKyOHy7IxvKlEbNf7ruE1gsoWLAOEBAoZQp9r9T+E2hZ15LvLMGJ715bRt8pF3JL +1Kmz+zKp1prgtl419MFBme0doF+Bq1x1MEA+NB5ni8rsjC3EBs4wPA2nK6uqWZm0 +ORYEmzzVBnD3hoNvzo1EpvvSzCKyJlG8f9dpbnV/CumzqhruxUFgALGBJdz1fOxt +BLA0Uw+WkBVyh43quRf3IFT+cszSZLRjqhBiJ8UpjO7hBzusa6P1aqp54HCRE6p3 +nFrAeO0gnCC2misOveQn9Afn1UQjLxWjsf+oya7JRmjinlhAR1YgT7zISzyP02n6 +llJiN9zbM5H1VH11pmfILA4zIX77YHxnnOZ/efuFaSpxar+7GbC7smRflLC8vdEj +8/r7EUO69xdJmpDcyD8I8/v9ZgvG/dIqBI3VDmHY7tNtyp0phtEALkRfXg2EhIn4 +xhfdPnWBYVRkFgZI1UN4iIlVivUmQDTZdbpUyyC4GBfF+0MVInKIxzrF1Zh30IID +SiVH+VgxOHJRdeYJjrUrPM+QpqvT08vwGPB6mmeXYuYGQi/7NemjheF9X93PDemT ++5Ln8x6NRFXeB+15hpvrMSQ/uz38fTq7y8pU3g8kJNRUJ+3j0K+BDRWerZPCUEgc +ropBwIEOdZpUKqZsl/pRxYkM11w0GdqYxe0feBO+ftfRYx+dJdHt6c6gF3hTasPV +nkFFfO0mQAGpdaGKmzGcOXMD6SQnxeLA9Uiq2tT3AOiReUQlFlUA/AQZIWn71Aks +nQpDelQ7FtCHtnI1VzrBIBNhAmCD8aKv9aQE7tGlD0YyGcdyIuFW2q5eJLcljQ0G +Tqtw7Mu8MvWQYbDds84yRlH85K0QVkgwD5suU11MeYjOQ4SS3sq3yKPfoFUOf7qT +NWvaqwa5kyDMz0DStEWmjgONBK95OAFnkwhcYsC7WuK8wKZv1uXQWZY5Z/Z2BWeg +DwRlcFL2D3jgrRc1WjTjJ31Rjb60i4D+1zDkHjBrd0CMHTyHa+bjs0dNDacZBCsC +q36ppg3T2HV1GpNMA/RYcASGg5WxLpxgMfdwSJCkZHsvNdKBD5Wm/CE5NSdqCnb6 +zkDNEX7Z5u4cQRQEcz94j/2al62OHg+xf1cP1EZXjXyl/LQqvhXTfWa2UFyupaX4 +z6/fTf+rTdx7akDC919qS2zBUqHrVRGf4WoIfFx6IP/A73F4MsYxcZ3RO6pFUu2H +q7vC8ZEPO7Y/BmlFtubAQSlK+OJ5hd2n1BEhkcMi/UAiY1K6i2hskHlnpSsrKtnu +WN1RyS0JTrT22ZcHcKXu64cEY45EDI1qwHUGKg8zy4nCzCH/sdOXy5hAk9tJf6U4 +FihsR94g6oR+YynqESaP/neWHtkvPCXBsODzw/HpTnopaWSqQW1ReHVTVZ4TWeRX +nNgbtN/MAhER+B9tPnlMWzDst9c1xisjEemXKbtrJWVwJvUs1KlhSpGKbLCS//AW +ry3p2ts49V2iHEQ3Wpe5VURaMAuB/uJkz02rl0sVvhwF/YAx06YNmnX5u1AHLOjn ++EEs8xu4C94icQp1ztibRQ2VCaBJN0uR6C8rdJISSMXjCfmgD7MXkWc+0AKnMXDj +jx6Jz+i4ubru3VuAcV7McJyJwQQOJ1EG/Qhof2vmUzSwAZTXn6VrO3mRAeowteYh +AdnMpU+uWE4xVJw1EzkbjCSsZTFt4fH5uqWtWZFhzYlEiTMacBj7+ysadFrWPB3/ +ingY+DPxQofmt8Sx66ZsR0vg+8WpojxHDtNSnyVqpaAIYDk5k1PcI1y80zIXf3So +/DpQ1Lknp3SkTovo46hvJBG0X++p1wEDrDY748teXHd6pQu2wqlFzwsFCiGWQqse +zX7F0vtAb8nTNFnms8nFITplGUVd7DfJ98MB3qDWmUd0tjv6wBJ9uIi65t9zx1U1 +5teOQEeRcHz7ZgJdKl4I/59Man4OCNKsdvJHLMtx0wO0dTR+cx7MY9OzV1r5L3t4 +7RLIHTZnoHL8MdrY1iz31rOeTITjepwtbxtN6IXq2fBNi/evWuS5ihEkQZMjpJDJ +F9xngfHe5rvs6pHgrVCq/4MwnBsJtHXTC/q2+NDaR+hvCYjmMl6J0SgGLhEqk36Z +ENbUgJc6dh+ov69HZoeKrrldFvXrJIEwXZPe3w5smWNe1kPq14g4pV8FSGuekI8v +irKz4knrHiLUmALnRkTiCy3D8UG4rvK51ZTIuhFIjr9wXu3seXmgEWLOl8rvHkiW +6Am5LDfRz6GVdURsvVNIOmQqM4YRaAefKZ7xf7ZHlafFwpwH2THbtZq0oKY5tgzf +pJmI8ZnrFg8SizHFZEuA14ttlYMJ9sA7cnzNcHsMHcrIgfvtfpmiSPQhx/SJluPH +LkHXWClVGp5woJi4PxIfdMkHKjeebiZR3v+/zJgec/kCKFtoW2+Eymc17etnPqhx +0kSjbG1eOVqV3hEHN699V/zNIxWyLvMtX29kj/vpiKwqh5baeBooAO2g2FM1G1bb +7V+h/RIDZLGFBdO3bLK/zno7tDuMIfN8XZMXGK26QGxCRvu4ufNy7Gu8239hzEDP +tw1XHbZDz9vw1hgTWoO7a+xjAN7pCevSGdYmMUAcVvMksSwgv5lY31SKcyOQEaOI +A4tzdgN4UCDelrlyXcYzFjNospNdYRi9zZjpu6z6OQcznoyaLBnjQDkY50UCS7lL +e554dO4b7ZcKTczcXoTdWpVgfq+FZkIz3ulllsE7FZBWNC2FG8RELisNEffGm7pL +RAnkSbY2VxLhbdOkEMxjR8E5EIb6tbO+QxSRruXUslHT4j3NnCZlbszQm/wq/ENi +cnf9hc3ENeUMmlXafq2cbczA4zK15esOwWylCiUL91JEETYrlJFWElT1K4naX7So +oWOeiENFWJXE37D/q3OsO/XIXNrfzgaHn7OUZN49kEqEv9hkKgJZWE4LoyLrloov +I/jyWQ1W7FNtPkPrgt+Y4Yyjp4s38RlduQ7cNF3pEp5wQINQijTWj/q6iiTt/fMD +kqJ5JQjdY+vPA8NJWUXYLG8a40H8YnwNQkVmaseJ9J5p5D5FlxwLnfbTDHilSLIU +p9Y9jnWPfV3QqMU6gRNkVhcdrr9iDgK8oBga9Jc+DIRG6/xx9U2MSFknPUYaLK+i +ksZ/jbhKV+nEtpBzFu9JEeJ7aw5zWi8yMprBMGJkAzuFX8YiExPI18ohRHUhh8uP +FAfociBJh2zcldGUXwxwrFKk1hqwNBj4kisEJroDFrNF1juY/ct0KTjdo/85/sEr +3VeOH877nzigxMvIeDj1/m2pWjzf5s378MynkgkorOVIYb60rKxLb9dgzECYvsoz +Tt9D3n17wPUOMrJY3VgiiniK8TN8EMh41pAKl2ncBT4wmb/e0ney1ruBgICWRqTO +zXhTjQGvGqp9uRUMisa8CY27RMuOzpQ7RReteqsoTS40QpVeXq8nzBbFHYFOSLUW +0/LUCmVzYYOVondIO+Pildp5/LRSqDllM4y3ORvp4I46DLuYZ+hV3758QLdd/43H +kV01Z+U3sku9pTV6Exw9G7YEFBtYB3J5+ltGFFz6ugBgQ63QTol9llYZ1eOCczof +SHWDWlnRr7QjeOGgGuxQsPtbgkX+zIU2yHwAzCo5xt5QsF4L+TDHIsLq/mwSxXLq +gIHJXEcExwTlMTE43pRkS9gAyZDvB9065FY9ZAPHtHJf2Z9I5vgrlvDsLudD+pt0 +4qIONBUuKSKAJ17lGKoSawWZxmVe5y4+EPbeJVu2a4N+ioTsxzzORzge+fAvkswP +bKboYlWd5Lq4E+PZKVJ+NKfpdJMMclQGvsfMBe5R/HKZLyWwSiUIcqQ7Nt1qlVXq +4drfgKUDTCjZqNCBPuvvLBYZNVmnsplAlizjfBKBOPW5rkCXIZv5ekzUtJHWvZgX +g/iovOP5ItUC2MjJSfdlpKUDSbcVxvUyttQ4xXbwEfsbnoTthgtl3oQT3zCOHMwU +f0wvdk1IdCY/JiOv0xIqqaQ2sRWh1yX1q7jvXaYllGxjxJGvng5Sqb0afoEOSrNr +i8z9C+Z09j7KKpgYOPBako39FShmypL3Ul505HM3+8zyD0HiqIJMihfCB6B9t8bp +4ewbVyhLp9BFjnQFhHKbdCvzROSyP3ryChCbWS3jyzkRDxOGYrIAMiZbLYJlFyd4 +bXyGNNQuIA+0DPSGBf14nsoSxVtKcyubh6+iL9ER0+Rj6g1FKTeYiwvJluU0RjSo +Qf4w42NHLDW6AuBZpi7HTEMGP8ZiVES2xogno/YwV+bvjqYrt33InQbgQ8+vxMdL +KEhZieh6AhQbF4RAXWEOkB1O9bssxCn7aw3rozzY+/NPyl49jcXpwagreaGPUpvE +ATNXZwOURKZGTaCaUX3ksqBboNb+lVyhWyvIeG6KSY5e+7JkBSKBFpcwg2xY9a7v +wVluR4+FHwxqDFb/8iCQamXf2pxDIf60DZO4xtsoaZ6JPGlkkZ3cwHHQfHc6u687 +lMadsEtbR3nbY2aRfSVJqSBecz4SZiSCxuhSvM2MaPezWR0I6ceV2wl77GI6JSeH +/Aokc3+QW87uQEGtb9CMiQnjkNSkblA/an3bGCKLl8SCydE1SOCN/p6iVXMRDFn6 +hGH35HAB4GrSTJ7PKrttbEQgBxx4LZTMkxIFCUOcxyruyBqBRawcK3yZ2rSb99oD +RdQUXeTVkPrpiBJpahih4ZZW/mrfnzxWP0SP3Ur1TcHnumjAE/ZBkflOd4WaoBOS +Gu0KzhtJ2V0/Zs6wqKdfJ7oICvvNnp1Z9tY+uFLZGjuC3eYqbcU6efWj+ggFY4RF +1P5BIzusrGtiSiMGWklASFvMxlN4txseuAW1t4DcaPa9++KqQxQwKuo8QGTrnqEX +RJj6xaCWbwMHZWCdfbDvo6X8Roc+ytlQ6d0Qo6C9N0aGfHDw49kuPN+zEvvITqbP +K0DF7S1Uy8q8Bo6ELVx/CGSjtyLuepfu0rDoMAA4JkB0Uk/LeXyoh4+LR3CFrpoO +CqsdkKlTrWHjN7XXHOV1BWTmITmwT30S5xZfeeAfOnGRhvYxIWfj2S2vSQjn1iYe +4G4/EJqCB9Z3/j6Pn3MxCYoT/n0KQjV3FT4uCgiSQz/naQT1ADRMvT5g4XWC2ezC +6hFykPWKmM9vuQkrGHLOSSM54yKcES4lZ49gluTNcT6JuADIfPy0XrFVYuT67q1e +rFXryaVhrRuMwME5Mci2uE6sXUGQEmx2N8B/BG420a5o+XJfhy5WUBiumCEpDnOy +VS5MSqXnXpdqEBN7J05ULjh2Eag45nFeICjV1+xYUxtAOWgQJmc+r/Jx7Fqu4/Se +FA0JMoae4IibRCtGyksGhpYjCAf3mKHyjemcy9cGh3V7aAzSkvNFOCJMqlR7VAWd +olwdmx9XlD8B8tRpzm7oiEuXvEkyOQJYQ/mlFif7kjYY+MoUc6DckVXxergpTD/v +a20a5UOe0J+rcVclZI/6KRDLQVE2lKyXbh+niMRzwe8UI4saHC2KsDieiNsYIiaO +9lHRCX7R4GRJXLweJU/gqiJ8NHGd7kEytDZbJwe1G3vnsmatwYWvfi7T+QrnkgWK +IHiglrf41W5tba4YQjiohDT/CqZKR1rnFzERCbEktcDedSUvWe+HEgvl0Ksa4akV +ovuMIs0x2xcOgkB+/qot90E4HIYfS+1d9WRAiMKUu9OBNYlc1aheTOLHLodGPjED +Zcotbtpx/RFiVthGtR8dF4pt9w/6rSKgCgEdPnps3xlZiaDkeoG1CeI9G8f6n2Uc +VMsWxPxwZcV9os1JLunUBpTW2hCDIkkwEz0TuUdm9du+xCjr4aGa0D+dApF6/ebx +E7MWcc/KD2D2sPoBPe1UYHe6dkkdO+QZ9TXCJ2w8PBNbZSnlo6cHBDaiwaTkqwuA +oW9rPCamE6IMbk7CWN6Xt6hel+HF3gVLZtJ5XmdT9rh/9J9QYqYu4VH07lbNTQnc ++YpIdMOkugze2mnpHO3i/qrt/zNVqRCpAnGGuVFuamObQ/cLQPfw5dRhaCMrObb2 +jK9jxqqI/ASz0HDmtGUkF42vbux/BWuI7eMKhmCNaU65fOXfH6ndhgvm9HY1QqCL +wdNaE42Na9lnDYbjtqNE8aW1w2eD+qGC3vh6Y6M8OO2yw/dJk9XbKjliV5wI7tZs +Yptjr+5Nkk2HW7PQMysxoWMwbp/Ba1kcb6ht9gWrPPUgMBH2PjVfG/dC+jXMcsHb +eXtYRtqpsYeSJjCg/wpcj5xk7Jds1SRU9wQL9i9dmevkaASwas+yZ6jw5RpTJ3jr +JuQberIpLa/xbO4v9Un0CQmFL9lxW50EpJbF0jEcK2HNbuD1DwYXhURI75mU778a +Q9DFcS88sAVhNv8b/PhC3FWr4PISAnKiDKNga8GNdWGBoGZvw7jcGNGyA41yznDl +PMMxtps9rwUzuQD8Dj++gs2OZct2YJBMw90cimZdKW1CM9hjoklqesnojJD+Zl+h +emBLYgS3iddoQ7RgkEN+hzagVGc3gNuGWRge7/HCl7MojPnrF8PBFv1lI9Ah3lsr +poq566Ac4fwxWO5+cTiQnfBnCPSqJoU0narSrg64xHKDjIIK1uyCcEkp3NGSwdfE +mLG5MQ4iJdQL18tbn1GxYZ2inl8IkTdPf751CgsyNQYtAk+lX0PaUxmbzQSSvwtx +B2/j0aW1eYvbQ+eAy8p3WHrGewrWEMEMI5K/MVnBYFthP+dVgxgoBGgO66OHr0BQ +QqtdhVc53COgkHb8dJhp5bmE4Jq2arQNmRJMibPJ8mIHzsYxNfVwNMzkOS0wDoJR +q9RKejw0O0++DJweXcyTwxSoCxjqdojNGK9GGfwT3fFhz2UWkTMO0X1G3h0bqU2P +A5whLUr1h21e/GCQTEwRCTZCcjBQgVEdW+478JkC0QEoOWHhAJGDbHaM3NdKXCnd +0toN9lo4alqru3z2vDcGItrLc6d9atA3xI5/8XPupRpFUnKh+jXP+yNaU/D8XtQh ++MARVGPhKM5PnEVeC6VLMdt5TqztVUOOH/67uQrIcfv+y2im+7UL873Bhk7/gBom +EYdoJEXbh4hB15LWLBGE0eVnoUQj6D+TwUjlP8xNWcIRdjlvzoQOzs5OYfwYN/FP +coy++O+U1PbfoOndpZQ4SDDk2WdChN7Rw7bVSG8eMy9BcVCJUvKeqhvyQgl3GZdJ +yYWZvZPWFz6y0az7CniYcYvMlJIQ7PS+VQ+g/fn8PDOchox1ZKXMHCEdCVp5uPC8 +1+Pe1qRYMxKLRCDQ+fv1IR1+ijYHmkNcIUe8B6GhJm7FpYn6AqU3/xn03Viw6G4B +UtXgLp0FQD/diQAURaSBT70bzbn2Y6LA0kr2/ZaOobjlcTprfkWXGcZ6qo5RlEl2 +eY+LLDVeAvolypvLSBv+p7EF/8P/LNFU4izMqTTBmUdNgJ//Ndit4wh2j2zj1Lje +/E3PEBvrB8tnweX+q3SRFJfct88pJfP+mdWAN9zskEEQyhv6heqCp4P1lGBse19R +EB2BjTgdr0c+bvUqnBm/k4VwmjgSYDVh+/3197v7RunVeAV5wTGqZTGRsaQTw+D/ +bqXgpkE/p2P7UPQ1hL5SGXKDzDlA2WcfaHHgsiWtrWNuHeXgRdqN/jhHPIfoDHXq +ENhkuzUhEkrgKGMfPkRc74Ppr+S+uM1kcTulDw3HHAtzjNvCTvsmRyJo0ie7H4t8 ++W07FTSpQJ36YtZLxasaoehHcLQJ5eMMFA+iexH4+ZWSy1NiQISwg/ldFlzJFsRy +tVt0ukMBqx55y7KUfHB3x3oGLCUYHPyRbk2dbYe20m2scB3n00pWzhObnp8AVNJB +mus/8rfhiXvbIr0Y55ZOwD2A0EC4pIWHetVYwUqBZmug6hlug1qeiUqFIv4Oduej +ZRPC4tNAVtLZeHk+47XOxBa7JjOUS/q9yhtPh2cvXJ+hyWXkhrT/wW11Ch01Cw03 +1KL2n87cHkuWceKmWx1rQ/+OwvUMk1I+jn6DvNQX4k89yaXG20peawSkFVlWUCD0 +TpliXJLfVRBvFRwc1BECV9+rXSMcKg7/xkloVd5tf/vXPOvGm4AksOVNyVwzhKsc +zBbv0nNCKjDl5O3J70Lv5t+9CWjDEhlKO0hqPeBZm0V2l9P0vCaFEzaN7EGYXOQF ++9UZxupZiSKfYdED6A8yxvtO1OKpYkZBxl9LwMXM+KsuPkY9pR1TzmHsIpMDKf1T +paIOlUpZXJL4WyUDVpkg64QnQaTo4DCK7XQHHjyh7XlOcI+y1NUDeoJcVxMqpdaf +a1S+Lpq5b5ol1EJPXLLbpFjHpnRdCDWHQt6UgZCQSfYOGfPueMwZOUWmwmGB+DnM +cm5wyYnsboTZX8KatQ7HoZT9xReA+EaPjN+r10mN6cNRUIeeVGNB5akg/tGtA1xW +Bkz9459TRnrx2I0QQHjYvyu0cj8fd50FGs4DttKu4S7YoJAxjCSh7bH2/8FWMO6e +w9syZ9GOnSIuP03iBC7805HjapbZw93R9xpZJBjpA/oNRW1QVxb093EqDwAVF5OH +nxlgCm/C+6WY56cfVZJPucg76sGQkiSSyfZQAdo6Dq+dGDfis1LQaru0aicvqxtE +dCzj3NwOQ4FgQs0aCaNcRgLlzFKz7JgZh4dOF6ZuPAE9gEkV1CTNRCDm3+fdhElp +ETKpHI6JijNA8MZvioV6JSxtAQGzwoScVnLKTWwqsjZKOYUzYuKNZqgwjaTOE0IM +/6NO0C+o5A7+h9vO1Q061pbWviKFe3IQXdhX9ECuhfDtk9jFjELo87il4BRgPGq1 +8+Yn/gxFNJvFCAOxUFMP0HEzIOFP/v4VwiyoYt/+1CFD2t/c5Fiu7N30Sn6h7nW/ +CV5Hu93Wo5pAvcXK55WkGb9HO1fxL0/6qBmECqKTnXokjGtuTidNp7xzuMTIvhlO +br8QPJILkqPuGl7vtQS/PfVmtaknbgZDp9bFcuRLTtD1GlrUwOAb43NUwCN/L0AC +4MAnVnN22fUsmSeVZeSUff0N/3VcMxZBNHFe8DpbiSQyXuNAK8IcBgRSoz5zziRf +cxQ+/A+64KlTpSZ0WSQTJ8X9PqMqM9nU2I0iX/ImFZp7/25WeX8KMpP6w/SYQXLM +LbcQhdq6QQILbZTgY/khqTlpwFPGwtIotT2BSgudGTBYArhtijqu6DnBV5z8zU3V +LFg/SELmaipesFHVim/X+OmOOK0GZHl/3py4as9LC3Fqv2lRTz9gdsrRGGlYx8aJ +l2puH5Nay1jDm2f1loYASYo8W41WJomX4VrP27I5L85rB3JuD5gWdJ82bxZU9B6r +/8JtUoQtcff+AXzIZWFI3P3rGvZz8Xzv761ZxbmBojwTngK00ct7fyG1zcHJ5mz7 +0bb3aLcdJRxgYvSBu2eEByCQQug8ebORIJ0vG/dNoWfqVWRJPyndcsdd8EphMFkb +S1iQFDmLD75rqINut3qyLUsW4Y1iNpIipFQT7dVQFc3h1U00PcSzqMsDPkMlbMUQ +326kW7W2npefUdvRdKU7xSpkeK9qSA4v8huta0MWD2xlwUNUKvrZx0O0m8jtNKe/ +db1JDFrTAxbOYHsHN0iX2me0qr7QTLxVScsq/1Ypc58n5ijbdvYwC4dxu+Lab2F+ +Oib5kXs5ogSS01b0MW8wIMJR+Yr8gsK8xC1VGkMx7LHxQ9dncIVSX4nugLVNVBtP +GtK9adkIi3Vxq+R7gaQrs0pMDV0azdzJNuo2QXYMvS19ENs5EYebbDvnXzNJatKg ++0Oj+3sLsYhN6tRITWtZs2f8XEy5ZCK4O/JlYHSmLxsprd8jcAQh48A3zeUGbpyQ +TPrdcaI+ywFr6qJCaoQxC7X0djTqsFgIRAvUXFLQcszyqfG71Y7GcaXblOK17JLA +aQUxxeuyCCW7wTpTK1eqQOQojpZHuKospvr0iOfskhZAd3UM6/CdxsCZJWeBAvsF +/TK1yGe05RaKRcUo3E5uilV3B/QvNu00d0pE6+EkxOXyiyqoXp9iHs+yYosQ5ZwE +O7Ns663+SLZCG+K/2PhKhl60mAiyXRHLGdbQRRNVGUvHCCNo5WjcdfDun1G5VLMJ +8R9YS/hR9ZBIsqTeINJmtqriNRNWG3KJUVT5BHA/J+ZNlbVxo6d9CD4HLhfRTlFV +0PUPuvvpEv+F8myIg5MgVH9auSrS1di1nzw64Pr7HpBbZXB5wCDr+Qm6HC7teDga +qmA2GIE4qBt4UPri5PyXgWmLYiCx2ZuIkjaJE0IwwO9IfEMEI8E1zJIg1BHm0Ju8 +t029lxm964MSIoTWpTpBgvvpKx8dABUz5z1oCJQ8ys0m2pArMN5WafBC7oMhzJX8 +/hFCWjgK+gvaHyxvQfD+Cx/5Gj9pQ5zjRqXYSOoxCrinNHVIILoLxMVqQRyu/Lwp +a1rSet6Uw4/vQQvSkWffxa0Xz9yQ1gnpGVVUar2sl+uf0snMaB6L8YQgfAu8XVL+ +HgUwz2OMbJJYdnedt7os7BraHx4eZKSu1tRtzdsvkRc03K/jnjUH0ClVqYGdC8+S +0Jar9JgkxG8ihGSRsHk6AtprPIwn/wqXjmUeYw+lLCE0VJ84RK62oJzJAZqVrNiB +kJpB02VbkSVG3EVf02DZYynzi7Nl3T+uBfIZtiz/NY8s/Bx8v9KqgS6/R20vYvFd +YFhL+OMFywfp3K0Q/IWFkrw8yMfQrV8hiEN5pXjrsnDlD5kohBnV/LUMarharkSu +LEGXAAbRx8L5OsF810DLHeIWnLyCUvuhjA/snI8HT0wDOdKt4VlGNX7r2bxLtgD9 +8wKJm5+5ac6jY+vZDzhA0sA3KbktqbcMjSyeSt6SJ8K1GZNUhBHzpy1H2oBq0g7p +Qh2LAYPXnS/20AB3hyHC+hF71npmvlsVelcalR/JIvfPHDGeZMjEsZGPVOiVOZoo +co8x76S0NlgdDBJEwMUXrlfEbIR+tiuUbleaJ/CbHGyHwDQIukP3kcEPCK5KkqOq +8yhsClBg+jc2LgCuViNS+wVk2BMkHDiRHceEIs9GUlQcT7T7EbF2NJZHR2Xsqqxl +rL7dx/WcIi9iFGJCQ8xS+XmH9nfDYa4jHQiN0nl0qd1a7RdwM/nRWOWthpr2SjiY +6H5djM+KevkyJyXpU06MlD6cy1PqvuYaZQbzte3WSo3iiWKuZzuutVu0ikJyZDKU +6hh1g5OZt/7lEBuaqf5ZgfMa97loi1GBVO2eq2AtaN5P4t6CAIMu67TyyTqC9cPs +BCAaVkHSm6xA7FTYcNNa7g3jP0Y3YVPsGgzIwnqRtn3MUcWcIFQeTg0hBVHYzAkD +NjIc98q3fY5xM3FzBjgr9MTQscNhre5BmeEDHdzFcdAl++/OFrQ1zZQSGt29eaMu +fIKDWOhAjKpmSi4bGbx6OlPRc6P0J9Sg9plcRrnU8br+dubzYljcKWgZ3VL3MBBx +nbVJTWoKiTwRbGYPzsrboP1lAezWgsVltCkyIqecZMqi/ndlXbgK+MKhOUoC7tU1 +IzCLXN/1bBUpWHBNzqTvaKUewq46I+dVHWY7+QxqOEMstfcgpp4NmldhxOrMCMFi +usWT5ZycavW9nG9J2ag2DBI5Ln2tPiEFbjoLh5G9V8cEe9Ho76Nl2Lr5aLBUupEI +YP4M5OypaqqHWH6X45EqWo5ZvxvotZeiJYOMkm7b1j/VMmwvReOWv2c8UZKwY+rE +SKTRq/YveRRfR+W5LEPU7ombUhRL571/E29EuPNiwh0FXBeoaxKSco6czXx4PgW0 +xBuCeCDjBkjbBXUdZRzFeORt2zVtWOsY5kLg+9WazIBYkTpihOO8uwjA0NSfR60K +yN6lFCLv/X5qoB8thxDkhJ/Za2/DIc3QO0Qrju2nRzcNnI/7nZaINHyIcEkoxQoX +I+A/nFQ0UmjLgjvgD8boYToruGJsdg+B5yee7v6VX8f6HfjJW2P/3gbmi2TwUGad +hWBEERt2H+bChzomOrnwHiNE3vfDg4fccGPrL8lJYkVjGsY1LesWIggBp99BervH +4xeXQvsuLRICIR0kyRpta2uiLyMFiyDlLcRw34Z2EiO06owsxHz363082a2A1w5f +QGQBP66A7Opr5LEya7ecPSUD/N4zTt1VjJnm22rkOegIdiU3UuD6AT2sFvCq5895 +taeJLjzuBDMrSAFA7bJ7fbVZ5IBBEYWfJpaO95rg7ws42w0fubmmFsNHQ9QhayYu +jqf1jyFVW2lrkndGctOcsmlkxANF7zdHrfCZOYz79hWaWMQowka+pKD9z9ZIvK5M +9rrTvHiFUyLpuZ/bBSNf4hZ2AyVth+ImeEqrXDo6b1bZpqZoaWeX1waEdww7HDmP +heXOSzNhNiYC2LJwx8ThwWpDkWZkEaFM/H6pefbo0yOzEUK3pCqUwIetXS8mkmve +iQo2vVB/12E+HuCN8H7MYmQuH4yDQLFA9KBrK/VFlPh4b8tq6G+Cj80CjvpdGSPo +PMuflCWKXhoPgd37z6sP8AkRAoFslpobFt8f1n2a30SWZ7Mi4/DsRbOUmp9itZgH +lIlbNAiwkEuVOlBu8L6LVhUBF1oXe0VTiHz374Ukf80shi/RGIkD6DtzeM92Pg03 +26ADB5M/rAmURQIh6Kuj3oFJJgIKuEGegffo4hfD8rhMiXqNLCEQKH/JMtiJIAHg +F+Jq6E7OVaKl5Sz3gA9iHDJlzC1/cpAGuNymviZUZEtXbeji26wurJb309Ft5L4W +jZrIl/CKCvUAwMn7ZOuRovbahOiDqPx5QPJpabLw8jv650yrjFbagq0HTciVX03S +coADbgF4VTgJkIqqwWTcd8MGDkPHIHNyHdxj9MW82Jk1AXARa6J+aikSsBlyrpFe +55pqv4FkGOOkrJIlH+6AkyIOg2oyG9z1grx696CU8ZUyW/z4fPo6dBcT+4yBE2sP +Dms/zMYN/QaJv6EcPIi3jzVtKukVMSY8fO1SEhOafiBpo6ZeqgR84mAyHysvjr7x +JrhAHXCsxk4L/3cDpahlepkWiakJQnvq2YTYWZEzdViRTTHd87VKoyIgJGc6ns10 +gOm+WZK5qbV6IJfSwcHJ8Wr/qpIAH6vl5CO+toi05aaEg7IlpjsgS6HEDib88ovY +K1VpVzipCn0BtCpyBy/M6pJI1HPbTMfP9N97fNpR0w7UCZC+ZTBeGzK6mpX8Npnw +koAf8Xjosr91EDhWzH87VoqjGAoZOM/KMmWwDkAvhnmY5dbw/ZhZZnTRFwKYePnv +TlsEtvMI791LaS7ZwOYRh8Ti37kV1OieAG6zKJ0mmbO8RhHAwj35fdntP+30tqVA +uXVA5NoqFnkYcrAZ9uWPg0falHczgz+BL41hYgT1TrRgxggEHez96kphqOscNEB8 +vRPVXl1WCkrYRb6qwWQfT/qYYxYtZI7ERdpP3IkPFnXM+2QHZgZl6k8SBeo0XKxj +nkHArsNK5LldtckBVbIaoipL/H3Vm9yxkJpJqeJ+8aL7JYGxugXDhptC77YV9bpE +Uj6eQ09Xymf2wvgq9nOtmR2Jf0LlW+wP31JxL9V+7ohDaRymIzvDXjngCV/KwPS/ +qqHsjbqOw9ssbNSVLehnGsz1LMUE5noINVLqVxXz+x+gzB5WjAIXR0V9oRT3Ldk3 +W8cn1q7tIuo1gDeKXPCxGI7qYLT5eXwpT33bM7Xh3U91/d0sj530St+5mSJDD2Lx +dbYMhj0mw1tOqZ3tf8njcVPSbpTKmov8WkzH8DhRymAA1W+hLEbkuzW73/+/mnxM +gJWAtGTIxfs6/0y9Ze9rHR6UeUldLJKLEre5w/srcV9mrGudbqIVTcHUlX6RBS78 +csIKTi1HmOhJ++SLGse4D6Y+3xRdLV2alnJjEilEOtpP7nWcuUc7v2gaNYyfiwLj +4Mik8MfJZRJWsxmWFReb6m+jel782rwb2TCHo/TKXbIP+/2xwuGuJUWiv5S71ZzK +XmrYM61e72zodHteho4qTSOnwFpsIRBlSTyb/YJliSxUnKZkcU/hPMtNOnLLvgV7 +SXYDHiN+z3vp/wL0hqYptJGK1j27r6ZSz8UNWa3PzawW8kJYw6PUvJ1dPc66ny15 +BmE4mYfw+1QN4UV56zpeXr30mzaYqbGqH2SjoQFD2D5LYZK1FQDHJpPrrH1q3AiB +azb9il+RjtoZUfMLXWZAs+OG8NLfVpoOPpkrw+SOddO2+za/5clTykupGkSZyrXS ++VwtBi1duSH5ZecFGBc7VT3JtNzaLXrELdhs7po7wuFAnHG2V+TTYu2ZoWpeT1qF +MwM7X5wtFnfcp5JTdqTiqCJ2VdW9A40+d5/GBScJPe+UILQCMxhTg9JiZvM2e0Qe +ODvnx0rvoXheI5Qkf+bKx73SYPNTMG90L1LjHanqtyn0xCWg6Iyb7YjnCVAS6YBT +lZcynHcMfnvYBBNlf6e7mxWdYgJxt3rrjz3vx5/nSuE1HiNRyGIBQfybQTRuQ+rf +vl/BZRrkZKfdt956Lodwk7zwn5N8TqnsoRE+0DRVo97kRArFPPX0TPoEwJnTbPva ++D1XDbiOpmVpTeGfiEL0PTz7pgnoc+Rf9H7MU91dYJew8HN0A555SCdudzS4yD9p +2rbhu7anuXtJ/WXsiD9/pujd6h8wt3ugE14CFvEaGO8PiMXreuincFt6RZ+6FWCd +TYOeLtIhgdbbbmDaYPDDsTkrjXNE+XaY4ZXflAtA3gQo6QpIqfWFwO9IS8u8W9R8 +WberBMPGTIS5tXom5t1ZwG/MxCsWzENTbsHpFw/lqjVv9kKns1c9Rsa77U2PBjDI +Plmck0W0R/he9Ht5BxQBWFM7YjjkYT2fFNO7D6xQDEUvERv4CZamtbvccZG68k9l +vXepc04p5wZZu+te2uZERWjSLErwPLJdxsDoduI6XpgQlnWLDKtNUpIzrXUGLYs9 +TUzSy7v7nbxBRnFWJF1eUiznBTdf7Z1B25gF8NR6KFQaz8WqfosjSgAudiwq/ZBh +7rJAp3BvMpYH8vFC+hES1xLzSgbZrK3v0v4Ypg5NnX/zxF91MRbEelrUln+W8+7C +D/DRhkcWbBwmW0+moBIhUvaHboiWeLuer9jp2rHI8Ffpo3u2VZScwTRyVoWFkWKs +nRquP8BJg7w/ZtGS9MMRdKwh5mnH0WRl2LWWRotpDyVtJdQcw5zXWQjtWw7fl7iQ +F1g3caFtQ+IWNgTVENSvpk0bt8GFuh1ITZ9g9gn6PYP+LwRbdXtidbrQ/efTxm3T +bSNDH+yaVW7mwGGLNV+BGJ/cbTDkkjviVCBkKZKvQ5AyjuOcLKEhFK0XOcTTFW1F +sVCr8uA1P2PcwFItl4augsIxNulrn5mCQPdCvwZDMBgV64M1334xS3uBDPdScsHh +eQIfK48iMjXPfLzGGL1VmgPpTgTIuuYSpIkW+zOZAi44vdQyFy+x9G6ftREgplX1 +v4mRZKtTOU65Nw4V5iLQPPsdJx5oi3efxZXP2xlA0fN1hQgpSIHMrszRFYYRY/cD +N006CSnnthgK+8nVI1L0Jsozi+H4lfVZ7IA6XZT8nETBxmOo6262sITnLPYePxze +aY4wZ9svaRwX2O1C5GyjhrWluFbulaJiHMd/MbSGWQwqZmmYroii9lhyClkfdKXk +HXZBIeShrXftnpKBBNt6kjXiRsURFwWgOtHpWeZGMbnacbOKexhZXI5D0rhpPvWe +Kfm9QdCZJQzcfAX4j1Qnd9jRDL98Z9QHX9c12OvdHHRTlCURCEiKGY9dagDpKJJb +Qo1zKoOUPPbEoI26zSVmnxpqWvxqtuMJ4Z0Jqj/650PHr2Ty9O5HZRfuEZVBb8Bg +/n+hA7qfOnBdqYDGemDAlI/l4uoXeqy6O3iaehbRDGaDTyZ8uAocP8IxjYRSlmHV ++G9PVbGEmDALbdt9yPh5KLV3D6/G0lBS8kDyrBOgoGIA6zz/RIX7qqgM+gt0ifDd +vylqPPHfV6zVaMv6OdUhlqvGem4Qq8rarg4l3CqM9f9pAOtUQkW69SgFQlhAYV9Y +0SCcwpE392dLYzkcbHSGHQW6ihZKCzopx0yk7UXzz3f3DuVdjC1haE4avL8IRC0r +gtRi+EFV3e5RDym7WGy5+uyXM+c7o0h+7DsenPFFJk+XG7vzyOSjVA5iD4tFyleA +s5Kjlpf9DoF6r9tmsWW9JE14xcLqRur1RfNFwe0v9JqUpj4Z69BBeJi4rnovP773 +r9yTPt7Zm8+Y54AhFIfLS1715FVo/lpNGiLmzLpQ9w9oM3JoYoQz/1WTgiKxBmEP +/KWylG93pQQxiiP10sX3RAX4clRqH2ZFBVjNlJWnTF+WLtalAuc4GIGmE0mwzhFV +ZjBgkXH6+POJUfyWxgj90gf25g6tWtOBoHcIZtvDISB/Eh76QH86tf6KlMuxGx9Z +xswcGMbjqAbsKhi3mpDJ4ZaqM1WTee8s0X08kLQeIXKH4Uwkkp0LwODL3G9vkunt +5M6Jx0yTSO9JRV2IeTRp/QYOtdnAcb1ASoYCh5kcoQuFQ7GqLmwuA/6tEXhKm9SK +J6iCjilEXueNo5BS2o+Opej0GuE54a0/VVdJ0T9jABiz/bPG0v/JvJr2OkLv0Iwp +ljbsw61hKe/wUGYOZRbgtsDxvkkkcUShwc5GF/0VLFIFayKCQFFIORVZky1EpGrD +5BtPGJ9xt0zhZOmNw1Nf1Ep7i/DMH80WZHSj06br/Oqngf8QKJBBYbKvTPs7pPqI +H01cGguTUK6+j9VUQegRXDp8fvHDLqrPHOunw74pwTLxk9g41kzhbD6QTaj97EuI +1JF1lcWq/GnViirSGAm0tszL5eI680CcfgoibrH3yhZx3hts8Tupq705Vu0Y3eC3 +tupZ6J3RaPlT8Ld5gB3bHJc+A1i96qGXhXuTM35GFJyCKaTbFF4nPB+mezMCldt0 +YhXosZ0FD49by2sz34vZQvCt07Th2yUzQBqeLCW5TX2ttGaJBOyWQ6DKZA1dQKWR +bnmk3GNx3k7vIt08HyGpTYLV9BA87An2mW1jkMChshT6nYo+6AOJxFF0ewfKU8l5 +SSur5BFsdrpkVnnLO5bUbUyUm9NnFAdHWQMCvndyJtFkkYA6k5Cn59ctYlbm4+WM +XBf1suadRC3Nb//RRP15oRh5F5E470TD2yVkHo4YLiWlmZCLPxD5vrDBPBNW6ZoX +uolPAybykWW7cFY/UaRMDtpdChxvyQxyYlWFznm67Dr/6eq0BtvFq4w6nn9uvOY+ +7Z8OTZraVnV2chbrv8e51bp+eT6rfhIKFZe2B7KE3QHW4Bis+sFF2FwJacw5CFNC +JEmyq8zvUiKFsPfw8dD4o9+a6bosWPzRpn73ybUDnS43kf069MZ+AH5Zk+HyWESd +uUyA5HZE7W7g/V8nfbL2ZxDpKzDGm8JxssudenqyK3EInQnae48j6+kVMjZa0gGO +JHcHiOiEJO3WT8GHj86m8ZRMBmPmXkmz4Llfof76grbEo8eZB0+YtJEhvqzuyJuT +tWy30DWshMt2St/NiAfVvLmInGNsQgBz7nXVFUge0qOSLpY+HrjFNfitWBSuC79E +AlBdIAkEcN7OAgykIuS8Xwyo+AnSzM6mYo+zLAum8oFfyO025cYeigyttjH6H57i +NNfqzSduMjzFq19X8XC5kocGvplO6zposVXMK4K5gZ/N1FttlrcaXQzhIe1YuYF8 +HyWsydZ1smYCSj5H3D751ZHt43Pev0OguhfXchLQzBfoMQMC+wRxL3Sf2SdccNKW +zsPNv7bl9aoXAKPMAiwYT4VggI2+pysNH8ygPsW477E5TywLjW+zp4Ne/2PULJaj +lMCRnWMdrb3NkeGGF5nuT3dfhDbbWzHaEY6jgQlm06BgpNhhZRaJW/6VXMleyF4P +IxejwM1U3nLdkNEzvOojLG0gcl+X1OckS8lyP/vwZ6VBG611MJgo4MEk0sA6Enno +pIxBCi1zil3UliPENPxZAFMYUc/ykjLdcR6sK+gGYvEax8I04NpTM+QV/udL9Zbo +J2f297jHSbBHGo6AgsOZMZVIKXkNeUFRaPmjpBs7rU/Fn+s+fYUvqieIrWoU98H8 +4dEZeO8xvZi5ar4ahcGKhFMy3A/Oy5PGCvmUul33C1aFr70XXah+TBtQvF/40yxJ +t22pukSAwv+z51kRQ/yJQds/Wwbkdcfkq1QgpVMtS+UkXubua/g38eQTY8eFZuJS +n7NRVK8i+dViO4fAO9TooRSGJ4+GglaAPHIo+b+OvagvdYdG/ZdE5C/nGD33afof +KVeu/KOr/pr8ZN0InfTlQws9+cgDgUWBFqUmxVM+Wxaq+Cgdvzs3hLvjoIrXkaYO +eH6aC4qq0Llx+cYBDdtzR0H4Unz7kBi/qRDvJQOXuICWQUBkR8M8ymDSwgD++SNM +zZuO7u5rCjlQzQ6yuQul9hfT+cEz/mbpLvYjYRja9wVx199rc1AHyYs296HMDTtz +oKTC3MqATIBNWImZ3X5IUWgVE9K1Wf/1xgJi+nEIZ3tMdlH9Wzb0voquRaZYSrqe +dvihn5FkaIx14P3iyQXqlkaE2KOK3IzCRE83QSklTJmtrpZM9pHJLmWLqL/HU0W6 +9IDKF7TPh5m0TUthUJkZ6KatJcx08VsOUSUKNDQlLsaxNrBvf4uZpeKUlst1ctlt +bLK37Kcj9TXZqtoNaUkhbvCvTKWVtQFBHpZi70GCJcCiMn9PwbHTOXNflLwm4GYX +M/mseMVszXTJ4vyByoivx1cd9rboQsUu7pJpjRClv9z7O/3AEfZac2zHebJdYrbF +OzGUWpNBO7vCN6pEHQlDyWZXS4+98kYbkSP7UCP0P2MTj0jFJSkUpiPu1LHOY9Zt +f+UUTxzvumynqylZtYeDmlDCdOXFWwHdopuYwC2GBlP+QkBa2w3rp7wtDaMjdp3W +e0lao/8NEuEKAxRIJ2dSZ4adRKFIOz44XKuf+yju9BMPF64AUcwWV8OosaPa7Efq +sd/5s45WJ6ZuVQGpwkLj3VWK8soF3BjaDEU0q6XQJ50qYmp/mboQu3OLawZVsxSn +qVxNJfeXUoxHMDPp5tMFG7N9tikk+pQ1DwdvKfhtt3krxOb1a5M5oDN+CL9D8dV9 +UNE7SQMemT1Lk8zetHnJeL6ZXdHJVtAkUkClb8rJoh8v7pWjuwPW6DbHi9e24Zgs +BUhDS2g1yCrAKdp5zG5jfHDMHGIcvQ3l8NItIgPyS8HyLDX0UWGfQUYk0TBdOoXS +ykFbvy4c3VSMmiFz4cKKpofyMjqNNFGF5varAqefMAkftoLrV6JFWP3Sq5Ep6+3t +Lj/nD4A1U9bPZdhCyro6zy1yLjETrAPryViKspeHSFPGLE8xIocEeMNdcUdhGBTO +1N+w84mRzfT4iaQ/kmrAQdez8ulEJzQ9Y22h1obMmH4VLhku0Pf4EmcxEQXTcR7W +f+H8VhH0hrPZGtHOWXxFPZ9GJr6VULIRJl+OAH8g/mIIPXEFZomCgO08PsjEoR/U +A1xDCHHjK25tzIHWoOUBhWV7eh+RNA4jm9Wa2leNrbfyPf8qbsibjEPER2Cy69Ij +mlqSrGKQQv2pqZ15yKv70Lob6wJTcjkMc012/wKYh0PDdnjNvTH/bQ0lPl1AM6SS +b4Q7xC47vhJS68HUbvs5TT7Hn0uAEV90h8JzkNXOPYsZBfGSoP2SwUjysRatZmiZ +xiHOb5pytBO/S1nAaT1UHcbEVvylbg5SQ31jycsPNgdJxIMmzWf2kEAWXvyLxyj5 +cclr0ignFDY01TScXXSJSHMNyirsKCltMYGWWG7P7JKUnJYY61gJ7Qujw/1jc6q+ +GpmQ79pSUmjU+z8Uyko1K9r4enm0SsftYHWuDpN8+M/sRtUYT/I+DOrCtc9WixBo +VTARQxBmqrOUg3DeqM5Tn9CQGatxManSMfvGE7MbyzIHrS221464dVu1tJdt+Apc +LRgY06DD/5vSVNXvn9m4GAjozKwlOq3tlpAjWN0o/LClatpleRhK89DXY6k2rPat +XwvjLhy6ItrEg2TTdEsU/ccyi6A5pR9He/BFT9yYm1s7WjRg5bHAE4RZoUNSbDGh +xOkgDI1MFXTdu7eb1eVKlPi09IfDpNO+ovn1Ltaqs8f7imAWROeRaL3X6ygCJyA9 +mYXGWwj7hbFtNzN8j3YTxHcqdzySjsDzvofyEuX44raIZNmSU0XZw1XKAFq1L48w +vO8h5cP5rVcTUmXu/FFqmF3P2ahgByqwVQq9VOLaqU7cA0gZXoM3JtdwYAfXiguy +KXEd1Yb0wV1PvvHC0OIBVkLdhE/bSMuDthUOLu71U+g1Rk6gXeeTlH70ViU2AK0w +PDcTlILWllLMf8zYr15ZSZLeVdd6q+nT1Ucg5qpF8VlmTMqgkChz3zE75bvDD4vj +U+KT3XnTlwtU7Y8YoTudAoeKNpX/pegzbFhmOaqb1zejWkdY0K5p4+M+0wlkysgf +CF8aDtLShYt3EMgpWWJbYT2COCQ5lLItujeSy5RyNdQt97a7442DEMHBhmgrt6nu +NpDLch7SVCUNmJ56OV3ENBNo0omaduqKg6VzYIY8rkFBNWYWquowADKySjUo/NkB +GCgvZS8fRzsAVVNgnF8C6hdhw0aE4qlyT84IyTGMLxg8Br8rMqhwlBGfabTazo6T +fTFIO6iB2gfGOyL9lxL42InQAjJf6bx/oe09nEqBhSXv6IeYOk66UzJghMsCi5ha +RVxDkd+MysdlKjLIwGAnDAWuBxAVJynHZLX3Lb4ggk1e0PlQ0b1lkbysoN6UvguB +mu819K0cnWLzsa2EeND4d2aTgxVQ3GI8oLeQX7Bi1GEQg0PuiCNxUC4nBU3pC3vS +Ou6aZvm8NzW7sgDTk3ohyQY7fq5dbcJJP5qLkiDt7DBD5ryZmq1V2zOR5fhDPZ+p +Ph2PiaT1e8WqlgLptvfMqxTTuow4GV9YC93AbTDaE0UkKmLKWv+ECwyiWiR89gIv +uoXuwao5Z3rca7E/PWn/A8EKut/KaTI11e80/K8f1r0KMjCpUCjPhTo1Ih8wTXIq +UETvCNImOrdk+bngjtJNPxH+y+RpuSEOI/9C09/HBMZN/3yMVoBUf0BAeJsxPGnW +2lz4u53BLvPtKqFka1bjdXsKRR/KwxW9pHgQG4pEJwtGVKCHgep0dk2X5btSx35Y +ZcxatSBZMBBBAmLS4J2B/rsZYy2p3LfIKiBxt8JDyPgeT/4xy63P2NyrbYBKbnVg +mV/1vIO6MqtsQwg8x9ndmgqU7NP7Zd/6ZNlJs8DYyGwlTVh/9ak9RL/WF5Ymvm8T +g6MZP1yjNzMATrLcj38A59Sb0vPLbOHFM2dIVZvDIjs+dNSowUC9aIAwA7ayZf4T +wwnEG5cH819PKT+nuVnHsgzHT0R4NozW3nbJc59u6k8b/qQmVRDauaJ085A/5m/B +ceFc+KwW1c+c9+eLV/XLdmpTNzX34eQ9dAfAtAccAO09pHQZr+EnUO3xts62HKM/ +Er9hH+saYEzqy2d3rcFPz9Rvh+n4NoCUOZNSkqXvytfPkMCappoyPFpwGjGc+/CQ +CkBW2oeEXrNPvtSBLGM7Z7GG/OoKx5s91XV8G6+5v65sBj1c0G09i6ysj/kccf8v +Bx3YuLMijcv0bpFC7lmOI2Nc1lt77PJsjmcwo/3SpCwrD5+WVZJgtXuZiaIm6pd4 +4vYKOEdr47U/s+OQ4RrbW4YfPxepgaDrWIGk8HCu3g1oEq3dMVPBDOsTOs6jpHby +jqgCnzLLxH4ReDnCbVW8a3ihynzBBpx4LbA5rl4twPaOWwUvLiXnV46y3HTZuCkt +xgLXhxsBDXnriqVVNYhAVc6EoPFhhuW7YfoGQ/AHz7IjldAa8noNq0/7w7XKXKfF +B/lmtrEvs5RyYktF/E7QnApI10JPL6P8xxniFvQst3S/16uiAXPwgaFiyNKqX9uO +U1f9Lyohv7lXYbCyj76w7Nu1vSYTtOsN2LtFsyQVQTLH/LgnOUFddg4z5r5o7Kwe +Cqc7B4lpx4O66a66mieAkB4zPB7+pjD1gOCZpnq1WcE6p3vT0U5LhvsZIf2tTk1R +iv7BLFTVkyeQactLQJSgeyhYRQi9p/RyiCjqorWng2IZp0t6rv56QHx2+0Y2KNkB +YxQlLi0RHf4jTKYZJaIHyTc28CMvnQD21k0EFOAvgeu49dK68aeX83E21HQ+gIAS +o/3ChFfZrpoe5dJCgKY60VoDfOL4K3MioPx3kVHnenXusm8rFd9GD3GBmrYpNec7 +zsPFi/CAQeMjMfh/t//XDH61ID3EAUpY5Vrpmj42FRpARKj1lBNNJhZ5OgSkhcqS +Hf+0edPUYfXtfVDOgh7TspjvvDi8QtE8X8PTM33xwhngFrlhGlw5qfOesYUu4arN +hs5a09faPJHw7cpK7nk4kLpXJqAMnQjHp/47r221bTQtVXXs1gbc+5WLWb+k2A2p +JafFMUD0kAqVtSY2B74xTb3eT3/UVHikyn6/z8OVERoRudc5KTVik1SNMzmDl7PN +b8/SwMHNOeyJL4FLm0vfBK2LiWHOA5jJ0pPf21jOUa/JNUQfRHBSAc/PSAhOIIDo +xWNatmmIIyTrMVsieDmGgXP+tT29NjjaW8kv8rTfH/zj7bcf2K8Sd50c84IcE7Ns +O4xUiFXxV8l6RMXySmvPKaIu386T+p03cOfHIVIM6enZzsHpgbWeF1/Nwyqv8qSf +YAcG8LS1qUm3xfrFgynU5Dha+wIUeCSQ2AsG1Say6oPae1U3tTN4tmeTZ7TcPXCv +Kew+ne+0IpFc/EQ0a2Am+qgnd7VmNEKWR4gIwUfFU0FrsegLagLL2KamevzOV15d +D71c8eLGMiBGZmP+V5DqOQxcQHg63K8sq4/+GpqbWws1KV1AsBN9miRv3drM2q5v +bzwtBwlIJueY+5a2hpxfcTfuIYM326EicNpEfCXn7Id35t7lUtgZlX3pbsCI5XKI +BG8H4WdATZzOvniIrnaY9e35GXkvx3ewQVA/qdI19TCExR4DtW8P48UzYseWCD/N +3KOZ8YxUPdOaZ3H4Opx/TSPD23Z4qf0Gw3+6fNtYcxM81h04SoZawHn/zVpK1+35 +eYjdjH9tEP+uzzsg7y6kcNQZs31kGHAS8lSlolAHVJxGmc605OuS8s1LjjQCZzTh +J2Rx8YNN/DeO93zGCA1ZvM3xbIunqbsKhvcTkK4gEyHdRAXhvGnpiwYbr152C9JI +n/S2er/sjG1JAV+CmR7eOa20kqcr4cWDf2YbJaBsblH/Fbg9omFTCYYC0gbhK/pw +FYSKi2Bey5H5wFFRxv11fU0kivm2Ulz6zm2jl44b0QjIMY7GWMtK1U865EECV41/ +TUIDWfWXOcI17pQEqPBXU+wPaMTc5CttmCqDU08ZWP9vlm61ugd+Gje8ycb7QlMs +8N3uQZZDnf+0tazDm1Vb1+PUCHGz/dc05ow45lNJQB5VLVkXY2dSP5T3vd2eu3+K +jU/ASPklEp0sFdwv5/mJix0HAL4zlIl3O1ItG4u2seUCA03yQoytuXVkR5hONHiv +oa7X/u8voJ7+N4bvRBWrdSLKYEkrF1b4YfbHHadZZC3+6af06I9xrAtpQ7Tj1NzE +wr5YJrMNgExiUWQsfYHBWPNRwjK2Gb+sREZyBHTxCGgJ3BGLpSAzWnVGYjgEMdIN +ch+S0o3C8Yys3vVeqX7ZVNWsxu5MIHJC1Du9DXwSqDGl2IGlAd4NogTFCW7hP1wz ++AdbJd8LVMcM5grDkV19YQlIPEx21022UFej0jYOIr66zEpvzaUExCVatqdU3mGI +3x+8ivFYs+I6oiZfNMw7cMAULrlKgA5oLQ+9HJnFw+SAniqZO22KkOgqzUyqMD99 +R4wB/UarEq1fWaIL9WLKZtEivpOqaakHSFe/2Ypq0GJyC4P6dXOX6xDr/4B7pE6s +GX+z1sYMcZ3Wi3NBEVuw8H8CxDbomk9bk2fyzPnYl0c9nUMPciAr8WufNkRD3uA3 +Enbqh/LmA8+SREipJX5dq129Q0UUGuvRB+5RZMpCUrV+9K/JnpIIGfqBdjvyQpJP +46cOOZABMHjSmGgLeWC4Q5Nt6vIaUzYIz2QPo1l1+C+7dAYbEBY7dUM1IrNlkrDN +9vNlCQhGuCQ473wshabUR/9c67tDOOn8UrKWw44sEoDTzhbR70Ket018J2Xt4Oyy +DAQ5BcLG/Z0rEeA+oNRzipb4ao1WHCFEW0Vb/lPZ6xQ6OCUsSPR0GPavq1c3EI86 +pZPAAcNAWyxbotCEyA6gm53H9FHBm32Dd4Axbov4Ix0ReyNvpX2+YBBKOxzP3GKH +nxuP1ooVAK19iBvXtRQx9y7E3vEx2cKPoZ0ifazg6Q1dWMu30tMhpCScEJA3jzV2 +C499cKuR4O48M/dwDOgpmjiVvWAZFk5RH4bLwBcuwom7dto2f7uhfkGhyChSm8vN +EWX6rytmfSK4BGVWqD81Ww05bjRv832Mrw8wUPxz82SHkPdzCQyOkbguYJVlZ0tB +KqlwrRvJUnNCymPtVfqktHdo2hS5k8EhjyAMm5zr19rEGe37mpmiTeNoFbJlSb0x +JpCVk85TWH8k1GTenlKGlb2kz2p9rXorA5ES2E4QbRAbF8V+xADOU+OWVbnabqbr +FiY/9b5D1qmocjFoP6edoN8CxW3H2Aa2GLJXwtMcmMwJYemZy0ellxwwezp/EuZ/ +4sF2JFXOL/R9jFm4p37CWJgvvYYEo86SUfhsvDLBNxuPHmagAVJp8yAx++3jjsw9 +h9Vky5zlmR1FtHMtdQ08PjYoupQiBThrQIZCdR6GxtDpH2fwgGxd3CEMFlfc+Rhx ++TivHhYKH0cWewbpISGABQpMd0jCdzLgCOO2cuKsUjvw7hR+3ZWlPPFpN1PNKp/R +xenlqNfV0dxVfIdyhLSx7ORkyGMBgxnhpR5BkwyEw0kzR0xIvHXjj7CfAs22T3TV +neuU9ZIsUCNPOWJ1BRjqhz4nqcWtlTunb2JCXTkHZvE9ggIX5j82TY33kovKIznn +oWi3fagSPlo0LX159nNEig2gnpJJt3e6wjQ+fPVLnRoNTw/d4Zvqd6vY0kUumB4j +dpJOUk/ZXT0q8rGRv8GJ/dN97UZXAElzEAFs0eo3V5BcySE41qop5j7v1TG1v6Bf +l0+AdmwEYBK63QqaaxFXQ3G/dHYArkYftGePSpcb02+21wsKC1SdlfKmtDCDQ9Ak +BSmA8qc+bqPvzXMan9JVSvsBaQyFIhG/D7GF9zP9NlI0AmkO1P+ZZ1BqmVr1nNx+ +ZczyAa8BF6N4+JkuQVTk1nJyMAF7C8qmRX18F/uzfCJdeSc33iQcLOaZwM4j8+in +D6SzBP6PmrqCxIP8nKM6OCAosoISowjnOr1YjA3YncEMdBTmgHaJ/sdzmtHqekX3 +8pI5CabRKGFClX0t4dHjX2jp4VC+LXbfx5AAYYFvJM0nq+kMLZfCDualfsSOt11v +BIRlQIYXweQZfTbdCKFGjFZtxnotzehKe7tSsS/8neP07EVGGQ0WPfcSG2nNPh71 +P+K8ildYayvMAcfSi+sXRkXU8dWKjapm+2pz76P4QAKOl9CRuSqwPSK843yUbd/g +Rkmgfr2OJ0voYp3SAnhPDMsZLZFkHEJIw/yrdbSxkqh367MI2Hrbny9wATA7fMvA +zrKIo+E+9X+IzehzhIOFolH9X+RSceo4r4tGy9nUsGw/iqIOz4HNbzTqbJX10BQP +6bHlW8PcyGuVnqNICYwmlQLLbqLr9BbXeJblxWHtwlJ1ookZRCebWOdLBDbeeJjz +G2Uxe5y3bQuMZlREtN8+++1B4UInFEIUBZpR+KD0wr3zXeqNDqHV1u6vn/Xxdkt+ +9HfZScervfUdvgQkdcoM3VLqCG/xqwSKeqqaGdNRjpffh2uzhdgVToEDtdqKpoHK +YnYt+Aizhhuxdj8rYt6dSVrppvdOO/XixaAfNGqftAZBYCxGtWnHzAXvGU9R1gql +AnTXU/D/gZ6+M/CTJtlLPbhGO0g1oif0XwkKyuoSF6rD7rSMl7RXwdYopGA0J5Ys +VkHztmZ4dOhgOKjZ/LdwunZfQXo+OplUKYvav2wEw29Ze2l/Zf9T01AkUoqNlIOE +l4LTVcJm3c2iVePEid1VeMI/gcmMxj/IIHmIIQDx9JmbtxLPZKZZ+gCMXS30tOqg +fu4lmfKryc2kG6ECkY0YjUnfvDuZuFjqD43nawfoNVzGQ6v6LSan0e6muvW8vwyB +hNm4Sq5dXffQ/ivXHJCIkmdpRjOhOEMgmI2M422/T2sHFf55dnvm2wUh+xVmjn8+ +1IHKrLnuxf3z27bVWYJ/1itnWMKJu0ubYKOhgaCQkDG5lWsDV05nRVJ4QVtke7tp +oO/4B/u/r/HK7qZk6Gm9xq0o2eKGQX4SE99nwpc2I9rw4L+k3q8lvweEuHuNxjkS +17WAjufRfgK/Tdav4+CaRC1Nx0SzGvTspFMsQuV2hKjoq8gWefCGLUsP/uTw51oa +C+9+lKAoyFu5S8OkaNJu0TVm2fT+0Mke5XgLINU8iAUH8rOUP40FPesGB4PgM/RV +l986XenexvnrVG8N8JWETyqCNWv0HrARFwZvhOfC5iOe+wuskjXpCJpZpxVCnfYP +/n2mNrQxqkuM4C8n6qE/DyyRyCSSboWnrQPaMnHdyn9MgDOPQ0cZtRp2ip/w0TnG +RF31wGihsO4hqgliOWS0I9/Rf3til4+DAMoF8J5edSjRMbi8rnZ6LbmFf57m0/C/ +ZV0rpDQDX3hR6WOjhvkXzAx4giVCmbKa37crwJAoklu442rzO4OL/ljC4mng9Zou +8lioXHYyU7e6H2Op70XdDVMWaXSGryIYAzJeh/nLC7vDrkcmV33WqcL1WjNjPrrK +KDSiyTbg7r+j0oLsi/TKE1B92a922UzqhoWWC5TQwjVB5dkF4gNf8uIg/uT/yTB2 +fdLtYGnIbTI3bBLNhZj9D/rYTL4KuztXrpzFAAMhUy/LoRBoRtiGCEeg2q/Ck1Yf +7mfG3rdDneJgFF4keN6KhrEhgAmlG0HCuVAHrox3RlbN4OESWGwUwV3Zk4PvOWnd +6bKaBazel9CjQey0ty3vgCwJWvP9244IMIddcP0sdqF3pE7Fvla/AYhYo+aTJPoa +m/qPL2d/gUboUM+0vNuDsnwSrTlxXCTI+maMW98dO9YQ6+jBCtNpp6p5FBvY4NAQ +YQLg/n3k5RQnbgjN2e8tvh1SB6mjAFW40IMs6gpLQlulUO6TL5RGFzz8+P5ZFolQ +sRNRA9819SVW8b/A5rCK0zM1gkIaKiQNodq8Ih80nMMAmH439w00dMS50+WqMugk +RtViShxlq9CjQuIWf4NPcINI0jhyA6Xv8jKciI4mGiUDrozw1A7B/BnrPvJTgmaN +HgxN4Jxs3kPGrcffeOyWYXKk7IB2ZUiCMfzQXbxAu+HaZwItGouvjvXzCMj2/lWa +HNUHvpEY8j0Gi15PZie8bFlDT5x35S5rqh/GdWR8MmSiJWk3CyX4/sWrlLvKNuQm +q8IGU+RutxQ58tdjo+Svci4O8nBp8cj6A933c9nBJmIztVY+Abz4S4vNPCK8fKx+ +DuYd/uY3oc1aJtQploKjp59S6tKAdYdxyX4fVT79CccnqRNU2yHkFg+MJwZy/ojT +euOrSdaGNG66mOfyTLHQlZpL9nrRAIsFBjkkOUBkgeo3fWTPRRWmmj6oj4Rpn0X3 +JDTg3MRPQeXtgoDphPnAWVtU0jPD8wpFjgq4xi/mgYLu6S2vvKIuAKEiAIyZWeVp +po7enTUfujI8YJPzvs1fiiyx0nggimbhCG0uyIaUiCaRe9LEVJ70SiRzb6fpy8ZT +DAfR+8B6xX8pRmZYeC5Lab4PaUcipLJ0dmnKEf/bSyj0MwjFbHzdaCf81KAGoFlr +yDFMXuiPPUZycJOBhB0NscvPDpGiL4xsU5cfTIrV1YWcWuNbX/K4T7L8GHomakr+ +BjdKm3OwXRibm9Nuc9zr8PrGEFtPcMchxlcRnKFEqJpbRN6fBps4XpeEqq5/noS8 +zVHnYvMJ42RbqGWuaL8NrQ0krQ8EjcahMaS4BwbT2vC0pKv9nmaCDyyyIyYiP1yu +g9RA3NmO3qxQP8ZaHB+W6SSnI9s94BqJ4C0bHzevzgGRrDsR+Ubzk+yr1QUCQTe0 +IatJIE6Q6zNolZzj86LYrlcA4bpVzL7LMGZLE6qPrb95Hbz74NS8PETY86Z3Z6JA +gfz/9nkou/69/p1I34nWVA4fAkUqGgP3x6XgbcPocMdU8sev3IMTavz+xt+uu4E0 +QWQ61AlRR6UvzWM5r2A787NYtaJcnpu+fXYKRuE4i3QMAg2g8Q5BFrR1+98jToml +QqExdRnbTEIzm2RphYlhr4IVD8zy97OVswMy9IL5DkmpqeVczlFNoYpEmv4W0d8z +CFYDPD3UzkBRNSOHpJVxnbz1bOlMK92kf616TzY82Yn0vGI+JAPVLPfjiM5F+kWC +QOMoAHX4JSDezryhv1EmqI+Yz5HV0Dq7xuEQ3cugqUTJyxOiTq2gmeYbVP9YB5KJ +RmaZfw/zo9tMUEtkdFRyoVePibRSYc/XaGhzOyznUEosgfiSaFbC4Ao6/Q23r/OQ +kYwWueh5wBUI4TsQkFNPr8pCgy26inKvy1yX3EHI6hIkZTw2rhT/9RXkQ0zaudLi +JMuhels/iAlbhSu3pWs/m//SXDFR+G6SOwP9zQDpCCYxMBhs2GyBI4WwR/GLzex5 +B3VtzPHUTV3L10tg4K3QlNclE2f3C9YIgqEHkRJbtU7uG8a+FxvJ+LbO5d2dsd/r +vMECnKvDgBZZuMNZZNfxODmNN88LYrBPyzNeyzn5xP+95lEQKUnTCrpUCtVP2kAW +s7sGB6F87lnjm4d9THvA31256p5ono9gl3TE7kiOGNYKPI2cN7BvBcdUT1YOWGDh +GlbMdH43FFjAUCN0G0SgjRDi3V/hKmkalVZBZndL0QZVeOiOuTe61ulo/PukwnyI +csYWy4Q8YICFrmpLeQ43heJMkPftOQ3X/l2xYg/S3Tyt3Mow5iObrHTTJX6+a3tO +PXT9fm4W49E/TPrd+Iu+ANUVvuZ7CqEwTkKFLe5OLcrT9he+MJt2QZR6lX8xB22W +BhuNXoR1Kt+J0SIed8QUotSkbqxHHYXSs7bSkGAQKujXSSHuX5xdHGsXtdmZiHaw +sZ+aRdMmhaTbRsSAYpyVfnkXDJC8gHXRGGP3KQ7LKFt95SNvSxdxC+olUvBUYQ54 +wURSMI5YWk9HAzA4SgwiTkHo2Xax5Wd80nMCLyrrljX3ZAHCYZTrIjIJtax58gl4 +xA+jSkM43psPDHgy5KggB75Gtff8oks2Zo8Y9yy0y/UFtfzKy5/zGDplOmmRXMAZ +ICs3vQu6/mRmxX2ALUrvUAdYYqhvaNpfJNyNPP5QOODNlwEYkBjf6ObR9u6x854l +p21q5E0P3MqoxfoZBDLuJPDSQMHWOu5b/nVliJR7HmeXA57LM0EOrSEaCnPFDQ1z +yfyolEgUst0kVZnQPFCisMoDjDUlVUec4GDnPoOIDq2BqFMDwUSi+P0IsG+exn9O +AKH3W0MpkHAXKNVK2pbUbXmCrzPt+sqqd4cpjY0O6LDegd+X2Uj0Jtqfa82UnzyI +6zrf68Y8eQ0gaxgfQm59Zc6sVYEj1SFRByROnnx75iHUCeWjzFO2IpmfMZn6aVG4 +kiQXiHSKQd5iebPxcmk4Jhv7dDo3clJYsganbR8OgaY5KuwqEKA1TGTdfOQ+DPhl +1YgRqoJV5zbDxWsPQoRA/H17W7YLvqJAQqpJ4CMT9sC7y68k/aiDADDlkci0DlMf +PsDTnTfaMdD0hDMfOCLI75VamfOyHJdHRHO7ynibJr0yd75WctsWpbvwnIkxOusS +QrYC5mcKsXmyiU1oE6XOZysPeCpzZuHSkAaGa7YE7lJmCw+ktFuAmHRcv3QYf+W4 +i/a8C+pJeE9o2EmoqZg8nVmCSrjFNS3JOHqj7+hxmCSJfwlimRakigdFbDZppWu0 +wznpy6Q1DDjJgCLGVjKhYHTmJG3b/SVKALxjdKbaju/UaYvXHLr0jCI/N48sdWN+ +Vy3CTfKVU5Wnw18x5+9TzI/v2w9+4AjGI5xeUR3UaKuZ+FdRFDZX1IU0sEzj1S4t +B4FDp1DdLyBSKtjKrB9RofQuGXspmTEL6nbfY6zlxK2N420miivjzUNNRnGj54NK +pvhoMyVRz14qOpZ3rSG4GZa5sMsAIfDq4ftBU7M1E8a2LVmfigcpXQPi9fxWa+m/ +bPcAyMw2m4TDzvMUo8Etb4rxH8DZyWj7yZPtu0FEU56YMy1mwGIDTuuoDfp1L74H +FdRQRl6B7NLfOqc72fiD8eAeBQS21N2SORjlTThe1YsqhbDaC4qfBmR0bE8JI9Su +0Jz6Qu9S854sk2OG0LO7t7Y3ZRjo+sjSFfUJkjvudHPkPEjff6wdiit6PhvPEpJp +eKb0eReq6UnDHfTJJjaTnJUAIvFTJ0UENRzAnDvr9FkSm/d934S25lxqJ0DOtEbZ +QvgXS2gw5vikrRi5cnVqXXYz6Q/TIwNTC+FnUXRc3zooKjA7hZVWVMM6qcGzREbH +EwMZXbkG60H6fqDZUq82CcduTkdO67XojL2p+Z9VxGK31be3TzJUiZyOewW2CqWk +enSR8jSFVJdaNHbiRjZJ3lMgOgNtILQ+V71tTJ829cWxh0AYSRa4UnHBFgLpabLa +Qb+DosoKn+EW8h6wLC34MPJwiUmZfvNPV4pMYAzaKRHVQK//wBJqs3LeGOEtkn+8 +IdJiHXJh44o/aW4V2E2rfmtYcF9e5txdeUq5VXUWR7lszEVJN8XQYPyVS2LpuAtS +aUF3YUqSbrOqvO4hhVovmOE+WKb7RTHCFH7/ozPUegdxXbgsI98zL+rlgB3SgY9/ +GSPcIuOxZobnLmvjKAJuAE/Q7sQCnCA3DLm9R+5RcfRAOO4idm8S6icRrnwy76So +7BOWKyCYn8QXQ15zjGLKbLFqlgQKzW0M4JJ2f1fTJjbE5L6OAzOtf6PqSjQJETuZ +0t99zQ7x7NW9rxzDv0eM465gTlhpQ/c+bUKSkpceLTmiRJ6u5FfPNNdjInbk1lYE +KIxeFHqL5XC+6Hqp1+aSzKrGhiqB4xFMz7bEBtTzFYGxYhNQDPi+w7zCgGyrQG1b +w2tU+kqMmo07kUEzU2cUUO8P4s9jM5L0B1G1AsHog86Q0lbTMx36KYwVK0dVUSMh +MgR+1DOizMyECnedXcdOiVw/FrmIa10LJhYmZ+gtRXFTxzIccKM68V2XqPO1vmJA +BLCcW0Crsu49srXlY+n1/r06YSWgJ8RClpuzTa9SjWzvGm2kaIn1c7njYIGcFIud ++S0ldkBvmM1gsxYl5f6GdCCKhm7hCPj/e+csEDxEN8GlAtxqt5IKOeF9JFrmWfpU +HdI3ky7DUBYwo0h6mq2c3NK3nVbmO5GUmoU5jbVgeG1dzKb/WzBeliJOSuYETebz +yPgmOOFR61qWKwZoB+w8vbOXVBg+AX1yM1pgN/YOHeGQkiF93zXCeRzpjuUJIyR+ +KjF+1zXUOpw/9m5KLeSFryr5PE6kU3NEo2DFbf935kdEOOEm0qJ6VDoRI4Ry1MgU +BxjqqLCcUcphJl5zN/7Sn2/TnfjnwmXBdDLNJpMco5wKLU5SlMshYxbGJ1GHRLbF +qjCEdPackNVTEfZiWk5WD59i/lb/63QcJfSywVk72/Ai6UTloiAi95Lhrg/NFTZe +JBQhPZKcCcwhMjzcvNbBWPVoSDX9Uv2x5XlBhbhbRSjnIn9+puKOnOxBJrscK22u +gW2pSkLsjgsS9aov8mH4K3WQzG+D/IFkHATTnTRM/0820tKdQ4Yv/VoXaVjEOAPu +/pP3vU/UCYBKDRgQgnh/W3owESXYr3DT2DgNo1aDbhnJD6HTBjeHhxXjp5utcO5a +AQj869fbayAd790nk3NStgBoc63XdVd8HYcP4vlqcSLAzT7pQoFsin0QpqFJrMp4 +ABFpGzshnPyo6Xke/5jTDYpEfGZx8FQUC5P/RzoFvgwvILuZXdLISGqvakki8CSx +KgtfMECZXi+Wj7+dFQVWcT3xHFbZ2jldRIoRKfW9geJ/KvVagVAbKra9E9Fat6wt +AOfG66SwQA3UFeRRH8YFC/SzdADxtEb5+SwUAZQzQMZFPwvE97PljzzrJJzda5pW +R0TdlYuOGK+b+pX2sSUnO3tVibVW1m8//3PB+trB3WRm+Yf3UxxojgldPcLG0K6p +dZnt2iDQhP9ONNvhODM3cvphzCot5vVIPZOZiweKOsXvl/LwdXXwDjojHFZk5Sse +YP8S0IIYsDgrTo8TRvw69l2elhF1/1AATf+q6evreUSnO+tRXQKq/xNKfjrIX1Mj +C8WttUQnbGUxEYSdqw02O0Y0BYrGodXuaYcRYIEaXOiiqMmxaE4pc6yGMuORZfBG +u59sQczNf9bGExlj8E7G0Bhr35SE1aobOrvDkGqi/cJrHgjprcz+JtMLltku37EM +KgcohvWKY4fCvXqNs54s7TW8SZnFDW9taZB+o8YrF09ESAhWccjOT4UMqB5ERB+F +EpFnBjo3T9QC161fvkNozbehDarZ1lmHtuXFhfSGech3z9fXgdc3XLtedYBVhbsc +Mkqxe8pQ07Di6y7TONEiBP3fnnmll/FMeSnWnx+GM/P90lc/JYxMe3OSVFhjIT+Y +exPQYsXQp/3B250BGJGdrtmnX0scTK6LxwivJHG0geDjOEyBAUG504B56II1Iu2p +IH84IeQ278EvMMCdlnK7e0sdAugzEy0roGshazifWgzZzhKgplor/pSEDibVAHVO +w1NvhR4hWhDueX85xauve1ezYUf4MThtScmO7AqN82lyplMYwnEA2qQRc+QNzH5f +dwTNS3SDH0zRQMT0qye2xtNoJiK02RyHOCZ/GRWi27hZEbESj0bgA6SW5vnqGnNR +fRhv1oEFHPVNhu7fu289Jezc2PBOjCk4Wo2l/2ucZH7QKCs+C143WPXtf5/YRzps +hkvW6aam0V58mUsPwMgaG7yvQ6sBYrH8KmhW1vLq7XJWndZ1d6wwprpFnpwBUu72 +157JWIOIZ/S0V8q0nPFeq2FU7RXH5sxnsuwYBnKA0du22TUM+paXNBR/tbdtQwa4 +5vHED0pEzctv64ojxnFfBXz+xSDEc+v29t+/nXRuRE4MiYFaIiWjLuFT6kpY/u49 +A6/3iLZQdaBi0xu5Pg2qUxloJ/46bG3DyZFyzBPGjHNH39eOXj50UdGv+1sVnXJ/ +VzeMt1Qgpuvh+j/nd2A0H1Zj3A3E6WnHAyToZnktDgjabsj0xTs3ZRTJzBLR/94V +D5nTLSn5Rl81mIjNinOxZdq5C+JxpPIlsb5NdIn3MfVIwLP9L1O5+J0/IBvcriQE +vmsgw0XmU3UNcldrRtgN1eCZrZ/UQtqdvFaxKRBZjfVipiXWxL2FU9ikWoAFGOME +BuPj7SelwxVw+LNVbV3Le0AjS3EgmsT6tbYrOTLdEMK8uuxKl2QWTqJsyU2pSMVI +YlipJerD1YL3mXT+YuuIiczY6Q1Ksw3R91iiK3jfjCIwNl7iMeNiEvYqyfqJdyUS +eafu46guZx44CYtXuW8LdEtjGYiZhp8/L4VDM9KEXoNGBYVRfsghzjIH0F9kpZTB +Mtt4uIk/2ypnjfsrHmz9S0huV8K19FI3NgzRPMBeQLh09z3nw4q7CaTa9OgaSEKd +/iS2LSvufaqSSmKubOMoQ5kFD29dFKq/loifYK1jFo5DXbb83fY53Au2D2NgP4UI +dMw6CdCaOmM+y9ZDJj3ScbBooQ15ocAeZSS4VYUdO0Qd2R5K4eWYUdj2jIvvwDFL +XM2vyIeaXlJ+yH029bhtzDCeNCSzTlK2tGt4l7VA3luiZXxkSQkio6a7mgr9T+1U +ppDDMWj+xFFOryNfLn/V6O9u2w1LbIFHExcbrwsWifmHcyQo0H0+9tza/PSDP0I4 +wShdKjwPdQQMQCzBNCGWZ424g9KepL2/hfBzhizluWDtInVWdc3gdc61Aay3u8v1 +9Y7X7oELiws5pH3pc9oFo6YOCRq8yQhzr1vo1a3JRJKf+jupE5dUMdXJ+WrjvZgm +Ajrex2sVfO3f9zBuAaT09FWxlGFCiW0eDG+nC8UYFD3iEkoLYGxyU+M1GJ9Pi1Nt +rL823vC0VQ2JNwPCSY1Jo8JbulcrDPlaDRhcDormc6aFYR3SwVWre7wrLTALSuFH +RRypGj7QZiKlg2UxuXNoIwdXa2HezeJQ4/OEm0yzlvOUiuHwESZA2IQWlUXWFU3D +cEV/P6Yf6KQ+17260f7rNY6mYXRrv79Jlv7xL51tgSz3jO/X5VI0gpEQY6VTnila +RknmsstYEYixbgzDVilce1EPtXGXGnuR+c1O4i+bpASzvF1pxQhl+DF4Od+NO5tH +uh4zyGbveL3qiVQ8uhwfLWo2vt0Hk5js+AsScnjUVjkBGtT+yqIbwRW45vBdEqDz +h+F+6sZhzoJFG9MaZF764V1Xh/FJTFPInYhiQOyM65FTt/5vz3k5UR9VMaxhMxEt +/m9KRejuOFypk+/anxcLe0nnwDSjq6RJ2bzxEU9cHQdyJxNjH/8X+n19Z7rl+IS6 +Pk/XqWXNrLkyRtYI7mozgPEH0VOd9EzLESQVmPMxi13b/cDwnVvt2Xt0wrpHXjiJ +zkgl5ex/V9bh/2xss7bkiu6z9XX7KnA/cgHL8H8GaZmkAtf2HdRxT/0piaW9VKoX +P1Jd4gZeqguu/453gyNxYmV1jIQzXWiDChZn2qerXy8nf3k23AMMUwPtEbWGt0ih +oIwkKgAPtTXQprAgNqPVUGrRcSIUe0E7guH2cie8owal54X5E6cFw5NzGPo3TZPC +vmsAwvmTgm3u5QOLVeVWoq1Pf5f3ymcVTZ0CBq9FRoPW4c2beW7Bq2r5yHtXRgdg +qr3sCogq0UyWVpkJuwc6Zq0uqqzTEEgy6rrAslWC/Yg2KwnszyKZTmsLPVsO2gdA +3NXA/n9Mqh/JJSTJCsQIfhlELuj+jFfpM+EEHGYB0Y8ov+8z5Cv91+MYYyfnFspB +14K3kOrbRyCClYillvX+QV+Mrk4221uIwUi1z+h8PHE8PZJM5mEIfzfm2VoZOpRf +LYRnnRRRa9akewkui8peCY+bvOkmVoN7aoEDBNzgeWalOr8O7++k+3qHiAdwGvTE +2+1fU/yYLqJzDac5A6N/vcjXSD8rW4YuGLSv2vFj3pne9deCStSC90i6HaeSKj4V +hhWBwW3aBmQwaj1+q8SeCzXKw6kZD271nPAR8AwEG1Zft8dQPpLG9O08k5YhQfHa +dtN/K66cVF7zii6vS0eYfLbhZRmOyiWLcFpiYyO59CinMViqQz38S1cYIeagErJl +FzYW+07J78YFwqeiLXu+k87/QrhbGz0E/vNSuHLPp+q4TWVHLU8UFNeXU9KFT4ge +j1ixLFtZvHyV6molKEK78UwG+LCi2FcBI5BYjggRaF/XgLiyl8EOfPyYg5lwOYC1 +uo+2Xnw93GG56coxIPMERJJD3XRhMgiOWXHU6+eEA6O63nQudVi0cpmzj9r/hEb4 +faEJ99MX/uZ9KH/dIZCH2ZUwHuW7N62rRCJWGif2sxwu4TgBshkeAQkFIB8C4RJm +CIW/ddMt+0dymA5Lj1tLu/G1SQVD/xtH8ocRv7xWXwvq6DV7ETZBkTDH58tLFn1L +bHWovKuyxXoatHZpnKGiQD3rgnXskxCGDcyVF1NH0L7XrgFLyDjxXbrxlKjRuuB3 +AVunuJQUvoyENE7PJ0ySk3dTiF+UweoBwGRoY66sHlY3ozq1ikYqwViIrwdWIt68 +jLf1TLmjMNBM9gMMpIjyzEPDqQ/5+5rx6E9wygj14B5bZT39ZdlOgDQ+unq+YItz +/fqSU8hUo/iqQAfeUSS1tQiZFErVLiIKpAnm2r1A3kdCnP+3yp3CaTO0SuoCS25X +KriaXweeUSN/VXfS462KzEYViCFaMYauofo4ucCTc3LPO96vvHiVWaqSeijh2Fa9 +YHo0Ty5Qt/ym9s8AbGOmJZozLkgBSLdh3Q/m3ZB/iWRsW7vKRb2JEaNIN59t5rZW +EzfaJZCLhPeZj1X1lem/Z2MhIJiT9SxQG8w4Hj+l4hzDEx0kRu8ZWsk0SBqDQFtL +FKLGYYS5CzGC6kYsanzSdKCG05sUoLm64sp7AIN8mmYIbPFbCmbGNPPdPmZGATeE +NhQDPZxzdA1jzdURY00D1HhGV53Y6PWCeu0q9miAR53lfiLy2Ff07hU7kRrbNmaa +MVJ2zh/Nf5dYVHCLeRcqGthdNBzE7eaS3o0uyRMpTNTHOyazHZzgxsKk1Be+O5Dy +a/d/HcrhweEbXSaYWv+Y3vgA6dVThs1qlEUUww/vDAl0pgn+bh8pR4sVs48956nC +cVmHR6jU/kpDdhoaPXcbHDdfPRhaNxc6KKz4YuWTkr+m+JUbhULI/+j0pg8FBjFY +SGjhCSGTPcXxNEY97HRnj/BbcDkmwlRzcuyq1tnSt3q8U8/BLXskDVS1mXhpw1WB +02eOpT5YwDGyGp5V2qTdEzNcI4i+H3yYm1EUcCwem3WfaSP5kqc0rpgiELB4MgRj +/pdqDQaiqwPfzTMg9rxpAZmj804pC7qkKFFC6gCUxSzXg/g4ve546mH/QhF9R1rA +X1mBilkN6+hw3rHTfaosQhnoql+JiHMuafUbj0FpaAu9fZ6KPvwRjqkZ0/GKH1q5 +LDJxe/RNUqPfCz7zw462BNVOll5zMPrreFdZUTKXKQeTJgsuBsZCUtA+KZ2riVuF +tAumvyULIjuuons4P4gsQUn7HtPCg9RY9JfxFqdK6eBK5aVLkHVu8GDxa3Kzlj3X +F8a7or+TXLZjdNIs7EEYO8im7rqKiOWByghmiAHqAUyr3EJb7YsjWvfvFzH/fgo9 +9LdnRBHnhxSVZHz6U9JhwpFF0tAbHAQ40RmWa0twg7iHZS2evYEiYTMtLkf7fsT/ +xRXyUKc1KLQpsux9uONOfnmVXP+/xtVTjX4+jB5N5OAMI3/xasQosNeaks+uNK5X +eiSnUaz5sJjXrmUPRCwDjz/kh+axBNB0sTwzDZV/SKWu17jbtw+3QVoYcm4agOc8 +Fo7srOhjWMRBqMto0dvR4BEO07y7e+RSfSgUVFn/K/rvp9VAawpg2v4gIhUjMXw0 +V43PHIQUBg1PFZjWHA1LH0gWLv997RRHWdoVp26ISADzVCuiiXWAiXXVQCkufo5X +tbBclyktf0ee5BZPGb0kh9JNmETHzNIDgTigYfbZ5fPw+sEKmlMK3tq5LJb/z4Bb +5hfZ1QS6HHfAM5OI/MlQ9S5LJmvHDTFlxPaLkqTkwdnL52b0faNJ39/YxOcQ4bpv +R73xC/TaA6Rr8SMsQKHF0O3ed6DD5VUED4zmwCQuvhPs5OqINGa4j7reiLn13qnS +O3T85wmEge3my60e06z9h+rgfK33yW7nkQztFz+PxyDT4D0R3UX1fdKW67xri6JF +1AI2XU6gARaHP9GaALLwJAmI/j+ZkEhrsGZ0dFCJC5cQXah9lt6X+xBZhj7dbRWr +bpcpY7sIKhk/tK6A+WMM5xEhjXrhpYF922Z/mePt/nE0ckZrgiPEQ5dklP1qO0SB +BZCoua/ZcpUlXR+v5+CptNxV6gR4fCuEkq/RUL8tsynkdXLIh4MqiBog99anBRlg +TQiXvtaoIamV7uto9U8qn/1RMsZFkNgz05rGGRuD6JFDcAYu+MuPrGwqd9XNU+wb +/AEGQlJO6eih2uP3pYxpxji59JspKc2XZnRcyoYwcvNdAHReZ1853pFuYPC+6uNV +EQUissDN+rrxnQwPYUCfJU5HMd79q/EovoGcRRgYcLGfDn88y9wG5LJE6jlGfoTz +UvXJg162zKAIRxlntHBSIicZ20av1DRJOvDLZCITf29najLZaqz9/eq/d4tNsr89 +1iuTNWWEy/lcPMPCe7ik+z8TyOOZQyeroGQXOzds/ntjKRapN+Gf9jJuO0b8DI5O +0iOh+VtoMOV/77VmPOVi6uGdI3T04hANGaxVXDovudVStSCCSwKm5cYI+jwNVECj +cGeI5Jv3cS2VOEArBUOkcFYxM9UCZmB8sJsxVZHuX+CHZbhU2AexklGnZasoPQuQ +Ym3nIcRtOMFSgJVsfJR6k6lAlN0v9iyAM7m86zHyYuGPD6m2jU3gP5KdvAsOX6zG +4SpUwjTAPr5wcAzr/WgLfEPOAP6B2LDrXmVoZLIkURXGY9mIDqQWpgzb2lWhqqKg +T6/6BAvn4jHj6lPQZz68OJkD76cQD4R3Pt9TgtVZGqhCDPrIha4/hIpr5r7FdMpM +rKt2iQenMxHNosO8RPIK1aM9bK3ulto1e6WeMrIUYljJqalpO/MbsYa4XDEz/0YY +U/Ak+fvbpvNHqkMpUE8wBfBbydIQLJXTEmcn4lb90z4hgFmKrDBkZ6D0YODh9+y2 +tAYwUdUj2toYE/Uf+B/5sWDieiVmz78MtkM8qFEZ+opNQX6sSlVISqhRbhQAyiaG +g91f2xWa4ikaDnar9HzkUyAHhs0J5h/X8Nw12kbVia4xvdAwG/4/yJCBrYkpWqYi +oj4jTro2gY3vYsNeo90SY7L8Wkckcuizm2wlkULdeUJ/Bigi9inY1xcFnFWd7I8Z +vA06NDix3IcEUS9DSBhg02RAlrel+kfU34cAa6hYu3ku5bs+f9xndhtuLhr88EjQ +HdxEBhWTOxJ9DaErN2Ody+LoDYt9j+q7nnwMFt/eEKTjKGNN71ck24fO+UE83aIw +uBARmLV8CZIJus6NTl5j3iPQ2gKAdwwQOg7P7S9NuHz07qDSVaPT+2zMom0PjuuH +94P5SeeNluh2Nl2Pe1wYP+Amc3i6vDcGlBGBZU5+5vscYVMKwj/n2KtJstQDP5kI +3iy9LUVIZblSPzZi7+U7/KDTXpQTlsKIkjmKDnql6zQreu3+A8Aeva/lI01JuZhv +rwLTECQ0kalMBJ2cPKxQvod1+E4hqvyyrKjO1XzjuKl2m54Hv9I3CB4nj7cxUh3O +DSMYgu2hu5q+QojzjQBSvHLrCgPLyPfjmgZr4mU0egm/ubqZOkxiUzWZc1RqDEFI +nS8nFr3LCgRMxANisVzYxRo9ZzKbzRdcIG60aXv6ilhIWxWKFwkIHnY5SobWy2gL +95bgnIo7K6oRztAHjZRyPpg1WkcGNbnGljsoLo9YOIExL96j5fCX75uZBwQoybtP +Gk2nh1HKoTnj4J84/201ltCIwEPEFRyYyfPn/FedC1fdDmt/S42YW6qNF6Zf+uzG +eRMvGtYyK4CusCz9nHBGNJ+SF6dUIfWDVws/aBECeABqt1R6A29qcW3ZAiHposv0 +hkYYHIej4HpJoauR8P7WBftOwudvGp6F7KI3FKb1B+fvbRYjz+StQ3XrtHhW8Cy4 +obo7uTZu4QW94av20k4QAnicY4D5R3BYfo7OI6q0Rw4MKpHJ71R4uwH+t+SV3uJg +nwujc+jCm+vAlQ2OdKpHuR+sYWIKZaFDwQJ5Gv+yEBOdgJjC/kV0lLhK6GoWXzuF +Q1+xIHRNTVwYf0lYyInDyUO0SET2kreWTEVUJvui5AuaeOt/tmhECe6Kg0JqNMWQ +I+mhKdqtA4k+tHlqe/7bSKAj9gt5XTIgHswQVNxgWJ5DJUd9R+voJihMqfZ3BwTs +U6u9/AsmBRb0T5ntff2sT47mfGvbRcObfKphdUEBCDsgZjRPbHH6oJmlfC32+oVO +TAcYnrtppKUiSbbz4fAxOzaB/Rp/V5Fj2pvQH+z9yHSxcTYr1CulYk1lz0mTb4Mc +d6tTmceOrfn5fs6BKKrFivI/HGEOo/96n0uQVjMDGDAPCHlkdlWtvUiO6tOX3U+L +PdBwaGzqJrRqqmq/ViiMWgAbr3LeRoZPrQVO7bje/2ZXj90kl2opStjRQeOA6oZj +X2QFtbrvZ2YyRdoBiv6VeiY9urVcKc/7c2GuzCDSNk1xdH8GXidDxN44pmvqwiJz +YEnpQL1A3deBxDOQnQIFmJPiiGyef5Tj/0WrgBLwrnfNomBIyf8MwP5g7KIQ25BW +SjSrsQxbixB6L5eMMUvmQwxGoT/zvZyE+AA5vmNcuB2weplE6H7VPeMUEgO+Iem1 +3mURl6Vlcuz75gP/wmov/Ue+pqI5OnRI/uGfMQE1Ci9hy+HQX3WqfWx2m5MMuolz +vicnXFqBG8PmQ7eIkeklFyHdsUes29boB7IHHWO29j78+JnrbemX6AyarsKpGMWd +nM7x9QgWxFkS+/Sj2Lez1+BMqHJGiwXeRhKsQre3IoyXkd1AxHtNcG2ZX+qG7cV6 +rmS1GQbH7k/cn8Oq7bsd2/N2uD8r/cZs40rLCCkMw5ERYVS926g65ez/G0Ji/vpm +G9P8BK5TjWQB8LqyvpRY3IhT/TJOKu0q865KHfahf+usbO1AL0SYcbFrVHU/lV85 +R5sQkBHtFaiaCaEW3AlV3wlWITpquZ57433YyZhNbmZjx8Ha44TZB4qp8Om2l3wN +M0xn7XkAIeWO5ydMjfng9h6PFBizKn+ZRRbVLeH63gnPBTyQL6ECSMp/sMb0HoHx +dYPXqhQLN67LO7GzIRUfZaTTIgA8XYwOOF/4X/ZhcDcNenn65g9vl8Dxz3RkkvW8 +wyPnv1LDs+rdqsJ3K2t205+I+GJPgsevMgd3OxNboQuoYLFwgJEz5/4LHNUN4JAY +UzV9A2MtBxVNWxZtpDhFw4Yj/LJZFeHSUrXFJ7MmBOSU76TtxHWgtmhh4d+Lx7Za +wsot6FMRkauySuBHrupYS9MWo3QKTuKZBwGa3VtVPBAIqBaOTC5YoO4noIqGYV2z +7b2rOdO47jS5HVtTuZt9dZgyDKVvGazuo2tvmoCfGQhvSvqgj/eJljt2E76eUo6W +BIRmDoZ0pM25BUp9/BI2ySYrEQKJA2h9GJcUvFetrvqHUSYBb4UHPoGgjYTmBvyd +SofmIY859SSvKdyCLz6FVFgfXdPBPeeaU1f2wFMB+3fXg9FcpywoXoZ1R+WJeLcJ +ve7gOUyMy7Ay225sazIvo01ekrIr2Ha5y45Kdt0KL+LTHpJNzutgUO93QQ4aKlV3 +QrsuYLUx9ZHgxJBm5E7RnfeJRmVjCnGyRc8CgFeGGOUByEk9/AXxl0RgKFas9sim +gakM0PvPbYNR0E16Kd77HgenATzM5UKrheCT53ERPDXM51gIgzf6buYv7tnoeKE4 +/psVXGeVHnExa1W6VUN7nTwxfjl6DTyYE4WSYBg4ilNQT3hGzriJ9Ezvgql153kY +cC1j/tpZKYrgyjHUsOzeriBmTTKWKdss5nBxicErFD97qSqc4HvOrZl+H1a5hJiT +S1uUp18KWNOGpUmqlYS+fAPtlxfXihPNUk1lofqWz7rT6BKdT+cewwxvWVkOV8/q +Set2YhQ8Gp2I4ByvUt83RinOBxsdmJL+hHstv8TkHxG3ON/2a6wPlM0GW7uTa4Wn +0F3IP1pzDzPFKBy+8BSsTUCibyAXDvciZRjs1Knsyc7zfg9IAS+T77EqB2yhPZrO +32C7JKpHaXcnO9JkPP+Ucoy6JY2ZcXqB+PP9cp9mO+M65bjfs/+/0Mi3nJzeocCI +K11Hb5cmkPf2wIh0UCT7hOIYKQeLrlCRxyB7lpYsaYalCLAqbVSQxe0RYfq4VkIJ +Jm6Syr03kVgjU0sVIb84D1ApSxqS9UgbvDZGdA3vyIPY5MING5teV4DGBluI0sq+ +Ek6fgDEydxwnuCH2xtbQ/QtTiov9afEkZYBZhThKqr1Ue+6uFkJuvbXpRUYOKnm7 +WRsGyrutf+s+oa0aa5FcSCqUKFrC7BFQjL/RE5Zl2M4Waopo3bL2OhnuNX2emTOO +YQ0yjK/xyQBo1w6/6dAhhsensSaqTah8biVx11wKG8Zbc4VoxbkKvoaoVUR4dEwq +Q4FWzWGanw7b4ddYbB+kCFtY2HMBYm0Erg7v0d3fBbQiYoYzO29vo0qNUvoCFFyM +2g/GKmAcwmtnvQCCnpjJdXFYG/3LyDr6v4f+ivyOhtREsjLcfMmESQnYA/rqlvp6 +tGXbenkr0EBXF+6w4Jh/cBuKQPKfKQJFCUgEzXRR4TWu16ISfd8WkJ0fS92O/r9Q +/3HcAPr20rubBgURi2rANEi1LPneTmHxd+Aer9mhOpplb6fSg7KBJw/CPB1y4bz4 +Gb8Tbxmu/AjUyF3/hUWt+9FjAUlyLUMS9hoiet7FEcEKsaLedHdzNp4cz/Z2iYor +ZLtcTt7iLmcT8qdBH1XeyAUT3qnT4MFF+RpGUMqr2b09L472CXXYLArFtXacjVrK +GyQftMcDlthGcrZBuqCWFvwzy7QJtxwvwAWRtLf6FuIH2G3UyvLa4wHEh3y2REDc +KZUX1YcYB2E3L+LAkAvBmt8ax9q0hYfvdMc9iOiYA6FOG7tRFlyN4VhLxQcUxamA +1ln5XPPkSkcRG0OOZA3TWy6IypiSHVJuzuF/oXPVbU9F/7neOL0bSZLV/9J5z5D2 +CP3HYxud5vghcoCTgfoai/e3hAZ+A82hPNGU97+Z+q3V6u1mbeMmhkXuY/M2cvs9 +IQGxWvof2ayclDuZBkVAJfc+eJAb4EUu4K1ry2crJuDDoVYuzejbLmFKnWZEBIxp +Pay+Jl0xV9Dfsx+e+VAyhvp4fom1C9BGAKroBJXpvyx9gL0YrVXYXHtkLDdbsKri +Bfgtsd2xjatVebqPOv46U5v9wgcsGN4Z891pqsnXbEL4x1WIQFv+n4y0ptrIPDZr +s8ZfYUO5/tKM7IAi5j1jsGCIfJS/RU0WCAsLv5V8WfUjOVkANatuwT1pZdyYoQ/u +QOQKan1pMZmMG5TIBXLOeMU7NjcYYLbnJADI8plkPPXhWYXM5p2rZkJYMSRdlIZS +Sqp60yhl8F4rjYh4R9xRYkDVLjl1CEJEAkvrenZXg8ak48pBOAHBEp0qFtPo643L +6xumLNDoZqs2EiJNkAG39+h5Who0EPC8iEQxOStZKPmJZn2wZtQC9+2eCvw37rUs +Bjirjm74MtNcL1cFKDiORyRpxD5xa7wLcWasYLWZcaBs3X6VGu1fZg8ws+qAxjg3 +aI2Tcq25ResvRewScjy/Cpof8/fEnENQ2RNlTXMmno2u3RYBb5n1m+O8yd/2PuaD +gU/+V2a9JpykrPLtrmbZZLgABtRMZ38W6y5r1M11WEtkv6/HMiPIjJMAMeq7Z1SM +Ul793pj8c8KQCFfWzrIEujdMLYvfFHVnU5U+p2ECmV+tXgna/7R+TwNYPqEn5miR +vsEV5nUN0ru5PfTYf0O6jAQfhIWCAjUv7i0bDN3s2PiHdARJcvg0tn+pdD0RcRON +hhfAm2MKCAoyDBFrN3ViO+GQA/lowFR1XZfdzMZPXVJXUpGHkpeXjo/Um9Uw81MK +jDE5tUSRZin2rbiTBn25LhzvJlqx74/3os3sAXTot07W5d/56lqBGkcGijeuUdDq +iPOdj42R4fQlvXF74utLBltaiuR6EAL8psw52KzN7XCt5pegP4+9xlsghxUS+rD+ +adZCaU9ddrEuAyrRRmV2VhlQ7q33hFsWIktPKxQEqh5VefsWlQGG7tHj/u1pbea4 +8PFESenqx8x9Zq0uus2MQgaekT742b8e/4mRzAa68wrEzhs4yDDo/ooPsRuU9v8y +aobzCEaAi6jRemTZcmWjCEKVeNd6aU1NB/z8RrZwNZGMB8HdY5hRdBSFdU0ESq+m +kzp5fqhe4yugUY3Qhp2sPp17Dz2RjEk3CwtdxnmXeav7Be2VPgY7VozVWYeHM9I3 +ld+zubnnDygRSQGlpexhchxYKp40AJWQz4vMXs7djX1mBNu4JTs2MTLmxBevjjXc +no2FzFVlqob04rvqtYZY5TmWE+cq0sTTosJp/qQshJ4y3lmHaUwNxzSIalMuKqFO +F5ifIA8CpSmmj4UkHIEftIuPF5ZArxA048EMmwJWQPbQtCs/QA1ESw6EpZJSTajt +Htvc6phFOOe/QvEmDn11N7+xXXoZdH87N49nwE3SN41IcX/+IXjQtVaf4VW5A+Sp +5GbU0fDcQc5VpgfwSvQryXmDw1rUhKuMH/oSndME+JS/dili14fmJf7m9F65P/v/ +chy28WPiT2XpVYAeKtkyMXqal2uwacCBcSEfcIvR3rQHt5cE+PGTa9hgG0TYAb5F +as2Ixwx9LeosIf22PLHVU2MQDhbs+Bj/5TJC1jgb9FC//j74mdyv1fNSiErLrXlH +FBkwYsu0wt3f7G9KKIQkzKu7nOVLPUUfETthtU770XWctKfK8EcV9f/rRo1Kfer6 +DMWoq8iKG4S85O8rHTSBSGXP4856u9J+0wM6Yg5aZ6a/bFD+Pf3nswms7G93NS/X +0mnFI2ZDoJqyRj5Pumy0pmXUUN1gWtPtk+lxO3VWn3YGzd99L7VizhwjtlUZ7U0+ +7GivGFG5J/2tE62qKzd3/pbOV7zoswTh9AHph5UFj2zi5sbG1pDuqoVCQ5pJ7mFK +bH8r+JfD1Zk2V2pPgAPIXuTGde6Oi1lsdbrf+xwUNpmc/cn0Jrkap564t+zA4y7a +QKjoa8tFz9/T5H9fwyzbPEG3J1yaZhyVNURlvYzrs/JIXL+POg/M17Ls6W9coO00 +wU78530kGisj6v+HGUAWgsDkNWrNdL24w+AG+XKYuV+XrfvFe9O24IWrijCvsVIE +BygvHl9I/Bxjuv0jU0sVNMHjGAupDiEOlaH+Lc7xhinfH4TKgVP0uOaARpb66HyW +jDobd2X6QqKlixhLi8dl8gr2/PX2yp1QYKGeBhyc2jBLymqCpFYWy1UNgDDM/URb +twATcLtj8Tx/3woj6d6evrTrt/3Qsp+oGp9Gqk/xl3eK5KfiA4zQTUHdFJwmf3k7 +qAsQJwIWtFhNGSB9VxOzoKTAy8lX7vcSzaX3c6BLSO8DNmdbVTk1QA+6SAIxTpDo +cY1GOu6u8ervPkABu05qU8i7RN0DbMuS2lUjigJmeuj1cVWY+jBV5iwCE+Q95CbU +vVZ3v6oTGsZmR5N1awYhzkA+gJKfIYzCoWUxzAqgUVwjcND6UnO4coGDXZgLGJkx +Vjwk0PUZSZ1okuufJXROdG2Xo77wZOrIua1iwG1V1jrpnpUAA8pO++cijNVd6siA +guZfhG9bgk2r1/F/a9exo4VjAFPwnP/A7pd0d7xjTUWjH7AdRJ+o2eMc7vYoHCdA +kl92AIoMlWv5cdMRF6WA+98cert7F8mwLmuLToWrmVHjw/Ryzh8C7MEn4hJ3DXgL +0YyjHRu7n5nJk7/I2isXWfYmpCcdd6Q03/15/66TvzJLUd8+NO+7r6Fs1Klf4aWT +I9W4CIrK+M7JZW7ZiqBLVA5xD93aFrC/BR5I3FrBoVTX00UHd2CFwN2WhG+qBVnK +cUMGh3Gkq98ITUR748Dbc/I9OeP875C25quKK/rUg9/GJfqYCc9yK6XslXjZbke9 +i5HJEdz6wL9U6yP58nxMSLW129lWWmZmojOnPj784cGmLOeDS3U4fJhAyC4yBRgB +VdYIUBF2qhisiWAyC4D+QyASUrVQZ9It1j7CiEoilfBCGPVUlI9YXMrbeQWjt/E+ +DS63dfjgcTKrTqKmkU+qJou5eXxrTSxiaY3j5goGdkFAyzUOlm1EwK30ba9FhD/6 +0MKyL3cXdcqDG6VPyi+WMuPVFv6vXJE4ge0Ik1/Y6XLGfkWQR1M2kGUFuwtpXtdR +/cWnS67ttSRUb0gz1yGBU6i9pEaNRbZaywwCvsx5nHv/Ye4KMyyccUiaoxr4drJr +wJZXuGCmJTH2WZO6zT5Fow5ib/MsPWbK/8BuqMZ9w2ZDmTAyj6gsHsRHBcc4y0vm +jpz2rhhPXxl9j8R2zNxV/VhVUzPS+KNoiWd6zuDjDuJ84+V7sPUk4T3F1IkZQKlG +1FqU5edGSa1KXTUHes89qqPCQn/8nzyGQSVNa9yO0iGP5mOYVVVq3AqRYtT1YThh +HM1N9QtlBrFNe+51qPWphr5rjQU8U0X9tsNN4gJHEmaC16rg38m1FC+azaw/CVNq +cezBgoMdR/IH9mpTkWAoyvbJ8aUqyUZ6mIWyzOQYLpnrVCYinKz5jqczDs+8/NkS +TyXLWfZc3TJ+iPvCQGX+BL43PTmvV866En8CW63M/vWWC6BuO2Rf7PwOAIpQ6/BW +FpaCzFm/5razBrqr5hmC3FWSzqTouiyrrrsJDpGDDPZl9srcu7yOAY6qJ3StCwLa +TVwoVlkm+HFBkA38h528Fh4RoAU6k+i+9hj5heImmwFFBEAtNEZc9rCKmXYpxaJA +rNVfFlQWO7wBRtWWItVL6ZTOOL0sIrb2NRmmOWKiV+1nNNDFriTAscz3CUwUi4aD +A3kww55sGgtWHxlDbaoHc2dEWDWYbulKeBSXrJyyZ8P0qt8z3VKEvFTf5SOA8Q5Q +PlzymjmugWxUe1zua7k9veSPRI2oVSHk1u4QESSE+oEWgqZNCvjc26dvoobG8/4u +kjQZRxsVDn2Z5JfYpVlTm4weBfwv6q5EgEb0t7tj3yS3v6N59JKE717PcU5tgGLe +zVFEnEPRUcAExTzb8AerVhSyM8EwV+rmnmKuCbt4XGBgLLspeHx/c7SJfUbL6DXm +qcCOcRTmSRBRl7oDiRjaZJOYgShjXfhbquI+51/qTsEZWZGMzCENeYBXVbzlBy+I +wK4/s/z+SfeOd1v1Me7H7zUnU5byP+l34uaYFNsRxXvIeqRgnOrV12NMaTkXl92C +zSh3RrbtKgHdCkVWlxeOrQXqGPG89PO8urM6zBK24pOGnhn8fm7MObz5jR/h1NOj +D1PVoE8PGBCFuVocfr0FVVayOZhS3rzJTe4Exn30UO1Jb4Hg3VNG4mlFBjf1ES3D +1sAh/KtAIceXx0/1imxHtPAD+RSTfdHTQYS7S3SNG3FRmp2qN+rEGrN6VPddTgIL +mPB3Bf8W1EbFOaFssBg2rR3KbqK4IaNQ6lvbIN89eio3ubsERFPiKGGq93TOZOKT +upGfk5ZnWgOwJl4MQ1fWF8l8G9SksaZNZu4iYXzaYowENXJGDkJ7DMzk1NS2kIlP +bl5h9v6E3eTYx8sTLJ9Zhu0qnCoZvVG4E3L/Sl2ZGlODfrSejLKCRAZCL555ghMF +n8IOwhqcKqHHAZI6/nPGx2NEj2i/Nfm/54zIPRIFoxgzyRtl2e8ce6X73LL6SBw8 +8Rqjp5iOHHMhnqv4RJ/pXPW7+7kuiVR03VS61JRT7QF+bK+l6qjr2xTeHS0tpE7O +2j3CdvsQEfW4tj/dwtzhxyjKqlASUn5toEWKh+wQmcDXqsVz0Et85BU11qD6lDNM +rrRTrXKcked3xnHhfnxPrLNQ7/oRpy4vOCV9IHQnil5wpBuANs2lY0noKlVZJcd4 +djRpPO5ChCU0xBa92nJiJxmspvaX+lQI1/c5p2rRAM1o35lffg0TbL47NoB1rVhs +giHrMm4Z6evB18B0PN6Zb6dqBoUUvKmJyKxQURSPjza//NY2bvreQzFWR/lDlnQ3 +/oA+xoqpnTLD74rotCZiedAemSdM47TmfZtOrPiKcnO7F9CwmAGHm1HHkPBEfViJ +BskquJhtR8WH9fMT1LIDE78icSrC0dmuUbdG/hUou5elusOFSLBPuQFjb74skn19 +/GBBuoKNG7o/qv6YrxoVNggMS07LcBnBE0BTuhb0M0eUjn8ZRYgjllCf0EgACRsU +7ixG96SPgMYb7i4Lx+o9wH7+I+utZVpOJ0dq3FNuXfSBc9XfBluDwzT45huYscjK +5jKFD2CKVge3YjMLfyi/FeVl4rDqtCmHZuj/tmwI7Jbm9ouc3gzZZbQf/MitWezf +dmN30YPUp9SiiKFJyvfUtTXPY6XBcCtHCyBwlJQR2HjuzuHVaU+GALxGYsAyeBTn +3lG+2k9w6OvXXZjvLfKo/lIxfhX+RI4K7CZfqe2o9g9tdQ+BUWTN3g0YugvK4RwD +nZv76J9KH3bcD2feRd9jQ6uzH7MDNnV+um0Zm1yi3KvzbVtRaePICwPhzLBrWphe +HsUHeTuvN2kw0r0pASw2LN5z4L4KEVx1poZ5GME2fwwPYnUy1v1/q9BP+/xA8Bf3 +bdn2Yjw9bWTVmMrIPvOikeSTshvHSSqY3NnnSdPS2DOF9tkHsgjSMQCQ/k5LLOiS +Ckogqo/EOwHpwRaGz0KVOWwSlmbZXUDLqJETYnsra/TkC3++uRvaPmagEjJxxsE0 +wFk1hQekU0J2lf3HoQyNyc4N5JGMtcHyBepjlv5fdzO17YfHIkHcEtr8o+3LSuRv +ujLehMr0MjASMNR2N46J6MtJAnA1EqKd7q5ZPAaoOhkQ5yc+/NecyhGXrsXdUUgc +kPTd5KwHktjU82LjNTQtkvLxGsNXqtvS5NiF9R9c3+sCgICJvx2qx61E4UpZ59re +jFY2Yp0O7D2BdVQxsrC0WtCSVYBMpb2Jcdu0wj2o1W7yUioBY+ryGQWVwnut914o +GkJ+9CUSB2BUgyk3kgCY8EYwm3JEADOWLA+1vc4Z9hYaqBLxesGa4ObIuhPejGzf +CUWvIfzcBF7IyxlvhymAFFoVKY33nnvO+BUQwk1Z+zhWzqkSSnFM9nQQoqNLoAgj +V9D0khP2xwc+dVTOyJbXCtYyiUnhB8yYcK5ZuWxwFLGLJ5Ij8WqGmF2RPG6pGLCb +mSp+lPVy/T3hpcBHC/F+3MyJ2vMfBsvyYHRFY2h7od8/OvHTnh3in4BLhlKI952C +q6P7pKgpn6TPLOFQhgHzKiWLZNxX42NEcYeb16uYLK/NvY7RXz2sz7dsdhdRrlqu +VqB2mb6Q3Y5BDOzmwxfFB5RrXXg4GfTevm/akAH1lgiN/Ul2rDn++umZI3rdBhPp +G22L9qrAYpu8p9h8GFkSY78D3NOc26C58cwzqI28N2/wV/ICX2oiGcyeash+DDb9 +ohgeOo1nNM5/Cg2fVxFnBsJ8rG1rhXWKdDfTO1+DNhENO7s40PWU0dYyybtd++v6 +2PjrXmSE7UyeCgARpYrTEGGkgItXjvXlPaKXljDdJwf7Y7NM8kcHZJoniJMbJfy8 +I+YM0O3c/56PNKajqmD2xEhyAJHYDF8zu06mJZDmKfNa8O1x4QCEA7kQs+lxTTt0 +gpaMAewaOPnIq4hPwoFe6K5FuRZTNummDxEOMAAJtU/gZrnRcy3fwSpbUDjbNKNz +dQsb4X4ux8s+MzZL4q444CJ1wm8gZ+nleCdMJtFt4PRftRXGUO1j5q67y9Xoo7Z/ +dlzujZbJz9Zsjau9JmEZWqzNSRMA9c6H21QyBVNcvU53m07rdYjpo5lBtOOusvCP +gfaPt2TvsIVM3IS4B9lQO91R5ohyQAcwGH/B4W7oqeHvTEzrRJxUh5Qp6Y3flGm+ +ezbHnFhe/Xa1flxKUzg4CV+lcO0L4v8kb8VPbkrLC7yFFd+7p9Ccuuynn8XsEBVo +anHKgPsusy0PIVjN0u9oW3KHyYILTbXrnuHgcsw2rQVH4BVmnhGwj5Pv1lcMcVti +PNQZOKpVS2CgOCxfuxkf91Jao07RZFmvSHSZRRB5N7pt3VxkWVZ2bF7rMwbCsYh4 +l0HluKaUaK1YcHfwYYAZrVrlMO7rAEdq7zenBJhtfT5riMT3Z56uldL+hXIgCyH/ +FCMFbHZu4z8loTXhCBouWNz1fnZX3zxPYC97E9FsQgZ7sRTZc4O7jZye74KYVwXy +j1eeGYBDZsFZJNrZtiEw+muSk9huQ4zAj30mFL6LXEEuawZvCGLhghBoHpXbeknr +A0wKcOVfjYFOF0pfQUXdEj0r5z1LZtU5tf3OZFEwrmInZ1a8FNeo7WBRsT76WAjZ +uDDnVr1sS0AkgCB9xtFDedFVVKQM5b699F7XU5SowM78nk1I3VA62xsyyFta1ich +iacv0dL/DRN42peiqtBGjd9NRl+TtIbnKrSZip9wxCZi/r6qdIk8k5ARhtf3JDnF +qH78uhq4G78PKdXFrx4sL9RBExSQRJzYCkJJ8STpXUPclXBbHepS5dl81lAPu2mG +DFbAGFXQ/OrRu0Eka3L2MkfLCt4nf1D0oQXVds5lAsstc8Sr8QZfWIu5COospXZ0 +q3dnSE9p+4o8hovcSXxrkPc642hClLL88kX078SAR8bTSDMBKEbpGMNgW/MDkVqQ +maWKwQnGpdwiQlXiCkDPUe9nhlWwziv53LQ16aEtXmGnC8OSUJhQJE3SQLq+9E50 +0oTTPKNW3+cYD/+EHb05YeKTtWZ4EoeoQS25/khh0kJg+n2ytBZpMGJxvYTpklgW +2skEK2GbVYnD6/ZVlrwNk2f1GZY6rb8p54cq4bc3TMsqDmvSHo3ajcs3Azsk+jXh +cfbsUNt3/KPIxQoyd5ZZByXbZL+ywjVY/A51XtGbR4gVCWau557jCh14MY8osQN4 +MsKdGIDe+LbIv++b85VN2FXiJoWk3kkokBI0NhbZ3ymoSXI1W+LRKB0CLQ2SPsOp +QxMIisyXMcGz0rAJotCfixXizd6/XUJ1V/G9r02aoMGKBUkv73qgrLkAN2B68Eg1 +GzJVypW/xLyXxHjypohZNMAGzA7YAwV6RA15gNw9NpqQKk/oyjlf51HTNmITiCCY +O+0oZ57JFrUzw9mo8ok16RBdlhmvOEm+ApmOMXfyJeLUBT6V0rLaHlqiKwgNWOJr +VKLhVOKEMYe3LTVNG8ROGcHldVaOauATI+f2JZwA499ijJmQ0qoV/2bW5BhVmChI +Y56pF+emZm0XPVXzt1wqo3cvR6NoUlL3MAHcTascWkcQOmVNR/ErTsF2oYeh3UTh +0uSJ6wSiCyrQwe/HsgYkzHp1++RJgkJ5alhWs6a/UWJOcsQ56W5in71RR21LMIDW +oy3dqZEGlBDDTxC5sj9hxmxhDVA+9kLR9stcuybVffwn9gKIok4E8sEhCSDNiV93 +5JIDkMt5Y7BmDsc9Oxet7YJxf4SkZieAB+VpIQoWwSETlEeHapy9u0N4lPOShbY4 +Cp5G0XZwfFkornzGJWwP0fp5NidPTXrWh0QtQK+4n45XJDcsfAqkkVqRWmbpC7Fh +jQlHhP5gK+bWzu1P7ysN9kzzulwhUyUV/DLwb69iMGC4Mnya9BrtMGMBzeKhSR6o +YeeYU4e0WwcpjI6gjzO/Z2pNJsxNfbDP4nBJlI4aHUAn8BDAaQQVfMcvP2IQxCPd +y5DR0PLsFhLIi3GI/skcK4MGqSthWrGO9WnYW3g+CyrKKWAtFBJzw907wkufLLW8 +iww9hc45EVZD3FG1Ay1pTetb9YiW8aFwqlxWusxWWQzvYETDGzxoOrughec5vnQV +x5xcMfR+sry+l3r7I1ASZ/gMWmFo86ru6uTUireLvVd5A/pe9SeVDh3y+6cKZDd7 +XO+Gp1J7Ok6quzYxCyo0bn6qXKXLxXLbb23Bdwgnp1LLy9G/LaRTW5HQrqESvsgW +xgOT0JCOitSqbMi7lX7O8k4jg6PkZRo//koKrrldFyYpbMVLv04dO5rU70JtHClI +amDDS0BGXO8lI6B3lFyBKye/orG5QmSf0RQ0OFQQuRxbfWMJ2KtPU5c1/rND2ye0 +bmAQmMZJfWRQ1hyBxTTOjf3IVMyhxr9Oc5KFi101YAb3QrrAXDf6rScDTdQgrxFz +VqlgriY9f2y9Q1ukdr8KdBergEkqNxe3Q05kQ/VOFckM2AKAzpsKk/A1LSLu0OkU +YXdUKZ4//IkznyobkdXtPZ7tfYklTkT1CRZFzh09dIZYQAU0AVum3RCPV19QXgjY +zVWi9sdJjQOBDYAthLWrEj+PbYAPuuxlrBJE6pSOVeI5D59IBFkKJWlVNQ7W/9/4 +JQz/ptfwNLNMQNHz3TFITS6EqrPh2rpXVr1tlNJSU/o84FDmuJRcKNnlJdp1BsJy +8VR3E98QqJkri1tX9QR3acksCTY6r+UPa1cJ706ssCWyMXn+XSYMwLOBWgx1i/f7 +pP+FZSe6lttxpsUpwmaCkC09FZ/D3eMLdkWu0bBNmTzEbVwIO5hNXhxXKMHXCVT/ +aJrm5OBwXbvrXxv8mVBhNFNdHdHGrvkQGzucHCMSOHaJ72wS7PKwxXE9laTA9CjG +Ftyn+cK9oLNNLHjY/GBfbghASGp+Mc/rXTwJkzwM41ukM4c0tMKRR8BFMg83lNDJ +FoHGWUkKOkQ10Gqj3Rbw+JVR37lbMhIjmqQZaXpen3PlqUF4J3//3FcG4EVhdfhl +VF6wtQ4NibF41Uu02GfsqM59snM6REbHg4teFyEDo2Sszi34wCTkkA/eVdCA+LeM +BCYveMcnMJnyhY2Hui7R5dEoVw/sHYbhCJaShAuxbaAhb5M4tQtSxk9XxaNfo38h +l0236Br3QC77IgO1Ym5u/jKXr394hql2X8KDYxXHGrYffIkRU6WP2MXAsNU6j/mw +1xBjC/eBxn2SRYeoaNZZJ3al/vLyTvras0jnbxF270FvLJToZNItGwIdKS9g+9Eh +DdhIRlHF4a71afYgEzOfAzu9868lUulHGKXi+PsNzZxAs8AwoQ9gbA4U0crRji2x ++RK0CzqMDiIZfOCFmpSaQ2+MfrC4yjqj9mXML6O2LgFq+5TEmuD8R0hEi/warRg4 +p8feBzAgPqlv00b7dtOiafdkNR2ch1L6bnxjCRlY+uqBH7AR1ZZObx4irxDXzC2l +aU51FtK+qreimtAKV7veWVXJpxvyrl++KBlMuJmnBVB3pcjbbHAqV/oBqzjOt6Pz +fHTLAvCPk+pZR4KHQXXvC3px2fUgq50t3pqoE+SLiZF0doCUNSFnHTk5v+0l0n6y +0bMGXB5EVeUFDyyTwk0zvJCDiyVjFczsf3KdbswYjqqU9zWUiQDnRz81eFTPnEZW +bYR+5uiF7xsA6p1Wqj+aY7nEMSdpjZygGhKaQPIbxkxhbEqcV2fcLQMOQOfZStZm +TGapseCcwjkRd4PH3LYFo0ZfLa6D35ufEpJwcce5jCHUWlqBaTk4GcfqnH5J4efo +IlmL+Sk/bNe099dP9Ma91ybxPsFsNBrR9BP/t9Ac8fboV0rtv3+yqJMxRSJ1soAK +1YL4yXo5mo1kibcC0VG/GaBSJDkAOKB+TVzn/VtBb3d2SjlSUg2xRVBZKmPGbMz/ +LYN9JOm0DRxqZuNKzQgvFtwv6YLIRPDhNqiNCT2vLAd55vwBlVlXRbqiGUq2p5qt +y4M7nD9fvR6cYtqZrOM3b1yiAinC+FZg7oO707PH2I+5wlb+BK4zUHh33S+6SSJt +C9JJzVXWGf1nwIhK5LMJMf9jgTsq1dc+ZZ9sxIwdwc2xnlcH/EaHVlXy6qsYKhfG +/p/qXnk9OUcFpg2T6e/NVsjQ5iJIkqJVPULxaBJDR6nHikUOBkXZaB01MJ9ePZzX +HF1mk7Wa7y2n3IFauAOjB6P6/LEH4HDD3Hj65wWbdYksR9u/BvWfOWsJkTGu9DVX +ilj2ZfEElHAs9/yH7KtUh3fXxRHvQc852UU4kSiX3Yeeu56af5DnpnCmcESCqWye +on3qbyBRRXII0BLnvaUM5501ttHldVS6cjYcBtgIrRowjn4FzwdRM9EJlBgLS8ea +X4c1UgYxHtOVp42qJlE5yPrt9djDv57aecJs0OSik+OlR7pn/Am4yOC0M/14W2ba +uO6Eh5MlKSd+D3pe3Vehs5O23uB+qoxpJY2Z4JRAaXjxS7bAYW2orTz6YriAKlh2 +jJ5VkvWiEMdIMgweWtOKcQVuUI8Ytak+OcPizdEt8n6cDJlpYzE0+x5WymcGWn9j +TfZiU9whtb6Xo4NeOPNF+rqHedqCtRkb948a/mFF3MAsWhC99kYKYxlDPuW+GOJB +FHZya7SujG5EPVebssSb4y2DXff4Dc58sfDR2V+Gz92K7UDrFVPEDHrE5rjeNsJ1 +zOXd70rzBLYWodn1FxPoJeVTTVQaI4gtcTON3v420A9o5eqlZqTlm0vEIeOJvNR4 +BuW3lWG58Q0nyVzYFfQn5GpcvwRui1loJ0VOzFpeNVAWWARowm/hDF59I6L8cgHO +ZGz9PM8+epTPjuLFpLgZ4pVZY3llpaRA2uhPlHuubkzMFLc5scZ+8iQmOEibTguP +6BbTe0mj7w+bkjrw9jUEKoRus7FfJ5a0FHVuG5jUwjnIM83d2UxlOaEeFGNtD+H9 +jqCYG7NO+uqG490pEjCC5AQuRm1na7/o8zALVwXRvToOyMy2een1k4uV3QNO4aB9 +WWjui8Pnrkn7+YeJlKFpKsq+EEFYu+z4fV1obKDVYYAEOIxIqqnszrfTeN3a7eoq +n9bN9BlTyVp8g1yGTM2zPIZehoEjUSxsjzzV/WbipK2URRenpmlmzd0EpvnCso+X +0qDMjUwMoO7r+q3vRFl77W79xKfzBJIw6lhNb4M/mAuWs4HrIdUMP/w6/+nQDW2e +HO1j8zl3RviBtS3WAgvgDSoSvY5Y+Nw/ck3H7ll/NOuhGqDm25NYf+T+XOVvfwqJ +Fj8/YhHtKFiB0zZKXt/SgR3G5eye8fTzUNLKq0qtcUSE9t8s728r738dRejuqkY6 +R44TFnfAuL+AIFLmzmmqEHrFGDV4EXT28RCc82sR46SI5QNGsn43Try0MCmQv2J5 +NRJLRQcQh3g3oxb8vZa3YiUCN+lakgMEHB18uwtvzxuRQcg5c7Fk5UinRKmAb5ns +upt3LOa4fIK8TdUq/JWXhoUTDPQnmARaHuS1A6i1hYF4rZPhClemRcCYMMxWtZ4f +eV/ilzNplYbizJoG9CteVRQUyH6Gx3t5s4KhfcCOCDtneo42OIo+A3IjgWHpLvQh +UZv0V8zOK78IQfeiPImKlOF0FympYmiwDX8CizuHKL8G5YlTQrndeHLkNJs+3GLn +zghHHtRB7bmmdkX22y8wRb3MUbx+aKRK4oy44jime52vmDfoLGa/+9/teFZn1qRn +7lRajiPor+/nGmsbyiQezA3Ydb4cncL6xEtHq5YxlMpCi2qk/gCpepvImMTeYId+ +e/u+7h95ZdoQ1IU8edO5a4GL7G8AvehyWkqZhQTJzKAWliIXeEp1vvSek6rQqDq/ +L40Z43BZ21tPdNaEMUXhESaTANaiECUZ9mZBsPC6g81W/R+4+yo7eJfvZohZYqUK +57LgOo26UK/UEFZhmLLZPTmBxw0MXtCy4eD442tYkyaUciaeUHVDFmJYKWCPhncz +wRTLnH3BAaHJhTWX2tohCsCOW7nOO7SwMXiHg57/DFDZb+fDBUjz4djdD/2ak6D3 +1L7BvC2Kj8/5GDHBj7/+EBNOI4pVTol3jKAuI/2F6wWp6+Tur8JW+7S0CnI91vQ/ +E/ZhzWuzO5bm0BrsLpKCt05d9RNWTHr+lKpgh8DHBNyI+raVkr6GEc3Pj0f0zHbK +ZbzDEt+wilMZoxH8lRGMtQH+UIxd3Yu0H8Ac8n3QMllNFEy4jQ4xJUf4dj41GEhF +Y3bIBgAV4tmumIOF3l/XmEudhJSxWVRlPNmuva1acAFquXcsPlgiWkQ86/AbvIao +uY13TnzIRZ0MZec1tL+e880UnOEpHKTwcyNAOzlhahhOY0lAfp9x0O1/nk0FdUYP +nngMWV+qoMaxA5zI3wv2eoZx/JuVxZq/HBFjyYFFU4x7kQiOBPcYRwQSlkF+nTuj +7fPWZBZduhC30nqOliwmww93u3vYtyuFzt0EfYxm3oCXQoP/PKhthW3ItaW6Mtrv +Qn2HsYXDvgEqE78kEacnbxAQ3vbCugjSCkb1KtKZm+BFv6RmiAItLvfYeJJQoR+H +F4ka+jM/LkUCVEadCtE3o8YigKsd1ZsVu8xvX7aqgrsiU8Wf4r1+iaVx2Yuu+il1 +FQz/r6V0GgTRqf1DUpd/iZ1ncQnZtbsWA1KTu00Y4Cje5QAof70tsXs0xzPkDVfg +vpAxBb4wjfhe59SpapO2m0C5MBq0k+eGKhfA7biy6RH5E8Te66utjTqGSsv0WivL +Zg199lOuYEUB08fUG+i0S3PyiEZFmfg2Ocf6V9gK2WsY52YgJb0BW5yloq9BOKIb +H+4lsh/ynKXX5DYUov17jNqV7h8oGmD3aSbR/fN8FQg35DSWuxPb8CuK5nJLlzQQ +ATbg3kC5Y73ceQM3oHpQJfD8oPFx73t/y45Sn/T+rQ/LORHpN/g105CA7f3FqlpD +xpwfuNwxz6R7j9zM9XOKaaGZfrEeAwaEFEc+npEvhvN8+G54xju6ZgdHIN33aRAa +Tb7P3UddgMZ6Js6MFj30dRAObzDtbUfVPS/XWx9kahbwCql21P8IQMThmLFJJJWP +oCZuMNGosmyEAWfJD62bGvhoajWgsaOIbqbi3XmP5V34TofojMv1cypDbJvbLwIE +Kl2UA6aqw2yi+5zd5D9K4BlFbMQGF1eoMk22T7htrPPjcOoQ3z7lS0x5nWhdQJp8 +ZDTzbgCJppfemOiK3LBp6woeusqLK0YwoDlljfQ+0OiOgl02oWEw+2m65oMaNyzw +SC0o7VTChMOlvUJf7uvnupMtw7Kd5n0YBtAqj/qWm0rDganO6V6diBkR/zIjJFXF +/UhBFlRMzLjVdJ5ZXZrm6N1AR1HEC9OcfrEUTw3D3aBLQtoBZWTNIAedNO0TeJkC +Dr3TqIFg0B5NPVQUb1IgBoPnPgvDyON4/7jVQrcQ+RdjJli1slQyA09Qqc+qRyj8 +SNuBv5orM309pcVq7f9ojQ04EzfIRrOpDB4E7B03Yueq4kN4wmCkhojGsHLeoXoe +ssA2ZVkLLOC/9fHqvkxcjaFE/7A/WilUFzTG17VUk0nBOPX6ghASXjU9osNmy6RG +qO2CjKrEwkB81mjgBi3bPUGutgDp4XEGNvFmXMtuddf8iuPV95Uciqt5jRUezH2j +rP1qcF94VytIHjnCaB0RhxRkZqk0qKPBkvSoxYsNuZJtOpyh5En0p2wlhcJ6M6fW +Lup2Fc8FGjop+awinNL4kT/fDTjq2LOdPO9oGr4cxrQGlm7qot9BNKsE/f3K4Xjv +1+1R4pt5yKFOC4K4hBdwdlleV8C5ptirX+d2nDUmMLOKyahV+sxxGFzGFbax6CW6 +k70EKHi5GvFnOJ7ytgRTozJrPbEfzQo/IwxlRdvgPVkn3pgXWj/dm/vYbN9Awx8F +k4PbDUJ7X0PcORr2XcAe2ZUY2CxkNv5Rp0xZQWOBwFpzQp0JMHsesKOKjHZysitV +QviIwaNz2VDDsXN5Fq8LNWLCMNc1JRo/SrWPXfMKXNw8MBy7iuMe4qzxJVEGDaqk +3Z+3Ax33SY47Z6BsIZ2VCui91Pl8r1WKFpNx65KgdNA3sCF+0PO/nHv8ZneqIuI2 +VXvsS7/s225n19ek8+pCanr5XyVfG5excb75GIXNMAJsBr2U5k7b0oAOo3nRAsnJ +YeSYnYXt7u4CyNCBxlqD09c2llgPuyP0iIlHTtgQsQIvcZdDCfSx5az3f8q7xGuy +Pk1fful2veQzYtBJly+6Rbof63VqRF5p5Eyv33jw2Q/gV+ap7FqFJ6DS/X4NVojZ +dzWhfe34GAOfA02pTNLBehXusjknLikwhZV9OhGxftue11zoCFqME1VLg01lch0n +2z3urft0mFjqH4JXCvKoe7e6Yj70CyEYPMbbXDQ8UAXPn7cKcNzynBNXHaicHPVE +e0ZgQKJ9SNRfzYFq5gaISYASkr/HTr3EKbAokPQZrTVJDhUPgdBEERo/kMMk/xw9 +EO8FfqJi1sc3eJPS/5Ul2nSMbDuPeo0CysGlLCjSYmJVSuiU/gx2IgaaGKOTdQZx +v6HCnkSjZPoUXsilDsEGFCQWyopDzCapePymuYMLZs6SLwMIXLcl8Th/IWgb3Na6 +PXNzsYLldbXF5af7aMgLjHRSBubpZAjQ9IHhV+g3qSk0oCFpK4xC4PIz7tiU//nb +i1Jb2hxWj2W+miVvCs6wLHhppG8JLusw4Q3GRahMLpvfheDGPJz7wMKOLP6tdayG +7GlgYfkwdLeFtlZbI2DsdSjSdTiPODMOEbsmWamndUCGncjl6t2638I3tB0GRbvB +StezPJ6OIys1JlGeP3YIJlTJgUD7GhhhwZ/rxbqqYeQfzxvs7TZ3DTHyyJlgFDLj +k92xtu+8Bd8aFWhZF3zEMEMAs2S579plJD+F+05rYoslCv+Kj7G0ytyubQuKkX4S +fRIjyzpLih/1g0irhCQzRqRFNFiOIRgxLrkP+OECZd7opPulGqdzfHWGCfAX8EYY +yU/r7Wk1QlEMa7MCbS+8wY9xyNtxTo6mrbdB3Ig4EhrIln7m1nLGloVSuJDW5LY0 +DwHHl8EmvUP35WKLaHD9scsNppVfVfqfMp3WAA3JOJewoJ1H4i4E5hOqIbvVrPze +WBZOvEVkeCtBN018kzpCP9PlPp3nHcv12C7+IhQaGIMkjRGZgTAjNp/o7G3bYt01 +cqZ3aKhc5ZWegkQpq05S80LDWbvQ4RF4CfkywEKwdmps6UUiPnoGX1L2VQS0VLGX +9C5f1lEZEuysF34P0cSh7GWTreNTDsUmMvSHQamWRT52VgnIgaLjDykH1IybMBeU +w9Jd2uLOLUFCNjtJgTbB/SrgrQIqmux6lLsTKzoiNTL+c5Lq71mgx8YvgxkE/wQ9 +gpBaQMBcxlP9FmWNv6pwf3YBkwwJbl8MSq3Rw222xZCjDyNTXmKe7OOZiF5BXpeW +F38vBmv78BbTcAHvXy6+X373yzd0Aqu0Bl5sYmwCeTssy8pAHzwMxJ+By8mtd5QT +gf3fRfVOolhUUk2bXF04PSZkb5fVxoxeHX2XLarF3Dvmpzmtmp44/eHbknmgDA8H +Z6KO/xJkrdfu/wjOBu4mwwGY+hmK5PZtMcTaQEak2xvOsytZYx0jXKyPnCtIG3uo +Rgj56ltDorX/FSf8ybHKW87STETi6httOVJplWxa7Ca7hCk2j/FE3gQBEcbXZsQS +26kVo9ez2WbgQ9OS6QVi0SEHtVj+Rcl92vl+KuaZycLbfP9/jafeYm5WpyglNzEM +LRNn7e7dDKjy3IdH0P3S/HyrCsQtQdYoXF/uJMonBQgXfXr4onYKOxLyARTgSaDr +Kcbx26/GKEfLei+8xT/YmMnylOfc+HstvQTjGLJ20MGYZ11U7VXLG6rCDYzcSzF7 +6qindI2ag+EAXKOpnapenKQeHO6vj6aXLvCXFJftqmHpzqam6UZ3CDlvgIhzdwp4 +1JvQhUpqE7UpUVnsEqhQs2Zn2uapS2imVxqPioBS2W3jUhava4NVdyBiagNJ+r4Y +boZgYelHw/XYKqD4TA5iTMfZdA0Yrt/B+PQkLGqivukueu0hQ35d4ehk1qwd+pTc +aqkZcUeof2SBwyWLvL3dkfsBBVeQt1bUAtB6VCtXAyExp9qe8aQI33Y4CVeYxnR4 +K3aWdZkdIbG3RCpy7YqYMhsS7xirHjBMryA86OvX4G++fghLhLKaIRqKEihC7Id3 +Pr76crxWRTjjZ3RJw21/cASplK/VyOjR5AiapLumwKka7BPT4F3rVbjnrkmYqSPl +xeb8kM6e+/RWWto4XCWuye3bfQaNzgobhw1cOxwF+wN9RrJ/Lr0AiQmSedYMjuhf +fBjbIxScvZzZUE2w8a65odIOTPMjth9eVaWkl+V5Fj9rRq8jom0us/ZoOXf0oaAX +Swx6NFKXMhfnLN6zadQLo1WUp1OiJGV9RLwdf9q1bBOaTO77WnnbbYaxiQfpe5sN +mAtOGHPAjPjs5iXGPtXLN/g5nfkpkVZA2ozHU8Ws92HttHHo5/35K+DWFLPK5nYK +DKAiFGgDfn9w5oiCk7gAHoIuKxxrgwnuNdzlfE/+vZf4oZTfeOZJ8uiW5urRX7at +4QcCRXQ7tS5vggNAj8ho78zmjkHyOxa5MGJKvWvivpB/I0yeVIoSF27YI57L5Ass +yTWW7fyBLaJPmfAE1i6/eVMGkNVrejiUf95vaoAUwbu/nacLMkQI+cRYmF5Shldz +gGPjD/UQJ9udlaC9+h6YEFiOCFWLSwoive3tirLJRCrk3mpKFpOeC3+VXNRUqdFW +JzTyHn58mDs6yBtbKFV+hMMlER3fzN2wYQpDoGKXwpxu8kHU9x0nGE7xFiIdaM+l +ur3f5jWKmlr3/3xVTPMnUOg75wLFt4cwk5fokKlL39hez55fBxrPQI3GOBKedcS8 +r6SuIgvMFel4qQ7+lX319ooDRHB+Dmr/HkRAIkliRtCOvyG6Bz8rFydOtu2szIro +X6yPtBPLzwOPpIikDA23eBbvS7g0N4+LEnn7vq7i47EgYYaxNNfmAULipO7Wm86E +A+3PpvSIBglH6s+zk2YZEJ21yR2zc5+TI5a9vZAG4DoW+rGVKCUwCUczXmMU9/I/ +MKYepMDZiAhz5tNPeV12syoMJALuhXrwQg8cDiGr+jVKgFYdBvy0yJhzNi1Bz/UZ +9N0skfxntGR6H6ZloqmLJMO1wvb1fWBjtnL8EDghqaQ4eaqbR5R/ygAiLLYM/Y1W +y9+13CCAji7FvgmxdU++6t8ThxMJZyPERTGzAFSPLrgPQ1OYSW6jstHCamor4J9w +IFFfGrHSQ3xyyQcr5ODUPCllo+r7UtEK0z79ip3emdSdLIjIuQcDiZ/RW2mJgz2j +kvkfh7yXFqMM0trKZMTDM8g4vrTlfqpTbbDtCQrM6U6BkpJSuhEbdDSCJ+aKR3CK +uIEYuqXLkDww7VBbgum/MXEJ1HX4Yg4mX+WTYpEQrzvq3C8bbqFRWwS62bfJZ+Be +t6oz3ofp4t9V4zeUwKFBtRf+zaBCQEbPxEjzuwdttQiTxSd4wzsbJSwHajhBzQbu +EL7LqxFERYxELrdGIBc8avvyYdwsQ5f4kjyeKpbvFoXdc4s2aaqL73Qki73S/yHv +3WL/nii1ooS+OOu1UuktIqqAmp8No03wJIJmnOZ+fg8BUfKWjYutDkL8z+lySzLb +WmTzg8x9C/c6fNnJkOLgFwzb6suDzSy/gm/lUHudZPdwYx4o7DmkWKTvp/ajjp/f +Db+mi2iIQOygXsn3QASboqKZcV4QNkhJYOkIq6r0jQ1UjwDd2CI7oNC7OXILqHZP +GwsXWEdTyGHfMkv3c/sLQeYrnX4MxIFRmInLs76DEV+gW7Rx1XxrwbKBApUw8+Jr +n6iFLF1RoxYlEKZr0ylDBN9x9kG3yDu+RluQsGvZm+RO5Ay3RVE6fljLOFjIf3sK +xABVsC9JZfIwbDBGQmNBMoYypQidMdrWLSQ58WLy+kBYhfSZSVMCM71h0Tkd0TIZ +I4yHbUXbAaS9udHn3fQ6ZfjL+XiGRFtAQAFHaskKHOE0sVwxTpOHp0MsG/ChO1gH +u3X2VCTLY1iie74sm4sTVD8DMssMeYde+fefgmpQiyluXF/MA01aUDI2xc0B8Ux3 +MaMZnyTjnhScSeqjuXWXv0ZPH/8/qR/S/ccMmUmhjEOgiYU4A8YVWjDC4ZneTAbx +K28QDjG1WKdgfcKst24n9BmxuksW26b13czfi49sup8GXItGQi9QgyBPLyJKiovh +KlhNAqydeP+9XSBbMdXRq5w4RNuy98olug99odwJeEAE7lm3ghVTMoWHY0Ed7MaI +C+qp/ZEbE85WsBKUmr0th+8waROGzu3au/5EuAOMSO4mt5+JcwUQw3BC5WpTY2Qn +xZs5dFPSUQsS/e0KB1uRKT0MdYc9VAehga0njx9S8A4Wyk1VhQqFJDgOUDfMMFOY +lQspm4X8x/A+u6N4HAfI1WrqBHEMu6iw6gNTbU/z4ZpWgVXso3KmP7wO/ZtnQL7b +LpAM9DOzWdH5scWaQMMANsDGJvC77G7x50qdvYCEVQksQBqxtctDxE1wPIfOwIbZ +aYUkBcU7lOoBjZqre4T9zWzi94KMsKUMX6JAJhQbZe8T28Iy2pLl3ZqH+xVhGZoG +m3/xczZ3hENMu+RnlFiDZnrJIYN0YMN/4Q6wcuVrFmTNK2CRDDmxYdyXOJSp4E8d +aVWNursgt28N/1rUfV8o9w51yaoatlYPrzImBL9vYYZ3VjoHsEknGq8PMzaI72Bx +e4RWhVkUOQDdmtI8M9DEe9/rpc77lrydlNkxEXTlKzuR3FIcXgeARzAGP2DtXmaq ++g/8zNOj0opA4OeX9UrMkADEaxyUULyLZgzhWH8dk9Rog767ZZNwcOU0h4YlfQy/ +nsDZQrpXBmGkqhWpb90tgExBJxw+/IwtnQE3Vl8pPUHucRTcUrkyQ8gPyRPU4Vjg +gUdZgeyipHPA0+VSTn1A/+vI1xk/5+k4PzVTrz3Ri0d92koMHY9hE+G/M4VMzRqM ++QoDr8/XGYbMadrX2LWCYROAVGwSL7hNAb3IkqIsKKYENstj+tsQR7N5sj2vQAou +k3Yd+YNEKrCRjc15JOK+O5rNWrps365LfzVrp884CLs/AXmTq2zjin53w4fTe0/I +xExVuxRdj30wh6faG/U0nyre56jZjBX0VkBL4O2Y8ZD7kTGJDYxlBgkqAyVfx4SV +i8OA9N8IRCQNyGBzXo/xVDZZylHmxeva1t6289yUWQv75E2HlxunrreT3EPcAId7 +ut8wprG6UiZUTHjd7vRZ2suFZtgUWBve2PeJhoDafy2uDsnlVCG81WbL+tsdWAZT +45coQv39CDWdDkplhFMz2Cx1ul6twTvfNUZjRqBkmbwCh928pa5uFYe5NR6+drYP +2dkiJF+MT9oTJKHRr/IZLlUIjIQKUzNcU6WqmYDYxRF6Gjmjbp0cfipbLpLvpB1x +nKaeaFtNpBMG+H+nbTjztCyAMPmLNNwhVZoXocsUXiAQkABw3W3srqqtkDNruaKf +Uzarq7OXnVo1DC2SSPAbHlUKU2P2GJD936g8RH8wPiWu1yvlg7Y/dMQV53gkBP1+ +9IZYbWK44uqk9NwwJRiOwk0KOX9y3lBu5k72+YkuMNnQZxcCXqx9Y6l61ZWQU19Q +vBdS9nAaFqp5kHNYw/Fx1z5poZ5QqwkhJ4HLHyUUwP5657zP5eChPSBM/S2aN6Tp +kJYVjjjIOmFC+PxPbeVAz/ufn0zNVfe+YvW3ZoGbGFAXdr/6S0Gdw8g0u/xbXGCU +FhdX5EuJ+CixLLldkpoMoP8Y0jk/n/mvLvAkYoOT36YkMSBumWlSWTgo40sgDjot +FUyjYiQc6dxAc/MukGtNGLx9BIl62Kos6vLJAAulX5dLaUl+LrD672UpccSj6M8T +YZML/9Qnj9UerOaaEWbh2Y4s2VdKK1oN3imVTJdX7Kck2fi7KyaiNnIVG68ypESB +ERdzmJv/j2wRtNXEDjjNN0kQhI0VaWPtSG1mwW6PH8WhVGLniqF4kP9ZsRuymeyE +0/vdxmDJ3VQd6O43ZJxNB8PVjiC/RhLJESuIgxNNUrrfVWyCs9+6zmIx0JyOacWg +cyV2Q0L2hRd0p5aU6IvgOZlNWlRZzMGqv4L2r6zbBR40vfAeRtE9xO5D23MP/Ym/ +DPEQWXy9FIxoRcQoQnd1gQGJSQDyCWdhAv8ssMQNhkOHHG0TJNPjytRLEc1jSaHS +oj6cvjAe8rpsOfVI7NHAXEUTW1NACcl12g9m+8p8HjmjaXhLTyNp5ZypjQL37cMV +MaWo2NOS9SHIttk/nkFvNPnA/RgzzSe8qDtXZQqtW2A0+07Bece0CaVCcuGlRxqV +4Phm2iTU2VUfUEHC+hgDer/hr9PnCypmQaDdCyWQ7PhHy/ue31x6h1KaRbHDb09B +tIoLGAZzm2jgoxkyi1DLg9+fgQpI1Ye/bbGhyZSs+Yoc3Nn8qRSvioWREwt2mC8f +qFyb09jIDcj7IX/rI1PURywPMFdvUGtiMZbmLixSdWBAxt2loouC7YDWPVKhi5/O +WruWlLoI5hO4emxxHrBUu+HhMIJ/Cc/+N1zcrRKXw02M8SAek2U6SBcE03T+6qv3 ++voFvOlGw/JOlAB3J0mor/bx/4Ty18qq+2MLC0iVZmBaoHoQOLWaXf9gOIl1iE6O +6ArBh+opbl9in8qEkOItXPZj1Z0Lee1dKkIH7Od7292lx0N9BEZLNIFTQI2r2P8E +ovTEfTecyayLtKisdrQzG27ow7tG6SRfHE/K1wQg77IWNdylT9zWRBuKdk0DRutX +6Mp+X8rsmnnpUvfsfafXx7qJ8OpMrWdYCmLY4X6OBvzQFF+ObH1RsHgmEMVmuh1E +GMISune5mfmU5aLcR3BnBTcA7k7mxq1S7fcw7xqw7Lukjhxtzf3mg2AK0unBrGS/ +24PQxfr9QikPl8falScldwMBkfhHtmHEJr6kQW9hli981HjHH+prXh9nxLgMpeaR +9+C6jPC6ebpdu1Ft9VnSEldJt1gDu2uGr6h4oM7Yy1b4iLsc1F1uhE7+mL3OAz4T +qjdJpA8+QNq9tqNGaS6vGAKhVjxIV8P4GuIcLN3y8aPbP/asRUSu0Wn6Uv0qyF87 +u4uwAPEJC98kkQZdYhKJID7k+voRrxTjCWtwYiUSF+ZsJw5geQ9mfIbCN6kbwHIp +8Szw2uB2YSW2Zvc2M+Nf05QJDv4mbHNofCiPVV5xDbx0FEFnvQTed7q80wF3ltSl +lKZlfjexoGt6024UQlqfikpNvQVZ5Ntl8TBTvcMlAtWrG+ST3dt90ShrRFK6KmK9 +7I5VYrllwsCwt6c9+WXY+DX4Zzqngj9r3uwrwsLh8DrhTszD//3wNlwSHPx4LneL +FlaDojECR4T3OTnJll9oldS4p74+6bHWZ6+8/2fUyMwpSSZiU1B4RoVj7W84tq73 +V5iH2u8m6+OQf/Pr+2r72uObwFIMVzI6BsL6rLrSlvi54pfOGyuMAftxD/CPbg4m +ot6sd5h8432399KHhePwI4wI/nHwA5TAotbVWF8IwDLkk0cWrdZE9g/rK+cJh8zD +ZGhh+0IUGHJe/81AmhiOjLqYhNbu1sDjBBb2hcXtM9TLa8yBFo8bCnYTrgpp2s8G +0gx60MpKu0zg2zDiZ9Cxz91s0sUNyJPdbvJI9nNwQUY6LvS3KL9rIUPMGwKwKUcw +aRdocrZocAMwc7ki2KjtnBQVw8Jr9GbbaxitHYRcER9CJ9bvm+FBmoCFVdOrTOvh +zjqYejGNpn4G1L29M4a51k76c6SX+vg2p+nnjes7j9AguNsa167Q7roB5X2HDmRq +H9xRmsNmRP2hKmqvqJie6r/Dr2hy3wf9PxEvvc9Bt9XrQ08WUA1vJgLQ8ADeQV8d +/0bVcP3pCnip/di2fvRR8/Mdw7YlBMNPkz90icu9+SKtJq5dsbl9MCvP3VaDOSlQ +GwzTe42VQ7mZ6c6HsgTzxCyhSfif4ZrW4UYvUxYhWQdQSqTrGGt4jqDJhJvXuFPP +QUZVgI2G0Aa0pfZkVu1gC2HbjPuBbA3uFcR8vCwgdeOciL0KpeQJmSBiyUZ+5NqC +jjz+X+E1JgRdCRRaEPVFKGC5Tgs2XC6z34cEjas+fX5XVjfe9S8P07uZiLmJ+dLS +DXoRBc9OvJMFMD1/SDc+0zOSMP4k8oUmLxrRGVMjnjAtTdBE+lXwqLALLJ1ksEZv +vPo5xI2zEHSP7GB9JFdTBNZ4Hj5nKBUnFEDDKfUN8qfV1aJY5MbwLWRr1Co38+jt +ECQcJ6UOUDzRjiZswebYL1Vp8tz3bONs43N4adiR1DyXnapC9nD/RPBJ+WRg+6WR +lMWqHISr7Jenb2MPTPLuv5oNure3zvYCvsdmi147kQhxKVnzCYK7+9ERzAg5afOd +tSN7Vtuf4ClLNUY0zSgpVjBpdb09ZD70bOM1t6/yABh8QU+JMLe6tDZGd4p/E6K+ +wXfDewT08QIKQDhoQfemxWEwv3Y7fsulbUMWtTKaq+nISoR3P25RKnAAhhiOSl6w +w4UqhHI/tl/p0gG8OrXJgkGSa5oafofTDE40ZxGQfJCh+G+AKWyWx61BfsuxAhfU +qq6Epc7hk4bIXMsDKFcnZzaE7FgodCk4gtHN3RuFrRNJy6qWeDFxV6iVKDsoTnr1 +djGX43/+EQw/qQ0qXc9QsGLIYmyvNff2Y1dSYAJ04GYVj3hbM1354Nk5ov2Y8G87 +sCOcNxjHZwOHbnzzyuJ/O/jjFk99h8uTQXHt/1KezYfAp2BmT7nJ788RF1xwLmm8 +2HA86sH9k1wIb2sOozrGjYfIwR7JyD7ALr7UFpioZkA/4w6qB4V8UjWQ4uesxo4A +taFpbKqdjG/3pqOsFTbJARunLju9NvjLoN3PMt6tpBEuymZdkCp8Cxp1ntWtoqB8 +9sH6debGoDHSXBhEcawskL4JT0UQFp51m0yvEuncicx5QjY1jgrdX5xpHvISYzaX +Ae2feQB2GDbd3ZyDRIvdM+rU1WWsn1X5bRHxcaiqE7IlDdfsk2XY5gviNqyDBKmI +C0+41ZLqHh5T5Bpp6k/DohZjYEGq+xnQLFkXD1Kfjz86M1m/9GzSjwWQbZ94pPFA +K1GEn2z+XiE5hp1BicKi/lXY4NeZx3eEBEwUm9qaos6IFTjJUtihHyYPagGGAyvK +3lXvuStmhcM0Mp03MpcjaEJzHwBEA7RAibfrK9Q1w6GBx1Dp/jpn0b1w3W91+W4X +Gq1kdAbVN0c1yxMdrszBOYU5C8jEI52dzX51K2lRcr+7zPBxkwJF6qHNgvn2en63 +a9mEHR4meYkLRkniBTwYzne0K0cSsmjzvAW5pSGd+d+MuDp8uC8KZ38vA6QSiv3z +TIuGUt9HbKm5HJ99/6yPjb05RL/dqyytl4iYRCeBkmUwdYUbmJCl5wX6qFRJvr00 +fOm+kx/zf7/+A5a2hD1AF63UHp7QgYI7CKmjKyTGw1OXZyHyMmIrfuZR5mkXK9Hw +brIvipM3WgcBch79ByxBQ3ZFWMSCob4sq7Jf2DZPchzVtAZE2yQocuQl/jKGuCVo +Vb799lNAMKgegLicihdG90zfDyi+SMnjZpyodi4MybFVBoD0SgH9y0lm54ci0l+K +IusinL9Q8nzdXOnGWkBX3mRp9sZeoxRzIYJhnM1YlAONjLKGFZSC/lV+wbo/qNpU +pa3BxBb7N6nq+IT7xOPHc4jIU2aVRBnuoRG0CalpdvC8QJIjgJqI9rne5i7baf6Y +BB5uallCBhq81EBhooM4VyaqoxO3/tDC/JyJWk4k3floBBRqBUyW9LukvCAWGjM4 +JQz9YlgwabISzEXvc8D/7gJ2Edj/xRlG3HLOiae8aSP4Rc5k+IEIWKD2fOIVBdXo +mOgoIgT19Szza2HbKNnHyS41BllWlRKt4GTm6wZ258+GEBsNTf59QVOGseZuR44a +Z6vIIFBj0uAmZO2d3mDT1ZxbGsnJ0WvdAzcSczkauMZvLMnLohdrD5MbUtY9GpW3 +cA5fqGUzfSer6duBitDQg8P58Vo+DSvHa3b8eq5JnmILRPBP+8jTxO2PZl7Lr7HZ +i/gNSXNHuoJo5TI3gp7QW8hG6as3JXQGstng1b4Y9AfTHT9zwUgOwE0kUJ66zoBr +xU+M826LOXqpU/84/iiTQrq/L0wKCSqGrbZretVeTHAeHSAECWJXeSmhH3ft7UXZ +UswlErX1xqIRef6GuXuCfpFTlOxT6gVodECadyTP5x/YJFkJZdFg9pEB6S45BqaI +bNN0RLaCoHl4S8AWHY7v7YBzOaz4YZh/X11UOZ9X29GdUbYbI52Eke8/XWT+Oms0 +JJR0abyuAti6R6yqZFEgm3VouqUcQlrKgbwfoafiah8kA8A0gavqBbyevkPmWezH +D+4wZRmkJNxxnes2Bk+yDPTWCLynRIRffcqWIwaC6R+dQ43MfHWZFjUusWx01ZpN +dYoNZVOKKoFUY+ptg4UplqioAMRpxdsQnmZ5BZuwa41KJJ1x//QxnJetU8LaV6Up +bX0j0KGLj+J2y2SgaH7kLISjM4yVlbiAMZb9H1ifaGn1yXXX0JD0a6I7Robo1w0A +M3cdsbo7tJ2DIMVV7jz5Z8qVNJBrBksCY+VTcVYK/WhOC0U8iGn/zniQdD0CXqYX +OrGiIQ6WT0MCsKnEXMHm4gaPuTi6D7DCFzUJ1n1akS5Y2O8q9k+x10ZQpW/bFTXL +lsPsu1kzS1Cgtyxn6wzGFj2pFquJnNXdUhoxCvuDM2BvZqTVf9aEWKhsaADwE9me +8DAJdDSG9mK0YcrBFxCAxOHk2iKCoA7JiVJEmjkZwfIGrJsq7QG9LFVGAV4J/pm9 +44TfgM/PEuLmEWR3HQzCp+2PWyjMMMChpriTT1UheOJGe9kc9F5yshRxToikWGN4 +BuytP2IJF0cUgWe/8rt23CDuBfZcpVcBziBejeg44kApvXaq02U4PrkocOefOrCB +wRztvPsxeqItoRz7ICXjb4DcCbXgvmoDQfzAo2o11Y/6Z8spK7vbavnyXSJRJIF+ +AGlv25peEh4vPBF8S7xLuH3USXiBVIlAvV71ZZCBNIR+GZfpT46PkxgKKlERUmra +oTSYNGNYHxTAMpdMc6hGPJuwx5VFrbiEse4Cc0Bsr3zxiHCYLvhNO5QpqbpNyhQi +HBDv6ZgvX4OTX9mwDLXBy6CTRR/EYx2naHh9iMXg5J3WX3UR4VqMY7JmE4mTxKQZ +Aeb2WLH4u1M1CcgmU3+ogk33M3CnigxGDxdMB9rifCYiXLaCqXmVyI9hwmlj3g+L +/y7Q+qblugXJBAUCp79BR5Cn6AdMwjnYfTrvGpiryxADyun1IzrOOebaOSswcsUC +1jYo3+JuZL5HZMKktDAP0SpZtix00kS93EEO8yl/y461ei79EBn4i4l9m8FbmTEh +nXt39/mxcWF3RlsIeyTAxiFKFs39rtRiuRntE/Eze5u9o5xoGNwf4m6VbkiB/Zhv +vw2D6Q2GdStQc8Iv8EkDrW/pk4FnL/Zh0IQnayNEKAZh6ydbELZJ4Dis/nNDOhhz +woj3w79SAqJKNJn3doob+woQCRxswdHRw6eJq3NJvlI/xOYCz7cRYH8HRZzx5EBL +8IEtJ220EQoSvf+2aQWUF1Fx5VLr8BoOd6XYcWdcVRxdr+qeQBMiOqi65WYz/w71 +rHwBqO4VNb19GY5UHTLFXwkoH1iwz5mqZrKCQgw+M4FiTDbTrMi6uU2cYTa/5R8A +pz7vHr5j/43hDRUB8iPFKO4hfGAPbSuuHihifPynnUgIFaLnaEDPsuVG1OXmsbLe +9gOLcaGVyRYcbCpr8gzcUx7AjgFlMAPHxKNClBhtTVg34iH4xWpQDO3Qp42jebGK +iSNU5vtiaN9jUGvO6Td0JpoTzcEQJyhBN0IWH5sf6tYScnNjTrfoYpfDHsl+b0FB +myyRS4Gv0MeVQ2BlHoPPDANjKgi7L6ItGf3oowOrH6xTRAGA8aoloWBdKMBLMDsy +502c1v1ZMrPsfwghJNh4A0jgnSqHEObYEY4DVXOU+c0FIAfoXtp6FwsKRMzhbCnA +9DL+Quf4kpz+UPD4GipMvha4cga7Eb/3Jsrz7KwATIN2lo8PBJyCE5zk+aH/4W7s +0XRIMZJS3/VLuEO3nS6jaQMLfoySUk5IfFLIe+Q58Vj4BP3QgQSTqOeQ6SRv1VTv +F9bM70VZeFEerCMm6Ah2LXzcRtCoHMEmv5+Jyyoq34NFkfxOtt9TFvioGNY23xQA +hEcemUvHabsZl4QF1CybvKeQlc3SzQvWP5rmWB7zHrGlO7O4KZodVPTlmpp5M3hS +VO/m08cscc4a9OiLGryUwAZzbVkLMl+Bi6qgxlyVk2v27SPdHJ3MKFIJdNfdBLA+ +c0DRX4DQjB3CWuy0wMC5pKXug2nlokNueOcL8c24DuQFD902Kn9aNiaydC+4XA9J +mTmN/8h2iK2+5dC9q6IAjtxWdrrnSJ4vO5uWujk+9b1b3dOYsGobYQJy8KZyekS8 +qGBtWDvuxRb5drRv0db77yKUVj4Lor+Hn/gpp7enA83A3lPISs91oyuYxwBLCmHI +/ljsOnFCLtqlkE7hz/maF2Q4C+EOCmuXfomqxgh9yW2xqCa75gJeZHyBBSzDlSWt +a3Wa5/iyYinUaeBgByIW/9sFoJC2ue5dgHQeEkU2dSVNdpxi5iXS6rZq2oFnw6XV +gjjbWFTa6CaVXSNyvMCDYIBSvzz/vYfztj8NgZ8gdvVfyzzNYhj+2M6l2f8hVd6Z +iERNWK2VQQoGVk3Mtd58oEwrIadjcBeoqMzy2Zx7PudDhJSsoONnowsQp45LvU/j +oy2B4esSYf6mOIQWj55esI+LL31gcehOCK62Htqzl5DhuEAqMMz6hUv90bIyJMz9 +0jCQvjx9l0VsdvZqAlfVJnkeE19WnrYR8vZdRemwu0u+mJO1215IZSBx0Zf6i9GH +KM7C01gvZ8pKMHM1aOrpyOfpC0kGxTaEhfPX2HD1A0Tn56HhNn9vR+Kql4GDQX07 +XuLHIBd8kEuaSKEu9lkioVpsbdtmx0ogyA5dXTG5MpE9tiDqFfnx1ecR0vDrZ0OQ +ARhqyI4iuNyHdKj4ZBcY2w9GVjq639ZrEGkhz7WM1Q5jNyy9hTDZhFnTTfIRAtBp +pkm5nTfoMqoENzW3kh+tUBuAzEQEm96NKTXihnafvqodZIrFt8CdjMCj+SqXW6Y1 +lGbvd7Y0S4UlxY+Ou64fSpeXKTDVbasZ5YRb81inFzz5XvwUwjl9AgWWCFMlJL2+ +h+ZDnFLwI30E0V5b7pssZoB1OzgjJD52VbIn/dY3r3+cISf9ZzVMjsW4kSlTK0QC +QB0ZdScdG/+VbH3mpMsFgsxX9Lo4/fDI4ZQThOYwJxE9i7IhPzyjbgnSJE38s2et +Gc81bo/c0+HwkSM+Th0z0KeXXi8tg7AXAmRBIpWC2QpJkQDvaLCTC85L3N1XGGwA +JFazTmbesLp9iSizs3k0f8SjAehH7dWl+vOyfmUi+M7KAtAeXisoywA+33baWUiY +xwI/zjCSDiJpBCaFVYCWruPMJuHEcEEuzX8XdlGg+Ld+sNoSngC4jQAKWh9hCXTE +1Yeo5GMr+LjLY6CL945hA/OKx+lmDH1rARai+Q46oGVSBZuT4DA+g6vz34ynATKL +yH+MWtfbkVnKmVAdRLZWym16gIN0PN1zdyW42+3ReR1ePpoBLmdY9Bt+NTarHSWV +ypSJxY4LcdLrlp+2maEYZ3WAgwIppAbA57R5HAYAqxHXogvq7ihEACZKlPkMA/RT +TuPk8RxzXoKd9VLa0jtAsz6yYDwFO/cfYBh3Q4taKZX84shonASobc2RPjI2pQaz +Qu3R3t1/fbB6MXg2V9yR9+InZr4eYTneqRBxNAb9sCReDf1MjJ/Rz/KF1F0JrEHm +VLOLDnvSId0dbiFFq+UKxuBj+jtUOWKEQdjYEqQOypfGTY6Z1/fGWsrMKvNvtZRg +bwAYwpED86nZD2zBFrzUNZHFjMxgAC6PolM+wRz+xSB+soW3xJF+2CrPz6ZYGtwF +6iVZiI/S7iNsQT4Tu6PFBZVPAqAN7qz14QjMGV5poV2abKY1otauN3JB2Z0+os28 +U0et7XQF/At2zyWAK/LGGgDnt+R6rkXIAEPr/ED0ytT/+lGY5AJcsGEJxpiVZh8o +mHjAe1I4mutwkJi4e6afuAoSJH7QRUxR9EA66Noftpy5yz1mccfHK0WMesDhXHJZ +RyB6KkCdJYGzayzdEpCLE3B3WrqNq3Iq0K+mIv6PBu1tbc4GbqVs22Lw2M77t4Hj +EUdCg7rkbDgxg5CodjjdZXNJOuCp0J0SQFkuPX4+PPW1MnVoL1fwGNkf6kTvQS1h +etEfO235VD67HwhcW7GsaptdDXUUUnAVZjzh+siBysQ7pYsF2sGlLrg3csRzwpr/ +iAjmooz4rRcwYpmM+iAn9KY/46E17k/oBxAA0JInY0PkmUYTe1/60+kkyOmLh1LZ +nRqIXe7pO9hhUkyPm40LyKqCsjJj7AWjD2uWF0Of6+73nKwsK66rm/gAfLzd7xyO +aO/7mzH9gvPjX07qMJRUl3vPYyolgEAyI7eckt1Bum+d2tHoXQj7/nZ40hKpIHCF +9GeTv/tQjKZB0lqSCQPxwc2OCPPRwyyfflNTUDID5V69sqk4iH7S7sF9OLiGr+9b +U0OrCOxfLZJaPjQ7NQu2aiX14Tl7J06/MrMF0OrnnVO5cKgiJzClx+L5dahPNz5I +emtlDJEQY+JK4TikH0v5PJB1o44JF4Pqidh6aShJQcfJens73ZuxvGVY+e4Sf8ci +LAimsDPiNI2tEVI/RrRAdSdMwtJFCuHrGrl2Ud3m91mvTn3L3eyj39BIgi7yegMr +JYtCnOGpmopXFKtPK5zmBcSIFoqbVuZt2fP6M8GEqajoxIkG4Syf6tvcd5iThrlj +ifQH+ZoNlvkxWiM0fTGMV21jMbc7s6hG4R+IXfKfQIYWDC2/Jut1aj79Z3p54hGb +tHOilyRBCpBLq1Hq81h1ZlTw0CDOzTRGO9mDK9zbFKDOsY6SIoGM+6GlmZakgclq +/rva6p+Xd7Bg8cJM3CXXnhzyEVBuUI3uuoND1WpU5aX2T1nY0sl5qWGLc/QQQqqj +pp9m3fQUIStnoqMifc+daKFXEL9BgeZXZCMF6i7Of3JrNJKuYVNkRTz/7hKr01Si +0bidxZS+qbrGbehaFm3TFzqxNYp7vfZ3StoKyO/IOf4nyXZKsXcTG43aNQQtAGV1 +9ejBT+AqON9E1GtZN1Rdkk33wmRJzyJuJdi1sz7b/UmlCNiojoAxB3YSHlIR+CGE +PhLnm6QXBRzNtWI65M5thkNA6BLCWwr6SlKLzc4c3+12E7aANi+8in944fgxGPa/ +dEzXvlaU/+ckjCFwVOOrR0775yQM4VXf2TOdh7XlsEbcbkJwedYeaDdwTDxv5Mpb +D3zg7mltxQ1WiNwmrFGG+PE7rheBf9p/2fPSxf9nrNqDAw4gP1VFZ6+K6u0btohr +HfGy+9+ke6Sm4hnW3rShZVAbrHA4lVY2cskq+bakErqdGMnPji5x1C6B1BCLkMzm +2wLnAnCDmAsPFiSAcTRTlGb493xHw+KbYm0ewjar/44J8Tgs8j7ukP7eqc0cuYCC +ZhT/ItqICiuqG0cw900Bc2jLsZbemHxsC/a4fH8TsJyai4k3Gq7v0ikG3pCd/oN+ +yMplOKSBDC3/rfpgSt+RHb9uHaqnLYT1M6yhXGyJOW4wexjLl0GzNPCp0ypLgGkK +6TFSrRTQarFPGrVcCbiZX1/POZGkosxyuz5PpKLHVtfxZH9jp8Y63FXnbq0rQEEJ +LA82wQtxXdRI1WZ5SkYOXwYkZ8xWt1cnv3+hwdq4Ke9Ce76yeyQEcxbhQDk45xW2 +0bdln21L2vO9KGq0VJOmb11GE438FdBimCR74Jub0uJAnTaXrxbSwq1Trm0oRE/M +QsHnX2lE/s8ejASmUhX2tzCciq8LmlSJnGNkEicPfVUSOabXmkIWXakwdahYt47t +C3l0LjdB6al9/xWjQ757/guKGMEMXVFarbvfHVU3CeuDlF4FWeBENtIks2/uCTYF +fLv1Yh1caz6deHSlapmwb4RyP3At8yRatKBy4st5PZKu8dCIZcCogIfkkselrWTF +0QiXDDk9/EeC9cpbAw/eRqPlWTIF/Do0+MBhF+cFtf+/wZJLnLUcVAZ5BdjQMs8v +pFVmnnC4A8GM6N0J1/wp7XWG8QRFlROCeA9nPibV4UWm7P+sncKnE2SmxyOAHkbb +8lc1CMbRvi2EqwHEDJ9iVaVeDoKbYO/Az1ewJ6f4S2GYq8lAqvvME4lyW4KVWczc +sP6IzOQbgqRl/kMJZzFAYLPXlGGT9nI9tr1XHeRggZnS9K59THSjrSMYYKxWBgNL +oldafuH6MDEqEaksW1S7wzr7RtJ3jsjCFF7Jw4QzG8AYkb/sOXvSGsiUg593wF4f +YEpC//8aDgd1niOhS+ivaGh/XYIWf3Czi/fK0kwWYl9RH/OhVhyjUG4XsC49/2SV +nVjp+I/PbpS4c3N6CCbAA4JWYbUxEr0uQCp9siltx004Fbs8XhJBvl1jdL15J9f+ +e+R5OtXJQXd2WoCF7r3wJLWD+VoV+1PkhColrMsrrqmAzyWS4yhgI+iG4mx+iy8m +O1eh36h8+8jSni/eeqe2dTBsnHqAgexvjJNb99rSzRgazBwRbABf+5SVj38K8ldZ +7aHEZbOjxz0JLyuGjuhcRzkvlzFwGksLazUVhcCG7QYcuXP1YzmX4V7VVeoTZq+w +bXohRt9mu3kPtT1gGkucq3XaQW7obd1Nm9LybqkbrDgzkIJXIBsSToIasmvgUrNs +88qMlHL5+ZF4IcBDiKJWtfQ8ghQeoA9fZpqM4EZw1fcQ+uKGOon3+Uc3o1nIm2kP +WIR6SG+a9UGRIDqwK0TA31BJzr40t1R+MbmsAxPh66rTgbUZ9bS5hrOHUblKA4Fj +QSA91FMiU8jEy8lm1+3fyfOZSKTpQfstQZJJaxwGUMEs3qFsRNuccmDAuI/kXnFS +Jse5uZlsgSt9IXTNo0qFjDN+T5rKlP6I83tfSvzfm0Wv2iIEf2PuKe6p9tVjd6p9 +imlWWKaix7WnAIKWx/ZZMSexVmfpKcvV88HoabBDlSH8VZ5EnP9cBgi4YM+yFNob +X6pNCEBHWRcCgAcvAzjTvRt8A/UuXgGfH+7KmPVqfwQIU9W2IQTzMD9fDsDj9XzJ +7rKdWW1nXXOJg0BhA6hHjbeY/RABc3UYJ9Vj1BEZT8poUpi1S7VtYrTCSDTcs8yG +y1TSyJ64yI+vmaJlQlRegPCb8jVXKuNnSAuyx6u7teUNAUBUOfsHJKAab9zoBxBO +mi1emeLiJDo1y3s7r0G1BD27BnNKVQ+YoQltT8GKYNlCFrQhO2jY2HCnQ4FajBvJ +POUr0bqh7G8HKWpV7DxRlwx7yujh5w1XCDo2yeiUzfmdmjfKAbl2J0yiTx+pGtQc +Ou+Z3DsQO2AKoDWrC1aGwiY4kNDm/xOhjXLDlCZqgsoTXyd24A4kB9QTwt8HAksd +wlR8u8Yn0nRag/+eg19OCpjBWHe2xXf7RWXllvjjHuFXM2OzeYu8e2nr+boQhvur +/Aupd4C6q3COgmnVCHRh3NdaOop3AakaN4HR0yPhRk4naZRU34kIrhC7Ro7NZGjE +vSCg6s19rCzEpIsDQ6Do0XHtjylBfwqE8/xeX5N6Z+XISWdR3CriFu1TETWyZGCk +gObm8Mpg4f8vePJV8xcLfKqfwFQRhQxNzRzTB3iVeiKKLal685EhPoPDTflEmQLF +H2bjQnh0ewAWfsVNhcowkKq3Qy87MM6QYzKxLd42xPEudjhuWQb4IXuPGfPaVUyi +HrkH5Jp3S+zrc5qD92C73NgUOphnfGgC87uxFNdmO+uowHxdoJDVUt1ItuzkhpnA +RhcEzANGX3NHIr0uZH+Rzhp++tIwUX5u+OfKgexjDPiDW/jHa1tvLscd7enBjIi2 +BRYZBc2QorQ7K/QyuLZgPJ80dBPvi5N6xc56jIvnQPYXANcDTiHfozdOLLMHXg+3 +3E1aKBNDjPm1wAgz8XOeqyqD9xVfTBPWqj5JJzA7PjDaTlZMMhRbv53Ab3b9i3an +bycLRbuJyqpj8BtoZejOzGC3LP3n8FUz4QmSULoX5k4yhKAgBY/zvxdZ1jMTOxad +tU/d74Pq+yaDoCE1OMScXn7etKoV4rsiGqtnZck0WhaKKeowNOBNSuQ+bGkrwKO5 +WRFJqA/LbxCHx4EHgRD+QbmevKFDvybqjCMlGdQWWG7D3qZuj0uj19rQxSFPkKX/ +3x5V4+nXzA2GYgGVy0Uy9SALKePhiXQBPkac3C/1fFOhR+aH2/MvyQC8e+/4TRuD +pHGSfUgWH/rSyqEE0fSx1IRn3EYdq4gr5aelXnXfAaWngFNXn7DDEhvnDTD/hgJq +dg95Jr21kLcM7v0JLU9mlzwFsUtQE+upfMxDVwYmHIBN/TsYzK1AvbRpWeCVcOH5 +/hOj5kC48w0NSHtdgwWefsbuLasDE92TA/C9aPjETbxioxpRQhrtsUy4UNIhBhgf +be6sX0TK2hkTaibxACpQc8OxPt3PMSVNYxRXrVAyC3OPpNd1Y22LCQiw+/L0Zbkq +LSYS6r3G/ux/sJpADWqOyw/7+IMNxojIX99uMS69NK19a0q4d5qAIjlr83jY72YP +phO/PgUb+YspWkFuNbBRScO055twWNtySJZAbl6+1DgSYughE0bwPinHprNb/QZE +ANeCjbMarmdjmysQyejXZl8Am/ZN4YQHpiyomhfr+Lvjlz72cNdl4S3yuaSp+zdt +J5K5KTDYauIYYcwCmqzTwpD6H/yLeuMU6jNsa2VFwjyy+9wpMJu2wGfcM+KMcOgn +nY/ELShZ7O/YZcw3zBVe5OTaT+8o5kscdA3nJJVoj33RlxYN4NnvMMRUXctcHH23 +lSEPvLfYG8I+1YrRltuK40+Bwux8o7GpD6Y0E0rf2oA05TCP0uod1KfaErZQHcV5 +EQxiMIm1x65NiR07CfMu4q4X3Wve6htLgiB5t54jZl7JUjiNx3ldd0qGp39w4LPY +dsfPkXMqKukvI9eR//1slW7YbYz4c6WqUhCtATt+aVpyd20wahDMtnHaG4PfWI5U +jbCMLu2pDF7MF9w4V1gm0Z/MM9eYiykKt4X0hEWNjFooOVWwpohash8nJ206YGwg +bBKrgEcZKfp4nRTzuHdLgJJ7bddAy8aNzShb92S1K1UOUbVJt1+AGIyh40HdrQLH +frsMd7FyAvJuYvexYzzefXSh7S97amLigYfyAdqZlJ+FulIFam/cUjm5+N+VkT4R +LksvBRRLD8nebHeS79bqRwHXIPJSbuq6cgkwgw6mshOPx1DT07Um7tMojoBi0WTK +Cdd+QbxrJdqfxwTpW4axdrKG0EfSQG5c2gpqGHei6zHlut9p6r/BH8uHm1yobJj+ +f2BotieACGztzPOafHVGt71WyE+zMuKSBkUmU0d9jW78NFloLHyLDeKD3JRhkXbm +6mqe0leDeJGvuTqVEOlyEmqlPM1HYUPN+xTwR6diaac3bIIKHiFVTGyU+jLVHJOs +S0N7Ga2GRYosoCYNjGnCCgRnD0ik32Z6JkDCGY9KBiz/1yoIU3yiYokeFfix4vpZ +zTMSg66a02ZBbHb5ikKYSV8kYWQizElp7DXb7hA8LKGJCpw3ArJohr2Ayx2wh76z +okXe36JSd3f6uluaVr0yDyOtLZK6NHGZmfezuZoNYXET3lcHIYwYLj30DFBP21BX +PCx+H9IWARi/W6Rb3n3BIZcV2kSBuNFck3yUcICKDOl+aT8VktqZYhmi1h1BgV0c +MiJg6b2Rgaofxf9TMK0GN/1f2cKxOrAX93V0TsoL5u2FHZD1LHcYSgAltH7LgWQV +dNUjaHt9yVLNx60uyqPKyxFnUfj7yIerkLv+gQO0ktKszprUvPZoFbhDu8qAl1Xy +k4rIVc+EBtEdzUCKsZYKEYILBp7jNOT73wDuH8M7fqPZzcVRG+0sqB5jIQr8+3kW +fCkAYIP4TGhPxwLZtWh7fMyd9pmhf4Atmv6W+raruNVCTWtTolp6bofgICPRCAA/ +RdQfapOokE9muEA7/VIBuvs+sUpFU293bQY09jH6JAT1yCJdgKDO6gzlJqK4SjaX +4reRotaO6azajLrvx9y1LSEOVbCZIApfu3FxsBOK294ZIiE3Db+gcCN6hv1dTdC7 +Y5AWOOLuYLmRiiVFEfgANFoxzL/hKA4tm8U7SljpTP5/6b6lcvMKaxvRiDaYYbaP +PDMOhP+zqrbijy3yH+4hmNL4LpM3ouh1klSmEvXDGD9Iwf6SFyZ2ZB0C1EThnkoM +d9U+MlEGLcuHnFor2ZdSjYFTYcVEgAFHOC+SL8nGFrF6yGOsWLW1b+DOy9oKUPBV +KIZ2ONwQIO32kdxAwzOw+78gFrhc/IOLR6O8ZcGo3INbfg8wm5WFU1Yu3nwir08b +v2s7veD9D4/9FwTMSoZfVgejiZhZ3otuCjaDazg0Up7oWXiNoe51kitJ6YKptqxp +LDZr7dISPkMuqQp4plSonSYZ5bV7qoA/ruGsVP2DwWkI6IF/US1EVVN7BAdinWLD +FM4fm3gMvd9TfJmIelgM8mbaLwVhXeNcV6YRXI5UutViU2SD4NjCdk8Gam5n5LVa +fshh4kEGNYkLr2X1XExJmd/HXodFlVZveGAgCfGhw/WHdLhphtYYvFtQWW/NN61R +OCPTo1oZfbilnRxKacHQxV8/DMYXrrjquUkikUi9f3JvwcZreO/RlJKQfCgnwC31 +0sfdPiYqVbJKN7ikNTTyTqkCZxLZVi3gINXC7sCcma2uMuflTTuI/+AGZSqNyy/g +/0uBbZ3dstI6m2xwezKhIaorC9Mnh78ZasivEITX8uBqLuTdf4xo1tpZAWDurLlE +64yIcIsIiPSf/SgAwrnucirr5qLGFuGl57yt/LZ2vqz3PsY7c84q+QI9DWIkqK32 +2ESGvPrldCXSqXgZ0fArprmuj2fS9hxKrZQuRQ2Mtam4hibOlMha7Aed+YHqYt+G +Vy23NhvIdaUNv30r6gept5fe2AS32OAquFA3U42a3IGbP8wi/y0PqrlrpqJgHNnD +d5fQ5dR0SJl67hJARVoz4atsw6YSDbS3YFEZoSYMbGRzUuzM6E1zn6bRKY4z084r +G2AqZ+sureAGnOV0JoUOKZvMFc1nvUGxBnLTrxC5GQNUSgtAMLAb52TxjPqHAWpc +R9PKnPutbyYXIPz9Lvbhrg8myG7QyIHxGZq+dARvAc47OMvOiU9NpSzViuF/Ke5A +Fp8dBicuyCOjQVMabJC6paQWYWSICCc3WMR5hrhR4A9p1O4+RwcD2BIcSpyMnW0A +iHfh9rCDLffxWK+p/cvY/GiENB4TO/COoGei/RnCqfXnA+CjFfTKXXqWupTRTuVf +XnNEmtsz97HfalX+qBzVcSUWW3dibS2Wt+sBc0fHmmFiEnXtjYbXhpf1NpeJgi0L +NKs9iWZ4Htq7W4c7L81Ymag9xiSD+7drVSFHI//BiELSIowkNeqwUqMK9FXji2Vt +sOqVMuHd6CMs1jRIZDQB5BXreJLg0rGepbIJnA1Ps6ss5txkT2wxVx9XLSGZYllr +BW2M/YNIXfOTJY3wOugatvEss6kW5c56gGYKy8gEWQIZe1abKTO/12heViyrzTEC +id1wuUumFXspBn/nw8Z4ZEMep/ViaXhKFvNE8YEBGl7KNOvOr8v1zyk/KrYmMwDj +FzbRGteaCvf8994NitSdJaq0jybHYC2aftJcN6zVBJUalFzGmZnU+f9RxXS9joqM +EeB7NnW+l1qr9B0tH4FPJdHQncm889RvmsvLbTctWHZE3LjXPttSGkHPwU0/rRj+ +O9ZYDBzGCRR+RSMkiv/l6YFZmJK+N7LLfsdUDdsCZ9i1/WBmG05GbzJo8flK7Teh +mMbxBVmwh67zKs3cxVtvTbNIkKnTrHzUWl8u2yvDiKDBXZcTQHhe1TnrXf+JeYlN +TycyvhovXzRGhUnNREFOa0KB+H9p8UA76jau7eKyNcBjEcNUzd2J2cDBRHBcUGKd +HUaKQGQragvvnfoBORUcICbaUcrpI1Ve4vfnzLEJ+1LX5hsHdHwV+PZ05XK/pwXE +16ars/KiDCsMm1vUaOOwWZWmuNdM5GpcVnn9ae7S4vM8huGYtiB6amUH0pKchSXV +bs9FZepHBZz37kLPfi/wLJN/RgKJm02HghheEvnATX0XyMva3BpIbM8nJC/OZRoc +AyXcMkLEBmiZunkepkTJ1A3OgxordmLRezF/1P7zqtJsAJVtthZ+qGVkwbu/6Mg0 +5d/BQKAwL/DeXNpBGJy+inDRyJDuK8M4ZnFPMy29vJDo2serxlHfOCZ05jnx/J92 +SAWvu32hoMvPpLR314l5o983ZTysZzNL2GdAiqTpd/sYUk7TW7UvOwNL/G2wdwej +mSWo1Hr4fp6r2QDuKjequWu3owlZZ11FgGyKXIbUTZvdVHTcQoQubXASKcJiq6na +tbYUt8OCUA56yesTDaLVGyJAS4Tj3BNvEgvkNqtpvPtEinh7J9Q855R+4kp9H9sx +cApqPKGGnxHjRluec8Or8VEsFE0TfmAjucSh1KTPquEnL+fNwXsVvclki6KsSSRk +Ca6Oi2W98iecODNnImWZZpugaU1TnVbODnUZciF+MMJMghATHP7N/MjpzuxZpZpv +efI7WJf4TxmtgzUoNWSBN1PJZDO6pebPY7/CxoYUJLklT3/uThVzkjbjFoCsaf+K +I4KfO/S17DMQFQ3hqjrptnwXEiVw/s+BFBX4TDCcN8Fv/1xn/fNw5Yp0nyChxL4B +clmtfXDcsfpNp6M2wQDZWSgiCSvKd+GrO6AOt/yt8mXTvjS1GUBEEQQfb8zkxNnQ +ddBpOzArHPXZ5ljU1JzaY7lG1QDkMeGVu3eghhaLtCZndYzopFhokYEEsOnZNbMA +e+Q47fRbc4EDmK+xL2FikIsyRnc36YU4scaKfAMG/l2FPfwvFXBcNx7M1Atqubnp +FQLsj1YLBckP7jgFlHxxDIJBmA7t1y2EkCYVeB+m4hnxn9P4vgqknVx8OL3Mfwpa +E5438jiT8daUrmMwapZslJGTdYSEA/vOLQfZK/7cJE2Zrku8HMMp8/hP1wDY7+OA +yCPCePWZLGimb8rRc5dITgTcwTkXWs00JUQdu6u2omPY1uJGYqxr/zDLU2Bq4vvA +XHiaPvAWBNva5tTBnTnGe8xwAdFWYCGmGk4OyCI1//rUjnv6hXn+p2QwxUgz4YP8 +BkHer8WD8Y9JJyg+9kXZxECnuydmjXZZ0Jd56QSVATysGxWXfFkFun0P6kyYaSKu +ONerfgiVOTQatXfsI/FK+CEwuIBLKbXTx/ZYhqdlWYIpIjBAo39lJNSxL4y6K+3q +RYVcs/4BElj30Pnz2PguMOxNU71/UT8AvWiJuoTEDW2npsPvvstqHh6lh6yp+Z9e +BDs0egNIsckUkxnt2+xH+DKsxdsUvwuWAfmAyX0kNqnc/59nyob8hVP4nnE5LXcy +TVvA186PUYTnEEN3Y+kgcjS+FakZlzIACtCay2V6m151bnNYn37rjduIoY4Vkvj2 +bGAcjCHiQ5ED5z5cXBbASbD95RkvEvS7X3HxW766VDAY3/hW29yxEFhsHC2w4L0E ++simGHcz8Tr3h3UHksOSkffOxDPUXh75JgGH7xB7+MENTwpIxoCzeQt1RO5QQdyG +7VREKob07ZJX+GutDDP7NYUnwnnuRuIbJslW/Xhe+E6wK8Guf7fjFM5Q9LITsjKV +eJD3LsxFC/UR4F/AdXXQ2UpqDAZRSnjhpFGEgIK/XWzeDgAu3AKzaRYcrhWa4Yo0 +YwnuuIfgut9M7i9ajOErLwe9QBjFYtYZPguxPwEtN7AVdeoScxcvNgGJIGWPwqct +hZkw+/xcMxkNLiZPInudn0MQwsO8ctlYWNLOGbk514EEDJ6vXHiKeRe3Vu063O7H +XVbgQI2oTujJWEssAn6NXKikp1HaswCsOfklsweT5Z6aJ3f2rRLBBTaR1IGHQHE4 +4yrxf+2JzlnUUaQecGNU5QuqoGpPR0bPrBVyjgjMchUSYCui+oG3NLjJUTwiUA9Q +5+GDiVfvts3Nbqu4DqpfgwsloWohWu1Nk4eUVO0K8LKnWXbNaPMi7LYdr5rnG4Xv +gIJqK3l6tTQSRlrfjntrOhvXgO8X+kkkdco6huOjDJg6S47KA1iZJmnhFLuFJkkh +O/5MkuPeWhpZ3PqcI2uD7jGgQW7qMsDkzG//7uE5UPJr1E3pJmxFzAkRSJaTFScE +Q2kOFNZWCh8gdqkgBmSVsgFyQ0aWGujf9VnDaPNaDhXaJyt8SSuXeJMdbgBISOUs +AlInzP9Sgkz6rVnEVhRp9rJOyUXuhNkG+lOCT1PLAnV+YptB1UIqTI5r4sChUKjf +GD7/R3WT454UoWYvpZdWS9lWQT7NIvmDZJ9xLbYXYU0wiyKMrEf97/fodl2JsNRw +WOMe3m7OsA+Ok/cHIBgdMkqaaPhMfROLntQRYFUlqaX2mIqF84ItiWgtnpFUXewW +RhgRGv8AcNVykvkDGO8T3BxqHgz4zQe+ZQxM30/15veJZ45f/psOJJRwAqrp0ljS +tjxiU3f6pEEwP26VNMC7ZytjPqG6IBTHgd467RI8oXIMKdKWXeuT6PUmnEgpH7VH +P7dBi95+m2BOXvqEVAVAvkp8NzWPbZMs7vu0ZvYkjJfkr9YccLnTeEL+MuNpR1kV +8DMzr3OX1WmHZ9xITadjyO7tF5ziB7an8otyDBBKxLsDWVxXOc90HsWGY7cDv07C +NyqplVI8AO5DLH8gEqIxgJiLSM/trMpG5MrDiELtSg8nW8J+K9H2ghhZhOw5fsir +iauGd8wofWflJs6egLSWVB7IxEjGGxJjUr/BWC1Gq2utjEEISoJzpWhA697G+6h+ +EogzswSkgDmvDXZyVsx5xtMDn3QMBLuqdJ3ehug88bYnb+qCvoQhfORnDezB3fN8 +HHLGczrK1NSzZ1JdieqJNbQbCrAQ/2p+N2UFirgvl8hi4e48YS6xo7WC+u4DEsGK +lrUjx3f4GnN6l4v/S2JSY1oeI8wak/1msdsf9/ton+Zprx1OhuFORmI7oVtibOpt +yXo/u8WQI9Slb8bRu6JhIMC026MCJA6xa/yWrw0leDCxLrAteZqauHpYt8X1dhOG +7NupZqCnR5bByv+TJUD+z1pMOMBDXAfDbxthLp8MZw8CvbkN68O6+4EjqP17fm+6 +Jc0Impfzfg40Ru8hWnaxuWrOG3n3oPlMpcsHOn3+J7wc5069le0LbqEMe9kgmHPi +R6IF3kBOgaBAnAVDB6QsjJNMHTg/Y7gF7a0tqYxBlRtm/GiZRY2bQhwWn0eoz/n5 +7rMmqOwLT+M5yy2R4SUZvA2zjKm2fkYXELWLtZaGp35wo1KTK3dYb9OOLRPb3iwH +GAvQz5Qor9ZTiTuKH28Ed5HjhmU22Y1UEDkcW5b9y1/db3OqsLlYkIf1IEq6HvUC +FKvntCxTpcEhaENeSw3yWAcrzsDnxrJTQ5ePvaLkG2qOX3uHzOIe4de5V/84Uz1Q +jP5mAkufXJ8g7J5E08SQb3SQlsgSCph5BE55AwODeEYbO8NyxLYs9SktcBwMbDD6 +taWlomKl/m6U6A+Hguq1wmFRSPJ5zXK98eSIzQuJhmzpyi72/NUMk7nC3ELc4/Q6 +JUa+optEOUKipzHLKKh8dwholnmEF8TTDljHPgH3ay/RlwDGfNwqes8q0TU3ro/c +7kRJm16xV4paOrZ4tru2+59OYtyhcy5ljzJ1SCrWDRVdaaGWNDSe7+Uij3So4W6L +Yex4u/z16Y+y0GTgHtxbN8yJmom04tyZspoXUH2oCdPT1QkmEEFtZmV/hNyf5jbJ +C8SnUuLoLSBPFtENczdLzus2+o5GjIw349Py7Fyqo0AFmMFcpJktX8+XDevS36nS +aZNruKUfB8Z0+H00adehZ5xaftQ9zgY+tMmhGc4HlbToCZ8RVyt5XJVaDBjDeylT +EDWAceuka3cQieD3g28L5WqXU8Ugg5TQuCBIEan31sgB3mlo7co4zEZkaoudgsBN +K/vymV0UuoI98aXs0UGorUNv7PIDGVeegh6c5CNQYsqIb4iQFZVRPwMGfpfmJ447 +wfRq8V0mrvwNsgSh9E1PyZ2PrVMsx+ROgL1F2lenyLq+THWA4Ggn+5wPPQ98nKJ0 +Rx9Wu4QWClrnnUID22ctOPYqF1uS+B+CTXbZmwzdBahmZwk5t/IR6deOCOZFuy1q +Z8SBKo/RAkm/ruAo0f16lYoq5UtKKj+kmg/m08es5eC6pmLTg5LFd3OpVWLoYn8K +PAx9NNFdw6E3gmIWK/wzi0Bn3JKyRLiIU2q5ixlwAb5Fw9Jp28GUgKMe4UKUcdKb +x32skMbb2Bu3SOxqFlM38ee9baekE6cla3VPnM4bOUxFEVdvKWuLarnioM/A3fwW +9b6GngpN+FbQ0a38FReuWOsBT/F7617GHyOopqXS8pE5x8GGTStdGWrhelbRVVbJ +bn7M3/mOtSL30ou/hqhKC06yN/cTj/nkxJiU/arnuacg0ME5XFoVxcnWlyIhlCWf +YJVw8o3yRklli/vU39Slo5cIGTYhKM+BKq2IOz0gKECIIgesZHowZq3dVykcrhem +58VPFMLejObgX9dghObrEn5zAwyU7+AdsClzRFLi4KT1noaz/xnLdLDImbhUIY4m +84Im9QdtaouA6RdAhwUdYv/KqkE2z1nQN60dMVlCh47YbzOjHL1ylio5wuZqf0CG +cmZLSY31et0C8Q6Fh0YyxAly9LiGjpIHyT29h1vH/lS1eBSJsWsNIbwRefkdayY+ +phLcxqdDg+m7d6jiz6L1lscPcc03OChaWJt59RB5yhWIfVnhhCvIqdh6n377sqvy +JTQUmWYNhfXAUNYqftZ3HMC82BWnZNe0dVWelcaaYfgH447QFCQP490ZlIVdvoWS +HVHcs8QOfSqCHXo1ANl8F6Gykr5FdTMz6iuKpRM3GNkuFB1BpMopi05KzzlJ1TRT +uSA00+/JjwkTyqwHy3wvaVMhDo2qNUQ8stP7eUtDnwv2cqInGiRoyxIj1wJqdRrR +0brzFa5OTX6Tdchc1q01hKp8aH5qj4punA5VXy0qFRcEfosden4zF4pueU/9bEbE +kDmq4Cqcxr62+h5QAkmmzvV42jcU1pCGCCS+wT1M9/HBkvkq9n4bsc+DUstbixoe +RnNrjOJpSzOqxRG4QTyM2IuLh8otP47mY1CUCl4MU5tkP6M65OMxhnmZjCehTAAB +KIep2uXHu2/1DAcir76PvqRjkhYzSCx1Yt+VWrB0impE6jdmrI3MrgpJ7/AYbITr +a4oss4Rx7gWtfK7jCmPFg+OA7bB4mTHWjKEiIz1FID2al4ppDQP0qnwxg2uzDU4V +zWt6YApK2q6Vb1LYsLrKMJ+8axQN1iaHq4I0xLwgH74sfMzoT33HARUYC0AG5YKB +kldEb9alzIst7BRzqfxL1ZtXq38CUzGx6jqsJzzmfIyA4sbJf+dt/A9IeK2a/JC3 +s2h8M9Kq5ucChildjgmOI30XU2bWclWWHDSLXby5U9UaDp+JwNlkPeJSYHjCiVYV +C7PmltcOObWaZj9U7Zqmsj2+R88I7LJHfKBCoiZwp7kXfie0cH0+gRJeD83vOBOr +KUw66C4gpxdGw8qwXsW5g5g9iPSqSHf+XbQ7sVxxAwZtbo2wOItcgzFkuUicwPcg +078tlS150kHQlAjHHGACTdj9ZtlpycpZROoF6ilZuNnHu+E8eS7WzR7Gz29m/QH4 +r8dx5Lu8lN+4IGjf0Vw0DbNVqkF6FE3q/g8ADLjnSOCtzFfK73hXA9f1xcIr2mmo +ov1yGoCKzjC/fhMAjV4QteJCogmwIykyvwjjW8nmiU0MGlLK625U6dAdWRr+FwxY +mFTLdProbPro6M/HfS42EUItaCNB5OWM2h8K8fVfihr7s3OIrJMVOaeRXGTQJC9m +3biCE4GOM9tgi0PbAZC0lyyq1yg1eZM5XgXpe4URUs7DytQJOtYSiE+SsDCRGiEV +F8TQ7RoGfsHKoK7omHyBmPkOIG3z8e9PdFU8jLNwtwkYlamXNKgNpsqojg4kxyJr +3y2Dj7v8XfYPc+TI6kk9G6/A09GATImoUkJBLtw7g7uZJKYGA8MCXOtZymP1zL8i +AueB20yLg83n+GTgHIAS1HoJlh7j+t1/C2xLJwfPYtzAB4rkdSyHsfEggaYHa/AI +mye18TkM6ssuOFv9oDlwwr3fKwxIKchTLKg0deIeFZ0Ndu+BNVUR7CPpw8EHyGwt +zM2wI9WMxhkARw3LTRoxGxXq4rGxWVI4fYi00YaJOkjqA+R1Mv147HePxQVEYC3t +lfuGyGNPmzwrXoogHveYKTKZqigTj4ZHFTvQlX3m/d7O6drIwSkGCWQ9AHjkHMIf +DBU43Nqt9U05AqawctNBXyKu/XUJQOMT6OB35b6yFakPTGNX2dfoVZ0iaVpQkot0 +fEh5tWCvjJ06SY7k1Gboye9gg8GIOZ5F2M/4EaVcaHotKYkAlPLfwGdDWIDl3ljC +Evnz7qF0tS3ORwdh/xj3wYJ06/T/TyeAkZl9m3DT18UMJ9sFt3hipX3rUt0t9p1o +6gl5wfSOO3Mq2wEwm/ZgPOVcFHXZE8CeIU6R8bLHdCqeSX8wxb/5pChxeopqgyku +FW4eBmV79lp9i42dGZOxStMWdhlXkfgcrg/5mEOhd1NSDsSuOmW5TV1HZPi6ZIyr +zVgRk+Gn0yahkVPxpm++40ADjfxgiEVUOSKAudqo8PQa1uw9qpWCBX301eArPEH9 +PAlOiiTrAiOSchUT7o+iTVtKRf6K9idX8733DiEedNs4ts8YIJcSoEtuYiuLI6Zx +L6mscomPvq5pJ+TzZLuBZGMPmA+ZrZeXVURBt2nziUAH/2zrLWBsejYmH3vZE+vR +xiMkljHzq9JGuv4zdh87XKKMyrLrtP5eVYZJHPgnJEvhttnIpbXapSt63P/lo9VI +IThBstZKc/4q6WR+9WcoTaGyUdWqptWQOMWEu+aQjjyfI9EJxTFt0x5ZreQShatC +8ZxLeBUaG4asaXM0Sa7Vc/3S2NKYa+eZkyUcyfZgFnWLtmCnpyiytak5Unr9EkJR +zvb8TZIty272Vdh9fJ96obIP+gEPpRCTecidHumJV3dXjeRVReppWCqGwDZDbr+W +sqosey4lthqYeZe6O0F0vrMp8UuzsaNIrrR/Gm8wFC6CPjG8TbJMBKuCneVF1oWG +FpRv+q6ZUxnFpMgATnPLwrjURxaB41Ir3nF5HHtepbWgz9DelP+DWnrqWNPRFrIx +qlmoI6e/l2UVwDw6szglPdw9VtC2gMb8MpICs8yfItX6Rt4BG3/2mYxbpgiK+Rwe +IHZl2/6PAIqRuXLeKAfKLsSxjwNt3IsBr68WopdpR2OIVkx3UYtRRbSpUvLnlW60 +mgP41eY6Pmnub7zjIfLaa94UQ7m+Oqk6y9CP9GX2Qf0lsJ9kBQ7nGirwmuI/RIsT +1Zv5bhxVpYvj9otm4L0UxkafCCTGuRu2f/9Rofn/P1qBINoa5Iu4KxfqJ4r+vPgz +OJYU8v/fVEie/b40PcGxbv4F9DR6ua6jwexcvWOzNQSwlI8e3XDyS/OaqICBbIGm +EKEzeYJ9s+b8IIgBG21lomLKxKK0wut3t9kjuAt/MitRyLLeBVy4yxwCBn6+DJz1 +feMs6zjF9I9NRVjeEbgBdR63Swqtn+fYRfx04YTo9WK1D6Q9I9R7nyw8Tz42JSfW +PDbrCPCd6CzYRCau4IfsCzREYR3wuu864FjHYQIo9NmlIWugDAXcErryuHwyDTCk +9CTF4TOvIdRVDlKNbHc4MxfzAc7FZdQGdKnQERpvrrs/xgECgZX8vWZlY4KqEaov ++PQRvmCqfvzbu5R3j/EJxJ7M1cKsPB8/714sk6hIMtq1o1uDYk5BXjGsQyVzXesL +byEz/PYefgfHZx9TSh6QGMTD/6+lCVt2eWGj0gPVH/MtS1326RlbTElVfFzKItov +w1B0Mskb40bo8/eUeX42F2WWSmEUOjoVyDD3lEjVBvEC74Kb53pTbfaG717lJJvv +F8D3vw1kA7YRGdSPCp7uwG78un3wXcfMTOwvJgiwn40Htmsr6Tm/6YjbDUG5U5qk +iqBY8Pp/FihruVTZhU5hK68XTmVm0uCG/puaid1ZKriEyNpwLeY5bIwtt+aMwigf +b+YF0n18UR/FBzZ014MB9TFMb2RG8hsFiyTf14y6teIQaU2p0fX7uvIV2srOyLeu +6V7UN/ygGb9X/IUFNPmvshOG0KL0GrY1x3NF8h0YhbQKoNiEqifElyC/9aeRX0cA +yj2ib3TbEsCbAczLzyOo0QoQ8NNBoKWfBCU34qu2nKntl0596kXMAKbaSLLIJ//z +wDwpGyeQ3droyzi0eo/jYTJ5EoQrmst/pLvVW43KzWeisyNXQnecQfs219KrwlEV +FaJ1sibzxFMxk7REcDmznuprs7RwhekmKtUYHjrOyOQZC/H4e31RniR57Tbk/99z +9uB+XnZZ8kU6koCFA/VG0HJqFd+i0q5yWeFUXm2rLLm4cQoIPSFktFQBiZaVUAmQ +YU45+sgHube86GSW2xKL6RjpH15XKQjIrrEqAmM8Bl2WUocHy7arwvh1wQUbPu5v +wPAQDB48NM5IBEZJ+yPXluAaivROWOd8nTHqoOHgLyqb1NtuVOZVtDoq03t3PPam +UHMBUFtwiKJ1tdUt8bGxsiXZQKjyZFPj4bClfBuoCw6rYoigmY9HX1rJSZAIUlh0 +XkYRppi/ieuYpQSK3Z8IuE7NuWNwwR+/9Qoy521rJzQFcJuRCdb2KBQ3aJON5dMw +25+PiydnLWXHyRfL/q263CW/hTLCqAunRlPOar7tsmw1whNeiezxvwGaPc29a5KP +rpFuRhV4PaTqJnMhoJBKQibhvkn+PKKlyZ/FssXoPLvheSo6ad92AXJ5U2rIldA6 +xcwUhUfZyhOR1FnbLaFRGJPtEiXh4E6y1PZbfCxEjuqv9ve20j+YcanUPRk1DGbh +qzqYb+wCvJtJl58r6gKAgr3Yhh+u5VQSegcyE/FVytN1vg6Lqxa2Q6YF/kXNnX54 +yAWF5x56JkznRVILyxxpYpyX+nXsoTiUtvL4RZ2t0WdhxeSKmG9e6CbQ46MFDeqk +jEseA/x+7TLCY4OQ0KKfQiX+m+90IO7GJmHvFykY96O48VrsltinJ/4G+cIp2rad +dxl7ThgniBqVzM3OzRuJSm5deQ4knx6pFN8oZ2WLC4/BJ40fuaFnjI5XibwnWUUh +L8lUBp6fpnfJetwTXa/GE+n11L4tBgkpT/BDPOoh6tr5tKRCb0GH/ilmcMgyK5kU +zsZhte7fLrENaStPMibK7vIlAXmPW0xsya58JpiarGG7hMaWEJppPGFIox9DQLcv +CtVAYSmZOd5YOf/ZpAZU1kxsHLdbCW8mwhBmyvb83JsL4S9tb7zwu3Sx2H1qR0z3 +eX2+EjSOyEFxJ39NXFM+8yvm49aiyCeuLwdFSMGyRM1AhCwjmd4wZk+k4cX0sgoR +CHWBJ9S7AgtsKHkIe/Qz7zZ7N1akGvgNEduIeXDSFFZdbdEuakdOG5f2//qxXZjp +dhp78kBwh03gfeZeZyKDNvgI8crMMgWqrtmz8C6ieu8jChqA2gxc9vEan7xSYKvX +EAaXkefRCHwScWQrBnoSIcCVJuzl9lxIjCHgPaEF694GN26R2q3Y6o6Gm9R+6a6q +OQaGhXUHiImzBU/k0Z7LcG5D42DuwaKKuS+HdPi37+kW7w51MqR4S3PU9ZHvJsaf +myW30ZeJ+QmzN10cyovPuBJcKODk0gfSgS+edn5JX8m8r9cWLqd5IwyK9R0PL74L +0Ie9mLYlPzOY/gpB5SAco1DHYZKHeh+vsXkJzUs6CJ5I30fNAFQb9bO69cne0u// +Tn3yzdldk5W7dRZ0ov6i45QcUvtCpOufXd/bNoAwwtGleeeVIo6dvKl2LnsdN4Ji +rvzvaSmP/nLcG9R3MX92oBNxA4QAO/mSwH39Fj0emKJTsImaNoTfKkXeqtKgyVVb +aBHjcJetrIrf6wJb2s53tCPiA6EwF5KgMCSHJvyoZ/KsTXM6c+r5pChqIM9XF+8/ +N2olRMX6Dxoo+TYUFMQj0Vpkhmrc++/XrwIngT0Ns6nNrU8EwIiMfWitWnDpH3EF +OU6Nxwjj0KgpOQNt+LeYR0GRK6gbjDqehHz2O+7a6AElGFuEUV5U9OCIV2URLXEV +Nt7gPYQrofOWzXGBC6Z/7ie4m0TG9rWRe9Y+gFj1EbuJODC5lgRqsve6Xv6DtbUp +D1Gj6ofamR3gCmdnVUq0O/BBAcd6Zdnw87ncIwaDrlA5veHTZl1GfUBSMLoWxM50 +ke91xilaskrqzmysw1RzyYFBxjlMPpZ5u56pCyJesIhKu4fqeNhvossobYi4mK9F +dOjvuKYESQtCiyiTYrsT5lKyBBy4nIPid/7X2Ke6HqCSWwQBpb9vQqhQv1C5WSTs +M4s/7/3km6csZhD6wBC1X4OZY8Sx4fvBDGvAvLHvDrKYvbg6xH9j/IJ5rUFkX9z4 +mvYoUosWJ61kfpDbMdTIEqRgRkCzUyEtiYG4TNdytDA5/LcdpesDj+5i4c590rC7 +fvdM4KQkOekp8djrKNz2rQkhYStp99gdeBIZsK0O1zJ8vD21MR77WQ1oTkJ5gzpH +njHq4GtbCgNP3LBUD2xnXjRSHfe2A194otoGBRqpykRKsVMmt2NCs1WriMqfLou2 +wnfYjMXbq2HrAaehCwB9Dp+mQf07y3M9U0yJ4M9gStdiUwZM1rXM858U6fcpjrEe +HnopdPKF/CiX3hr+97MZd1swdhAOarsXz46xOXChh5hVXJYTeg+dvKiQoE/S+9Ud +457q/f03AZmDVPhph1B+fhY9oCKFdQlvaQo7pZYqj3Ng5IURXKLHP1co+giVOIMT +sxsp0cg6T02B0Adu0s9hD1aEgDlZ/TvDEdqZUt9U52oMiUS8yLYRDodCSn2sE5NJ +ARXNajrzhD1wOUJW9btbDSvwxM4txya32PWBX4WDAcL+GPY0lmTcg/gHLIhDjbdb +vcJMN8gGczUdPDo1Sbl2x5OZXRxn1/Vwgw0kpb7Gy1qQdaHA8brDCI4n90QKGVWl +QFRdlyvT8rViSriJwU/Y9nRs81UleC40nXpFlMuGT6r9T+GJFbEYquGPVEnb7+LK +vHGINQxV8nYej1217eAD7pldEcsCWIZoHHFf99dSI7KANhwgLyduzYWlY2pJIEsM +mmHq3K5eaMkcKw2gH/faT3SxGg+fc8c3RLkC9+/PkzLHX4O/uBZlTkDRvZRCm743 +ASDkBAWVt3L01jX40cENZKh9rn5LV5LOzDsLmU8FypU7R+H37o1g6rmGJzVInl+a +p8go3qangucLVgPGPSTJSI+stAD1D2iOoj+W6grFDhAElq1Fc9C8n/vd5owMnxnW +Z+CEwUwD0H8dgTCUBx9PbalW7Po/uio+AbT2oebjeIMzkSV1/LKIqTIfhLZqnXYV +GWzaAwpWv9See/+iPkwdCBPsvz8Nwbb/Nir3YwczdkOjbSlk4QRMTApQHi8w+na7 +fzbjyOMkJldY+jCE2iSnaCQMPCA3jtYlDSiEqF8qH6DraRU1SO9Avg3fZP/wiH/f +IFG842vxNF+VMJjr3fuSOmyIJjgrdsY+EJECf9P1Wv2hJbgwZNmS9a0OHi/0Q2wv +zoaQwNKtBeiQjwsbhPRB9Lcn5imL1PmFMXDKoYoRYQpVULlp+GX3PD99gV8ObuR3 +AWjpNBBhBekKHHPKP3HDKJxI2Gwdkz0TNkTrAw1F+EvRlazP430ZnLOaMJE1mPy3 +EtMHcXfNWd3tg0KzNA/VoTEEaPoltVlpSVvIGdpTZJyUQ2jzkNa+SoBcN0qoiWA9 +SaPXWQ/I40QmTJTSbK3ysdGXZBwCJWfHTQWwMkeQVYyrSEFg1izNGvsSI7HPPl/c +KtZ7igpW0XMjZBTqnlS5r8HhcYUY4SMhcEsf+eSF/9c6cE7NihH1z4QBW3gCoWJX +qXBuWwT/gJKRGItQJUVC/ZZSjKB0uRA7TXcMclN6HMEvcoW5MkSolf1czXoXJMc8 +jOyigRCl19l6+iXfPmHq8ijfVD5TDp0gjKPA9chPkaM4uydB18BiLWRAUzxy1Bb0 +q8rqFLab3Berrcwv19z5tv8h+DwrnrKquyQHAgelwJeh6+2dcBSTJSV70f7YYb6A +SoJ1xLw6heTVJD5PbVOM57DuVzA2FR0UK0hySM+eHmkEo8WQ79Y59wepMGDuGKDV +CbQSmlsxK6fLnAxhY1rxObeRMU3Lf9sJqJaz6yrDJW6IsXACpvKBOxKBZiXtlBLU +nvai+JLmiYZaf4I1Ha2rwUAkrYFtdmq5okVh7u7Q80Ctv+lyxIIdvD4Fh6Ghhn6d +leU+M3IpQNbbY3Nd46DzE4+GnqUq1Pf16bRyTDW9PPEeopftcnt68dNp3Fbg6XtZ +0w9ie5pDuxkhCoiM4Cw9cCSN9itIyhlxrvX3KuPgC2/NhjHx4ZL7FPisFYGItat0 +/lRWh8NFCnLN035NCDbIao+/57vVEMcMYPedMnfqVDzej0gav2iUvd3ILdmKQ3zV +SEUXVBAb8s+4dcgUDvwDGsFidP4FUSUmlKlWaTCAEMl2qx6FZoWCMfu6UCJmuGz6 +P53LuwBeP8spE/hhPybsZwJCxLGqaq7xHGaw1cai42J/lyJUboOi9F8Es87DALKM +w4hZ8Bvu4hp+wE7Wz1Nr0UHmUGUCe9qNeY7Mhdsjzq2ZH94RP1AfW7DgvMFnpVey +vA9LL3duv+PlnCvW+1IkdAVvlfAllvM3SSs7J1A8/bapAZBRQ7bU8uoajgnoPd46 +Bf4PU56TR42T4CIQtHb+OHu48us8Xlh0cAyT/s3FzHI1pMnlk/HuTeFiRvr5sXts +axIgqZFUlv7bW2XPdMnt4xkoHo8M/f+1Ctqj5IKBr6A6aNmmK71xYBuo6FyS76mA +R626xZbKAyfnusJ20MGZNcgfmi/1G4o+HKKCrdjr4uuEdI1HQNxiGa85w/vHQbKJ +yI6q8TUzYIwKcaJXYP6YcPMKoIf6uppunMZpjr7KbOJ6ZMF8s4fk8/9qgdc/g9sE +a+3m7Mi06ozduEdxLUkUBIg4/frOq40j7EObbOL0EqpaCr0SVueVU5vBNEyIGUyl +PcliOZeXH9vNWUHvw0PZtWiI/IngEq9zGU39hLnvcNSQbSt0+p0dTALhxaK9inrp +XyaseNurcCeAfsBmfv/SzZ2nbKxWUJWZI/f3BUrKVUUbTibariRWu6WjGF33KxmK +pt6j6yHW84OkOGecD1UeywGRDlLUPlOJIUjlZkCH2JCc3jyZNS1aha9ZzIIbCqNd +t8WagG3c32+jGUFER5lsCEEl7kbMkQtZBGqJc5U1TsmBwbDHx/gBfQAOYAvrnGv0 +6pqmzR5MexMqe6NPsM1pHPbbAgNQMG7Q5B1LLCj2fG/g6ql8mfZI8M/OHExxCVaw +jjjGKb/NKZm+k49149bSFP6CC2chmTF8odk5Gy1/jaaQOe49VZhcYMM6W+8ZjmcB +5Q6WhLDEvBQUHH8Y5dLuFA8aDY+ovj+z+zyDkyjVvXVQPYrQP2itQjmQG5YcRmSH +UDEcrOIYuB/oli52c7tjCS0Kvm95XANUGbGuMOW6VKhQrrVxxRN5yo1Rnu+Zhy51 +raCaWrLe2FiyMSkZn6ttRGZIvOuKAaE4+vClSJMsjxMgfl8FXaJJ4mS9QzZqub3n +sBCtRJKwagcd2liyO/HEZvFn43ybsZhdrhgwsFDLWSJ1XxOiORwWq6T0wOTnm814 +H09PG16dC40uLQE4A4Rn4s7p2v7qWxlVZ7iXGJkoPSLcTGSvENRFNmbLBOQYIO2g +xT0OFASrLDStXWYll5sgmu4+I9Fpc2hI2n2DS6R/p1OFNpby6HmH179gLyJzQEDR +5O4wDmb8lorklKO9/XBAWLAQ3tg27sO9Ui9dt7Tgc/ZGDeVCYAJD5ZnFBVe+XNuS +SNP7fWyhx2zwf9scvY674PSZ1Vjm7VvdqoaBZ5FLNc8t85yT3CQYGDRJgC+tJVPw +Q/6Wfj9eTLI4WC8aCm+adDfckT/Yx4ocoAVKOQ6Lzj+S2vMcMSQgXzVvNzVBeIpu +aBVO4GCWjjcX3v0QD4r1XiFL9KE4aPv2d+QUO4t167CEYv00GnG93rAqPZ4XImMF +x1q2W7DkIpumuKlZ6RYR/byStdf1dxQsGzRtmVCHkYrVuIe8kRN2weLniR0lGTYX +txcCtVuhxjTId6hKV8FzAMf+ZdlHcNgLgWlMeiGASSGhQ25KGA7GyLRY4pXgrI4b +20hdzeW9El3EQM6rVt6zZ/BM/eAHZvBko8fEYeCnTWX7+r7//ecjN+kcLDEvo3Bb +pZ8ef6/sOxa2AhVPyaAROR9maMJKknv2CDLjAwlz4D9+6uOGQUhJePNBle+K7o/q +6IN9XXXEiVFIVUw8xKFEpLs9kOJ3kKVfEOfrK/57onQ6ZCLhf9+0U/xH2JkY5dLD +O0lELhmSs2xr2+0xN+Q0o8mtIXaq4ep4q/kx6e0Md8+mrY63xneiEPftYJzL1M52 +1igo3atxcL7MDM7IxyRiuMzLKD8/cptOsReukckTUaGd15O+TlBB+/ROFbZljOQc +50f1yM9F30cf2SoloEc7fUKbIk1zKCj/ahVKRqPEYDwFWy8BMH2P9Ub9UZmvPpOm +ehTWMsa1p3gEKIHT0TUWrD6Z1iru9xvt577G0Ap9obWoxrB7PASoj2gNI2HSwiip +vDQB9zxNath/zWVnD9dscXkOfClSJb/q0vABEm8x61+YmEji5aHk7m1TCXu90BOo +y0PHHMBrmeffw+YA3bEtF1hV1LKBFifSt7/00jA+DZ43mf7S21omae3eqjj67CJo +wc7XVkmijOPSP0ge1+rZ8uLaJmKnYSqP0dQEFxodXp49yA5/DfNVnBix/EbSy+VR +X93Tbhz5dnBCQPuq7pXPWtFk0QMIt0zJRcjjmto3ZKhdrE8S4MYJHAszM+exdAby +iNvXYmlj9ZtiJiGGFhG7DxV2UA0OjMnJgHeiDG4W0d2Pp/DXXawCYEpCVOcq9s3B +zKX1yErJgi0o/YRT+pdoHCVj0gTL+s98umougB9icxxXcd3zVFTIuwbaeQFqkgE3 +R63orAJ9av0RDZzNJ+SHmoRgRWK2aF5yX9QWd9qd1+J/D7RRg4NeiDAaJLscCwPG +OQbEeSK1loM66F56QYhIHkJUUH8U3qoF2QrbBEaE/dug2TnOal9otOnwD6Pn9NZt +vjE5orYLeX9+jTyBajVEU4I4zyF0uJXOX3gQ4Dd9ACuRry5yCzzCIrutt8yVDrK4 +eP0SaCwGr2JQzt/M7nDLWNmX2P61XiT6CuXz+86KQEL8zVs3Ev2jr03Dv9ZhWjRQ +DEnWtjTrv+LIbOPhPYiNVDfwhhvH4aXVkDwmKdni3Digk2DnxkFDmFhFe6GpKT8t +51GG35XccooHEzELtyGK34i+ZUtkAVdOwaSzxps/lL2EZxbuHDCh1Di7DhJBXCGG +p1J8TXrJqY5ZV+bCtLtaYUwIHDj71js8cUshFz+e2Nfj8tQqzhCV9pJCnSrUiR9J +OoN/EujdsPng7l1kFrEVhTeSVhypMMzS+5bnKovE2LUz8juITnYoXSyrdZbyOkPT +Gt84nnGbyyDsqPhe3dKhQyy5Hus8A8r858rMf6FOq2aopF1D9k9ZjE9ZazV6nmkd +X0GIEoiqa/SrS2d/mhlYooIwb778luEjF+OLcDyORPOKXBX8AfHwggCfKnjEwOfj +2Xfe/RbgNx5NCVozXCLW80ZbamWWUO1CPaG7IwdiOWWVeNOLGI+lSn7vk7C4A2ZC +20hwSK7p0X7zp61HpRSjmKIKr16StPOwDBE94Xcu9tFzHf8pWV7Fszy+l6+SW/Tr +vct10pFrGqeKNwJEHwXpbqmxtgxvpepYeV3wdRFDUJpwtU/jp5haC7C40c4XFiTx +gLf1urb1Yrr+i/vIIi4/np7sJg7daQKyVEPTY+7YLhE15fjUC0R/gjAKl5xpd1oH +NTJSrKab9PvVnQ5PeEkflAK/F8aRt+cBW5lj0am06odAXnKZj9rHJQ1iJiBmsj45 +YIjXh2egB1FnTPpjQF918uAQjt5ho/rNsERR2ife6r3Ahu//IdzOoxdEs8BIMRHj +a0cVpxFTaLveJF+yFLCrFmAJpyrIGMxF/D/htwCQ/UkJlMp3jEiVMvx6+5y4oHKE +LXUK6m78AEFSF3Jsk8tG/LejYRIOtRxI+dHfQE5VZbBx2154YxUuvSmicbsDdB5Z +7HwOlTLaZtyDFRlBJAB04Es9lGm1juaufu0pS8zJqvRNmX+cJQ2BIP+gWy3roD6k +I1HTHaU/QPg7uc2LiCs1LUVt686dxeO3Y8o4+uxVf8hOWMscjz9Sha8vVA5ZqV8K +9apehDSu91qzI7WMtT8EUUqv/wMurNUPRtlHUX1Nhkx8Tc1zRy2VMUCzJhtqL6YO +rD6kRVQBhcK4M4m/sObH3wBZEazSTbIClJiEtneb1zKWUrINUcpo6jwL2FXxArWM +gYq5NmYT6sQZbBSlfGiAxXR5TJ1ot0k5Vuiitqt5ZSWZc7lnI/EKp70VC1IF1s8c +IPqjNhwjzRQOX80/g1Zc1EJox+QvlQozXMwahuMPB4LEacCuEfGTf+DfqZKladUb +jh8e3F31DL/VViEv1hy9mjX74PnROhxnHSfBSb9XFflC4d8QbO7Gn6uX/qdXRJye +eCapVwxCyFRMPP0YHniZhfTKwiipWQV/3mSynUJFU3HkEa1MYcKI4IWFjy8APLEt +DRUz5ZhggLuwMhXl7EJCww1mJ4R9/Y+cuzKPJdxzwBQ5if+4O3Fs06BV+pRjqu7h +d7lxD1Lir7Z/SVSn1BwrjbFvQ/SL9DjcGV+oqro9adXCMSSTo9J31UMJNQxqigqx +hqYII69OsKcTpsSJ7Werr6PLwCRDWzdby2Hzsh6N1apuFmy2zy6Smsn0WvRrSq1k +9x0ndxFNHg3mUMMQ/5s63MNtPYUXMl/nAmjdmWKAtLCrEkXKMKHn77bpD7cYYLCO +y605fjIUokqtFbLdVuFvScu2AbFXGooJnKRGayL6M/dilvBJ8Pvje2y+ZzS7HBE6 +KypCRMDFRfyN0t8VcqyZVXjUDcoOlIh4XREBHXTQJyB5OUbUNVYDfFGQeboHx/QO +eorjKBY8xHVvN7GEl8tGDbIvGPv00NxKyHa0cu/OZ8zH5ziQ/NRYk1TY10HreV63 +rYtlJyhmTJeOQep1lbDfxhz+jSIFe+ZGLh1daW7rLNEvI/XTUAOX1QLPNzngor/f +17An6Gciq/Cj/scFcTMsqHDygn1OzEybVRBfMcpkmVme7OwMzPbt9sFdHIsAqtwA +fjgl0V31ajAvxzH76MIsZVgM1kn+tum7TlnOSHAyrLa8GI1It/5LXeqYeCF6SBdX +GvQix5vS2Icfkqenwhmye3zbuyDSnp0oUJN571Sv3ecuM0+GN3SUYjemmjusdxC1 +21FcGwsNerr1R+2X5nCDYquZtY7t5vbY7Di1QwG/mlEXEUwlTgUPvYdYdZtoySCo +700HRFIl6nMGNCe7pyODfzyNwESmOT/xEX1K7dBTO7fEoo1Q838kW8KiOmk+ztPy +uR5nDymFhA4B+uOSvoEGWPY4CQjYCmo2pLGMcR45pvOPVMOJHcMEmLrVckFzrb7f +zo2hG31363c4z3MjvdrODiCtjgRLn+RVLhju29NOQKkoHv14p/NravISy+ZRfEx7 +rSkI17knYxdO6/KA6QhnfZebiyZj9JyEuoj7K+AVCLZ6IeFjObiuM1dLSwxmGPJx +McTIj3zzjknGHLdEvwp4zI36sXHf60PzhT9qd3z6Z2C2JAt61B/KbGE1RG4SW41J +gkH5KvISskF/QQZy467DsRT94CfGSdLY7et1VAXtTkTd6c+6SSCysOBkqPoXduuc +NkIX6j9R/GrzRZQKN1W92p7jj5N8QoESs+b+lht8QbLwAoWVb3CBSJiZC7Z4Ubux +c2Sr3rZHyo/3c3+qDgYRNLlC3JlDey5KPu7B9wBtQ57LIH0ecv869CHxKE5NnCbB +tEF30NhgWZ4FGQbgsP1j/hpBw0IhwwTVFym2aWw0gCtAbpZ6OwxajzhViN+NgJBY +8j3OmS465RI9JJzDbZo5VWx+9w6EGPSXwoi7aXQI0czfy1ecJocfrvnKwQTa/rvY +VqvVIycblTTcQPlGW2ym3ln6iGo29e87wME3BUrwUbn9YCZFhEFQPJxGLCBc03B0 +M7bVUa5T5q9jTdskmxImcrbmNvBsnxtAlBTBqUkrb9kUcJUqn9gXTXgetYAXoQfA +ejYMTjCpRO1xZK/iWVbC8a9QkDJh1/LfMYbsgmLvOK/8Kagoti+UuJKyWW2rVKjy +kbSJ04Ee/gKIBV3qb1clIWD7uaf3oaMGDA0U9uDJVKbG+Hv6J6qTy1Hd2CGv6qpY +nYamrQbbdPmzZCvE/1zD03i40AtbQYEXS6L29r9pCe1fB655xW3dIJm6DXuoIA0r +nN452EvnaHRIAbk4xjRpyZuUyHWczK4WbMPBuCsIN42QP+94OQw4GH+QCmhUvytU +3HvHRABr9f6RTgQcb71BoEIwa0a4K36XS56nZQogcQ5GXwB3cQpLWl0mYVNTwSjY +8JwifdR89N3HNDU/1kYTyRabKlQiSrAB5wDL2MMS84hDDEkjyR9XEgG3i2RTdMHS +ZxIDGTkNCoMAEvOlj6m15sCN82iAQdkUWRh3ci5AJy3clMh89GUfDZ0FNg+vU0mv +sZjcWKklzZtQzF1AWQVoBuONDxXO2DtBJl9/sQ67ovwlaSKsxtSfi7jUt1n0fL5i +Bkf3ovHIQczUfSOpi2CrPkA0VRyODsPrxakw74sNxxJ9UFuKo8PVv33GOc2kviXn +bR2nycgY6VlXiq9YJKwMv4PjuiKuoP2cGZi3PYuENna8ULefOtaj5eSdjVcMqM/q +oa1ZJfeqCDcDGCc/dmpDmZz6h4YfvyGVwctbNKNrPqhGSgeRiQLA+/SYKQShzBO4 +F4U0FgSPANW76tJI3c2TOFtDhhbgmUd16lX8CYhm5ZG22S1jkuC2rMUz0H48DfZz +9M8gDrlCkWPphgE5exy72yL00V8o/P8dJI5yVXq2HZoLfbY1a1PmUo3XPp6GRcsf +CqF+v854B5jPANZoJ6OSJxdD5eeuZr/qVN9SZ/QX+RHibBMgXMDA4za3OibLUWsl +k7PvxuOf2kusI7AFpUleIiB/EyLbtmbAt/DxX0lbn+dYz2WXeTtLtTYE3++476KT +mkjwEbv5VGRhfKT7vQUsE0Whs/zqreVhj20PWq8ONWd8g0CeE2FBFgedQFn8WhZ4 +LzqOy9ZyN9yL89lMKIi6bCQaaHVOrbsUlu3B02KOGnmq7mtnTr6xJejyxTbyckhc +3hhqhfEuP2qM9i/o6f9XbzzB5blOrRtfknXcifr9b8q2PJ05eC8oXI30mDo/QhlK +QtPiEjHTYTLWtUCTRZfciEJGiPoGO2oYNWQGwNQuj04+BRpOBTaakBnebxHtEOVK +2m8ETy2sl6vFB3ohveB6EhOoKwYB2lo8Hfwsw4cYHQ01GwN9E3047C4bNIyGYXag +Y2Bqe4Sww0pJES9G4/WdQH9Z3Tx5cgWWH6c8gnMQUAo4s2CJidlcOHGV0Bk+1lvU +2IMNu5visSIuDmK/j+8qaKzqcb76OMSDbt3YMqhL76Iua62Ii5DuzCMgctdZZr9K +x4YhDXOv9goxyy5pZDhR1uajfToIjAjRUBDn7Q3DtPDHBb/wzBJbcmuJRWlDUNXd +oNBs9eiDfsPRSOSSR8vE+Uvz9kBr4cf2ax3L+nEfS1RITNjd7Tahw0xklYC8j0GO +IyOp6pQMJCegiro6Gpymrj9gG7uhfhZGFHTlDz5218ZbmnU7I9moOh5P6ROlU8ES +K5L33QHcdEZx93KyNxfVfypdrsdgmn3TFufq4Z0mPUhsfcaTBQba6spZyJvQ8cBh +bPAzYI2MvnFO8/KNcP9H25u0s0wtNTQZdRNsoWI4XrNYYG1iTLRgNWPoNvqL+NP1 +YIUf+7ZXXH6JSsM0qUv2g9/qc/R6bTdc8R7xkfdMeAHAK18Ma/7R17OnbImSWtjc +IIaDLx9INSuGJrGfVTyaZBJv3UJ1nX4k1bwGgqIPPElEHCQR/8luilkQnMc12nIl +/uAZe63iHzH8ki9dc0ppTUsysxge1Yjh39tmd808QZORk8VDzaxKPLb0BzpvWWZH +MBl1ie0klogUKrwh/6rr3wvOQUNlweKJPKYh0ct4Lko3/ZC4BBUkWx/fLj/Y6A+/ +HfJ4ZaYop/eIKeHUrbpJYZDV2hm0m1s+KVhuSHdUOWvJpjMVkMvQEa7TLUsmjPft +4zWeQhYAzcJ0SGPKCw22692eIIC+hMkYyLay+y22MMHT+AGmGTc+I+1THUeI+7WY +MBGWf3tB/b0UqGf+V4hD8QZ19vTST/NungOmBOl3Xc+8Ix8LRAo++iqzBlxkyJy2 +AkU2lvYOzvuHaQ5vIJNE3QUtJjBMLwdE6z2W6q8YbWwnetUHEl+krEq5NEZ7f7oM +Ij9tLa8YljZsFa0boJTAcPoOPJNBNX9WF1fts4xpnXM9Z8/Ie4jQTk7eayTGX1o0 +iEEl+xWX8cgzOG7azGGFZqB+SK2xCiA6bBBEe6Yhc9Mok4Moao80jd3abrKmraKU +bVdGNPtQU8lLmZLoOv8+IRETFoenftOxPN+pOIr0lTf5U0pJ3Q0nR6uqSR33VY12 +ACZcKgdRTSwehWr4++Lg0HZokGGfEg/YLAXmwR23/1FqHFmTD3y5JXa9tC/VgSrU +VD+dnHv/POYz4bKbIetDfwk6DYJ6YphNpyTZZmrwNcCtMofSzi9lgsszo/E4F84g +cPydfpcgRLW7yeVxzECa9NQyKnfICnGhBmQhw0ZeGHBw4WGx2i3njEDrn9R06aNP +71fJCdbpOwzNni8dMmXyjuoBOt2Eo01pYTeuqso+5Z1h4LBQGreNqiqI4+s2tkzX +ulIgrStGPvhxd4WObq2kVhswwx6Q44Xf8zkeNFRibsHFyEm/thhS4VJnmTcjgv4+ +Cyn70FIV3C1RL/FjdpKuXS2BhCqT+H9J+wLSuk65sY8b9duLt6JKQfh1YQT/PUsc +PAXrizC1JX8DLEhqi+S8RPnQSW6+HL1nRcnoyFzlDy1JOlPQk4eWQtAGIBIZX8nm +1dsQAsZ1ePP36BUJB7v0ywO7f+maoEQhrXxi7Y1EeVAQHo3dMdHfSM090HCj1X9U +ynEDh/OKKWyLv6VxdymjpNdEwLLfrAg0UCyfkmMn6HnUY6hshoVj0QA8wyY1ar+C +DklG7NFoK0IBLSCZCgIWCo/CYYTAmouJPCf7aFwPUyJ4bRcjAGxdHOPkri9vefNb +UUMWONh3vnXX9/JGKRzFO1/WZoR4wcA3Zql6dByFHGX04Lz9Wrrw5VspczZv1jEp +sbMbi9CcP+7MWY9WzqiSiauRnC4vKbs1tcypxmSF247xFaKUmRudDh4j/YGsWLhy +C/xCLbLQrvPgpmMtbUpny5yN2NmAeXhZ2803D0vZO5+MF3uWW6kCnvO91WLwJrpl +lVZ4fn6m4AhJwlUa273RzrMXQ4Knk5kpFlpjaYeQ8J2WhUXS/FR27RgGpz3XiFqA +38cGuxOEmCz7r/xUJU1020lSX06zcgzJefO2TCqz2f52s6Ff7jo/P8uyJfa2+206 +4zCtNex/aiTSc9TfxlD4ibZjnyz8NmJS2UnnxAH9h/yYySVl7VERB2n6SG6mFOq4 +zax/CA23MO5C4Fn75WmOnYkCx6g95UzeUWYXTkumWfY/moHWsFSt3ZfdtgGoXFWC +UQzYeBlgTFOtbKaZV8FDXa61W9QXcZURn7Xrl2rzrmYk5ELdD70XDAZK3W8W7wkl +Y6kWZJyqujNl4shn/Gi3DIAAblhpUfx+pIyMUuqeuHMrFw1saaqkh2sNjhTsV3nc +8FGfhdVFrYIgifBJWZZurEGCygeCA4BcSOvR/6BbcgFYNjhKiFVLnyvYmKNUWhEY +UTm56n1wLBsVaBEINWLUx9VE++hz0teRmx96Qrprhn6PxAizubqFuar0pK/JYKNW +AiNftfSfMVxZQtrrf+0stMxbhxg7fDjAQ6USVqR34JkZSISiFKtv1I1jqnJ+rf1P +LJV0rVmSzLm3sZpcGSVQPVKCnkm4DNJ9p78TPKXZq5nsL7D3ZUpxf9A1E9aPpDXW +CO20Wgb99P8eAVACnUgyWOxjHg0A8a+KWOVdPCanvHhcLWleCzQhLFqabVvWzoVN +Aevq8kJ5yhpHpXWUPq/CzZFenZt/Yjpa2whOTvRdGnVwIbCc9Kde216obUp7DSKh +FR7VL/UCBaxi7DfMONyJa5VIArjUveLb/YiNFZuB0Gwcekc16ptqI7vmtpPMC6kP +t26CpECSAtNRYlUtHmPe6j2K+2qM0M1GMqewilDmfsBgrLnprmFQ/vM6SqLh3lKe +41kHwr8zQewMlZmRr0NUoqhJtBBG4lx/Mg55hXVnR458KsfXWCQ+W7btY+W3oP6B +Eq49yQul4tQLLXMt+9BwOrtADtgtTN/sLDdNyPw5+vZ4ifpPcot425Nts7y1Zrsu +V3eu6A04ik9Lwa1rr4bjrcdAQf8RslcTIZH4eWmnqBqtY+dt6vpXnhegRe0V6ptV +njE3wWdRgGQmrZBJUt36CTHgSSRAKGWo5RYpK81TnCZtPJNuZVgVXoywwBFnXY4F +fdWKKvGCoLag/fH9prcqM5GPtNAFYCu2VH/c9NXXNM/aO6Jes6ti4i2eDyW0zdLG +aXWovuP4OmV2RnPParXMA0pxT0AqqM0wwEUZubY38ryBWwTyqlkUz5Pq0jxLtAhn +fzrQOuXuxtbnzuGietnUzXjqv7piwXTyyQtXJM2V6b4J81+yw+G2NUnNo33aXrIg +SI0fXWYd4+Z/6Qo1uWbW1ouc6F3HaS5d9w4kd+qtbTEUpebLPmjRRIHR3idnLTfk +npuQ7BLv/G3Gr0GW7dpI8SFwGuTlSffb93mhPETWGc9p8U+og0p/ULa1OCgSi9Ks +RiJf4GFpCYyrzyht5yiXe9nefafMFFqrENy/R5vGM2xSHagVdSpL1YqoC11u9f/G +3VL96OGnxTfQ0Ef9TAjeyJnNM4rKOhpPFTwRXB2qVwfwXX59SOJxGRAhw3LLN8Pl +HTX0KhQo0FL0u/ZNYFzF4I4JAHstsejw46VbBWwOy8yFWZoX5C9StbNi9ZqU8yCu +EL1tYhkpmfva9eJJepIHpN4HNHlCYqQrHFWaxNl6jLyjZYjSzjq9LDTHjRZ7Htzu +nIUyzobWJM056UJ49r7AtE6B4+bSVh5E7fvqUDjs93qCWxz8GGL8Tx3l2Yn7N0gC +ux2QMomZRwQYJo/T0rm0DCvTQoNDGgYVOsY5oPHlU/5VNAzEQt/w99FdxdVtGUQr +4IWv8ebPgk60gUCTeR/56d0JaBOKBM6G1L9tmyZYuIhD/7ApbYyuUeGgynVgmfm3 +VfzsWmjCGh8ulyFSgr6u5HquEwciXYCLMYxCmkYJMenWLvw5yKoqCaYZTXRMzE3D +H7aicF5a4R3hXKazCYgzAhu35qVgHtl85Sv16KSxci6Ly8W0ztEyouJE3SBRsNmg +RzFrs+mZTcWO06PaqTNn/wVDFOCjWmu2W29bsTccExLfkCQwpzPEQRXNsg8aQ+7k +ne84n0OZnSZrefzJb4YCvSlXtEstRX9cuQ86s1iZkv0d3udKtsvZYzUCjQ/yX2jH +G912VqG3PfLKWaXiyEBw9Ei3GYCqsNnph7z85KneR/gJn988fFbSsdNu+ZjF4Gw+ +em0vClbZdaw73IV2yWqEo2k5IzHP5lF/isb6Phjerbux1KAVX2FMMg7ezq3cKPql +iMyAQR3qlbRkaD+PVXfBNdB13fij/wF0OyFX1teHdyIlCOJaOSh5ww0lK1Ystk08 +BMf4MXCbxuneM3GoWsVTxbq7SPgPw217gza+5gUJzwMmcTMgVgRUl94k7WH1hJZh +y//MStVK6VcuYSB5aMY/Uu1HPNVeOqwDDpGE3i4jxsXasYMdUnQvpjiQMEj9iHhU +Ub4XKd3iD4Y2GYPUguEKgzp9oG4HVD/8LdnGHJpwe2+7NBji13+ad0ceoaej6ZJr +YGyxeku38yMKgNKku/cRczDM9h6z043MMQ5YNni+zZA4Cua+fC+pNr5QPIxa9rfq +7lNwaUd4wMtzu9YuiDKxulE91n+oQo9TmKBgvlwerPMZwTLlYJ2inZjftjUNKKQL +zraCrQmUOA0WvWn2VSHCJ+xC2rokeO8+CKDgj4rpZGzLbC0CE/NhekyZoAtHgf+P +Vg3H7SKbCMnVGM9/1Ux9L+1PkmRo7MyDNuDTthVaS6S3eyfeNOTf+mGnqDd25gQG +hgUK2UlVZ5C9ED9p/nInUJWS5KGUsK2upvVdOzAY03MM3Y3EXRDbgf3q21mBtyJH ++iTkoTRH14e1/tnIPlERT3sq7H7cdlinuhhXCCpN3msv5r0NVYBP1u+OC4gmwvxE +occRZ/0GUGSSFZzE9sXo7irVmD/GHrIYABg9tJV1h712lKfaPNMe3qChs90gqAIU +3BSAbaBPdoyA411oPCam4F51kzOXf8m4cQUWdKYyWMjxq547Vc5SsxaKFRZlzvQu +orcf4r9NfjifpvBHpS0Zda+oVgZYiFOXymHzRhefQkCMEUnMULirBauskUbrJGnK +OXtOtsADq2SbKYT+WAIndU1LqXnimuf5vbGt1rAak/c2dShBQ2iD2Ogu4zoKYdOr +KAYuAluW7ZFOmCHUVO1sgyQgvzRb9lCpjAeJWb4rf6Mft3n9emJmAl/MBXAlfG6G +A2qTDh+XfKiZlgvzbID5urSdnVrvvqUF74meQWWpdL8oKXOChJGgo5mb1Ikmlq08 +N5Mred2YtDnT5mnz12X11McRxejXwUtannOXLL+580ij9xr4d+txq6+v9eLFKUPh +6pkbS9lfVFi+hiOULUvcss1xo4cDDumw/aDBCOAT3aWrEO/hjVtdxNyEiFIpl0iF +zfLsHyajt6Q2U0hgNgv3wTXFxJ56/FsnnJMwTVwDjlUReqXiA6twUjuwAAVn1kJ5 +mXfj639ZEvElfi1iCEjbfIp1FLRf/XIed5rbr/RwwnHpsAMqQsHk2GuGBZDN5nRH +DlyqTtewv9Li8MTeWTI1JT+MCv7C5v5RzlDzFATAvxjVdOSpz4Vcycna2amZOhZJ +t2iVtdL9LS0mgKMtwBssglGE4MlW9bc9yWtXWT8544WAR/rT+/CmECjUWet/oKef +737M8sBlBGi6FOz7XsUscWb2qNoxW+xoJRrXk6Iip/9BjsoAadm+oD3PZ9bUKy5F +Srivyooc/8vQUkz+5HIWS1c6uzVRrF84YB6P7kvw8Jh3eVESAkJQ1NEUiwH5KzwO +BgkwzjtIFPhgBHQb+bY7/d7suHPosGaBe86XMwmRwlAmNcMbAnzlhZcAFg2oMHdi +b0flMfyyzo57mufdlTFC1L3xXITVGbjZTKJkvJAkwoZ2jcdR1Q5UC5z+Togeuhfd +SdNtqIpVhMYgvI/YyElibNI2y0NnIm5oLQOgeKYImlgsw97KT9kZBAPrpdDwmsdl +W/thJuqkR6mtUyYGYnPkwzGqAty6KdL++djeY1eNT1IcG31ZajAUCEZEujf2vHp8 +1wsUFS2xB6dHem5wzZeNQBn9NXgWYoctaT2xlvdKjpWO7/H7RHZ8dUxctz86VBQc +uFio1wgTmw1xbw+goKIJeky7dDU/S1yvwet3SCkh0qypaHYGpsaLkkRoSXpVBrje +PZApndkQBhfiElAmRTQXwNAe00CJMb287uKRL5t39u2nTRc9mALlm0g/XaN0bPZ/ +1v8NPeW3oQonnDrVLxRV36nJrhYoTiLHqCjt/r+KQIOLdGdfCay7xDSkzilKJWyw +zulY6pM2ur+5gjeZobO6MzvmwvOvjws300N0oysI2gzRkL0mEFxSlUu8uRBB9Fv9 +zbk5o5vlXtbolb9/F5zPK9rVGWsGABX7gJFJr+PUMrGJoQmE5msbs1Ve8TlWbcuW +2YULc7qVSoXI61Qnb2Sd9uNiFLZaHCTotPu5iPa9FV693Nz25p0/mIDTq8vhYV5b +GkLUzzVxyFbDBs6sIoGDQktCPXP6BfIxvVOIRG0VHwKL8T+fVIP/NEiIT8tpt7Mc +gLVuruMezgRZbzXYX+Xg6YUyahBc9OXDkkhF3rVVDfMBjZSsRpChecSqAUY/i+L8 +Qus0/KFBoM1qiwhPBI5YbpeIH5zBloi0xy0R8qysk76IhL64i56STI1FxMFYm9ZU +KZffOgbjuCbWSsyqzD7L8DrJcuc0jIpp4MQdLAcvrLEMZWE/rXI/kN9d/TigvScU +vtzcvis+G8usWdDYvEtmSpxYH1d9f7Vf+b8rmvjIit9GJZNCVq/vIm3cZ2iOT8CY +bKbiA8/MmC3OxNJv2sCbg7NgZ9Sg54Y+xCJTiEdWnD5MY9UMGx3JmIjHGVvTuYXx +hd9inj5QsGro+dpDOf65Dfo/AMW65YrdlA0xGd8akCCKCqMtM9/jpYfmaJLXm/n2 +P8CnIBsG87gR2CsxX+PSl6jp64cyuwKRQghkAI1xgQkeePuTPolGhBPFJBKk19ti +QCBkw/xe8Ds9loiOxkNIInJpL2EGBPvXjEz49Ms5AhSHh5eFmmhRfizuYfeZWl4d +T+ogGEhVya1Q9O04V6ETfTXXrrJu3jUeM2Ivok06Z4m3VkbyGXAZvy1iSosl3Slo +iUU1E+j2c+zg2EsRjZ6G0yuDi1NuLvdC2ipXXVsTCgUG+MsS/8NxIYp6a1FN+ko/ +m0IDrn2xwCuuDpLm0T5Qr74pvud9jff3oKKwaOHy2Oxvzhi0QDqTfmN6Tl7mQSDj +QMDRq2N8g+9KNgCdGTh4cwxmj+FpQlPZk/QBGAAO3+K8k73qI1zFC9teNguXh5yC +gTt/qbtaGMGZIABQLMtGZAgEte1mL67yFOSE21zvUBm6TDRIIkY5Y4B3H4JUsKVY +wUHjnBwc5faFVmLyf4AXOUm46dlbjWn/HdcCDplI4PRFZiKZ9d53ghSoNm7W9q7b +uXZtMowa2MQrwgqVuoHVXPqBwBMJZwiRLNeSmLO8v3ECRf3qU8fr9DgpnXdX9N/w +6+FKEi74HZDQiiRnPGQZ2Z8PRmQqQeIPAJiOs6sIX1Hnz/SZyJ6bwIi/hwQnGDb8 +6vPrz4r3h2Bt9ELbZVYH3Pf/YI42cyNlwZhwc6hk0TAEQWi9ebus1r4MHcMuN5DD +jrxw1Ci30mGqMzb7Wy0Ey1l5eRCJeiBKgywThl/Qa1lNaU0fDgfdbVdXgmUtQT92 +bLv2czhXMqR7EcgRwJH5tfNyJtr1+QWnzP9zmDipCBm2MCkNw6k/bvalG3pokFSg +SjdLYIQZtGRpe5Pc/3ykRQYItMELa3gyQ8Cc0EscX1JHh8yWzFUBvA2YuYfOZy11 +lOlL+8hCfVR9UJHfFAtZ4dj1KIHg2hI7h1NA1Jok+8ioaV1bFpp8BEVW7UKV5aCz +bTkOz14fF9CCaq7u1QUDl3zWdCluv/711k0g5H3K/Vk+DwfcGTJeEmD2eUIIm581 +oyvDHT+VayeKVe1Qhywz5JtLH8XXAjn1C+o8HKeu804y3b/wXc1zNDK1rJcWgUoZ +DdU6BaiMoLYS/Tkmbf10Nih8VbMdNyDyKrnUTbMQHsxNxth7g6PV59bFf+Ch6mYR +SgTM4QgIfbbArsFqGexeIBCjdK1Dh8AsrQwGlC/dB0c5PatL+vJy6x6mnZ9FtFjF +ploIByhVcn/DcBe8FGLn0lUaPfe+ZDDEGJWqYVEbRv+i3yWkt79WIy8H94g7G9Of +oExulzLEZTrB/+PQsma/As8od9dP7xjW/CHyhjme7Sc6CNsF9S/TH1qvsYXSePun +KVlKReHEy+rxWDlIFQoHYFED2bdtmw+jg0OAeb/x2q7oiKOLNY6YANfWM847Ck31 +w9lvyTKq1SXM9+XAEX/x1HnIudG+P8qaxG56mDvmo8v8WtD21kxmyD7UOUYYP2JO +T+UKkEfPl9LYBJR0XmU0ahO+1ByR6Z8/bY+bmYBWyP6lVxDHYYQjrkEmWwpoNTS5 +DzOrxSFMPOwGbXjrlNf+yGmr+aTmFsT2+5GxNIEZm+01+LvfzDRtyvXbFgzJZcaK +VRV00/eaXVubRw25kaJLWymtPbTl6d88r1Td+C+2vdROM55noxa3yoTG7B9ouSki +BAf1zz44o/S9dMNDd3h46zzdoHJQ67Nn+WOhza3y3juJ65EmylHyI1v3Lp92SsQs +cdCUwGyFr5beEHHh/7tx5QdTnosVkL6lu3jrJ7o6MPapgBBcMamMy8iRWNsWAvG7 +R0G1YNb6WwHO9zgbZjU9ARibSY5nnrJbuQEhqlx4DffPhgfPnqbATzrjoQVeLayl +ZKCycwW93g6O8HqWZNnxNbEtIUjRR4bd6ftSvx9u4txkRQdk9r+ypKLQKW1g5zsF +8m2AQbOTrntY//ePB1Gk04I3yg76aKHJTjTG1vj14etuPwdU+kQEBoMIeqbRTpC/ +v/ltVVRV+Vxww8zdyTYxMFfGXxrf264UwB6YKFGMCDMVMU38AcsfsczLerZq94CO +Sw0tpiv1K/mhQJAeeRpXWSf8vsBPjIsyHh5slNBqnj56TdomfomwQQPzHGlNmOdU +fMzcjM+QMsEp9F13rK8jJdbOaduJJeMCKFFA2UWyyt5Z2FKXbjIwNxx2ISLIAnG6 +iXRyczNk3o+6QdKUPAbqI9+pqE/vLuncEm29Pj0cxc56+ImbP7TFlAeCR+zEVudo +0UzBX44+GBDHjjo7SNpXP/3fWFcFaDnCwIML0bNxTQJSpOSrmlnkSWi6zldtTPEo +33qpgEqGiKI/5cHKSUCwm1qHE0yIAs/fKpRlGhJKyzgLfbvILt58+srk5toJ+Qct +sB+F/yIjar5lD+DNfZ8LG+0oiKd25d5GXfhyz+Qw46l+sYPymtPGSmsPAsQv2mD/ +v7E1zF12ouneBPjBVobZ5r+e/7Vr98sVt6wOe/pXMvqx6DfUDKDqw1XlzkfkuGgg +Dy4gafjYk3sXosAB5us1jTyip2iIi4KNFqaD4X7XDLdiY0DDIKusARKKk+/qBDSJ +p7+k+N4N8/D0ewgjEtBTmdT4RdBLTzVvDODQuc0n0orcZmrLJG0TjqSvIDixS0dX +q6/YgKTMRITwvxmKOVk/Fs5aNtauJPEz0ndEwWJS2IPARpY8DIwYwi4rGmXqnvnx +kOLtzS4EGqWTJXnPAwRx0AiT4EOlTgZS9uTJtYiP+tbswMch2rrr9FVYqtV5LqjA +HfL0hzSSzxD6cM6vUFQc5s9fDzvYzFp7wVG7mpzoCWc/4VMqMNFv9OMwlhkOWrs+ +2lZsMPLryM4vbRWNHbl/0eKcepovPg6DVXK9qJz+C+RcQzKhodFpnWhmU/lvact4 +7qJJavQDiHIqJn70iqnP5w+onCYGvsDYPwcDZNTCT5PP2s9N75+75gQMWWUv1Flm +p8HVKWiSfl0mnMCeXIXzl5+0NC3wriaNHHqS7/ee7Nht61ZXcPQcm5OxUUn3+ejG +/TFGddPptW6w+WDhFSI7F6v7B+9VnVaP8mYGQ4qSHcgq9FB2+ABkU2a3MnQQe1IH +/qVvY/Aglji2GiWRXmkeVE5muMcsvReFT1u3wJKDMQdXKFQPpHG8EMGYbkcPakkV +QW59SSK9DktDVk5zTrAIu+U4hvHh5+daCvpEeZbBdOZxB+waykrU1R66FbX4nUG1 +EKwnwqb+Q+18WkvkVQGLG6JLRYis8wEvhkWrTs7iOY9QFqc9B3qfJ2sIJR+Shd+j +VOzvhifHXhvIF/KzcwJkyyIcTIQT9AbKdrV/KYJmcNPkN6crgxogFkaT0fuJxJv2 +doCrgGhw+BXjYXIAqvJWj5nJt7psyk2doYrY9vedmbULGJCixGpX3DRStL57brSR +rnBqzgSpHkuWHzyokWAftdXyKNhwsQMlb4HOZqYwBD68eKUSwb1lyPovAMP/3+8S +tC2oReNod/OYHqCc2V3bLLsiHOHnZImVez29ithl7hSRLyzPlIEHhGC8QYhA1uFI +IrUdJmPh95tcLItr+HXejiLimWzleURioURroTv6IZrptGgE+ZZ75UrJDWUzbDX2 +7TbOmKUBTKTIfdhhBwGFZ03MPrQyYW3E+DekiKWlGExK/Up6nGfxXYCeE3jeQc5Z +ec1rhObZJg15r10lHAsDTTZGAdFj/vHFR0HkISRx6bdKUhcOboDNIWyC9flipQ8K +ag0UsiGTKmYsLbX44WlzKHt9RYtqnGPFxUONCg0LemnyMwRI3BGCcP/NNOSwZ/K4 +eaNcFU7q5nq/ojChevo9yoZM8MkQafRLTzL415Ee6GXkhb/2/0DdpUDf2cAxxBqc +N0XF7Ftry1LilUC1LwP+EI2No6xLrOJL2RIfBBVBOlKopkHm3XiBCOmUQv7ihsqJ +oXMgBS/UAVyJU0N+3WeaAo3PJ+aFAF6ikwMEHpaUxVB76ZzzoM5RSorUScv26aO+ +zCoMESWFyieLci23Ypj/TW/7R1Qsdd04g514bI1bbpFvVgXbRP19v9Ej3RRu7dYd +WcANhoWYVlmkyzP/vdJQe9USxlKs4lAJMiFE65ML+rDyHboBxCon60FSe7/CYeMy +Wx+gDbk/hDPPNDfoFEJA+VrIyKXQCnNLzb9jrumZKQKwN5MqKCGcqm0/N5tJdkZt +OIFhIC8mdSyA+4D3uO3lZmFXquh7Grk/vZ4tJnlAIJMtPD3PoLmQ4jN5jxO6QXb6 +D6Cnh3iv7yiIua8LFTjkWJPnWwU9C5zsAtzwrm6V6xirmWEKAEzHTLDL986UPDlq +LyoF/h2MXjDC5CxlqSGVLwH3qsxehKVco87ZeKMnwGfu7Pt2oJJxGnA89Go4UEYK +pFjdE0ZTtndRd+uKFWHQicJvT+uAGi3eY5ND0ljo2BVaPSYB7KAHZQtQ63P8w0LS +7DKxtSpXnQZJx/OB+4ZYFGPDaWEXxX4RoCgCvLpk9mGaQ2Pmc0u5pZyMPrsjRFCu +c+HAa4VF7nTit8Bu+1aHtaIJeWPNJd34jcfNzthihGn4X9f8v3ixZqt6Y5hYg+L3 +X6/Nhk7Mblrnn496oW9gn2LNavW2XyQTH+dfahdzV7n+wmeH+FcNe8O48srJD0XB +6PRrcKUBR8siCvmlWI4ea0ilCi2oSJlBVSTQB7VW+5bybvqtRfNLHZLW265ThtFm +wLZ6Bvb1MTPGOVfwtFPSIojTuX8fxog48DuvpNzOPqVB0yqDjm0bfInwzWzDf2k4 +sluReEYxfJznFo/Nw7AzWd12nHwgETatKKV9xxGle5G9VYFBZPx0qHLwRLwNkAeu +2eWMS+mNpnLMJ1B47avQFXEczilw5yeu3VTuRYLSVN/h1t5JNoskEfCGcB+JjSIy +e6IOQWiKPsKVG7jCgQDNnHw7KoIlkFn3DSjba1ZI+3S5q2b3sSstRPpIUmKyJSa4 +nXbYrgiLJ5+/7u4We+3oSU7yQFN25VY50dR0iBBq9lYlDIwvZlDVEACaQ5n41L2F +lb+oNoGERSFf9bD/gZsjVMAhx4aiqV2haZGAKFBQ8oM+0fastIBwaoQ+WnxjDb8Z +1g041Yl7iGMV2bG4JJm9nEfOVysKeQcXVJVzdjoTE0S4Dh2IAYo3w5DQih0M/y10 +ljMfZYQDHp31rlgSZfF5WTuEDaboALQXr6+yfiiXMh4TDsZEj0M4r/u7wPPBrhLG +2hx5/VG52srvdpMR1/nx/G+E+sNC7dzeYxzM8V3qrE/akX46EtT/1wouE7JGVVVj +00yMlrUgJMiSfgOFytfmKjLGQNPgx2bbFd70zOx1NrNiBMJB1R8Y8JM/aGZtWVLo +/6x2f8uX2t9sV12xD/semk3cKjB2SletZ9yZmCpD/ZL8dKbkF6Lchd2Q5A1H88hz +kcaCRFAk5dsaKFE8ZWlr6hiovwhkOrpXbgyAdI74EOj/b3hLNPaMXM+5WacjgcGM +OO1EfScGeiEE/0CVDKHw3L9Yzvo47jlnTZ3FF8elfeZ55WX6drR/tBHMY7LGSn+j +wXMm2/f5DemMEOdVZh3Wjvf0pZLvuajxpxHnmAoG+TfV02/e/388mGYqIG8Vq3GO +a7c3n4/3NGHevGOj+nZ6MVswOc/5Xm2qEqZ+zX+vNfb7WOZ+XLEhaB2YKgZPHRgL +U8pL+8u44Iuj3eDeZHf4U1M4toB9iuj1XLYXLKHKI5RoM9JmyhDw0tgglKCrEJVq +t7FPBN6Y4PrCLKnFSzwu6FTjgjzzChFXTlbZkRhHCu+AVvY2jILbC5e9a+y6/Osj +BwE+r/p97uFe3Z1kfYC9ZbaqDnBkxmZSInoPtvJmbECsF9eepp+XMEikzMd9ao1t +Xkbf44x+JfSo6lgyeTzi6ebdHIosS0Le3g3jU+EldhIQh9ZvO1aiVKDbmBdSG0JR +JQfeW6Myrz7MU8gl88VK+E2qq3jMLnt58SkyNpaF4B0tKDex7Cl8Mrgxepdomdzd +eIONEPgiopfIe5ioy9kVLHJ9zfhzpsoxe+KkhaPtG3y0nDkrBAmX+PaUX6hTP+li +Vvmt3NqrSSD4CIpnrVPcB7WPsJdkPqE0PZIcfTyNpXCFurHRB3Ndq+swlFF9/xtG +GhVMwmmr9BcjtdTjG7JogZN8hVeDoIG6xH/CUMwpK4c3alWa7bL/YlTxC/6GIIie +Wvar6u6+zCLjABkBfLr29G7GBMORaBev/1bKPMqs3EIMJMhuuQtudZbNpwbDURIw +B4JkHpK54rpxatke1Q4XO3YH0zw7sAd80o3k/JXCmA+dj3/Zl6Yx8RowE1YWIS3W +Odb3IIUpOZPQMI5PtZO952OFS//bmoi0VIPio6CpFs9sFu/We5E5CHVs1l59cwOn +/cdRLqU1RSlwOc3CggVKGd8qE39dSrr/IGxBbzotoJLEj4YmGAhe9U7mM8zvluFT +wdAVQJImGF/cHKXX5BdtXTr7dAz2nztyTR7Q+Ca1HC2wWppDPdgh1JaoxpwwiCmo +ddZgmTRe4yNv6Upw0rAcQxcEqIymR01e5T68orpdn63xAAlaHqC4CxmEUNOD3ENf +K2beHF6UpnMwp3i8D0D/ik/U8oxJyNTz1x1JMAGsrTsfShNvUhLWpBHDtUVGXlO2 +nqSMQpB/XKLTLe3MJMs7GdTEL+G0/pPydqdBnrnfESlz/8OFi9/zQyKY8pl5jm0Q +MlMAdzLrZFy2aRYtB+lEkSDgrbZhWGhtonlMfc+BBDpvNaHyIXjT8DtVL+yX2Pj9 +oxPBHUc26YqLuFe0+M5WEOLYUA1RvlJIvWbwusRGqQQEZoOGirLxpahGjdL2uPgb +U+R933Wa+a8iZhQ/1ggb0cGw4PQY//LsdLeFm+qUOWB7dbhEcgckCLZVoNOwyj2p +CebtOgpnfsGRg5Iq0gp3MStXvmMh1NfgTM3OiuZvFZQ7QNdnsIpuz3bw4ztJVjat +cEksLMX09PJcGBeQLWF5d6zuJmpN3ATnvm6LZAprUCF7pQg+jHh5AfM2mUAmM72O +EIJCY2vyVLnmiEg6qSUVItnCDgwYEJjXZ+vZeV3VgyGz72jXAGwNozMdj677Nyjm +XjhORbWBCdjEd1RmgaCGbGsONkESvrgysFlweKN6sNCwl6LpJzOPD5EF0p4LuF8G +TadoMmga3g9Ai+zKBWIV2gatpym08jmdBEh8dZDEtEDWHuMF/ZP55/6fN2nwierS +d4aba1c7ndTQRYtWnhfQJGV6gPl9v3hiZrNON4AYxvzZ9T32aAr/Jzw8/XRs+FE4 +2p1x17pTbIaEb9c6BTH/PeLRlHaY8RqpQWPhCKmIvw5JIk83AdOoUGbvs9KCZA8B +m+Ogc//qKJ/+V4K82lyXeyIbqDiX+3iibxrYTINRsJ93+UkEdORgDIYDHQMsuMIR +AbOp9od8r1rGYcfpxoQZd8iU1JTZnuucX4kAIB0lZgtRuoIR2S3G/bBJfWKBY1OF ++xv2T8AtLoN9qlFyzsZWERJ32j/7TKgFk55Nf/+WWp+aF6I3ESBB7J3d3rvdQwbf +qP7ir7awfg3C91vf39Is0luh/2tVljaXuFYiuATDeFQUZKhy07d9hloVmUdHlrWL +/KP8HytY28Zfia09NpjvZXs2wTSoObptg8/WyW+R38K40iudvFyO/vqtbtRCSnHS +fygTaoiTdQ3rGalcK+VCpRbSGWvf+/4PiCB9ESY5lP4z8Do7qRzw3U0npkWy8DFt +4iDIyS/pJ9AkMHMB+bcIXVsWOiCd9mqitT6soFFV0SEKI9mvwOKucD96LNgHNX4E +r/Y217VJlfgM9lMtGjk7PVkgp8uEfDZrVaX/XMyLx2PSZmIjBwrGoLM0NoGx9U8+ +ZhTlUmnz8Nw+DYlLog+AbITCMUgm4LgQArZncuF1u2iwfWm6EBlsPJz64cB42Ivq +iBmGrkFB3dlSXCb6xqdNaZLn0cdU362wq98CnzJlSTZ+e0LW5m+8As61VV46is3u +PV9L+4xB2oi6Gv42MlP7N3wopgfhcnMQ9BtaKQ4vVILcDLal3CCPtHSCzEwAw7cv +hDNL843+xdc5RyfUatZz+whw61/jKhLJM3NfKgYsyvgtSTtBe5S45KhT+2v20i3x +Ys/8yKGPvpV2005gX7tn/HQK9igem2Smgnf3igOcCCdSzCmZZx/oD1+ptYUAIfyC +JG5j7ZZb6pJtIbFhWq6k0mIHAARmzkYRxof03wiPh5cBAI5TXf0z0695l1/Zz9Kg +eLrUA9Ui5eSy/nyGHLUKdPl5SRQlu9cJj1w0VwNLvUTHPfEPxpRIoFzO4xB/B+6R +plah6pB8Y8oeifwv54mceW0+gAqeauwSg/1FsyEOhP5WoMSn0U1OmNjZ2cT6jdmQ +Ppl1zzakkcsmos/6AkGY7GwE50p6iGLZ2z7tsQ5T6xvV+ed+tVCOPcMInkEgRctj +LM17POeLFtTX7MSqef+9vFxjn2Xj9RksILL1nwctKOVP7OUnV7kIB9owF7exNP/q +Hh0stIswKh9+rDY2hCjnaiCGnaSJxFIthMylx0KLt++ZdLufMtP9HC0Di8JOnaiC +pvnUfGOAaiONJ2EwIKi0g2lh37uMu1ZKqdTqIOxdMw6CwTBCXuWaJ1EpLC1sHM/V +XboESEsVo1o2k70/3Addsk7r8BUohRb6O8YFb3Is3xcY/Sa3Xwrp28kAu4ipOTNK +KNN8D6zGfihht5WMD2cSx6LmWpZKSty+oyk/7CQrnupcWFRbJPfCJ4f+dcLKLLj4 +SRB06oQvKb9Zc6E9FsFy8qtvOiNPGYRhjRyZf31BvBbdtcnD1HM6zFjpqebbgCn8 +39jOnJ6IEcBtu7jmOEEkKArPG3W6FkTwxh6UFh9XU1ZIodM9fQRsDZnVeFQeOCYo +xPR9tPvNv9o8ttFwjU7qtBxzk578ZIuISgoqppLAd0IDHjEdkieCcefSTrFRUfo6 +2JZUB0zqJLEPCabosyOvygZlDWvip8yze0J0DkNnKasfA0H3+V8PoiMcb/XLxgPV +KX/fwS2qPmThNneNmPvs1pjBaXVU7JkAlI9Po33DsMQyVLn45PfuX6Chaf0fjf1d +EaLhU52uNlJC/KKnG36p9Ty4jaJ7fiP4oKm6iY+FsoDDyDY6rpdyxux5R+imbGC5 +Mqp1gJkcbC9BsiuLyBL6cVpWak7h0RSGQDIRuwGNvfrCNYjplzFHtlreu80ztWAm +3Z9aMxz7+pleQm5IBVQbjQO8pTx2KtjfB4jDzY4MPwFJ0sMVmN4NbiqSIY3T+4Mp +JHsWU6UVLWUPfJGgVg/29cxYLSCu6FkRtH7Baq/FBpRacy+PIdcFnfAUsVFHBSMi +gWRqQWXUBu1i59j4iLqwlgo+BM8kgV04sTRAJ7qU8mdyNhiHoL/L4KTVpT233/5J +4lz+ByXIZFUFbFf9PUi6q8ECeoyOMggizjDvOrwVTrT93akgSTTUOJSCg+BJCAVi +o/pA5Qe5Ym465Y/ZWpfg4kOI9UMu1Qt8wRP7lgSW4jO55kEniOQ1X5qbyU5OpEN1 +f2wmh2JMF9iZDPD2pWmK8Yj3/tauD6/R9pXeZmPqoG7BojhyZVR4nHlTgYV1JeRR +xprHfq2prSHqev2KhDd2tK7H0L8U7ItN5nbvpcqfWMKyZ3UHrq+NV6ZBwFqZWJHd +b6G6Wt+GSDo7ZNZKYcjANR2yHjwP59dVrSd/gEDUHcWLU7qI1naoDNqH087enhoK +YzeSjJpdKFEVGgaEeTuHwu47nCk7TSa/h0ksVFHHocYZim3JhnSsAOqmqZb9CcVq +vazsz3GQqdiYF/w+qQ0U+/jH2LiYps4hSC/xDU5+1yPKty0in3qqEjyCKc1Y4Yn4 +ZMYJV++mkmcyLvAOvZ+P4AwgY1jg/5G/VAmXvo44jPYIJI10JYMn7x/tlYK8Yt82 +It5mL7X//3t2jJxNU0knM8EBl8hCcDsJbjhKttz2EqoEZRTFuONf6JXBGYn0YRRz +5Hhg6dACneKe1boyOPOE0W1qbXsYgk56QPc0xRL+zTbKZur2cMUiuWduDrrbeCgs +UuF80AA4xtn0hg5LJkmTtDRTw9pDKnr5qvON07DSyxCh47vPAArEjgHe38ZJKweH +XvAbQbCy0FKk772MP8hQMO2UrHrI7iZSIooXwNZTz+FwZW77IHcd+kHXN3yy91AT +6F4aklc2HhdyreoEa+AGgT1d5CyjOC3JNIHItmjTDeD7Aenfw32FcWXup49G11gK +/oaJE26ljUFzrCk3w9fRl3dpyVvkJ6HKv8eGQ109tVORQABI+shZSu2udTHQAVoY +Qe7Y6cLr4YEf2EZzOAhCmBr7MJI+3x26AP17ELfPaVlPOHsLciiIA1Q8AbOpHUpb +BSfWvfDv12MEHQMfZCxmt0GKf6hudRZA+/lgnX0g7vOxoYoWUW5vvtZ2pjRrE7gY +s1BkYvdUykZYONaDcxUdhvhLyScpM2wJSKOT/1VAhSEznfomF0rDMZkdX9cXxFgC +6Vlf31Y+WrIh+FhaA8cpSeV0FeRMBbH9XxrcnT3/jXX8Y3F0vZGFoMFqzyiZhkLn ++HLCDuQ1Wxqg0IJGcCENXDzUMSOiN8ME+xnxCWrnw5R6Aa00tiflQGHog2sySjjY +vcmRxZD7MgEABkaNAB+zkpOaCBi9FFiPO9w+jOFwfzVwKE5EDvDBxTJE52HGD/yt +7IIuaMZm9H3TnuyLoXkPFuJX5x+jqjkj8cDshKCuYpPPL2wAfvrSF0LrPI+SXJNd +nYrFRSX1xvkl13fgUsSrE42r6aPc1hfPyus/zCcBSDHIJJF/g19oQLuIh/4r/Hod +X7tlZPF8x/gzTNTS4pxqL9VWyP8fnPHxkbS+7BxkhBDrKcBeEQlf4lVbNNtPG7Uz +VrGGgTxlkxYR7/pYI+kqWA6NXSLtmCESpOK43zZ1OinMqtXLkUasGyGFAVXm+pcu +2wrz4Ri7dEKsNzs6ugLfoF+lYHgqT6wmbf1Qk15fxROsKJZxK5ottjXA4s9qcUlx +rh4fsg/J7DyhtHF5XHhYWFhqeFKHHcQv6dczg0zwsOqYlXMayz77R6bdcz8Zz/1x +zzPyeX8dxbm7r79OQmYh7M+/3H7mPVVlQqTuKS/gC6MIX5nb9m2NHP3gkmC/sFPW +qzUJ201mUgPmjhVMWNPYVltciL6XuKjrZgwd1MHulFE3TmdSWlmomSUBvSarggFS +3/EB/ssaXTpOTYajHkUFlFJ4+7WX3qG7XpsTdD7TryXJzGuydKTTy2zqvXH81ZHO +T2YvTLp0iR+cklOQyvgCJXmWYQujcMUq4EyfFdADb2SWfw55zRpYZWQDKGQrQgWT +vlkmtfgRGIfZF4rDHDpZTz5IY0WD9L4/4q13UudKJ3dkIDGSi2xuVPGrOtScFauR +dYbjxrtvhXTqWqbIIQpwcSM4KSkWRh0RsAfeWoMdtSRBIKl6WQ5ZBXvpQGq9aQKm +8JhoewO2472d16He+7P/GUKhCTjjUGJKsr/m0AAreGEQECS2vXo8/h+TOfO5SRBo +iYGAQp6goBZ/OyTfuUjfrhlBp/TaVqdFXZs5EJfIBoCl3hSXVbBAzq0tKmF+sZb0 +1g0hsqxzdyM/E4RI/ZSs275odJ3eq/hjzjs/SANOJE1xfdzv6b4x/FJxvkdQMyog +3QJ9GlNmHudpnsnsRGDhxESqWCDJwVn5V/5ww/32z0a/B5m3Gx6jlL8RBamxnpDa +US681QPHQAmxGltxTLPZyb4cakMZqzfVEa65dA7MPkuNZys3G453xLCIkXkKZzBn +dSDQA2w+13IxclRGzHv/BBsbT+9JKlzQ2iK9y53wg/ZWQoMl4fCxGtTnzx3XYTdE +BA4z2D9l/675rRNMfppxqgly7LtgSxAlDw+BTAflhz3OuyuetnSczbU33vrvo3u/ +sbO04e5fofz7h+Vn3JW33VWsa76j5RiqvYQ6N6iXIDQvGtEql1SV+lrjU0qLCs8j +0mGX6n2Kph3EyBcqNw++26r1wKfWJvKotqG7618EyUn1Siv/mr08JyPbCEyWZucY +b0463H+ttBRfyb/ZX2Edh0yno7wTUi3KpE7Wg1LYSG6nSTXrUv2ebTHwYIs1bwQw +4O7UkDf0npRZfcvLcjcZXpAqmdswvDmiIgmatY87+DsxjYYIANH3Y1CP8WVG+8Ow +fD1pFTgbXS9W7MsRZ6CwOjfrz1Pd22twk8b32TSt1RjssKtmaJzgK1aU4LhGXp0P +y/J0pBjecW16FWHkrrLeEsqeDfp93GBdwhu7nE+1FneC7xlLGdHfd3V4llk3x3I2 +vnHpFNpGe0TPo07sU7ZPz6FzVR6bayWnIoNKv6gNtmmkn4tNS4G+Bif2NW8y7fOF +iRnxoM0+1SePBl2G8f8kr6l3uwqMmeRqBdpkaYIhvpKNf2c1VAB1k+LlLKb8/X/C +bWUUhI1OpX0vQqLbNWt6fEtqPz3KEk1WwpbUmevaOu770zn+9+r1iLtmjRaeO8Jo +jB+G9zEOPu2I5jntl3SZsGRrfX3vTgW8nIW4DUGzFfsNWx87H89UHHzqi8Y5UvLf +NVi3qCbN4pQFdBjLr42FoWIQl3rTtt3tlhPDxVj2B3rem90fWHd8WPqllzc7O0gb +mnwkGJqzDkUmet6SAmTiG2xbsgimZooYjDOYazENzXu4kJWZIKTwe2mYgKlZvea4 +HWDf64C7cs0XOmmXNQg7DbbNbZEssjXwR6gaj1HrlavHnEMcLwOqUOpNBJaTScjo +20hECUVY7R62WsSA0MePaZ7aTXoUx0mjFS1PJsiErqKSk6/da7Y/PamKvMQ6Pu3q +CY/svbUZoBD0IPyzpre6ieR3wrQ3FzhnWiCazdTYBew8Z4HpOTn/nZSi919U55wi +I+47I0qp2OCHETGt5iNH8AkA/bwWzZ4LrIaEHNuP2a054dm5fJ+VxGZrPv3B/Btq +CjctkaagkQS2pMUE1DsszkARwSZlWhC94Pga/h/u5L25KVdpZM6z6buxcIxUtL+7 +sZcsh0DqfQ06iTg/aIBZgfTEtxbFOmvuq0mpgzybeXVHTaPXUjSIwNeL4uk/nPMw +jeTqwcZVRCrZCRqLVr+ZA3tEwPXbR2qPmtb/+R6+TZg91Kw/osI9KjlZmSC/l76c +6jJZD1sP49OJhh9nIaQ3vjqhzznghj65WVwr+KaVHl5KuZiRuUTZjFStiDFSbpw2 +gZ3jmLPhw8axQ9b2muP0GSlbBlSyma5pGLNLMsJKWbcYJL0YzOoJriTDn6Kc68r1 +mI9JOb7LfJQuVSnBegnsAKd5+63KEHVxd60yLXpIph3HgEox5buUOOik7VRSA358 +6sFXYYvYyj9mvzywQQVuEgH4y968wuG5iNJbYTvFYeZ63bu429qhVrlI6kmgnMKT ++JNtV06JMo3WbBiapH2JYB9dFVG/ql56KpslZ/fpp7FVnK8nxE/nHwiR6/DVt3aR +S5tS5bfGhfOphnALJYiRdM5p9GRPsHV86MnwJKyn5TozmlvyM+Jlxmu+1CSHJiJK +txXhR9pbLQL4qkFwa7dMBh4CxU6e0X1X5K8yhuqQxTo1cUcVaDFNYPPQQQMN5zZQ +jIxPuvtezKdagxrY0kBzrxkjsrM4tKc6ckRnflTMvkgmanV7oUkF987+AoJkgXHj +/oOodsFiYpwVlzURj1UwRIy6i9ME+3Z1OtwkID4+XkdRfjsCHHYp0Bn0TXNdJOas +RHIhjK2v2DUzo8H4YFnjkkj15bhMECL3g2nbyQEaBjf0nio3fKRdPRlq/dEhLiDc +O9WCDWel5h8AuRK1mwk1fpRHD+waJkheNHVHQ0Lns0XsJB8pvAA5mel4YNhq0Wyw +z3QyLFsuxsrysWEXzklz/l12r0diKkAbvggPCuJaL0BtHkTC9SpL5ykOhAD6qM+u +rwchpD6XTaQ5faBbjfVG7dqRdpm5l3HN3WUpT9KbUuUgijpdHiiORXFv2eov1/zC +VP6UWh5Yg1VTjjZefqd6AjzlWNieAt970ueQKzTqJFpqYTeSndlH1+xjMYUHPVE8 +H5L1CPrh/HRgiuyWT/Ei2+J8l6KpY0vTrvjxDVmyku/pl26wrCLYoEP13LHR8GrP +nbgnUkRDJ+a5nZSxrY5sBxauGRAu/aNbQCav/vPR4DAk7xQHEegfmcs7BWV1Bhp5 +iNsdOkELQfe4fsDp5HA/8EwPHKZ707NP4ONdx80OzMHwRcSVoLdWcooYC4/WwtbS +JRNn8EsIh44PeXqMKunOlYKUuDtg0a6pnHrA+3UFgeFpXjlpolntpgbzHe9MjzFN +okwVn4WM+aSm3XaGztEcoApcUhWkchOCIEm16XUmNKjL+flw2BC9BFELxGayHKZy +C+TB4+8qGY6vMV9k8Zx26SeGA3kFukRH6RywuovDd4aAV/4E6sTn5gk3tyzRFnuQ +t0xQxZ0HypvnQO+yf9y/2ml6ZNfz+Dw0kAs9zxWa9SSZSKdcvA1A8DaEXPBuixbn +wRQI3BgbEcHKeuxn/K0zI4jXnB/+wDnBADDNme4lnfk1EuCiIPUWqE2HIBaboQtA +jktEWABrLoPAd9Jkmd7cLXl8SYmiBNdBNm9MvuPMURWeYrEYXSTOg1fbrmC+eNTX +dmw2z2RvFLtfU6EYB4r+LdLJ+8wwVJDCZ0cQyHCvBTMqJHuHE/CwxIkEiYUOqiqf +ru8wypkOynROO3etCmfZ0ppI3NQKSSqaGwR6ah/u2k4pT+bRCvIOVq5YoCauzGEU +BABrndC+Dpo842CDu3JXHTmDRa58QBoGIb6ZTbM2CB1jpuNkXwmbV0QIHBynIw1g +in62MdbUkf7NTdQ3CZmDi8IjnJcqy8UqtV8mr/6SfOClXFA2O2jCYxFE5DvsWFq8 +Z+sS80w9I++fn9RXlJZkISjJ9c+bFfDOfKp+f5Em29K5NkTEPVjAmQV5Yzf1QFTl +p1dAUFtbQVIdyImlOXA4SnDGBkdUpD8NXj8ZLw8UgnGUI+4tuMc/NkBfJsrnHyg3 +5qPNZPN4VR5H9RDnlQItXC0lEkxDZw4ck3ZfwVIw6zXBeHrVg2x8ZblQybtRJf9n +C6YByGzlZ++lDVqotR25IE7hUa6Lh3qxP3mkZyhVEWL1LN+Sj5LelYd+NpjHr7Tm +vx0nMdXgaBu/RcZqE0VRwkezkd6hPFqppnOc6ie64+tuf37EL4aVSDaoqbpRFc03 +nJlSybKKedAZRyvSxlj/NyDg4zKYVPuvfmJHSIJSyqdVgNyZ3o4Du1/z7oXdRM24 +iyIE8CRqkTklr/4HYrZVkADiJjCcZsvIO9PM7JFZ+JefLcxfDsiz3MZi23RW6fgT +kMQsFt3RoI4RV3FQLnTW/Haj2w5TsCeGht4ovW2EkNronX4LSBFUvH8OD2JsqjDe +EIBvJOwbhAMU6oLlEeJzAGKrUYOBzARsj4mquIoLgdbH+Z/qk47hLhu1Ow7M88/F +X178lPtX2mimx9A1xivatKen38+yXxweqUO6lK6rxukr0kIlg9J33ekyfWw8BFnv +oksKsEvxgDEClmeHjFL2iOhfKJ00KD1hdKepOiJpzXhSGeV2sQ6yREluS1OEhBCK +LfDl0Yh1TITgbbzTC3Xj3HRqs/Drk2PlX2I2Lpi4WwqzcGrAlmQT2qRMnzsfhOfk +Z6X/ifWWLcsLWwmvMauN65aK+4FTtTzQ92923CuMPp52JOB+AaLzBtkHVns7GexR +OC6dTuXO2U2p4DRt7Z2MZChuOaqaaf+enDHgiCwkHxoceISo5H/2+NuV2IQU56qa +CD6dM84HkP6Qm4tJNFkKl0wcx040oYhUOV1YQ4kgZ6ureMCvcMdfOXX3eHt9BQbf +K25SU8YIrieBh53lGJz3+uTEfxHbVU3OYUOts/63uFrmGH036NzC6O8cVA6Lu899 +Gb3BBPtpfPsFlkB4xj5hjkgIArhUlnZqHxWAl/NZDdjELZchmE2biklnsAJGn7zR +Xmc4QhTmKGFEgvITIalBzuKZBs1TMPwp60BHnG9Y9yXxBGExUNCt/W1BM8Qnzbyd +fcUxuonEIkV3BsOFbrN3+lyWd+nq0jBj2Y74ejS7oRm4MtmJsbMHfLlTmhiTa4m9 +xoZaq2Z1aCkvivUJvTUkktt0433zQqiWXqRoeYfSQiKh76rdMpOiykeDaRZfpnES +c6spCXDW4srlrlyGrd4vown9e50mi8P20vcnZ2tAxTqVFMF1TYRCwFwbd8oPs80i +zmrgB0vBjW9vtrCgaT7+0jckI4g+N9DygannLPL9KaBmjdmiJBar1JXmyM+wdvb1 +VqnG9II6osWpIb72sP40ZzFolXVRcuMSSNgVbJdl3SqREjDqjP7OjjNpLVfWyovz +vChv14n1OHNpr15gpsOzk/ij1uLEruFX2bIB5UoUdYmlwD5hPJJfmce1q07YpEIC +ujRVbNrhYLrmNHwnsaMp/D4UzxXh0pPwju3qiT6XAJaoVhx07I0Vx4SdHEw7aPde +JxpnNY3uC/4bPHIUdlvzonDn3JZySZbQ6U+zCfREiYbM/SfWeLAOqy9ydLeGqQ7n +mmVvqdD4pSBYGwbCPwfnJ8MioVY0ytoYRCXqbsuX+tEWKvu90h4fITnNBvPVWRPo +E83JrrnECwBmzZzOu3aRkzbiSBGI0jA1dzF1tXmPB5I2bR8XSByx0sUKAlXuXDcq +h10zy16rfhWbKWA1QNlxevS8R5CcjMBQ7sKzbwCq1YAHYuWqC3iUDmOMM8PpEXL2 +zGpcXfFvqi1Sd+1TjihFfx831v7T9wndAMZIrap+XqAloze29mb9127Bb0DiRtgX +26cfdnLI4o3JKYywwezgccqOh63doYnHDynwN5FVJN8Ds/SFli2EWJDsCH2ziz9p +mEob/u78eDefNiK+tw9iDbKSWmQKl+jU/+WiwXDHZI2ALQCX1A9fMW7enbrquEd5 +KxvOHaQWJfaq6w4JkirBpYe42Rk2C9UoloS/SEEUVVZsYquUUKpYIpIPZwo3aJJ8 +TgJKj+5jbm1ndNl5OplnEYk4tIGW9B979xS9nfPUp5tmOlgpsN3ll8fF90AZIJrF +HFo2XfVKRgPqdxsQ33t7xrsr+mgz22v4ZqJO9ANt+0vYaxpPa/7P//7y9bpLw5Wv +v+oBRaheed6wZU8kiEXGywxPsqdNh31guo3R29G5HDVxCBDfVaK0rP7knH+hZKV6 +us0fb+rRfgJYykInkhkAkudEfImwyYKHIbsA95IlZxbIYG5aPU6to/D33NaDVbtx +fGpjIWfXHwrCnaA7jsPnfQjrKwk3AVAmCkeIiD5PKOZhwfIEnSfjNEQPyV3uvWeB +1RYveYDal2IQ5NRzK4m5+el0nh7bTk1rpZjrst/RPeBfWaREh7w/SgTdpKyO3Frj +g9YZE4omris3by82oCmc1T0c40EWPjUTxOhCaXc7UpzhLWueAOTNytIkoAYEDXHl +uwfE+zBlozfthtS9Vx0NwyApLqfRokcFQe2pxg9YBjClVRNgJzJmsT9Cs5DGK04R +zuzv2rElk1C7uOKEbeNcb35vuF5wnpK9biQaj4byTBKdePNYrvMtBJJ9yuH+EsbD +nNjVVG6HeikMtiaIOmTuuz7MM8eLy0HLU+oD+lMQ5V3YQWtlka8/iJaOrvk5Uqmz +QPr7tRu1hGjJDlixBKcwKVR8TAh2g3G1sG4fadASwHg8MlDNW4o4YGVbFDp/oTRA +KevsJyHZWl2klvdAVQwAT5E4HBjTXVu71Upniq75Sp0ijrOB1gxuvZsaMmL+C6QF +NKOQlu/1xaRTBiOqg/tSKhAyIbBxbLuvtLPaytO0wMAriVFtvTH8NOdxb9OJhtpE +mzZbZDTHzmSfzKqQ+s/wPDG+pPc4xFWwMHag73nIwacu49YWHRdSD8nyBHzSKHSV ++iLb8FgfcxII/x69Xx423WdtKjR1SK3aYmshpcvB8oA+5dSkTGdCv4QH5vfBSUOg +ksACrChNIGgECP7azvQ3GfK7l9+AClHBbcctfdb4HM5uIR5c0DsSQZkP4PrmqZIz +FpCjcIWQpC5LDcSdc1UxhLsayNGvmLREW/73t4iwXnBSzpVGKNy2xqvJOTM49lhL +kqM9vC8lPsxzgSZMpgwNnFpVDcpG0/6eaK9t+c4vftK759NhZiApZpxNkm8pd2Vu +wtHmzxGUPn9RlpL7XUld4eXi0N2W7La8gGOquWi5NMuntt8NcECUsyYfIOylQizq +j+5JdWMEs2R9aq9WI2g8xud3sYcc/ubwGkSsWm8eBeUf6LP3jffLTS92WT86bG3n +NRwyb8u7dyfKirBHH0MQ4JXn4f9NUKv0JKFKhlgy7LJw2EFOaYH4O6aM3KEk1XH1 +M3XYrTH3pfd34Bj7y7XMP8A3xTkxDKknpd5QhwHHkhQOZDrdhWI69RLkya82WpHI +eDaSDPPv1MNk6acZsZD/C47y1TEuAvmlcLUbggmik/ME6pntjYKsz8xoWl8wQVWg +Dem88Y3EF9xvwibFlF1kahhYQxlXGSSVE86ruoKOmZQ7seadcdykVNzkRGWSrTmj +RJx3/dUSCp/W2p2dNrI7Gsj2DDKJCqBeapSz7c6g7imFiWfF10ESRwoUH1vD6MC2 +E+DWgTwle36b45/0FsHXmlaoQwL7FjRhLlq/K9cu+yxzQTJ2ERhPZ1I991TfLibc +5fv9RQ6AsvO9Ydcq7fh13RD0m9On7t40fv597L+8hpBlVnUlKRvazcbmL3XPxmSb +gWPcpCtI96nRN99BKhkRP0oS9tnD4WHdaiyHkNhidG65U7OL0t3Qu/dXMEBYoWvk +ylpK3jlv6chaP7bgPEXA7//w11XRqbZjBF7jKTEnoM3TcvtNgXPITRnF+GASNTed ++UlGyoIKS/YtXDxidp5LO/RhNL0u7AG4hgxajvs0Fq3PpX058V5ApWdDvkmHKKmk +z2gph0SwabENQESejJJlq9lVV2KaSWDPuF5/Z/2pFeCk6UUHgpDHc/nmO5azxe+a +i41+L9bn8YkqaUceKNqZP+dPRal+ADQzSSYn1q943qysHddIFVwxecv19V8rqkTk +Fu5UyYrBhmu+mH80u3z2OSW+j0CZWFpvA/soqwS/j1A7F3waGZ+4sWmeZzUi0gxV +aiVwWlPGszV4XFbHJ1N6oSd6Htofcii8uIxA72pO9nPXUB05kDsy6DGXI3zHGCz1 +NT3xI8pFKXVY5DwQQgmYAxo8l/UDCCWyaO7fxnjDTEgVhx2V5fWaxQWaDboAdVvN +NnyfeHnU76dwuuewSBrCMkd/ytOADsK5Kzvigu0oo/yu7JitUoXKEhGnR/K9aGjT +up4p3Z8FStdDMBjhBbZwurFplIdFU/4jPQl4PFesWOLpXyCXMP9+2JsIoqoN3S67 +h+HRhyqv/IMQQLcMN12rg5nKbpvDCwjyqrcnqAPd4d0+3QdnnWNJp6VZq1v0NsR1 +OO3XMcYVNh3sbLGKnK3LIQuV1FZ5w2LgXdvH557fLbEnhHFIIVtwyUXwQVoWmowZ +9Y5zMt4bxygnKncSJklOstKKB1BPm+s+aZEg6PJLn+WzzJOv3uJRxkOFR/o8iidX +WtSDfIH99ghaEmcajyf9Gt1TtArt4Uf4pyN/nqkKuB/gK2BIdWb7BLauC0oChnKJ +FsxMgdzqUCJEBc3mp2TaB5ahz5LKcKxsoeIB06o9ub84aRnc3f3XsImCROO+ubb9 +THM2IQxF/TprqQnOFgNoAcDTrpqOIT3rgaq5BNrHbFb9bbS7t2QIUhcXPsfX/DKD +ARuwkiQyWpTK1Y9Gmu86ae05QQYy9TIFTTTpNDTISolpK1WiWamBYRrKezEtYrKM +uRmJMAznXDolvltkzFaHmXaTSxAQSCH2dN6xR2G5OuXUZQADablG/JQVOZefluR8 +JYWpc5C1KcMg4yIGHNjHsgl3gcxvyQGtXcE2hAQ/8aqQS5KF+PKyJlrYWzZzFD45 +1bv7AF81UgO2aXVMQNiMJd7e/HQ/DEM7uWpwyBcUlKjAZq2v+XqPMTjtA6sGAId8 +EpnnbroN0MC2mY2dlIwP0wdE2ZIsibnsnNq5gaRbrxrosJXf6pxTNoZ3IGLQ6VjQ +x/p5JhVFQ1lb/+npEoBWsWDk0jR4lnfbhwLdn+Fw+fIsAk7RB6BUTbEfh6s87Let +7AI4aQXi1z0m5i2Z0OpZ/Gb9SoGfKQucd65mlCN0tnPtT5NDWzWzFOm2RjSDyRQS +rjnrOC+GafwD6EYNra80HBIsBoWaEbYPhw+mUCh/gMj3O5lVXyIINASBYihHVTud +WWVUontql5YTPMfTR8qtk38YEmk26Wnq8Iiq511sN7KGhvkvYYBVVcUrsGw9BMUZ +AdfAnRpqpmoXD9+Sm0F06/dWEGEZzb/idljEp9dhJlY3cTFDO87ldwKttCbh8tRd +7LtIYwO8qfgWIRmpKLk/xjEHrdfFU+QI07YaZ1RDA3tkpjCMuAlBV2qCP0/tyEJi +M4FBWzhcaw0WACGyXjqC0jQxcC1GEIxTmt2YaQ7aLqV08kk3mvIKsnzwBzrAWBjA +bnbBM5gV5x34Pdxii4ZaRI3VWtygHBVHnd9F75BqMbOWAezo+SIrcOh0Z8dmq0Ly +4DxJ8HG/yL/yZVQQ0eL5RdwRgLAQXi0OzZVS224U7JZdMl50eL0fR9AQ6IEck44T +dm2MQ22fReSLUgd6tmvgwheGJximRa5V+jTJ8avY8w3CPqSKSKNP4fypxKGHgK0M +cIDsnGjYyHNfNRXSScFcQV4Qr1cR9UitrzKvdEettR01n0nO8L/RymDGsFrG3ggW +vvg1VUNFENzbI6aax9kPs42D2Z5boJ4CsQTnseRz16+awud5WFEnpu3/wek+LC3i +XLhTAhtTYRWhOpHCmK/i2pcQdqhkeQ1b3oa2SjZJCUpeP3lBy8PnliBpjqQ/rs82 +N2gpvHjZ88lJrE61wDAWJTq7+KeSVUCQYrODwDEttKSB1XM9mEsn784UY90hsdCx +DjD8Oz4LvArvlyUUYoigVwLK7be3AZRJuzk3C2VTj33QIEZluO54JtmZSkMYre5c +2tg1tK7th9pgIal/8CrtVTLxEmfRP0+AIYwLYGAOKoHHgshPdA8UUCdKaXKCrLJB +H/v+KJlLflBYgKfCeVFCVRGGeyubbpJGzTOL7PJytF9Uxl0AqsuqRopRCuC3XeSq +xoVNfvrrjwPTIOHFz5EXYzBaVOsETwKgferpK5cSU3YuMi7MtvSz7d8eRcIrS7de +QRQixckfKNvZ6xpk7s5De8RY9GUL8znDsDie8HqzJbPZuOpdqyd+b7WM0yYn3LWF +e7qdUc+H4V16wMm87LmWMam5+LBYN5aQh4tfDw0NdivK8tx7i+bWyxXyva/gpqXI +w2j2t+5xL+DRvKRQ+VFZ7OVzJw/ez149fKeRcVV5DcFZI7p5QwvLUQZj/zPXTllJ +hkNBdjuTT/bwUQ6nAz6je5pw225X6hCKkO8YKo41YSnzvKESwod6I7AN3bPyUTm6 +tP77XgnUrdaCLpgQ+61akff/X6r0g6AhgZDucpOxHKu+qFEjuxqE8W8euAE+d549 +VOzNdStvEexmpD/BqEz6+jLnEj8EBKL5AeP0ZaqL0o/a/nf6Aqa/uGdQvAGAbB8m +F+Bq722uWWHWyln2P5gXMgP+wr55dn/U9LWGFLBzpsk+oVfGk3PGZXS7qatmRq/I +ezYlyv8tLvdT+sXDZu/5x5VPWqu4HkpChzInAczxZbwYRFETgeDFy6GZ0oky1M6f +dZZbpqtp0P7/EAQVIvFm/gm2ZIIKt7eXrzYKPoJ0e42nhzrLAilT+97kOJeuIH0g +D6CKr0F4Es7EK75phqLWzR27jvso455cGbv34JbnMn3yyMlYu6PUOIV25gV1sjpa +FCROFRDBmKsMJkJgLN1D/IxcnjAxDYtaMrHuNvVXc3EvE03QJwxklKO0PuUr5UWX +eQpXfygfBVGBlp4hT7DAp5BzddW/mBJ2KXZVjFdWMYXhwcY055mU4g4J44icKjyG +wKl4R2MCvHb37F9eUvm7vvglfzwWCqWQWBxYaxXZyq0HxHXkJMzeht8xrWI+zVbd +yFSmcEg9OrKffu9Hl91G2ZTvYXuEvNvXTZ3Wrk+qELQvmsJCfNABiulgVrJoLE8s +eRGCur+SZj9bC/+TrFD34PntKlJ8IyOm6XywW4/C/HucddSF3QQXYw91NVcL1Hsm +ZnZCw21Y9mf/JgCqmBlW9jvKK5B0vSyS27C+yj84tdoZi+CYZTPVyFHBXxdkJsKI +UJhytYCSriSSmLqGcJmSlOhCYY2PzcoM8CIwMMeKjxNmOTibpDEzsRRrI7mIind6 +lazfpEBhBs/pUa/u0PvgAzKpAjvgRtoIakZYbJu0kuyhPby4grtW83Ra4QLaHnf5 +b5GnmYC38BWf9vedFeFXfVQYdzEy9W10xwXiFsbZgQbNIB3m2wnKZZcNX1/flF/r +EueTeoNfSqjAnTemJRcVU3xmAs/umDmO9w84UW1GwjH3clQtIqu0wo9wHasSZs3t +D0JcvpijtQmKY1fK7mHpDroSDix5s+RbSxpp+3QuI8vBi1GR8ZP0lBpnw/zc64UL +a8s4QiWAGJs/hJioec0NZmqaRkc5Y8YK2LwniyeYjFvFrHMZPexeGBtxhl5I0vVs +iztCOlG9dV+FwOawTZWbT6Bqagl0PKh2iglst01f/m9NzzCh+X2iIQUVW4qlY0CH +5hybbGoWV78ZnoePHzwNt1tzd7kAFP7MmhzwzBA5nOLq9EeczEByKD1IfUC8Pul3 +wc7SmDKc2zzeqHpduWjbqtJrpJNhjnld4pCa2gqGtgmRY6zedp3rJ96v9UU8idNH +UScRO3xP1tpTRLdg7lv1N2aZwtzdi1Dgg+vKdsFobwbfg1UtWoHmKL5qv0sxhdZ1 +HYBaBVYxCg/NNwwaARX+RZBiqbBcb5SgiuwOyUyKyeA+yuLTdZn4Diud/rbekJ62 +/65a/yVM1Rd1R1KXT1QIkQxDfdPZQxOatl2OSEli6VJVU1FbIId/lqT/XRkBpwoH +AwUsk1EQ2juP4THYE3be08EznuOnpIMiFXiC1a1Gp256DhcLLs9JepFfcwJuTKrl +Pjl3G/wulciH2r5exZ67qq5QLV09/E9cuLkz9N6rhE3bJ4Y1f7OrFXtM6lHw9pbe +OTh4knztVHyiSOTwRKQ9qUiLExa9dQ8kGaT+gH+0mhzfQh/VCAebSyHuBwlTIcoA +rD7eYQYPEKlZLCZBiM4mO793uLcvbpx//LztAcjml5MIxHlQJ/tY1DkEVfaEZiB9 +HxAAjvQoFjMXhKr+qcG1IQayVY4cpgD0+fEeNkes3ghDAOjx3T9v4TXqJqmGITpH +MiIZzzwu//JNu7mV3xavdsDHUzUfN9VnYD1yR2NOWEt4YWnqgyx8qsLbqRKbHzG2 +GlY5AQnvoRbNejR+Wi3kx1QmeOIBsJ11Os9VIL0zUf1HLnMM5PgN8rxSFB7qxUL4 +Q7GcxrdLIQFVenB+lFwqQjWR4Aadb6egJGlu/4JCQauCGtjHS/8Ce3MILulxrHq7 +hRjccInwz/uS4O65g0s9eIUSoiHOVDSNxXX48QEOg2wuxyXJyqrWARnL1Nq1yk68 +j1Yt/C1wObt/FC6et5pWJwCmIXKYv6whAnK8jSbYIDmXwsMVcmV7C4wkH3aOvSTn +aQSIwMm0YDJnS5/sM9TJC0pjROsMMxtIGQ5A5TZZvDoXiAwQRC5Ch7SMOJ6xISzW +MQTJMAd+9uqCiwwBGzDW7cRo3rqXmYO8mp3z02Z6dXkYnj/RQt4EpxFRIcjvbiDq +hKepPbsGV6A4NJh2e8K03GQgJeCWfrh+LGSoWAHXlc8mLyfTKPHO+jB/xiREeear +LPn2TAWq7OAqYm5hUKf7sad4IfFBAcZoS4QjY8PSiCcCwnglkdZoIskBLGskxLqZ +S3GOeRVdkLv7th6xL4aDxd+jf4MEIDXKrQQMdPkgLKfLPTE2jf11fJWoDH8jfIrz +y2k464qbwy1Tv2vZoU+LecpkYL+FkRnLUpsnp0WVBUGHl2AfF10JCXhDGP8v2UUT +G+xihLeDqRiuJcY4FL9Pp+lT5qVgWJo3HPrCZc+DLfyV/lJkkSA2HLo69TZDn8QH +0XjxyW8mdnSNuBCDS5I/QrfFqQf1X2S1ND3yFctZYiA+FGYNIhyJfQToRXHPwv2D ++584grX9cc3sjVp9F96gJS8a8OKWeNbycbl/KLdWHmHR/boeRmY+va6fINyHDfRM +v4DwfeLI9JWlZbFuOS3oaj3B1WQLEVrIs/nSC7ewqbh1feijZg0IFKdBLFfEAcfP +o2BUWiLmEsEOJ8lihwjcgyxFXFx/XsG1uFkRxLdjWY9HE+0iX6vq3VbTh3U2UKBh +/0feBsh7WCr4Z8eKqayN1Nlmh4izLF0wP7hRmq7+vlSbBsOgZzk3Ud4oJWjLa1kK +3kPHQCXV4LuuFI6xR5309mo4tO3OkRbBVI/H0lvOfXRwv5jaoio218sQ39giplnU +mtmyCp/l5C56Kxq1C29rVZGVygOrOv16RsksOYbvV/H6fVCcWpoyaf8FDqDX+IP7 +upQW+YjHPVrMy2RWXls79GCIlYleImfnBy2I1vCIHRXjEUI6evrcxojYEijjBh4R +98R4PI2IL7yyXDx7s0JoGETkHnhDJ2TgBGj9hkLyHgbS2f++KUGL4fzL9x+g7XhA +l1HbhcW6mJr6eNxT4GyjncKMVtHJ2e+1NzqzgSwyYjXM4GDPG5HZUH0FltqKMNNs +JB4Q6Gk8nE5/jQzFk7vA3IxtQaXeE67oNzlV26661XOUnxuLEJetZvfoHOnjBS7+ +NidgqduWldv/FEKHNg8Zf+jtWDmQsAqql3HQzlxhjWhtEkvw5ZVR+0ta+eV/00Yv +2DoXqsrkkCyJa5IeUdwRXttQVWN8HMS7TzQJTM27pYkb9tCw/b73v08l6xzA4mAk +Jq8dGy2LfbdEfb1gjRnhw+ZHogjCdWb6Z5OrOG1UeWN0oilbO1qpQ06yVR/3QpU3 +gT/qDOIvARcsvtba5llikfINfM0kmTotAVgw2cfj0r7WaHCyeu/xh0/BFj/iF+NF +S8/zJx9PvPdHz8uSGP7npxAT3186q80YmP1jm6F5DP7vRHGRpMGbv2E7cPboXrsE +AQt4Lqvuj4efTEjcyRiOSRaXWuvnvJkhfWkM42E01D36ZaKocr2LfxaqXU17gclX +ml5cWH0hIaRVdZgvAG1ZHw6q/ezxDsDjg8tli0XDbgQMSjL9RDz4uFwaCFKNMSoZ +z0yp8qsdT/6qhqv5LtK7AJf2hqSEYlbOwDhxbjb2HAxzR1q6UileMKxvi8KH2jFJ +66PhoQoTSYs/aF5N8pDfIkgQnv8e5vrXy5/kbwfFDSSPvJJ+iT6k7Ez1mFNqaPIN +Yb2WM2jrM4EXiSzu4Jmh9IVjncokn9g8GFx0GS8A9egFKrIBibZgT/NpDL1tXnf0 +8GHXUaWtnvLkbu6Rzx8Jgw29zOfw0970rvfdORnmjo/vAmAkKjhGa0kFxsC0PM/N +deBwpG+orFIZUwIQNoZg71RvUT0TGYMQ2nWj8onD6GucMRc3uQKTlDpZp6FGQdjP +yEx2vbC0Ri7wdwuWE3aSSpkfEQoZWx8HWUoyBDahn1ZGjrU+PCHrFQfhmd9opFxZ +0b80toBMZp/UABH97xManieCbYI9y2/Oz6E1Wryay9oXJgrFRq8R4JkQs3Y97bKX ++2ZYTQ8LMn5QG2BoA+nP/p9zcoGMVO1Jrc6E24sa1jHQzYFNrkrKjelKeSp48oqT +QAD1HXbqN+LrWDxoEtQtOG9oaUEtd6BaWmMyTiLXWkCLisiM6n04duD9uL242ll7 +PXgJxC4dKRWUIqAPP0hhS8ukxb9N6BFy8s8JTRh5XEhfhH7x5sZUsHaSFBNDRCXs +76f7PNgz/rqssf8U23zcKA/wbox7txBSDDj16DH70CVrtjD2zoDwJGxw5KCypmca +majBXFEJABQYmcjXi/jWICk5T2zMnhC4RW7kp2hoDwe5MAtC7cryfx6N6pBtVESr +Qa6Sx1We3Kyb+kIy0PmAsSGj0lX4TFhKt1vo0sV2yY7ODwgMLDX46lqchzmpPugX +e4aAOsNXjK/+WgvAddORNIV7o9KEOZtJz4cQz2UD08+MYxmpWxZanEdDGDO9+Ee6 +2XKIpaHOptiEhD7cF9ciboBEkcFOuyLEPwtcAsHIBiUF0Z9d3Yg45HZiwloet+O3 +e8DpgkOb6BOWu6SYV7Z115OBlnVYpz2O9PZc/d90MqvLUzjjD96H3rfxDuzTgo9q +/6NyWEDk6oqlENaq85bCwvyXEDKwGr/NGvJGeb25DLHs8KJLVbZOosAj805vv2oF +knTLK0UmqnwlV9keydmmSYFIzgjHENEzO0BCdBMDcxrlQBzGRal+0S+WFgbzspce +QzcKTLkVA57RxpR7CdlKrcu3ua7J+mq2tFJ6qOlHWuULzHUAtSpFwidR8KdBIq5A +FKZl3nb9Z3KbxHUJMhA+IAJmGKJmhmE5E3v93zUGZJMgTu2mQmQNqjnOths8tyXA +YtLELuLfZO79nRTFJNAXn77We9YH1bcNhP6/dHzTIPqH7S8Z4lg4kfeZEFbtP0Dp +I0P7G7oLEqfF1Ued8Pwxx40Imavqrzsi54F5Dfqf8/ASh5Cc9AWndhsnlOWi+dU1 +Bd4OvuYwPJA7zrpffT9FKjekPzqBYmn36gKZWOeb4eLACu8jghGmB9KI45mku4HM +zPv9vm5BIaMynXSmPi+pbz1IEDIKo2EJcjoooYaMru8Ajp4dW776vQG1jJgsF3GZ +ljzdR0Yi6ECEgASq2Lz9FKBjiHbw4VKxJ4xiJ1O7wa2iWGgnvuM9SunoJpESPl2s ++uQjDntTRR2irwVcy1VZ5yf25niVKu+lPWLO19vsMg8/7vgf8JziALBKadv45pR/ +9ERZv71dQSWDbXRVRNd08DrOlOArGeMbxCTymlCTK9gjhyURxUf5Y/UhMeedIJ2l +dOnRXepoKyUV4s4bD5njl/POWqxpfFXtSRO5vjCHnZKpCeyeS2LK1qoIUNOV9sKo +vEsYlhG/gaQS76T4COnww9ZX1kVRmiJruOLxpqjBpIyJ+0GZzUcoAozrNnUnnK98 +BJJuSJ6Awe0DDY7Watopl2tU/rOGaYhPxRy4ZyzHWVJehBDlDeS7NMfUmFu8imS5 +8c5mC129s/CN6yrIae3nme9wCYWoFn4DgSqaE5hFjnAeXARJctgyDZ/czuM2trRH +OGaDehTuIWxLL1CIALWXB7LdX2Phain8HdCYu5bmRsnRt/5kRoDJaapKn2SGef78 +BUYpEq7MuVG5TEkNZolUaa4aNNbceOTIAOJq78wZX+Fe5uq1aUDCM+rc3AjN/sPX +favdB95tNbRUdSiaCBqoMmBaEyxPBTtRhN3ihjMb3yogcFEadO3sFQFi9H9MWvyj +F7iKddO5MI59kZYnrTTV35TNQtGsruDCa+LJOoB6h8VudQVr53HZwmaqfQh/aHdb +hksBBLrW6S608YSzW7LtWEjJq8UZlVzCCOWnF52Ejl2f8LK/fIr7ii3uEHobkKsL +C7xeKdQ+899n67+/TcFaOiUOUz8pThmm6+3zd531UWNBix4Q8aIGEiori0TLBtQP +YQypDZdfkiClx8lRgy+PeAjRqgIeYkAoM1dSpM/PEHM+0S8g9n04fxkTw/CG0CpJ +SVv0s5E5MrVacfPKV/TkbeQ6ouYG04dyw0tXQN4UBRNbHc6sIxVCoDEp3nylEkKG +2qOao37qdoiMlQBL8WDdn1bROLpDx+zO1BybR+zKDHpXeKMc9yxqktZ9X3e92Fta +KlTosdiueZ6j7IibltTSb6ec0tw4aANtgET+B5AzNzyWAHJ2OvgvVUwn9NbTdpK0 +1w0oHLM0EdoG4Gt0Lm5P+5uSqHKZhPatYYh8O0/sg22icU/LdxeN9aA5T8xbSMGR +RtOZSarzs7NK6GhAfBMP9ZPePcVhaH68LxktDw5SQkVAztbiIG0dLM8ufr4ZPCKT +1SS7XqjmJyXCT3jcvNLoT1pdzm2LPI9ziFoW0U5HFRMAkd+ktKRCPjPzFTkxJ2sr +kaSQCt8MLPZLuc9oko9VNd2zmszbUMPXRLUbLorFmz7vibgXLrA6eIES3Dnt9qHZ +BYdeFnmFKLAx/Fu4Ed1+3W+a4gRuj9vhwMLb/GcX9K/KZTqqwLqhYVZk3590K50d +BNevDeTqou0MO4LUsNrmiQYlMqbelIHsAP+F036Tj29Mk35Wu4K53OapUKUaYE6F +EMnIYrrujRF8H1RqNEXGkmPvgmkiQa6PXA6eerCX5EDeLWTKmXJ/Z2H4xMPhxLtW +Hbo1D0IQQA5XYq+0HiSJbijg7gxCd1u6jj9p+wupnWwygC9VDozHJQLfR6rRhWUx +Iojf/8DZN3lP65aPGPjJTkABzrwIh87NsQ8WWwt8fo/BpSZFXpywFNRoYwjL8mL1 +au/8m60MOVPRKOOtRV4pPC060vcGqDKNFRAERXjLf5kalhCfaqiSpmzxr/Mwm1TD +qZq31ojWq/M1g8utXfUJNCHWMQRjp1LSTQ451pPlMd49TQy9CVe0ONIVgfu+3vZN +hWww/zGmMIHFO+Go2D2EBOyRy8XxbxdvOtvfBn5c1nYlrbNWJU+TMLdRDglojhMT +i3Ksc01VeN9xHoDH9U8I4CukPdr+J/MGnpCwMlIQaWf29jvxg6BIy2vvYKY8oLh8 +xh72F1d5BTXsCcDqSUi8NEG/d8CAObvRkp8zbqKV1/8PY9QFDivD9lzsR7ceDXEB +kvUEknFg+ebyGnwCgUc6q/95SCGEmAz7/OLdkXFw/9yX8uchCgkC7dmPHxD0md1a +Cq1QlIMzJP4lnl2JMAXlp8T4aCRtn49q9jcn8MXxJqyU4qBfNdv+aIYSOyjY5eK6 +0N0sJSZTvRbUXpj1ywqdRZMs628+1tTzYQUn20SfQbbgyCap4tHOhcHX1PsutYsM +Qo3Wyrqcx8GlJe3wvfF2E2P/sS1I9CyLJxtE85h4beTV2BQVjTqufBWW13+sQvvy +hwMLeOFe69+Pg3SpsNuZaFy/2EN0R6QEOWkbUGrWF2X4DmfNXep11jiqTR2nygLt +SeGp1Xgln310ZNjyCrJZum0Jxq6nJequXevsFXoT0/IQAbAYNyiYHuvN308wr+B2 +v2xwr78ElycufbUyPi3YB283vGRogLdQ8y9cmxxL+g053mkRJzV/fFEb0k8upD5a +Hw4sJwfWnvi8JZ+hgrQIGZv7I0QWfFhID2vckZcPMZ0+JK1EO7CcklBA1VQfHUNG +kSKjkDeOL/vPugXyk/RcIESlwwqPyCAOUPOg2QhJFIYt9q1IIzMGiESvY04+XI8r +ky74+zz8XBp2WQImiXp9SjqzKx0IX8zlli4PwOVHPGtuYxgXgVQlcDlS2MfutZZv +FtUtz5RhCfIR6qMJ8Di043/cVPes4i+vSWszlJnu4wwYEzzEhDaaajPoo8Kf+5rC +UCqklingdWKL9/eLZ4tMZeu/DUV9XYUtKjQRQkIjDhBHgcSzfP/NuP1i6K4GeUgl +WqIvTWZS922nBd0ZyyFLCO/DSX3Ko2L7/2p5l5ASJxbigdkG24e1VUqrJY6ss621 +mz3xkFaUsTJtlUAh8zB576icV8a8QlVIP9CNm8CIFOBcDp8U9KYukrL3QzlOyEPp +5qiz19R5CSm+6iwShTujkZk1xUfWa+bOlBMOEn53h5w7E3xkZafy9O6Xj9BHXNq6 +nWq8D4ULPCELdvHNKl6vSTZzUyWo7fB4NZ043Wrj5+mTcnOvJn7HoBjdUTAToYqt +wKDVeR5UW4ggFhGA0M2Xwp5OHu3F3mLvmDJaNW6JCWe6ntYDHlSMGXCt+/r31XaN +oS/SCEFOZx9ZxqCV7xYlMukFNvX+NydTSjNQBrGPhN6FmA28xZ9r7QxE3Mm5e44U +3+rKskVBflCuThyJ+6hxxZTnAR4utxtgyhCEZ756Qcz0sS4vWGgIF7g7SrQIwI1H +h43h7QEkJv7yyW0zv0uvaiZ9TsM/ua1qSLYgwpGH+ogucODOh0EeESmSEG1GCuXI +uNl3yK6E3umopYMkuyjYk6jPOPwKmxsrOg4MrSXDVDdMG5/dwtJHaErvYQsFvxEg +fWNdIwWYoxFmrSKkOGl8cn3DP6/w89m17nO/DnIl1wEBRNeZpdFz7I+AkPF0yJcT +8XORGh9qja1n5GrW+5CKexhy30H7OmWnE9sobJ+2K6pO5HDUkJQlmEGDzH9DUdy0 +h6fzI5fXcnKDPqWC5TtJh/Q2aZhBlPLCoOOY0AsQry1OelqcvzJetmla2gI25LsD +D4mnPGPG1SQ/2AuzgLXXGZS1APpYQu/sgcd2y4E30cALppQfgMXOz78KY+ha019d +QlUyeW/zg9iuGd71vKgN+JFVM4gO8KAkZPlE1xYL6bipbZNtVHM51LwVskHpvgye +8wCnJQfde3wXGDjYv8JhXfLNdDIlZPqc9v9UBmDcOD5+tqaVJ0Jn8s1wfoBdd/z2 +1V2jPgt6W53jtyKGDzziLGytbnldjlhrSY2OM1Ofpmtk35Kkbe97XdHjCjxzC1uf +k61tadJM0NfD/LQ/LvHeGMc81C3qHR75M0FWVSuThL0BpxSH9kL3gSNcbN70FlLB +A6cIPOHqdnlzapv0/ffaNXiKB2KsiEYfyTx5ySR/Kdp+fHambC5TPK+YTcLK7pO/ +0Y6LVPs//PatYemt/UsJ2vAogI2zNM737E6CUyF7PaZYb7iLVAbtEjuVNm3PGZAS +H6fNIlM0F32+Vy9LmcIf5NDUoGVyZxjF61ig9Pg7C1ueYLySm5suTSnm4OyHZRLp +dkYhOJ7eir9Y+3sMH7gZ5b0wR5MQ8YeXTUhlZeSx/TNOlWtS4gGIbbVqKeEDAVga +ZAGt+rbP9Q0ZFo8yiryD3iIkCXTFixhZg8Kbv7CLLEv5TrpGeTUAA3C7kYB+2NCd +HMsHOd+WrY8TLj609Jsvi2zS7YDdjmwM4l1goLz54l8bhmC5Iwp5v19HoQMNxaBb +aCG2T67iIgR88l1vr4RBRu01gqeG7zanwIuyBZxtEvjEU2aLirbo1RS5kNKjeGzD +ZbifbE6Jvwza1g8OJnLTt0tTxiv7GwNi36FVFxZk9fpW23TTTn1HD9ULjcNH4OMS +i6y1L2GyVid2nAaGcYx6tjbz63tQ0iPzbOeA4N4HTKZI7gI3vrW0g6K2MKcL+YSt +WmrMB1r2JbNp4RDrwuNoCLWB/VDLOdsAhW2Bjbdf0/MhxXLC5Dh+fuTzrKJ3jkJU +yMLPpUw9CJRQK150HTWq87hhVUOoIVBnWXWvEl+bd9gZJnOpoZH6hFIJOP+nfC83 +g+0DPUUFp7BlUn/JkeceSv6g9LX+n0DvWXWb0Qy5uGhOMUaJqnJVSeKIFBULozPb +AtvcGq3WCz/VwMsY593GTq5V38pw8IKfkUwHQCAAbxagCJkyK1NRakk40cmrYT2r +SwT67TThw5B/9Q4SQoWcmElJ2lgxMKweEt+8OOCyI4iMQl93ZONmweroenl5jso9 +cgd+5qlJxS7nJXDkdBoL+G4wzZvUu1NHX8Q4+dbpPF83EMc7+qxBxLGImu61T/J9 +TVQu2yLFSuoesxton3bGFZNNDxvsj6z+BZTla4OwT0kSpvhtp+zmW9lLxF0zOEJm +XoQWOs6SrLy0DGkIDpM247OmeUnOIkS/G2d6pUMDic/E7ci6xG4TG2XJmnAccYOw +xY1GmDJ4qllc0EZgJknRoDU2WPl8DdeXIyqLFtthxjiaI1J5COALZTAilSnNXY5/ +XBxMuvZTx52l7KFv1Xl5cJHlFYe8xPieErdukupe7dpz8TXUwm6K9WLY/iJAdHyY +0IpUPxRGCv1b6wjYsaGOEGqF5D0BtGgmGt06n5FcLhl09PTYXNyBi7O7H0/Jw3J1 +0kvor+Hz2zsauxUdvK0Ai/czxu8F0XQ3XBJYk/rl09RujkzBtJ8hsYHQlt2ZTftZ +Iy7z773lMifK+J7HPXMkQ5aQbHFE3yeXHYELvUdwAam6ltOynOSGx5cxxrg1c3JX +H1sBBCFWjLxIhCwBajiaXDt9/FYFFiBW4VF9uvO5PxhRx2cSSUkqWSkB74laS8H9 +ylmmNyJHiwDUKiUVv4e+C+jAu6EOzNIHngCuUSHwejaEvbOdGu1anHZWbxN3oTcy +6J7IV0XrRDuyRfo3yZZT1pdqrX7aCa5N6VlEACBTFPL6VHVW67340JWpWBlwDcJw +gMX3pj4VIxUQO1b054jWAeI7ljEizPI6rAPn0MW/F3+T0F94RI/T38guTwhpx6fh +a4DpNu3fuiUo4ZcPgdQ7x80qg9dgjhYybK9g8wVMxh2bYQhYurmEfIyfpjgjgIRl +TZ4FqVNLbg+v7dyodAbLgnMdmMGi/SqphlNI1JcK0fozaA+BemYXMOuIWHxx9CyK +Z9kBzIyRtXuvvjkYlyh3X38uPddyHLn1ahwjIcf/RCgCeEcCgvn59q1XkkFtxjff +3LmLw9ilstgaQvc99nfNMYcQbNLdK7aMpMgNNICSeHJR5L1gAJlxvk2uPhJ9Z8++ +YGsyDk+ju0wIZWNX4bWa/+oN2dMvNIR2KJMoFV12Lu+O3SXa2qBeE9DHi2MwskqY +yuy5zVErlsqhWZJ2wiIbIEfbq0+qa1epbG1aIfY0LJ0fTl13Ubqc33+s9r2Sil73 +mzjj6zl1+dMKRQthftDNAlmnL5F3rPlBCK4kO/EFYTOiZHUBA+svf7c4kEeN8pb9 +PD6LiRPddM8S4FENh1OTIz6hB7oRyJK+FONAO4uw40LP6PZP8259ga6OaKtPYe9D +9n0IiBuEew4FoiWSYcvWZJbL2/eBbcaLF6ztQylIHSSAG9XOTht+x+3BmI5WrRhN +1EiSjgzSkMMvd/Hy2xoHnRBaPpU21gY4zlW3+3L/Rri8HK4AZ4ThchBi2jggaMaG +8Y3pTiXT2A68QYxXbLWfW4XFXRk4cI6BNHV5fmLtQ0YKsG2E3b5KoO9wgsOQgTOX +jwghm63LohDgHWVI5XEaZeVdwZQtzgiEvxCHFc0PqzxUAo2luMXBJmU1TCeV4zm2 +9kV08nD1yMmC/98Dlx9A+9vkuJmggmJwYCXX0afblGbkuE8Wa3oup4WwM6pg/jdl +N2vAV6PLymigtsLashGUUCn2XnCGfDE6HDtIElGKzA3+Tr8P14wDzbO5lgiON5QH +mIquSZYNdJi+J71cWOpDdFnekseP9YbNF5wepIeTh6niCA9gVAEzxAqv4j18JTCN +hoApYvQarkiTeBO7kQxoeRHPqHj8rcFBJ+6hP/6p5xSNwDTd9CaDuuoTiMm0ynRe +H44QfKMpwmIY8oC9wbkhMIUPtDVwA8a1E9X3gdIHltasvTagUz4H2dzR04nTFNSY +ho4Ab955U3jlOrHzikaoUzecbBqf9aWGWGirsQpzylkxI7F7sh4Cm1+apQWhdusW +clpfYOm5xdhD48eMwY7e9gyhca6DS1wJ6SNXvjolx/5wRxb17kFogx5LFSCWyfuI +YrtJhKX4CvhLwMJiosQx4EFzfG4VQ1F6Y8PV43TocwrVKZw7apCh3IlFpfza4H3x +payrHXJf4AnkIGCE0pQdeJFf/Fl+1AnLN3T0xcruDd2QJx/voI+2i6ZosfDgSPWJ +DrXUsTPz8vfNx3AciaDDh3pg6IK5NS0K0tdgTkqnyS20HLxlCD3TRCwiqMZ6QO/3 +luZqQ22D43JZxl5ic6SE0hMIA1VFb0p8UJo8wvm3FXxkLsTmbBKrg5H7lp7gtWlY +gH+Ile08YTziMvsUWLlc2UxxoQIdRMWi5d+M09nP09AKLNS689fKIvBVuCkzOH+v +Rt33j9feLDZch8E5vj1/uOXVXnHgCPYpQxBXOymt1xOh4DIMhFOYBR0TQj/ZZDAw +Q/boPLFOMDWFT5BxTFjuY1ZOUdGWOMnatMsYqhvaSGeVLFd57HNltU2iCZnzSHiN +sSzidUIRZl1ax2giCmzKTzfxCrk5W+UNM9zU9LQYLuGqlwtblfPSlj4SC02TkgOh +wNLaTNN3wawVvvkNmte2igJrIG5coiszsBZtQSetUZKrKIh4iYQWOtlXTLcu+Fro +FzUyF6wLod8F6SBt2Tz3L7UTaBfJ2zvZxPM69ct0PBxdSFxFaUcHMUqEvuRFXDC5 +N3NSbk23RemNR19UItMrvvyboxO3utVovQEbdFPwhh+qsbIcSY8wR0/84ZldFCDm +yQF+DFCs12Zp3X/OJPvRNdD3QJdMB7D2X8kDP+jcoIVczks/sgGgcESiRm27R98H +fl/ryGm6yfIGkIO4P/XxN5P7fW0lomS0+K4AZbJFiKcDpBrPzkQM1j1B26cO8so+ +pgv0V2s67ErwKmN7gm2rJpWsKTq+w3n8nU84pmoHic7bU/i4kypMsee3VI+j/vSx +KxQuSsc7FuJNHoAk2l/Qer+XlLbs499JXJY5GxQLex8SOX7vILLFtJ/47RPPXEhs +eHHWTc+XAuuaDMznvnKjZw5o8dsLNk43yBzBHgufTI0HU7WtTWvC9DoyXrCsivAw +kXsrA+d0AinAivlFOAaph/KlO63DBkQvfJQ0NkCD9dqJS7lL6A8mVt2mdHeFCPDI +xFpI9MNmWjV6DB2xaGKOpAUoKqOARpXbKuvUbrjn45bASQhleDMdgO2kZMtR/IeY +FYGg8m/1axC6K/kWoZz/k9uv8361evR+dt6kmv4MIx8YCNLwNNGqn+VTjf163mUF +FVea9UIn4NLybic/eHOCyfmAwZ/R/v7MsZvGabzsOvdYV4s9Q7Vmt+AQqtBTToy6 +6I9m5z86xvm6JdJUTI2XxZqawEbMkIR/AHBDSyV/ut9W03A1ELLyi38tFnxh88ov +6N4BbrRGHlinirscaIA6dc0zWU3jjB81W2ot4RtHLVmIQI4VEKZir5B0IQa9I+Oy +OnbfKZ3kFsBcQUjncLgdqZuSkta+DZesIH2SubG+d0LuLMUgcruoEYRlXSSMlJqF +/nd7QG5Hzm59iIfVBoI18Tc+J4Ae+/urOGdTuGslRAq2nXj/H+7v8EnF9XSqLLUa +kU9tP25vQqswqdxDf5m4KoL34mlt7W4G+IpRwr43Vzhre+YF3++7uwESyrLddSUm +IMCbmJ0RRzG7LX19EGsRwOPbq0BwjAHDvowpNSIMuJvcdxhlQnl1nSjLO00nHkMG +qBUJG9S1Tfovj2ehFUwOT4DL7hyTM4zSdMJiyV0pVOe0TVHN+chHZuKpTxBatU9S +yITfrWS1A+QIVGjMyH3jvVcKfFxRylczZqg+f4Zfv8S4Df47eV9B7FXgdkkASviP +myZX1o7uxcySujUvycY0TlTWYw0UFdEJqPV1az46//rFdv/2WRUTAhOI/G1+3Ixe +CH3S8w8iTa5fzb6gtHYTk1tHR7clb9Xs5crRhKt4OwRUlrVpDwQSqRhWrbOyjHVa +OMkVBvq7mEkU4BlHhtg7wOf0FW0MW7sIBn6G+EzpoZNOulb9SPrpLtPm4vp5QV7q +Pr8XE+yUi6ByfD6LxitEZSeNGfiJz2BnXnZZpGmb5zPWD5/c8lUjrpsu7rc8gQIh +Yv91kmlgBkkU+Saay+MnLJCjfNZZmP/uHzd7hggYyja+VU6t+qxWyD6JESbq9qVr +0hxILxyIONa9Tz+7WEEb6Duh27mo8HwVINx+jBXRA1nQDs0KFA2ygYOyoqEM6rXp +sXNx2GQihM3Z7T6BQ4FeO1Wa88crrwADtRHRzy3NDJBY4bT9ainLcHZs1eSfIzZS +cpe+XTv+g/hkFQqp5Tj+0s38iy2hKMtvZ4yBGJP1uHZ2crHZR3t1djBP4utKwsU2 +VVmnh/JD5NrKBP5Ddoyv3aVtrHENF6IJTiREpwman+7sxMaK36a5AvtBt1Tx3fx4 +fdXf+oFHyRiut3FZrjn87n+pANIDA3hcgkgmKgV3vrob57f3Ve3pDWy8NY6rvUdl +AJsBVfifLsOdY/RusmR1UnWqRB+zLlqaHpfH/aV7hnU4jVxp+hTBPoXe41sFghGO +8ThlQ9Qe3ztOG7kRSzDb+wAFRCDU0HgeqTC1ybVZ5hj4/SOJVwIwdcy5Ea9GfvMq +R0n4UlCuahnbYpXGbU+wJEloEcD33J58gbgmdizbpAiGpQtaxMlJ7KChpP8wT+Qi ++ZQurzGfUXBbAAbsUE3jZP7gLp1ZhHaZ4BVomAC6qiyUo3OsKVFvkgp5qbZ3p0Ve +r42Tj1GgnEFx9gmD9zmnEDUeHGhN9SFSFrQNwPhGC2t/dCCwFxp67XhL+wrClGEp +u5k3guCuzCfD/IIn9UmA80JjM4riX68V2JCsFQddd5iJgFwquTl/esP+Ylu2FGti +rGzxK0k+1Lz0SMRg0Mruk7Q2C4yVAhR//vOaacmi2KjqCMgQaFPXM1F7gnqS0Hy6 +kUWdJZaNN5V16Bz+FsPNxN7SEaqqBDFplAc6DAHCk3ioSx8ks+9efNabhJtgq+hB +JAdN2yHA6YinwS+ceXNnzR/Y0Y9aQJV6OR+6UbK0Kt3ih6hcK/9vhRTNKfI5VfXN +yYPPERLRAE247DH2PpgGdf0hlBXapEvRz7sMGAikRk9GVB4nTgaFkG3i7NyZ7Mz3 +yuuljjTDyV7MgPap4cTnV+5vrc5LPLI/6wOBZjbmIHV9wcTMoSHq8dZXt9727sgi +w/vbHcKII2J1FzS6avUdhUlHnr0OhHMhIWYEic4803V9HJDrZq6GD6UqoyZ8qJIH +Ae5NUl9AeGpFbMLpXIFDoxiOxme6/vLn2V+yrEzW/CEg8LID2PrEdOtTt4/uD1h2 +XCzMe6tgKf0vMUz7ZUMRrD1yWh5IqnULZAs9wFvm3AzJAiVT+X16kcK5akgfjl6K +LAKEdJubNm35Q/k5j+ExInfJG7eQhhE/+UlJoefPUqsMH9TQbLvcckHvkKifosMy +VeJZxcT4wsdQHOBx+zisFhie2PbsZZR/81xYIVRDgXxlnwzWwRc1uFUGbIzKhLQD +xHCie2XfJZiU1IeHeT8ZNDcPkK8l+6GHjbFasDSHpTBtAeJn8IEpUJXVdLQ+D0J5 +9VF7zGS8ftRcZi6aF9+QLp9LO+D9AnBP0ZzBJK1Lk7GWv5SRsKSjIxenp7pb3YAd +Mt4UihlnLgSCFscf3exD0I8RMZ490DD5AXIP1AxMILa9RDv1hwhZ55z/XgW1bnkD +/Wi7kfbmkx+Ep9t9IgtaXujAXB1JtYWL/gPKntdiOxIwSE8DpQedbHmVfQyhGtqS +vvmXcnu1suCyKmKaJxw54kCrfdIDiJyo+4y01RhAr6Qy59eBI1huHXjnh0XCkU2v +uB0IKVCoZhbr/pmbI0FpkTmVQ9/gCvMqySl4XaPuP3ZuNvXLaveTHnXSkMffS1qX +gk8DosT77pe69BfJcV3KV/UOmci4oP/VHIgKz1/1fIBPICFJgz9QrRkrhUk5C3Ll +X39BbfirOxpQ3TxsR3i0hKwfFUs7erXK1NEwija3geGHuKm/SuoixfHaaB1knNFl +VQzZNmu6FXrTfHNvpDxl332vY/yFOtEl/ptNRTpW7noEb07QUzXYZgwYQHtI8eWM +mKNY4p6kvseE92Goy5MdWCvY5lQ32u+xsTVeftQ5i08o5dQE8cPAtgYRo4OQJAPD +/xhOanYypu+vd4X3G4Xh0uEc4eSurTqhJQQdLtFSEJ6sKy9QKPzg1Nq8SF6QLpDL ++7TNKzMuxGlGoMdRfICt5unv5DRy7elMtDTljRWypJEERYNF19iLt+AtOoDY0yLS +fQam2CB4Im2tQBA7IzdKhwXg2RJSCU3baDucXBevAv7vchr9R0CmXhJPNfhCJbFz ++8aVC0bhDxYNW0PoVf5sUM/9qr4J/GqNHGg/TzruJybL1zKCoCVKCFaZbwfKjJqs +d5Hi2eg3DViXn5KMnppd3APr3GjWE3ABm4xjCUO6zjofw625OhZt7ZEgEmvSkeFD +yxB+ceiXzs9Xn3MeZylc3Xm0gCdK+V4LkxsrrLh4LMYIfoidQ/iE/n3ZHqq4ZEPU +nlROsjTry5pKNpyZUuqMCgmIlQpGMbXSTSk+jHXztVFnC2stA3YM8WclPudOMCab +2Mf81UP08NEJLeZIxHKuqLWLt/eReKOufC98FD5BFJ7inXeIji1ZCiPhFdmOc90B +gYwjMtIW0plMM/Bvnmkg0b7Hj+8pUqGz3Y/FZJ1lUc6vGew4auDy0QdGxF7RdBE4 +cPQI7uPr5IMutiQFVFJT2iOynWqZSKkG3jCGOaGOb7XXfard/V8icKYnwPD5xINP +fYALIItyWrXlhOm4DWuOi6OPjnxcCx04XDfQL8aCrl56Noi3HBA+oLkVZ8jYbyju +HrXNs0pP9LvT5Jbrq1fYHuefBZZCi0L1NjR7EFgyC7OMYkqJJpn3hj4UTOD4Qm1L +epZYrD1f4+5YT9bfY/MOAKtdOs1VtPGe38Uj9RVVw918dmVqtb+K4yk3wIGtYsYP +pugIdlQl4VZgMOEfdcvhgM882j360d/SWetRk7LVv0+xlNZt//x7aIFO1YyD9DNt +RdlL9VkkAP1d7Zo6N2JhL+Dkusfo2tOWc6laXbCogx8o54HXlEHjwlvvgEgQ/iCs +Mm2NkYBxe3A1Dal+RHK7q1cxUbD25Bd2esO9WQApkq/yxSVP5+eLnG2H7nkzMQB9 +FmTdIdKHGGio+qQs8zuAN913Jj1/n08sByRgSSuPBNrtpYyLDllh1YC7yE0aNes5 +fwbcQ0OJVOFO4t+3k4U/mb3Ju3/DI74QW4e67KsyzH08JPWkuWzHRcgXzn5Tq6kj +YFNp7PJoIBzbp+wXNHk75XOwOgDjhjqH5smy0w8mBWefmuI+w06KBdYLnHMTusQA +/UC6yjngR0K3FocxlZzhKg/nTz0CeU6g+3LwTHQBbisZouW6GpyFosQFhs8iOTvJ +QYcpF2aOuuRphUFA4R+nkwwMkaDLqsYoye5FoQ/xpxcSBpoE2eELt516YJRSLuGS +zYiGwzy7kPEUgSc35rItRl9fbmwyKt7rHF92b6OEGtWTPlg451g5YsB2R2fMMnq7 +X1fg5Ct8wGCRJLOEEeXD3nviliwRTH0cwF6lYMrFFCpRCWYqXeL9YkDpWyEbc1y8 +zsZXeyt3Qp7dsM4SfewzrF84bmLqM8aPKaV5I23RhlKS5qRDVjdkhi+thablwdWg +I+vWmvE1VYKjIPbmyP7zzCPpjKbp8jajFC/lOVMXi2VFoPeTQp8Cn7o0MuYEyC3y +yY1iyj0DlmiRBIH3NPAet8oKzBqiZh6LgNI4p7/HwZRDlATH3uQhZaz2Z8k9v+W1 +0ON747/Eq2J5cYdoi68Xm2ZXdzeArSM0IRpZkgC0ib3kX9q6sekxiYVuRvFIXjNm +rEgFb7vNDgZhlxZsV5u8apihy/tJoMDX7XmLhdCKXTwI+ZWvzSWUZdx0u6dCr1q8 +6aaZztp2TITTMIntuTnFyRCmCHAEdvSnOfg+4O3jgPeT2BwNUwiO+5CuKWfSG1og +B0WGn/GM1K+hadoCu/VJV+7wSNEogeGQ1guM65gtzeEgyD9iyZIbwB0hXrZ3nHGw +tJHxgi53FVYkSONDaxnOAuQgfOZoqGu2rXJE66nbPCELDO48c2JEA2MWfEIyeRWY +1IX2+fWGOgfOGYHyeNXqSWhKRAnRhl/y65oi9LIUcD1H3+Ddndi5Zvctlwoe4o5t +5JgNgwIqSlXXLm38kIE/ZB51WTSNDxpJ1zOSpm17Q302QABZIQWj9ml1f/pyDc3a +3P4Sdpu/K6kmN2rW1KfGbufhkNkgmCF3+EK8Btm7fPlRrAFu9YYq9vqhI1BVIRth +Iq0nrpA7FblECXNI4K2aIVRfAkcOVbMWK1uCXM8axpv80ypByCD9v7aq7avkewKW +l1ijqUoJJHo+8hdVcN9jq0TPLM218ij25fzjHYtE3P2UyCJPyBwfLPOzM6Nex54Y +E9+zem4B/lAGUkEkcpuZTk7H6UXHGrdq+H3UIGqNs2zg0FpM7mfzPrR4LJhN33Y/ +Pm4IUXNX+k3ivSlo5i5LsjhuWZlZLcvb9yDovyXIgV0E0exP/prFVYsnGJDqPJ6d +v3UrobdVPv3tLfeaN1QGV/sm0EwAnGFXNwe3r5J5QVP9Q9NAq3/h9qU2L6f4Scv8 +ypdNyvWxALe76kFKMVyGNMMi4sxQyMda9omQ9epp9L/hiS8voEdqB4hwOhh/hcR4 +eE0qvxiu5ZYmXWVM0NKnQFzBGL6dJe5SnjpRViwA8oqFZevrXCNMxhbGOoXoy4A5 +xanpl7H87wZtWQ2VDrqCRVeVy3W+KmPNIaXORNj7TtAHaWYqQtOr6CjCZsTtfVlX +2D659N0gxi1+8O4fRENXht8hl7h53p3etJihhuJg0Kn1yk0jG17NyxLTfb5gGyUn +OtRtRU0gc/cz+RZDQxkAcEEFWWV3K+fvgz/GniA9R6lGY5O1SvjjbVAW8o36V5QM +j2UlEFLsakvqMUqStabKHm9ehRNupNvY6PuQY+xNBKKHU4k33ZnxY1P1G6qczf/9 +piHX1Mn/tyjy4hbHOgcyBn4m/Cvpg7VNKLeAxJ6O7AlysW+dZIMynVjdYCAy84RJ +yGCQFCRaf2xWhpfMbR/REHAUbWMjE6QiZa2Z5gZ+NxKKf9y8ZYjXDYlULC1wqlxQ +r05IDWxlcHEnGdFKkpkLBgQs0WbESPmt+QMw5apLNFlfM9NxUdE7wwyyt94IFqda +dt9sJ/Zsa3uwo0MjexUjlUha+UC25oDavjMQKKGLWtuwXQDVxSck0vJDzOd7EaK3 +CqOq+VrmzDg8aIf5X/48XKw9+i60NzY8L3bcwJRuUZmLgoxRVJpGnUl9MInSW+E8 +leG1kkSpjSTX/w4M4oEO1tjSv7PsBGDyywF1+v7eQ7suK086Ny2+/g50Q0B7bsq1 +Pz0aQt4OfLPVvZuRjBW2+GMmE67LDk6CJ4B+LjaGMjCyL/RNqlKFE7wAkhKj30PM +odR3YosvpkSnEWiA9r4f9ipdDw8q7xt8d0DmBrTRLOhNGx+yDfoWZcF+5nRKZQ3t +RyUyAWc2KSFvEAGF3uMC23IrWgOzgWX7KELJ57S7qoWXCtJl4OcWm9jPo+KuMVwq +LYEpqO32TunSGVNtVFGrIS01XPwyJapts8HsFlQtWy8croJzJT3WLpka7F4iJQgp +1tOQ3LIKBIcsTPIqY7LHj0zV7QXIGYaaBV1ie3I1eWpekeqOgtYCOJ1I7Xmd7B7A +cn2iNPVaxK5DbghuZ7COBZOzsc75Wp0yQ4DGpf/53l5boiusuP951SCX/H4Xgqis +hfNyFBhv8+nE9PF2G1rWAxdnhbFDOh+Yvaak5cN65A+xivkuC6dIMcY94DcAROJl +rXOTZQ0Wy+xLs8+94WpsN/pt+7pMZxLs0XBMdLuD4XjBJV83BlawwCYhNhQzO3la +sYzjvCYqkvsQSXjWoDl4ZVd7pICF5XPXo7GlsoZSQDrQBiLaJaGqnyMORCOvCpuz +0MX6wSrMETujTZS2hBRLE2US4Pf6++/DYpsQASgvgAiIMUgPoqiodKDtXmhzUa3r +4rDHdUd4Ni4u3wi+k51r17l+5Upmg1YJGTAQ8sNBZTvxFiKr4x4XYU3xxfqnkTam +St7Qk66dxxQV+c3G0IVIfkDfZBUIgmjL059RSQNQXU2a2s2zfHC/X8joc+mTIREt +OJ9JTHfLS9IPjTZYfYsbayoRGFsQ9uXQu3Y+p0TS3iD99RCH3pxBpCVLc8i7lY9Z +/2rqjhiK6RTZf1+DUCw1vOqJSIuFsNAA3vO1bDVnELq6LeR9GndR/pGQQkgT4zW6 +B2R8n3U3asZ81UI+YzVsTPku3oSKkIxgclS7a/0B5ZNHn7yrSlzRYEfz0ir/wob/ +xEwh6Jd1S6stD8yCG66FMep1Qj0BySVJ6obWTU8gvPwcj9sN2Je+or8MD+hfKvhE +zk7acj0F7pvoUuIUly0oVhd2PzyxOic3MKDW411I5UbMnVEQUXi9XqLKroWO1oBf +6KRX8nLBpInlC6C/rk4aVgHBxLH1sW+BFs9lTZNA0NoE4YI9HogrRg2/ixsb1N6y +1sWM6OvyvNg4/DYzPqfyTmNOw5Tyeu60zpLpF5mK2ZdSje57nhlanzP7zmNCyL0y +2h8s/QpUrAZtrUBOjhyS3trUoV3DvB5yZQtoQpvqZGUcBMEEqjfAcCNSkMLitpHj +FsUe9vM5aBIuqtj1ktKK5CuUjhhmTeoD8SNzFtoB+1aPE3SUJrIdZR2Otwf1Zggn ++Ke6GSXwi84usznsQEH01is9w/eV8+B7aQkfowVqUoTZRpx0ZwD1zG/K8tkDuaro +dkaano9tFUgtEuNfmuZszhW5Uu1NJgYPo5NYA+7WT03z1HqLNfKMITaoSSnk+avx +55Mgun8xxioIS12AY3r5hLlh2u+i3ZdPiGeeuMPm+fZK8CZ1BVgqJ4XxJlInFkX1 +wSqBD7Y8accjHnihlM6GMGOGzwkL2QAQsjaoDkhtA4AEFiERn2KDloqrKtnJo84L +b3FjbAEfC9XUkdXMt117ardGrf2H27Ldy9FPumZeNNv3dxpl1mFU1rN1+UgQ6BhK +ZZU0hC1Jyqo0WHVUCGsaq3UqkpmLQ5bBiEVyP2zyMccP5cNXzacQLvzvFi4rb+oq +PYA9DK/QaEEFTvsIoIuqQrxTl/b97/l94DsHMidXhnYfjUEquqoDI5UeSBwaMVL/ +IEagesi6J9u4VI+fhlodZDQTA2xKOa0LemE64OGiDLu3WExIW4tXIDtXXOOe5Bnk +OS/s6KUZkHbY16YsfqyvVpAV/UIv5alSReEnGXToc/XupvFMSGyxdUvErHagC+IF +HJKA9td4hUa536QueTJyIxPIH6XYfol+oc1eXB86Mq0LuUMRD2RvWgmW8/m/g41L +Z+srrQ67c3vAQSfhM8yVCfklSeUO2sN5iKYr9SsyYhqqjtnCHp3ryZnj6zfTy57/ +n8UetGlHjD2RoBn/7a1vPhsEx9dsIGN3CaiABNWtqTMBNy5Pnes8BraLVJWQI5qy +O91RDJr/o7cy9VyjNAP2xAxsGar1cXtdCD2DYBt/Bh4DLKX3fQ6qDLRtXcJ7+XZr +/+7mgxLinpmW38XtaZVR2lYtJM64CRA//+ZWTqX0y02xvFWKWiArZExO/AxvzoS3 +rZ3Y1i3wpLctsA78wC5GvECMQaYdrcxIdCMKHbIegwLwya6n9gdZPfZIF+FSX1r/ +Q3i9TvuAnVHDK1bdVzJYeSwo4gOiT3IBTsT+73eFVYMDImVR1+EqYDSdld6ud2JD +RB0dn4pCjDyl5GMkUX2kOmqqEwTeFI0UbKENTD/levoXQ/7Gx/3zGzfUEaYULnPn +IER0TGHzYpFQQD7b9i26Tz3sR551jlymdgyh+aGyLCW9iJzzOJak50vvAFZE6IW7 +PXMK9i/s6UfPlvl/WxPrDTA75sLZcKu2ygPbpsQHS71aoHLs7IrmFObrlN6R/HR0 +/7ulnQQtEs2/NZaQfd0mFvqN3TNsmuVq+w+eMoPJhjbaqR4It7dMIJg7do9keAnv +yZdK5JhvadkUsVrSzcWkUFx5i9py2vqUwPJM+YwTxv98PbBvWMzv/ZGQL6kpgMpO +n4ia7SfRuABi5tjqX7/PHq4FHP55DICCCHpH+ue62TDerLQvIx7Zyg0rc3Q8bDWJ +plOwCQ8W54GXqaBAJClOARQ1OcQyyu+dbswsrUMhuJqzeW6KqWZfCKdEZeHzgSPr +BExJo8BRcp+/53QuR7XVS18WmcmYAj6mkWlXfJgk/SZnheZBNfFY15dp4CR19f96 +kUif/NvFfCz/F2hoL2XO8aBLtBK90Z99cC25uqZ3CeUYlxE31GlqXQiQ9w+qPUI1 +XOHLGlCwVBP5rvVoEjgthrLR+l/gvIsKp7wvFQEVb8pGQnru45R5tDY95Rfvs9Do +xQLlfB0sQlNCKBAUmhiXtqaHduFPy2nUn2c75djlk4R1NGOPY7pnAwdqExxhPwGg +d2ddPO2eFVNABRTy4zzbRsbFsZ8zGUEZN4n9eNIRLKVZwkJDG1OHBn+lrOwbaG/o +RvPomGtLZow6HGOETr+wzkSfHt5K6ZrDGWYSlGn0q2P3vxGa4MN2pPPSUXO2jJnO +shQHKqhFon2w5Htx+u9yjyU8+mfQuLDiQosW4Gzks7JBHKqFPcbnUCJHKQyoQzJP +STkpAzZditqTMcPgDFD8xoplRWpAHUj+n2kds7ZjaRuLMUbtRhwQDPBMioVevx94 +J+DFNoGNQZ3Ufm2IhFRJkeGHTN5Cv36pSebdi5XrU6Ap1cSo28Ljr48qQL+RSyEq +4EglzjG3FCuQpCE//JTtRkF54Wq802mYGbVd+ef9QvQOAkzpDUcAQkYlLVIWd3Md +yebuxafo9p7tLFUVlI+3nVUTScycfocmtBI4dhcen8XdE0DviiUyHS4Km6lqLcte +IEJeA3QMqzl3XVgzDAgmhNknKCdB0bu7oVeaDmwh4QtMzDVNOJdVL+po6VpOkxYR +T8BYHL2KPru9w80XujGSU0ii4YEKfjBGR0csRv5wvGvoS0b9ss47OmAx0pKFc0m6 +YCd3dBVbTtoTroFIUoLZkdyANKEMvc3Xbs2qHB9sA7C1sSOSuKzXyNZvjn0coo9r +0wPS8PQccl0QGoPnptLc9E9HQaZ/2pSgj8T50IxRummsIpSrMDG+XjzGiZKQsIDz +olaK3JQEujv2i6OHVGCJoAak77IJvmPwCfuFWTtOeUTRJ8fl2q6YKrqY1WcdlYR4 +6a0H4n0mb4yDllKZmW6IoJdQ96xL63XckqDK/SESb+pxzW3uyPFHRHn9oast25rC +lt2O/pBY9V5zykuPTAWQ/EO7jvSLgckiMvZ+uPVx2kuosaVd8EmZQbaLg0RO0Hpw +03R6H8S8bEj1zkXsznj/yYzLq1rgDuW1jLxOOQqIwd6Rl53i9oa4cc15ZhcmCS5L +eEeYYgZzdLA63u/0WBpGL+6M2a1aHr7Q9Mw1uU0SYoZ/mVfctIZw5uHXfCRE678V +go9MPwgJTXEmtyQc8Ssi3PWYrKR7JrDwezrAeISpRp2Wc1Mw4MmtxMLxrB4WUfbC +v2sj/sU9rti7UkqtbvD9XlXZTwIbLVBAXkHLZyVYpTA0jpWSvfv1oP9RL/zrpOTd +0UI16kAVh2/W+XDoLV6XeGyJ6qNiiBrkXjagvoXLWU2iAm+lQZQM+mHFZyvAIL75 +0IdWJOagL0nO1zzrhKjujr/Io5vWZgnGqr6DQqCFsJCG1djQCp+h9PJ8XS+Xnr8g +DQK6D2mWQoa2EM5JtCzIzO8z5VIQ7c4P8I4tmWL6f2dm5tMozSscBigLfcweJZ47 +RJFpoAYyo2bkHuwY8IJw9oTnYYLa2IfttFvCBCjTGQr/O6NcovOffTVz1uBFq1im +I0zahYseIQXs5i0lU2Wa8k7KZMF71flJG4sKXkNCrt5wAr+BFX/W5OUJW5I9L9by +AGT4igkG8oILJahdLDN0Kox5nT/GCs7ChN13rAro7mhNPl0ef4fiCOaq/OP4/cYb +RI3N/M3Kl02LcLSlJuZbzDnNkixXRA26/VHOD8kewC9HvIUD/dssfCsEoNkbIW5w +vDzcSxy+y4TB5Vpvy5ChKqOFGr1dr0lpC+Wccp6oKFBYay83bcEitIUZJ1EUWnC6 +Ul+KRkwXQKR5vxW8qXcD/LFjrkCOiwN0Z84R9Hrk8XeIyMpWltLYwJMEW5h969mW +hBMx2/+eV0+B7lL/+ESAec19Vb2kPeykgMgLx1bNGtmBHHU0r9X3tB9eOPVRTOmQ +8wSVBSnBfLNJ3D2IPE3ePC0jj7608KpvevTPQxKOVfJ+GL1QVMNoa1sKP8F+9Du5 +XYO48EvhAgQNfflR5vP+AOvV8BAgwV4gFiKUNddXvx28ifZsbw5JxyOctEB6+9uq +kS9lbaNX1/KwD3Ji0NhTv+SVflo6lzIqX9wbMtFpcVhnm0GR9qbxGKl/6/nc3TQ6 +B8S6ulvUHlaLfYjrZgKWCGpc0cEi6KPKa/M0w/JObwkh4cwQV/6b4Vq7i2ZbslZB +EUPqYPMn3GjONQPJbxC4yQV16qUWNeBEx7xCYjldjLn35QdQdttVrqyS2Qd1HBUx +nX25NeA51qZujNo7lgCJYaPmPaauBtck1JOWxJ33FMsLsgP9UFCadUerrs6rflVL +cuaM15IcoRau1DKqVAdo2oQVHInod2oBlZpXIOjtaPbudco7n8DFzTSryijFqbU+ +U5RYLV/8X8MigRRldpDvXHslzhrsYRRdwM6TQPrNedhZozuMmFb4ykLAB0N6EHZo +pDu2ty4mwhpMca0k2NJZH/aREIJpVpJeV+zS49Nk5Re1re8L8LdkYhlNF3GDtJKt +SaDwqQJpAZP9gfeBr95vNN7Xst35LT09rKnEMLvJC4rykML/pXjjdEsqJ+pXZIlH +i5VluJhgohYMyml2phKO840aMxnwO25I58djk2r1qVoF7bkPYoCiT8jK8oUWDzUO +4Ihm5Us9+uKk89NcrAsaxyC3zOU1+MU/lga5xiSZ6ZaJTgF0MUlyalxx+Pc5S44r +7r843iwo6fTtSaLEHO6CbOYMju+C3RweLL+TEB7d06EVdqePf75Bk7GQvyFmG66V +HadUfml1Gy/HnRLPYGuA1gtaFReE2k56FUmKFquQqqoFZLrw3D1GGhl7OpYYhS1H +Q3UlbQb3jy8Ni1lb54ceowbtF3HgkV3CfU0N1bZLilFvJz2s/JWpxCKSXAKOJmNx +REZIfyEzrRV7+AsTLrlBJJoa16HTw1dJh7Nwob9V06L7Z1O+gi7zRXgSvYfoCecK +6ZvlkaWMQtLaiU/6EIul9gcgRvp0rCLg5QFdl7yPtsecUeVUFJGmbZyoJ7Odq6fM +V3QavwHguhMCftK8E705Lp6z9RrGjaGnaXEOZC3Xp8rWpEpCRKdpOA3b2AoMYVPf +a5pseF6/Xzbko/imcAqksayVpTW078VtBeu5J58uuczb5S1L9bJHs1pP+TWtYdgH +NsK++QijxLxYbehzSOf9ihGNVr51dCgEd/Yr2Wy1Lc/emVVAhstPQwkUq5gJ8aBV +NOct7wRG9X7N+NkW0uk0tRKluOSZk0KBlPqlPSmyrGGDvrG8gzyOna+9FlKGa7rq +lsU7Hl7e8OgoiySZ7edevzduQ1U+S67HIV2j8t5x4fyPXXL7qDgz5pk4wtgcvDzV +aYDIadJ+uSYCT9ruqZhzbP8JTvZVZttbOMEkwIqT/okc6bRQjxpoD8PTYYqUO0lP +KLWDgEESVW+7hARiPgJ5tPZM5fHxVAfmydoNENFHtFKsxSoiTLcx36jHgoTElrdD +H/t3CTwLmkFUrnxQSn87JI6mJ4ZgzN4PsVUeW2S7jYkYJrjNmQ7lv5lKB+kQGWEz +QfrMV2gsHCXo37b2GnxdjcBV30KiCu4RCeE3IA8zeRR0XNICBwmlKKZ11jMFjw77 +CK1WpVX1T5fZiaC+8aofL2+xgQ0zlmGyA7W/giVzJKH0yWCTsGkod/8Vn22Zf1y7 +D8Y3F/Yl7Ed2iufjzChmoiYRlGVpUnvJE8Jfxjj55Majb93Z7/wWzK7uFzw+1wZ6 +Nv+qsbCN+gu4Bs6plVOMzoSG3T4wc9CqA5eMfsPHmoL6V99ME5wTQZ9jJ0W9/LYJ +GpDcGNy4Eie5+AkalfSjjoE4212JrcPYVhmA7X1xrYr1rRsyF011K+XswnshigYy +KWXRbaCSmmBCM1DPIIS5fr4X2Nbixt93k2/OvkS+CUvyjnh6DI2H6p+ezoPecYn7 +vqq0I+I9aXWgwB5Og7/o7sA2VASqTUW5snxXObaLq8ZaW5E49cVCiUqPLxhD1tXp +bPIZ/Nxbszz0aIr30D8qMBSPvFdXRSGxCXyqOu0MpyQfY3dvGpJTVtD2frdu6bxa +/8FllHwaYPC1exM8TKe6Ne8iqciwi6IZj10Wl3UqDjNVsUhB4EoF7/14oS0PP1dE +0ShFCQBNrfuwYHht+J5SB9w43nrNLa1x6OK94EopuTrdGlGt5rQxhKkY5zHAZWlu +oxqL/tZ1Ls+7pQyUnpBHQ2w/5eKW5Kf+sVtI462EW6emkgLZhv5O+GAmyWOecEBp +oVVV5ALljx3RCQdVC6cAili3QA+WSkSZWHRRhEbHS1Tw8yT+oXISeW3ihMgr/CNn +JYQGSz7un4SQg0IaQeBgJuwInFm7TaVGbcTQzLn6w9oVVz/UvFw8GQ0M01V1ZCrE +sQaIO0d3i5RWxRvMf/puDMJ8JB6bik7xuhh7ySiD5LMbc1r+rkmcK2OqcG3Ib6RG +q3RNaz4a+yvyeIckxOSYy1f6/fF0W88PX55Kci4PinrO6tSobRtImG5qiI2hyyON +huT5Shuvaobx793pQ6nbWRNELbEw1uVLgfSxQebb45TFCRBiAxrRhNZe6hpFhPSI +Fd+Wo3OXGllYTTvvgb4KokWbY3gUlkSM7taPqj472GsExA3P8GK/ncxq/kZ8F98s +2xml2bkBjmRBC+/ws69helHRd4uJm1qYdol0Wk2NXmGyEusNSlQgXHf4uV4UToKK +9Bel54M7T1fv8DYz+21/zkgvUPWmS3Uq+NWB2fXVt/k2pYDbZPpfaqOnInirTgF9 +oMrdkdacSD4Pv58Z/AsrU2SWDhyO9nL1qBtBGrzNgZlMd9awPire5ZoMI1Kv135P +rFKFp5cZEne/bQtcHPgxS6N2SDS8jFXcAKYYtg8dPQigl6rme+qUJC0FfR7QFpqS +STq/+C/GjtpzsdJ247DhGVGVveSck/zveZ8Qx59Rc+75J1F3DmUyCyO6qB8w6+RW +ZRRJ3f7AjDsvg9UQw6QHwW32bcv0W3p/4Jytq/DgWkUp/ijnRf+P9FiSYJrwIegW +GWXx6wPR9lWIR0yRtcYVUN+rqqMJ/VkyT3Dgc6EH+ilO+WaCwLvKuCn4R+UgBkoH +z06lv7dAfyOPGggsEtp6mcTck8w6+FnsMd44Vji6UY43lQFWJBaRy0P8+yfQxmwm +HXP9ywzj+dxcSEc78mJOFkJXZAD+uRX/yKBRiYedPju3J9y4JiVInahADpg6iXpO +sYd90wt8xFSvRza/x52JBx62BdLNENSQVHDVkU0Ydv+KUfRfdGZn72s0Y/8rs2Rg +Iupm8WnoF/rNXpyBxmTd0DknP4rcmEq03fP5XC/7HGMq8D1c/MgQxMRgnd+Y1URM +N58IR9iE8jOMvK5JTzgnKP/DQEmeok0Rzy4/trR++7dMm2w1mc2xWrSDYEa7RvEd +qfVL3xhgTCPlHdAAaQ/sQycxqjwxhtD2gFVLF3NUyXWDU+0jrS424XjMm5Z8VEoU +ttyyatTPiikAdxvPTnDmqITMMx8Qh7PlkmM3VoqczAKOAKiTUbCxdRNDROtmp1Pp +1fjdtAdt+cY3FMjOqZxcZGXR3I+IC2B38imiqFkvExR0qbuGByGCO0DrNgGg67Ha +MoC4tgw1TXUW6bwos/gMjiRMz3b0v9t0BbJrSoSnuY8C+0VdqdcRq3k0NBd/SGzI +XWVGLOrBrFtQDfMJAHLpbRUg6FSeEuWhUaNbAsovuH2AJ24PMsgGTEvfZEyXz+ZS +K5hRjcpFJdhJ1yDbR8NtFAWgsFmKLFP2vsYh0sR2be3IYhLulfMIJyCId1/kAYOY +faNHjPFvB/VFWCZZnOMeTidVogE0tCYVXg6t71h1Qak1cj5ivJ/YW+IAuXw506KN +II522gmh+xc3mzZ9o5IHst/pZL+V8KmabYoyUCR5N3HPhjkDEUc6XrDqOy9kVYjp +35zmDHQFErzFQeQ0iWYtKOE61vyotNQdV0dWQv5r/I3DjLTrFkGCVguPZDAsPPJt +N1YW4hudZv+ahrs0TsJXd+HIB38mrHcurgTq7cmtUNbgegEsKya1p92HiF7Y63wm +QIl65Zeh9YMmQ7wRP1fQ+nwgGKn6THsoGO2ahOzFY9n4Mg3M2azFkwTErWBaQ6cd +10lO0/+dLTLSIV/ShR4wiSp3Y5+TD/akuY1jkVFwoFBc9Fa0iYyAOyT3iwh0WdS8 +43AUrtE8IGybrgOIGLBfxCQOkVv1Jou/yO26mjUFWMh8Guol3qP5IXE9ezGUvpRU +0hz3Bnkfp2mGzzZcSnDxIAzk19t+0ZOfqKetZ6Wqqmi65IPnwmltK875nenh4/Sz +xUirREwA4TcKkGyvbjrNv+wgMg87i2gfoI3BbwQfxCkJ2+IQUooCF7B8fI9H8ZYv +FCXxuELwCWyT+IgUep/j74YfWijne/9HuPz5MLL18xs2bdW0c788PJPS0sPLT+Td +F10amuDu1levxghYJao8JmY9py+6fI9o7qhg+FOkLKs+wno44osY8T3FCp5uVcvz +fJhQPXDKrc2aL60X96shilkByMJyUJDCcleYqAISMEqJqL4Xex4+/7fSH2Na/8U9 +K0eADXwSxF3W/8JIIkfEOHIRv8b5rm7oB193KCVOMSil9ifFn6UKf7xe3VwUMPYX +6cX2YGLFxYQxw8wLUDjQ4boL+2yuQFtvtu+R3mJMGzpT3k2JcJ5LWmxeUZeLhWFv +b8aPDDIiDgWknPhl7FegHVADxdxlbgSLZGXW7Vfkwd7J4uLKgZYHCOCY/VfxFj/Z +s0660q3aDirSiQBN+Pvf3ytTCmOAASFftbG+QQ/QqECtEPvFhb7kd5Ygf+7VjkWk +4P+mjwOy3PaEDBKY8zrAVRmUWExCFJKGykfgSP/rrT9G/OSOzleO5UtxXGrH6V/i +XrdsNTVw15PyVmeL3FW5qOVsWhDV3gAoOWPBrg5vd6dk2ENttNXd9diG3nlH6r9K +3HTckGleMIGHO/c/BM16ZDszCYUE+G+R0CEBAzU678JOc77jt73hYAvoQfR4Ovpa ++NQ2Nh5iOgNkfe8LR7HmSyhwCkC5twniSgwOe68xJOpTYS4KrMOzTxa9hH+nJ7g9 +k0T+fv6Rp43vwkNOmnVJrJhBDaeucpBBfhcTvUiZGLOVLIYlAwMkmxsUMIgjWL66 +yTb+imWX36j92zi07Rcq2qg4IGmEuIHNHj2dNMagX+4YWLMsEo7EiHQpZmRLt3o7 +6ydyF13drCCwyxOg5WL/kV7RecByzUL2MmwfvpD2IzSVSQyACTjiT32BSKelYACS +2E9W0QpMPfKZw+dHSRufbCxyzSWeERophCeRD+qeO9OZib1my4ngVriHa/sa2yRG +A5hFWm0Y9faAymgZFaGPSehQzDbdrP9+No0vvBCeka945Oi9oJaK16Cj0wzlRRoO +RcQbnm75fjK0s9aLNgvw81eo1t9IQ1yZwR6FjKVYo4c8mCRFntdyAbzofTR9oAJm +UmJCQm8HSb5ctrKNtvlC5JkZcC2W1EkeIize7l9wMbAllC9FtH77NnLTHIHEPpoX +foKaklnsnOo21rtoUpm1Azhze7z1u4FQwqq5CBdSjCKCf4l012iGrIR4hU2h9Sbg +DJLmErthCbbS9zZTvB3sn6IXBYeCRxBl6e+m1C5FQqBcExpYUoe+Lyd278LXki5e +WoLpOXefBZeBeC8ns/0TzJsJZgYINxRCjXPkIywCK1QPolxFQRBHo5o16IX5PsZ9 +k8sCu7csrBKCtdsurNF/pWsjZXdfvGhH9wvYiCVOgX524RAsgI3Hss6Z3f5G9I0K +QlcyDJZiDFX0y9s01epeZLeEgYeHXvhQKyca/zMe55PVdg/9gpPRMg379whhUCcy +DRvB9s5U38jhZjBploHFYBFFIUGZd1pOTf6JArufzVv+wxeaVWmPw4IvH8P/ij9V +OnjUA1jV68TUGhm2iH0vmKkBOCntrLdLUd/7CjPy8K7tBaK0NyBh8mjZocUrccVi +cxzCgP99fd934+jyr2JKz16KInBJeZNTFpJuwy6PSNp4fJ9z1y0V2QK6Z24aKq0q +Co8io9WcabplFVdp/gQ5UHHPMThEHv5f9ychJ9r9JTxjw8qHU7RnAEnrepD2rA5c +vM0pJ6nwblOrxMWYTq1rTqpCxZDhOnFZSD+Nnt/X4dt0H9DEfup0bjerKa4Vtfna +eGk2gkhxsovFlVmYFhmLAw0BWm+mmYoZY7SAQgvoA4uK6lPci506flrM2CjsDM9E +npBtpGU23+FMvHD9IkGp8kHaBoS+0a8U/24eNtwg3QpBJ03hn0RgZWMa1GUtLqGB +O2OxDAPRflrJQ7lJ+jWeHYkxaprZ7zWfiqpXMReGG+HqU6y7jaC2YNag3F1MALff +EFoYgrH1yZlOJmf20eVTnlZMAKR+9F3wmb4qIWQTjFWwTphp5VHebbH5S7L0Pp2u +ysx5Qb8TSpfPME71UY+QdRuYq5hBbGk6FW1Mvd7MAV8gZZn0Fuga11BctqFii5Fu +xnNinvZULpV+qVQZbqAOXMo4WQSGGaYcOVgbnFOuz5tO3tf02QdQz8S8ES75+T7K +1XN19OUseIv/C2Y/TU14U8DNWZv5LrbS4UVcmoYZkHpC7G0wakMtq4Ius8gl0mWk +csgzyh7c65OWKaT+dxmX54cLawqHkcamVT1vFxAWUCRL9tjP5Dp9egmFByO/uCxK +FbpOy8Q7lpY/88oB8/o0EJw1w22A7dq3+b2AXMuRA3UxA8zSucc0hzrbj2/OntLr +DF/uKGXMG+DMB3emYRWuncZcAxPrjPb7rpq3p0sdLGbrfZcFRBMWtymPQ54WMqkA +wEGDDRbr+GmxDUgBCBGePsx91H20kVZ6Yx15/7WHuOUuP9eqE5u6Bj1ZphJKLKtx +ecyur+/KvqPEVtSnsT+u6VkvlDA+vaddKQ9cgL5Jeqwnek8f8LfAhoUDYkXXtl7f +/HHv30nm/+zgnFG5N5FUSVaRaQO8T8Fm7X8/3bp3XqZEi1t/uxoTWQyos5ZdfyUq +kjNIP2abIQKqPXwMI8p7fquZONxw8FooSEP14aR7JJIE+Rz392F/fJ+eQgT12q9/ +fIGJY8mGjhOedkpFUlNwkrgwwg2O/YQfAN0kNPtdbWHixIHK5vU8AfCK8qBq6PQq +D++3329iUb1/bNej1CUkLh1E8fjmxFC87Si5kh8GZ4bnZsd//HNOnEOzwETN+H22 +4zNVs7O2ISWuS3HnFAoNQ3a2AgqGomwzydiex3j/7hVJmvzRZ4QpjiGTjx80Ko5l +4bDFENVFuTTMTU2vkwQ/lWgVybSvoQq7gHNttQP3uXQTFf10vXWzY14HRZG4u9bv +GQv5TWWYPnMgUY6DtWTI+Hu5ezusLXz33roWvSue0Zi5doPhMqfMQu1xCFd1MOPm +cEMYL9z7B2khQpesZl7Qxd5sudTcCpHdATU/q8wyxVr5z2HjPsKS+FCjFC53WbRr +G+UAR+Qnnf0Bo2lZyGh4tWRcJeiJf9VfS/bwU2A8QKYJAK0/5jjYMldu2S6U/SAg +lgkgqQD3VMMmGy59Mrc3+E23deuVnZMh5TKcUbxST76lEI0pKt5f2o2/xCK+VbUl +gwTCmLs55LH65+E3KuvALN9wwOiNSJXgAbbeZuPWHDKRy8sLMq8SlJGfGA6e4TdW +tnYgZez4QQ2tlRyNw022zwHXBUySokykUFlnfvj1V6pFao/UO7l+PaZIL3kEBn+M +JENRLPWFMhTcGewlLtLkVK/nl+yoB2FKRScJKybsBVS6fV/cM5yNRDNCztURI1PY +KCOTacPpf9kFbm0PnIQRGJw+r+0tAblfD3BkHP2yeLBV1bTBHJ34hjWce0DDS2uM +HluehcD+EqacleilSJGPiTBa7lilXbtHQENt2qOlGbPoyHhBDW1RxuVAWw1wj64t +2fiR3mtFDTdUvnUeSXLbS6KsLwSxjrR/COYBjo2Kp1qv440Qmx/2bGTV1faeGGOo +CmahLbqEfvGtYPBkIh8zIxg1ADx0bj+v66k/diUYt5JEKonqsGp6aABDVZFJw232 +9E1F/3STCGve0LpKHqwlMWYHv7lSmSGl6z0n89WtZ8oRnDYIcGOiLVOTcluVlI3x +SAut/rAy42gIXc3YwmBCZAfWy4ksd2HpFNWl304I1WibpFo2EI1JnFSR+7jvoRz3 +zG0FVl8IkW8Jc1Gyges8Pehd4qT3iSkN6hlJzQrCLg27DJT2x5pJEpbXGsgzPS0k +F9NzhoOEwKZvnH0PIuVYvhdkiPfj6xfUHwg8ZxScj+5uWsvjtuW36auh03yjYuyc +/iB2RLYESVsueM1tu+4o4/h4Y4L9/jSKQjwfbcZhTzU68moCY01p2l0DGT3YnOg/ +jokNO4zwuT6unEKeeytDYg/hzFtR8plnkY5Ga4Zfxlrflmz3ta3GFYThueQNv9Hk +G5Xm6BwD1WwP360YeWAt20GvqbYUfexWvMx/iCVFDOuj+jXjQWzirD46yu2JuI4C +W3fT6IYCUAm9TjC3x4mvH+HZ5neZEA1PJ31cJlNm9/2gC/P50YI87Wd7Nv9WqZZZ +gGylOgc4+DY+XXSaVHVaxzdp/EV1Mf4i7RA5a+LO9wVxmpDSPFjok9ttvUycllGU +Pr3nnNL1mHjnLnQ09LBURIR6kXhQOg9Ii/t4TmufqpQI+CVkkxlSlfnw7uyLstzS +5VbiTffAo8i10tbpzlPabdobOqfPPKVWTf3qOP9XZvIZ8GZNrkhZrD3ZiJqz6jMD +mrh2WW+pjUJg/Rn4PgbXWRB25d2HuE4sM6Grh3/yz51nXHK2+NOg5A2mDDkQkwtS +9ajND6Sx0V7PyZfqzqebmvrj5PtHNod7DdriBkNswiBb5DdrZcBI/keO+R4GZjQO +0By94/BtpP9YXk7sYVedb8zg8SSkb2REUUGpxbsEuRVzpGVjjtbhgGcuf+wUC8Ry +iShKMEKcGyouXdt14trbn+6u46Qz38LY3MFo7YuXLVhB4IwU/p8UglV2JVCx7eQN +yRIMiLVQAJ6t5RpdbRKKhnk7eGmvf6dsnf7HihkLYLUhG9blwzNarTWaS/wWtAS2 +x33QbeTBx3Utpa/5V/BqKFyTe4n+aAwC1lgUfu0q61yNXZiW3HxQD7klvz/T1erW +vdrFqW+mqaBSx3hbERtnUan4QmKbosw9f0GDfc5azlIP8t+Tsxd6U/QKJjYZlDO3 +hyaDb4r+ExXfGeejL339sEkvdgh3I/VdczIQBqC7DNuRafD8bXmoHQwJj4JPRZ+f +gHSeO890AvOzdm4NjvxjDO+6SMm+eI03qIlbhS9cxzLud6ssHhgWAhMLgMi3+JqX +a4dSYKZ/BCfTlIT2Wkj1rZXFFYlPCtY+zokdcHHXQMXK+M1+YQA3KR2suFBzjFjQ +YfVFqBE5iG2H3RbBWGKN08XMWPJQ0A4J6X+4obasO9JW/NOiJb5Jx5dE/+nQveY/ +3je3j+L+0938vVHoCdBLjie3ktrFJxxYVrnN5PqRCIKk47/yXvx0vCPZ7hIR40uU +RmtVO3X4xUn6igvRfYdP4jlY65mxj43/rr+Sb+ge7zbY1Cffe8RYSdEpJa5A4y7C +8iLQ15Vf9ZmXYg3Hbc6t9Qi96064jZPU416McJxUYPWJt7KC8ANBeMLfF/EWaqeW +IsS28n89yckvshYKgsY1tP3As6zMuX4wggHUpJ/L1yqTUpO0YkJHR+eWnKvFopNh +So2RG6GfJb/JvOT/HbXyTgzrORbmEpTrsaoAD6U75e8oLKeF2fTl83K1H8IDVGPC +sfuCInqKNUEhq4kjXwY7X39+JIGX4QMQYMPCfpPKzbkOw5UFXyfeXjBLtocdDFKL +SpiS6CoZA3cEnzYKHAoKSlOWTr9WeSJmAi4eEPMAeCVncl9vdn11zjYofAQgQNMH +LowyRnof6KyeEEEjXoIOKviV2D3I8Jr7hl5noh0MJktChwcjBO3BcRYMqk7kIqOT +XmivQ/DfTxM7OQHVQtX+vrsYI36+RnuM6oBMXtHwmiT3y0OwlgOEdZ6AA9ptHVnp +99ptndVd7SjgAxswE37hQfCPZjAafIWNtoMfvm5i3elsUa1jXLEnMFdp8l4/nK/Y +FsK8BjZIUGSfm9P67dSWdzoPt7IKkErdYL17+ykxZgR4KE1PupTgX5Lo7SNDXUvZ +rmNvBGOv/u2cx3FFnWYDNf2+hhh6V47RFUDzx3UhrBS6sC8hdjapUN7bz86oB0tX +QgKCCGFx8/fTSSFdOl/OeCc9rcJLiWlmDwJCyHM77tHBfM6I8qdV3IfzuyUaVbOP +3E5yjEDo8Xzf5HgJsUCZDxVUxBLL8KaXXSJY+lPjdARFQ55RNmzA5oZp4pQ5mU/b +Uz/OjVkZQV1jLIQrmOgHri09lOg4kuAGx+28yIGUqWhz6zceBmhRXgYaT3jknWZS +Y0qFhPdCHP3HWtwmPMXmR/tretKpyxegni70eOJdUHlxE2/lulfGhpyX7NnowbAc +TtNI+cPFWxWSY1TCElZR/oU34Ah5+H3p4VfYKFM4cZ0aTN+3YS/6BKYvj/Urqvcb +/Ya8h5Y/5yxCKW1yFF7DEpeBeYsjQKp/iIAMZqhL/+Q/qn9xsR5+EwsOgK9Zhe2Z +J35q+KbmujXSvIWUEsozqEGfag3jmsszjELWaEIhltfFVLPjPu/+uAPxUrAbQfbT +ag1XvD3BkMdFzejATEc3CnGSawfQOpZJgujU83TAjWl4bhPvv3/+qBlQtOpggPXK +TAJwxxHaefI98QnNeZZLPwWc0FKAGoYsVvY+/mDHGS0HS6ncs+HADDjZ4z/wD23f +h6W3N7LfpvTAE65+Mz3ZbmTpkXtAd9pg7n2oL6LyFF42/cw1tW51dpV1BrykNMwW +aowbvGogd0GHdEZAbZbL4wDY8EbbY4p5AM1TucjQ38QwrMoVkYoWbCj/NJf2w7Ft +O2h+t3Gtl3NM3d9WfCuHBFUvZSElE8wempDZGvLDA3NOS5tR2vufLNG2SSt99E1/ +G+2Vzk7a3dZd1yeaBg9srCykNlN7ucarfqF7z9wxy8eYXaw874/il7ueev+YZ82K +/AsZ+LGD5SOrTGXpcLlGfgB4foCZJZgUYYvYrxgKxo+DV4/qalEe8C1+Rlowc64l +voUHUetuz4QUY3+dZxQrlAMpYJo/J6/lZ78Ii1Aix0UUg0pyRq67bXh3eD/QWavE +C/SrWiUKK0wPQvAQ3UdNJxeoupGNIUI+DXRBpGnKFcB8aNiLlZlAvp076cUWWOR9 +pPJpBe8kS9bDEXqTbgrJlR/gVCDlnbMkz1tFCBfRRyvDCEeoNwhTwkSGSLXJMhK7 +dcYakL5P2y2HJylCKbWiGONNjkFL6F3rNHvmjrIq8xsrmh9/Oi+Qi+SXTE1ZC2F2 +IpbEtZjpIzK6XC+ncZ5OFuOGTTDrcLWTtxrAyj7d3OzD/0Bat+zhVeEBMTE0qV8e +Y8wkvsHPtXAgBmsd+9TWdbj4Yp4pTYRE35Dixfv6T3jcmL/zSgqxLExRHPbQL7il +zgAnweLk+qDI14Og+FJkIX9KEVb6xJiZP4CrPre4yuLCbqUI3Qemktik9136lTb/ +MimGwD4keVV71P6CpL1BOL/UfLU7wy7VXk6iLd6Y+EZB2cHKvO6Ip/dpE2G8+jR2 +cR5gcNnOtDMRdSHNZ/jUJcEQtTLLABIFgGd4R7U1l+OBZeWnIpklkySxXvCmuenx +/cnrWzIN5Q1FLKI+8BwiSAzgGvCmv+o4x9z25ZN3lIIDDdMglr6NKRIxjHNTP0rL +VPxRZqNxkziDfLtx1RnQfDtnCWE01jr1SHW4KKF3a1ctQA35wWdH8PlCUChPPtAi +wnvoLCfDZ5ZVZiYwOSfrorTHLiMAHKIDdMLgP/AzuOLC+2sxoUJVhXdPScC4m2Pd +fVwUH4/7hbEbVEOMfa7GKaE9ysS2EPiEOsG3Snjm8MrtpJgYOY+2rG6bEwNW+578 +LgJQ3t3tY+cuNeX+IBTuXZ3z0lzQ3KQTpEx+Sh129ESOp20OrYQDD18IOiliPjYv +gJtrDIllQZCZtGzuEY0K+zenUzOJ2w9Nyr7PqxC174mn4dNfUsCpLSq9eKo2wzOK +LHkhO2kRLUNFU3xq+Y+6wc2ODKFjI+5lS5UFL0KONVErlkN8VGQf+BhNyZxE6kT+ +1j7m+gfW5C68eTw4SWwAGR+eV1zXBRyaef74xbml8eSFd4aA2MZ3UKa7vtaaE2up +VFAuuKVhI0Siz2Kk6tAe5Q/f3KXGf6t86xU5nfvzwO93LNNI8W86qDOYdbhSs/wZ +5FGQed6FQz0cWz8rl6EZrAcTOCm9xJ4HLmE2TeqNc39GNtMZr21ASCKA+s2sb/1r +BZU4tzOu3LVA/sdII9fspUmLJZ9NnDtV8oSBTMtu1Xmlw9rPM9X4zzy/sTybga4D +1ctQV3BZxjh5WH5LMzzG1wqOrMaTw6nlzYUb8i5BIiPmzEzxcAPc51afGo3eqKAK +0SkgR9Wp0sc01rBABWduhCp2Da7vCwydqLGwsz14+a47STM685mZwLiCfGRH9gKZ +GdMG9U7+91jSfRvVHCeUCLNFK3DPzcULFLhNi5GgwtcmdocWxbq3aA2k1IdrkfkT +JludRLY2+td+2YyU15lL8gxZoMATpRNbCPoJjZZC+0DFz7rzGcbGTRoLuSWlPYPL +wkF5AcCDWnSlF8bpSECahZ5TyHiWCqI5keE6BZjkmB6olB405HVKf/cPJWmJVOZa +AYavShjRUNEmMTCEgoF/lZ7DGyXs3BtcsHnLSCRqQMZj/GjVY+ZSLIoiOAHf9mX4 +cV+8p70SpVdZ4pvMDyQcRAt9ofPQXRQeB1A+8kktOJcJHXzukGzybwMIxLOpbrG/ +PovBQFB+U8UWZsHY6mustp0jbPgU/rx8T93+flqslQTtEJzjBYZyNAZiUNDvKCS9 +QT7vsTAJsLPvKFRGcDaW/802FzikQWkEZCZyMYIPo0kxa3YVuqqppw4MWGJD1QGl +XeP9dV/iyJmXG2M4VXsU7lZfiYExTNRsnJVLtebZblHSXwZzBMs1z0hr+rXL+AY8 +cJy0C9ZnFXaDxxXEZgFYNSJx5r5QIkk5xVfuHpUXHn/VnFFvPRs3WVU15yo9T+hm +NqfWEc7KhYLLgw1Ebh9T/Xzul2h/CLKZ8/lJVYcJiTGC0sjw0mqVdMATpPqSJpTz +DpNtHLj1qnHdlQlmF8rLXKxHwi0bh68eoKMBSqNHOY8HKS/2HhqLyGxD1JeNHYcw +BbgTa+CKobFzTa1Orv/lfN7SflBcyvRiwIWGFBc7q8o0BPrdwaFI8Ikt8NwA1u8m +GO7mBxVZFt6cmhqzUe0PmvsgJKOWz4Acuxju3NuVujdFtWiKpafvO8rBPSIym0MN +Xbaw1N6k6we+qsDG1Kyd44n/GwE20tVYomrThTptlpMYN46Xz3JL3zOLAvjoAkKL +IpTaUtMx2Jf7kN7RHUwEfXfgcAmGPIH06yoz9wLX4Um6fkdY1SXul6MGMn8PjzNq +tXDptgUosBRTW5O7ylFH95UdJ1jwnVfORoASy53vAOn1UagAs/nvRYumr8TWKEkQ +fgBeAMedeYkePymVRFsP3Zp5IKa6kHz8m5cnguQs4aJh4ylI1/I/isFsuijDlXTx +a7zS76dkjXt2RpVNi7fz3EkenYmGHpJD8uhYAJT3HktzfvefSnNRZSfUqfdKmaMI +JTT7LgdaN5ymPTtn5r1N056shkJAKG6kOhaz0mJGE53eJx696IszkkE9DnVHL/9r +rWTpUADaUcS0sqlrufppmoXRkfXxDLK8CnIPxEEIRmXfywMVSWcV2+AoPIESAwFn ++AfZcPPjnQuhJfhM4rRl+5MrcHwTEsxitwO/R8h/fL05dcaR1ch/yKibg6r1n4nP +LLxwz0N6r4YP0AjzsM+MLW+3pBJwlsY7N6eLnJZv5VgTPJ1XncT1i6o8UlBwJRM1 +nFWoTubsmHXeco72i8r7BBOyQXUplOFESdQzycJlTfOnhWXgumxaHQAPUyE26qr2 +3D8QC5/uuOKa7SdMDvquwgorZOlQzzInCH+7KRYFBDd3sWLaygoMRofA/YeYh7lZ +Y+GWkH0MrzxW16lIFcxuxbQZEKnoCKkpmhHvuMpCKjrs6g0KL8e059ZGV2dpUiiO +I3cdkYebuskVA9Wk0B4yPdXWAZkmhkhQVgorewOMyv4+UL3RL0oaWIAR0SQZh7BA +w6JDYz/62dNjPWADC60wW+ifC2rFizOGIDb2YjQWOtP7zl2Ce3w5rFOs7LMY7/tA +H0np/YbeYwQAUPPt867jRWeBWbP7A4kEDAOQf9/Ti1ufeBUZeT9ToORxLD7Nh16J +ggRqPA3cOHuMLYS/gqGsyJhLn0OLHSl8fDYgRAQu9/u4c08I9ApZmeL9bwP6MBxl +OqUZ5MB3h0Jr8Cp/w+sVFGJ83zDe9w+QmAK8HLIgbThVzJ17DqebxzrdXIGXFDHF +X3CPTdMXfu+fU+keXHfB6sn4vVw60pxslk0+Z0ns32Au+BVdlgdT9HHW6ODB81Y1 +C5hjZhtkY0S5QRZZcAb/QbKSwkgYOiRLoMOZVROgw2gTZKtMcIzrcG2ZOsKA/Ir4 +OlE5F92uV8mDD2xH3D8TeTFtIvJZp8FeverJChXkA7jR2Hu/ne64hPvLaS7H0jDy +bAgwUsSmgnqP2RvxbsZ1yr/dGV3qYoBw2Y5pfA0xwMhPVnsgfGF0wo5MWwClCh6Z +nBImAgEHu1UYCMaECQdD6TQoS4ckzFuyCbQuAb90at8oajgrrm9/Hls+QWBDiLoT +cT8NwpwbIGWfV6y32ZL6w3mwshVCz4Ef/39pG+RNpJKpa5K5wv0j+GyMu43336cE +x1B3K+jFK5U6Pzr6xbK/8AkILgb4r8ikEKUs7+H7SGSsT6EWBlEE9SCux0CTLBK4 +42ThqYcNm/EUzzYh0KbZZl7OQuqXDLyUUZ8iy2G0c2fkjoKbE/4srBoN+EuCTa9R +WjXLv4q2c8n09nS2hCrt8Tgur7HHoHuxR65o9p7b9MAIhQHiwuHkgCdwa2uoTSZd +0e8y+x095KvyWwLczKjK1024zEyaQaQE147ZOC8hQIcQMmEnjsM+YYEPG8C810sE +BF2BAx5PNNUIE2YXnjOP0mTSsaEFwWgnQOxtxqG6F0Bf5ggUCV53jsyE/Fzed3fP +XBqGXNpf4XPgpLikZltDG+KV11mOo+en6EHqE6QABlJKtR4hj3QMLC4RONrVnWJs +8b7te/eyUmplhJvsrJNFTFMAhdP6aX0xh3xNolH9AMW/+ajcJMlAFbqKaEVRwMCp +iSlQJUl9lmLjq5ariGPvHoIWMedigjSnQH2BHX/uCc3FOpku2LhghLceC46uprkk +ZIRJSCyKMjPLlZZwH6sQf/rIPM9VywJZtownUY8THhDdkttipEecfldK4+p/6BRf +GuPlWfBxi1PF11Ae6qCG0uBvwk1zz5+8LaZc9ljdb3o1iniZHsi/yi2woPfuh37i +lm7nRF5mrpOK58vzEUSm7VPvopRjU4ckRu1z/shgVLjBp3agYwPs+QxSJsdw7TA6 +MSXTJ9SLQkpcAIGo0/FRfjnrwvkFsOVdZDEZURY6PRMrWKeY91QO891KmoCUYHqP +RuP1ozVOpjxCbmmFbR8WGTL5rjE0p6cjRKi19pu8FVBXY7ehv3TnOo3F3W2Baqim +CHVMz+lsZftXQaF4BzABzd0iIFWUjy+lvH72/dswwAT3XgdvtbAL+4KV4aNmMHcG +h2zViwDDcYIZCB/UP7BOJk726g5IbCJ4waWz6eMLdAsQx5+HAwqyeWWEgYrcp7qT +BZbHy0MUFfXGecL8RpDjOzkt09ac24evcFkUxLQaG6AlofkmbaKrsdUmkAK0p/jp +Wutfvz8HPVM66KKPN7iS50dtxCr2Mlyc5Y3VXTgl+8HEK00S7eT9OHOqYiLfV2NH +ChnyEgFz16AdrcwNGP7JQSA0LnD9DYX1bCJnBVibA1C0OcMzkS4D36IyzKePSmxL +NIVsyBKxMDnO2lhl5v3RnjSApAD8PTxt/u3PX13pbYMPMYv5+oJW/4rLAKg0e6aw +FtmolTd+/roJYJ38f9zpECmD+ivJk2pCc+edso79+7sfTduJJFQNjJg7yIRDDBDd +K13y/MotY3SoVfZZhnQDUl50pCOL5WdJatTVftGqm91MKPNUyv+o8zV7WpiDTBx2 +b8wYFTFr4UFMgnsVPUaBVHhmFGJCYAHRUK5vE1OFZaPQa+TbMvCoUrNkZ8zm+X4o +OmhCvgQ/PLfHqXAJ5H64E+1uMFHeFNl6PVeyYFNY0KWcobQGVrCqT/kj8ZQD8Pze +9BvoxDU5LLKA2ci73BQIyNFr8s8NtO1G7+q4leU0BP+gtneeJjueRrsz2t4J8dkO +ZVclUQ4b0MAQo5rYag79tEIcDYc/CpIwpnNi4Zz/hBFaMgNR2SDN7ck9/VxB726S +KAbVane/WFQw7cVFMwjcLUt7b6xws8xmNXdE7wtmnSjhHgHkZ1ODQdiGiSQ+0zLW +n5j3bketYZ9ErTUhCovKfhZZfIZMcCldnx8b8Q9SLyVOqPfSNm5oUFi4+VU10L5p +qpuSjFlEv5VW9dzhgzAy6KCebt0aQ1RlHXqPL1IuapCHuwot4ZHLXf9qBlWkPwPt +j9RReRyRdhvoopt71PvxbaoRWVOpCn0GNWY9fLKYzoEe6jY09/09DOPrfVnXMPQK +flkVq/JR5IflVivu9ZEwApXaug2wJ03T87wN4GDTFCOLZRapjjZN0/oESfy1Zuvx +vIPgxWMADpWYqplG8k6S5iTXtiqTPdVuH+a0cLcCdOx7PF2fyjZn5yk1DPEdWpl2 +wB13bSbcR8DbjtS4AMO/8OT1FrQvkdz+M4J+ECOk6talUAV2A8vIGplydKIO5faN +RPyj1i8gsLgsJ5QHPZXf7/zPvQ9K0k6pRtHjiR6jDM6tBeBPA+sq94wuocDXyfVn +uh51lxH48Av+KPFHC2bQ99j2oXMozvx6gK1eW7jSv0cfMgJCbRXz4P96gI4St8+d +CVFw/wWrS679IUkXYZOIzun6TNINPfmDid+VEgh1Eujzj3inL6/LbbHqN7pU1oQB +AJyvgU/n1TwKsB1ne9YfHB9n7obA1ciW5liypE2Qx6vLzlsDXUc/FWzHPypsFje6 +5fM/s6KIEuwpnEOa4jd75wpUz6Uh4LyKsabEnkmQiyfF+7r+xkM8dlO6lYLxwTV8 +sddktxjsK9tF97KITn6VHWFNCQmbSRdnNwBUFw9CMv3CHV34T9ye5DnUZvUST+EN +sfm3luc+y2qv59Yf9UmcqmPp8+T3UbS0mham3nlfKH2u/CpO/1HgM459mXm1eTOw +9y9Uor9dXwpmCFGEoJMKloT2U61uB3R+DFtCBsZGKijTJ3Q29ngGc6Io5n+wC9Qc +cTiANqE08wb8wrCCvySCzwwA1ZBT34kqqUjS36dfL3+17yblEKY9+kzkYSPXAY9/ +OiCGo5YMh/1Tb+46Djd5vvWiMMwweMrx3wXmO6I7oJIkkPCawr4zX0NF2r8ctvQV +3JH/z3tNnCaJkoSh1pHyDvEa3C219yj9SQeW8kfRc0u/rYNGO5YblGyYUvRI2M2m +C4AzFjvvHM5nIbfBA7iQECj6NiVxlqFkv6H0uWSGuGAHyKLmIKC8B7v+6v0SJhIN +/UwoEtoQMhn3O/2OEOKeCWwm2RtWBY5n0mEG3anWee7v8LTnyjOoGWVpmvNpkYeS +oVChD/K1/4WlU2Vo4OSLnckSvjPh7j/WhK5tPu2E35yo4TFDKnXAfUuiogCjYXv1 +gtIN5D65dyVx3wH/VPM7NK/TI1hs0OPgSf4rk/7Aw9sPwI7gaAuWSoChMhteBDB8 +eYnupA3NhFiSX0N56Kdx1M+F9CpLdGfaRrBcwri6OE1nbI+wViDYtTcXh9ubLbG2 +ulxnm7eW2/CzyatW5gm8DVrznpOTAkrN1UEkFa18Y9ADDXSLL1wuKLRjsSPov9Tw +N4ccASuhISTWFhMzJiNMqydKUFhxNFW4zSPPFmftyvjOf0fkBEXByGLMwVQnpPs8 +daC29ZGBCvt9w0BjdGLKLOGayQuoiFgOmzbt9grNrHMsLN4lb0dS2j6CC1PboJ+g ++m/FxjAoLDOyKWtsZVD7RsiD5CfLI2mWpC2HpF7mWhist7xU3wirDEHY7+WDvyFz +RLuL2Hzk9ZgvqLXE1YE7Jy045kvY84Vagpw99HshoJv3kTpb+obJBhxhg0MjW+q1 +wTkYW4rmQFvXAf29MoGdIGXiLCNJBfGIe5IzpMzHhAN/O//69SYTE2zrCjjZA8/2 ++tVgY/r2THjR7L9z6TnC6UzoQP1GnQXbUDJkMILwgseBbTiQMVfjAHYK7asY0Bms +7ufXRuJHPqZyBiwZNT0HS3pS96Bt/2Z9U8YRf8YlLxCvIsQ4v3jmerqsq4Iw4n8B +uyRuQpmS2WXaROZC5s+zozBnSz0O1QNFewMtpWYE/CqpvgLIseausGQqmv5xxlLk +ipXygSAtWSidaJliEHAZzUDqOlYqNwy5vLgMwWnmqJpv4L3a+KKtx1csBuJQOGjR +QiUHe574SwrB/55yisxffPwkLLGlqrd/VtaMRr5vgXUatRKGd/taNdqONRqD4dkn +2h2Lap2IS0nw6Pw30D0n8H8FbV+QwEqSsAkkZv4mWjqBv1lK6La/oRYyIuS0yi15 +qpM8hoNGY8MSx1i5YpOwuIQf3QY7YjYpGdCR1gbAvPsxsTU2PEdWksfZJUduAMHP +wEhj01bz3lI2RhKjSL5VN14DTR8McFpkU4Ek8rN/wE59NlPGxi8BoqtsnIhzvQfp +3lVZTfk4Z5LZbbNuaOIzWWHXNbL8ITjNtkjAPMbEzKVJsOM8+EtY9So3DfeSNiJ3 +LZ6moqKa1tkS+XRzOXcaWR8QEuGkLPWwd0NY15WFT4mvB31LG+MEU3xIuF5bUsdd +UpZqI3prIYuJ9DaE2evFtusMbIGHLxQYWEt+tXcsE1EyMtbUdv2ToRBiPlSV3xzL +JJ0OzwazYX9BrtUWY/MpctwydDI/5aqPU/spZc0YgBuDkn1TJsVaRpBjL94nB48z ++gi/4M3XJHafoS3CgY2X+WDk/2gngepFPeMzhunW6qRWpkl6rf2/XF10ULxxl3h+ +p5IZywxZqnJVLVOcuHz0oH9Wc3kqRUcZj9j7tzhsJN3FDcp1BhAaKzSOJDLbFUh2 +IZo/eyFKIvdpV6e8YqIzQRdU0jDmdGtEYcDBr1tg46oTg7NKTKGtovM1Ir7lkz45 +AKKCed7gFjmx4XgAoPm1yBXx/CU2ww7bVFBWSV9xyoYrBGA2iFfUJDQ1T3owRJhV +kbA4mag0uDMpCaWSJG5pBwxNxEm0LahqstzL9+yJAw4p7r411oDT3c1VJmBoVw/h +HUgZYQOHF6ifjJagr3S9LP1fNmxUoB6nviGxQLu/G1bCkmn4ZAFhj1LFEQW5XPIM +XZuz4bP6N1wQ07X5A77RHV+2jHR6RQb1SVD/4NIbH1v8UTS9hhwdRNQxM/Zri87U +tlQqL1/v9QT3B75fN8ziAnnwo7BjuFn4ltrYc2JUUmqE2YhZbZFVdgVlZh/5D1zU +PD/egK1ICZ5qPMcETqoDXpM5ZMUrw81NjuK0Mjfw8vYxgSP1PyFV1d00aCdVpJ4t +Tb6Big6ap62Zjtle1uDNbRZigLUkFFiAp6UOyyUtgIzleIIHVBFzWSv/ILPWxY/M +yXNhyClxCsqbvRLBAMK8rDRbK5RDQB/sp9b2viBygWW9jQQsQlsG0BefSrvG3gTm +1Z3gpXS0KKlE2h08XDiF9QS6/p0hA/gASnxUoXCtA6RuSweIx5P3bDF85Ye5RoHS +v6Rad42fKWSA1iZ023aDaswfkW5rkRm+Idj/CWKW+iFOXosijmai2TIR8EvHWdUH +byOgWIQX3TIx1A7tDu64k9uslPz4kqsJ1FH405aYRlfp1AAN5pvP6hxtJTzbQphL +F7/w9uVETADC7nJt5W2Ho1Zr+IL1ptijvH5A/PSRh1RK4R7WqXwZZtx0c6RalLIC +K6MQKdKKUDQ8xzfEuTVvyp2KNm/ThBGYBg+QhEFAEQUJBmrWz01f0AAh+ex+747G +FKEuDqA5RGSxVNhsa43F7Yx+exrzlnjLmuZqYmCwe5hghR4xnBP2IgOjtyTWxRm9 +4ooc/mwVWWQWUvPvxBQtnYmRsNa+NYebpjR6KWgPn/lcKH4YHOqGdAXtg8nHvr4Z +mz1nWwpsWMQcix3INUYV/q2xAsFWFxYVfWnZemQwfYbYw+Tx8gKc66YKsgmDsOt8 +94aNN43B7MFKd4dX9gxRA+N0BU1Wo5pQ+4h+9Xj4CPNJLAjeUys06pbe+/tVE1E5 +7eafCuUUZnDaRKfrraGHjs5yNeYqaXtN2j2BgBXjaNPLr4mo0QdjrfzbZk5xbibm +AlFFezI4Dap9FL5j/mVCgJZ90As3VKGU1POePpjZWBdRN2KrgHwFmGH9GV6y9MrN +cl9JcSMtUBX4RfpMLdwyRk6Z9KaSCiCuKc1UrdkfDzYbExHiKzsbuN3oybMfJBxi +CkQTaTL381xE3ZEkkeI2T9SVAX5oB+3wlbkAGUunXgNjhIfxwmbsuGIwxdwA9isC +DcjcjNrVo6bpEZc/GB5H4hoCG0yAsraVftx3IiAq3n2zUBKS4gNvKCjvd7gXD1EY +4PeBs9QqAPbz82IaxzjNjbZV+kcQcwtXY3lLlM3umUGmfXsbml2zc6gPVHqOLg2H +JxBVaiqCl23nPwjCat94X9nR0vHTguX315Yh+BB52Vzslh+YdMwe2fV3UaNpH2ty +XRY9hWnZMbzJNN+JllQDlW55b+ynYZl/VwGWzPYMzozqce6/GT1z6GjUPoS11P8N +KJ6JYrBNF1eChGFSnU/v+aVGI9c2ul+EI70Wzguvl9ws8sFQui1mCVum1gACgxoB +BE9mzmw+CWOSZySmSw7l3l5hJ2EWrOHcBxzQbMUtVCpwQm1jeDE0ObSyaM5rKXDU +OCwEvNpZcF59nQl3JICXsf5kwcJtU8gDhS5g+PEoM8SIAPOi2TQgik/otyFnoi51 +ENh5pKqjfwPGdXh4/SgDBQIg0BGovUwHdlpvM18hrdZcZP77EFmUXDqePktJKEIO +F+kSGkEmDaG85uwnHNLtLj1MI0tqr6UOWI65Nlosx8avI+PZwlrpkdZeG0exXurs +Btc3i7rQqEum9/80pkbfz3zmBHbRk+40Rf0oFcP7jmL2eCOBIjTnEJ0bqG4D6o5O +1S1lt/2yMAjHYpn3rXvyg5hRfugjIOo/6NobEODs3GthqL9WJ31vIIqJl2BRPz+l +48zXNKRWvNYdo9wjEjboLEEbXjGL4ulsrgcGhxIXLjFcQFnYAraObviewmIRGHwn +4wl4rVP2Sw5zOk4B1ZmWiQ/sROlMnTF1c6nViRB36vr++sUkg39x0CaBtqpiobc6 +JpDRvJxXgioBC11lfWvwcJgV78hm2pERRr5ilxoCKYbIbilCrMSvUNpbPOSnpfTO +1Q1MlyetjvUTPgvS3vnmtUu/2LPX5XjLCot8hWK0Pmbd7PWvFCCte73kQnuSLrwa +QYQAH3VcCz6Fp2fU627pO7v51HLlKLz6s2m7/B3UghZWA5j+nZ4dUwHVsn8MdRs5 +69c4hNNaHwaY4DVs+7sW7/qL56JUi1eh68tXxqzIY5A1oTKF2x0btPb8zy4gOjqs +gWRqBx3ndJCXpXWVZvQMVs/z8UoEps5fUnvmpCcV5OsZNeI9MY7l1T/EsJRhHtaR +OwD9l6gD5YAoFP+6mo6onInCIsEJWqRgQJMTbTEGOz6n7lvQ52ojIWoJRF2FKIOT +qBrDJUJLgUCp2kXHb2tId0KFOGp/CbelmbzQ2mVNQQz+o0JdW+MMQ31iUgWB7Umo +ddSsM93jfhK6OQ7Zgnmt8i8aPxMoWi5WSs0LwcBmjRdWQjOX2iyJzZXtFfrwBZHA +eK953Pk0m9njkK0ZgxpD4NGIZdKl87uT/qnNYzWHK4m/ZTIE78e2dZ1/BHC7/sLi +UeZtJrCN8l8F/zJLj8I0EefmfGv8lxGxlSd8z1uUYYK5MkgXA3TqFfNfISowuLdK +hgGli1I5cgOQW69hvDNKWO6h9iKGAKN7qexaX5v9P2OFFWHQCBPYLJFsDU2EaM7i +G9j0bc0k8RqtWjDEqdBTnVygjNSowLZD0sImxNW7+5kECsNRFJFSCDvLUG0G4oU2 +gEEPIN3PPDqa5rGbrLtEVB3PWWz9Jhcr7xUjSzJPHdXMPk2rUhlIPmN80jTh+4tB +AcQoGkBZtShCBiuwcAvvcDDxqXXkVxfBjYQuTJ199XcuvL6svrc+B/vaPZjrRFWw +46S+JKfso7e/sw8YvDd9uUJPZ+6/mjuVWTtwTHO5KhiHvE/O7oNXf1zR8u9vw1w3 +E26qmBDnBa78H0gCgRa2H8/tbq+AurtD+S8/4QA/D4lRePXyFvPuzq6lINjQ5RcH +oqFM7LXzh7G5KW+XRA6PMMj/qZXXJh+DnfAGyTm1wnu7NM/dECeJpF0rwyyVPHz5 +LOC4TtO/lenNhx+96n1XQVhq7JO2ZzDs3W9BGyA2vlyOpjUNqjczOgCb+gBNUfv8 +pJh6QjFHsEzdq1KqaDngMiR6h7BBcdnhZJSKF16sWQYxBJXgC7NVmGX8Rkvs+MnS +URftd/o66noadAPpPBP3f2NDgcqPkB7LuOka+CZx7lMP/dklk9hsocxsQEN6A2Mb +tZOXTyWg3tFATmQCrA/B7GWFVN3dN30nTpqEZUidR1DRlAeJk703XvorzZQtdB06 +71fXkKWVAcdjn3l3ryX42EoXnX7AhOhxEzb/zo/Nbk+41HOCrO0lbVrTrL6Uw38A +XfudWwCU4eFZa+/K8vl9qkG5d5R+MRBWFNk6HflXmYpZ32wHiiAC7ponPBSzegpE +MWq3BPRJ3PMf4KNcosxqOpQ4Uimc9+2s3MfPDyT1uGJxR8rHNMxNTCoLPEOpXsLz +grBbryv9lVyBdhF51JO2BOX0+g1qzi5WV2bkQGW0jiFbo7Y0ymrhZ1cUQq1US7ov +ROnJz/4HflTH+xLbsk69yh1MhvUSFSlJwpHKkGAKhq/IZsoq5ArjQHDr9nep9RFN +5X0x68ip5ufDBW8RMfGVBbMaXaeDkuzaGrC5ky+Q+wJgVRUEGT40oTzznsYgl1q8 +S8UVAQvTiKG8KP9NkfTwNCZm4HoN1sdLMbQsoFiOdMO/Zfa7TJuiX6tDgC5H9iOn +FpaGUkgysCV8eCL/+yffDp21B3GB9zSVxhZUyXCOFCZix6H9vOGBWAhDD3WjBgva +0Qgpiy+FvaleDyw3xr4Z2w309kfkxKSVsZ12x0/BASOrTLzS1HxCd3udB2fciEgk +kCrXvd6dKDnlhvTIzBn2jY2Bfzk7hhJdlJca1C0Akx5zvnhiTTI6rBxVIDwTTVZe +MYXrwQ4XhzfJvIDv01cwsz9KAQT9jGJLJ7EshwXXBU45/VgJ3hRSFKXFQPUpIH+G +1Whg0cTu6I90YJWm56E8AoKKgS1UK7r5M+2uQVNBHIk7zLocyK5roY25AvKPqWyv +cQqNzIV9FGcljhxsBNNRnVCI36L/Vl1NJqzhb0QQoQV/em8eZL+ZaowqGg8AvzW3 +BkxSlgursEE6F/fwOVTk/p5UmfPzmkYbkuoRGijo3xwbT12wGG+xoa5pMzNRnmiI +jvZvSW6ZLfMnk1HrTpQCaoszSrBBr9PtyjGHsofOZeAXMPxJ03IQsBge7lJjOdf5 +RxFNbEp5vAq7PE7fMUVM8cKX2ONoVK48HkFMPUZs+FtaLpwGtiMS+vbvEk8k6foP +3zWKSVTzb2l1YHo/c3U0kia5WV96BTVQaIND8M9kdt5flHGULlSFb0G1UVpIn3gy +L7AqHEcmpQfFN80bWLCDhBW8UYpwMjzL97AfAYNSpcuSElkki+NPs/wCk4PWTOOP +tqozvomzikrc68biQsPqzrKDBLLbXwcZbcHQQTxeGZKyvTwFjBxEw1fslufJ8yK9 +S+WmZgHbcGQZ0/n4dyZNAsbt3GZVqMEQMjOP5GOkyNcWblZHaGjfNtTlcOzo8f9z +FxeB0fzsW3qBHiqcaK4Mmtfftf46+SgxOuHr6bQlLirszfr1W2lWL1UaN60Eh+co +P6f7/bGgqBA8hzt1fuPexmT37LUICQRsZfPp88vlGcDSEJ5o1thdVWG+SHP2ugUv +0qH/wY81syAtjVmre6IV3yQUOIQ3h7sleugW2dg8eAZQkF5yCmsOeUQIYGVjlPdo +X0GPK23KDiJs9yCjCWTucW3n4HoPegJXLFdMxeuxVn+EkZ9z+4D8++/VWFCnS962 +4jt8EqEMLcECDPjCi5FJUwB9FTpjJxVKkmSl8mDgvr06VwSXRgzSSbZrjpsxbgRr +SGg3mfYDTNcbtmidLPV5B2jiBbRLoMDVCUfln7AV44wWaKifjnnOy4BFkB/rR5ec +ksFOb++8gtRfH/WR7fKvfP1dzXWeO775M+5K/StfOiTx6yeGRes1MffWJbBmNjUj +RBOclIOX/5AUtKf0nVCEVbWegQtcDR7Z/1XNZbhdYLI4EkOFIgvmD99AhEIHF6bT +fBBhrPraq8rT+fwTqSZQxEk+dst5dh0A2LYwOCitcpn4GeG0KOgluCnTUn53mOzl +PPctPaJZ1weGLVkdvdaUzEyi3JHpTapUFiMR1/OajvNnBowf1dCrKAiLEOGw20Up +m1EOAwb3lwsk9LG7DjiZVPZ9VQ29B7kI1cQOt/9o3k7H7p3eT59BddG5TVjN9JjN +O9n+uhNickbhZhvCjAtzTYd0erSMxgDsi1urOeUvc/gD4HeJaMbM3/p3A1MJ14MF +6adeaw3JsOKxeUhgpS0wpNbFzu8Z70MtuQz3CqGqWlTSMgBl7u+X0TIs2nuJJ3BO +QqdpFqBlfnrLKs+hA3n870fE0UhSiOmBFYINpJxXbcZELPqsc0scnWrireaiPvQf +5Auno6+Pxyu/hZ22aaQaolnxWUw9rUZ58eK4+GPY2cwvcbzAZ8MzzcnKa2rTmu0E +SzctByuuiLv+3iI+ITKSxHK52NhNCGR4M6hWhsehfFyaApYiLVYsZ9BmJi6jrPhU +MDa8ljUSDlUCASNXjLHMTR7PoxHwFs841NBzmSJcCgfnDSLepeBPtx+xuLtmRdcj +LgjRxpqfodE5yhuhSMMRd513CsOV20n2O+zVjrrSpqDIJyp87aW2J7T+B9nsULqh +imavx/G7+utkuMcT9bHF+GIrTUFZpGZ2k/ubj/dyrIwB55AVBJgY3FRMz938uVN8 +h6690PPs79WwhpyOLc1gzNqEhfpPagvL8ElmLSNBUiXogNjiQcZIIryZqg8KMyIt +luXG6ZE0lDXgzGYPOfdjqt1Tz3WVlzQlNfgHeryN0pnGL3fUvcFNGLKBzfOLukCl +XplHE3cRcLa595BUEUdnjLAer58ocM7N45OTHMK4CduNYvhyveKZcvj7nSH9iJyk +LhJmTdKAkmF/SKheVw1OAFLK46KhdMtk8hTzXyI9ZM6x4Bel11zoU29SfWQGUDQg +mg1hEqCEDcPfrv1Dv61JkVzerZAf6aIDea75I40zPBrF7FLMyCxfZ+Xl2npQeCK7 +jluHfEtGnQlXqLyUAZbp0zCQQ9uhu6tncr0JCNRfzlN6W/kplk3GaQ3y9tpwM3IE +m5VMWBEer1cPx9kRrszOAo2AKPQEIjcHsBancqF4BWl2Cq2EhRCZgcjeXaTAUhbl +2OHm7zOg7s6QVy0Kl21zW88d+Bjqx2fqjkxMAvgT70aY9OtacB9MY4YfnWUyhEcu +Poi3BvlZQwwTg3TESFp6B9KlWu290PFzRrXcMkymk5eX0OugYiv7vZDQIncLJrp7 +eIFAINE1WkOl4hWR25SJeexnE3dMtHeo2tnPvBG9/ChTf5WgoGYGkkjybNEdlgBZ +PaVoawdxZ9iP1DABenbbggdu4Q75DKdasXFoDG3izqcjt1kGa3cpzRum5QqIMPQz +xQaqpiIBDu6MX4e7tfh1n5dvT9XH1IM8vQ4BaO4CusB4AprasKL2L52QtwP1zFHw +ukT/AvXHBgF4rVSyK70kJiIUM64esLBpjr0s44QbUdi/jOOMWtSsSZx2uJgqup+I +zxqKVz9+MHpVC/0MkcTpX6w8DbtQrjndOuEXVLsf0sX88f652MGF7SV+PVtWw4p7 +5JnKkniPgBHq1MWWkFkHejE0BsmunajUCFKBSLn2CPGH4GfBB6y/jiY1H7PHrOTi +piAnxXMC0Rlam+IKkjtL5QKPATgiaMEPl7VFfregRIa+X1/l9x5udQsjoHuhBRDt +Ie7H4w7+0bpcJztxt9/cHw0kWwDsrRWFIgIgXoqicGUOS4lFysdNI3+Jsj6qG2HS +6dQEm4239KSDCvOwwaz3UuiBkRAcrIrCRkA2NP8IvKolssBu9QsjQM2Tg88gc+io +Vny8m304OkXLbNZklJPxmfjWayPLUyq6Hoxzx2VXTvmA4WR7Niq99lEqQGe84C7w +jLPUM8z655GVxQsC/KD23IqiqAW7g4jeBHQbTJJZKCRRIavCXJFKYFM0NIGX1k+e +YTDm1l3Dbu950I46q/mF+jIasp/tda+ddwEnGIeILaML3YrbLduFdI27n3tEl1ba +Dmuo2V3uSUHv/yezW8XnjFopiPbCOUiEbcOgBTjgAzbX4o3rIrZycj2ag6FlHGul +DN/t6x/YIwmp+NPHwQf6q3kqq1kdtdUE5UP+AQNPZ0/YToviMZdL28Ody/RLmO4M +zHIR5FloOL+2BcPsdw9bk9uVGOqxz07tNm93E4Sr6pDYIKR1D8HjXyTK7cEky9fE +klytH3oyDog/0JSIrbUgh1BNRtEFvy4A3QBakvG+oqWCi86s/kr9RbUFGtje5seU +r7SZ/oiRtz9Rjg0bUEdQM1amTVrfMadBf6GnMCnVbWloyZA3zHj3196AscMPBHmm +/d2/zxRfx/ExEVp/7QDwxhMT68RmFoCn+6pXD2ef/ymFpx97QYOzsHR1irimyuvH +ERwPJmefnVOCIviOKKsDm5tcAfA8XvNgpppZnHkocyBkQN6ckeWs9Ert7vD9eqDe +faoFIV39IZ/9iPt0yV+dciUDUNU69j1tNTFTFd5RIfYijVZxJGDRodmgXeiqfkO+ +zKRlhE6pOI97vVSd9/pIQEeW+kkw8mkDTblG9vrSsXWnkDzFkPo19Z5y2ic4gDih +vhOQFC8iYBWyBRNLcBYXvPrCE0731qZmvNPaauQEeghwxrOLXmYCkV1TU7VJN5D/ +cdvjWOcsKbh40Qtq/1gN3JJ7T9NVB5LGluFNNzUa4ehLgaFfDmwMQkYkKsUsqdaS +1l270pbFA2K7Sn2RrTuP1tSeFnciLCqJuUNmtQh1pnogL9m+jy5aw0JK3ek7Y3PM +/7qBwps7hwNOdCUvxGAaORNqzJcISVTsHOLR4n0MtSb8oiuk+mPOP3QqExlW0MgJ +jvYKtG7b4EL0DI1u80K3wBZMMaAd2Al9YbPkuhsYZ3eSOn+FY/I9AQHdk3+8RH3z +tnEqznoSLLf0V1sKUDspGSzeNnoijqLdJ2XWqT1qoTm9pTIEkEzBlSLJxZKhTmbk +vn8AZNVLfthzakI8RzpXSC7otXkHONDBTKdAU48ZCT8egCiN9mLdeqntXw2u1hfC +tSTf3xrOrshm9iF9cDRXxXOWrRxLn3rTANOa08/ISpSm9gpZNi2tftR0TGegWN2E +u2xOy1b1hsXi00Ti45+nEx3V1+3cNt0nrRB/taZUkVzXMzE7Dxg7pNEgujz6RTUy +tMdLeY8IOsOgVxa2kY40gr24f0jjCGfgUUoUgqMTNEE21nmvQaH7+RdJkksVXflC +5pdwmcEZdc6EMnmZCX98CxDgdHAa+MGikc6JtWh+oExhkInIH+qEfDvuMUqA92Q+ +G4+iIKcdjISuWi1bpwHvFh8NYwdXNC1ONYeFa2wTR3Qx+soi0ZAcXO3nLbvT//lm +xx50GdTKZGgzi3VMWBVhzDLVWFeJHZhXMzoJEBj2M67U8Fu6s6KSPnTJDEtPPvHZ +gjO9MCEFzVV+eKyDlv5ox4xODEijpR9CM+GaYsoqdOIBsdND+P8gODoDuDuJvT+4 +HiwzaAdhzzoTSudJk1oL+NSeoKxf0Xeh/vFm/qGTY+oA4dTdlGEpwJlGNq2XKftq +06p2ohaXxrS6xhqMIV1TUHVLdfbEV28xiBw4MbVCm70FhxdAZ5YFa8095R9S9dvX +skJJoDbWKt4Hc3HH9LYdhNivaD6D/zY0QBWGdTIdsrdegSO+EgJX7ebtN56Pv6aa +xCLZK4pkoznwjrQO2Sbc3UMsL1c6bLgWbNUuct5NxAImhpKV4L7WMVI50Lq2WGgp +EE9Xellzyrcl5u7I2VWxIAkwQVK3EpYwjAaIP1ScSA6cgVFnzpmMV1tK2xgQ8qNt +Im2Uup5pr2iY5KQzw5M00Vjv/BHtLj3p2/KjWW6tNcKY5l4NHnnPjl+pEIoUi53+ +HZ2VIkU5lyOvWokSfpYAKalbQ30JMgdgefLZmR0CLtJOd+OkW0ob49EuUBkwGJXT +jdhI8rKnkJIdKlZoUMvRXsaeyzAa3yTTh+AkhVRe2upZ3F9r/6mE31BmVh0EnUeC +CeTHhVEbxaDX/AbpnflvMeQFoGFRTBqMsb9FPHf6RnMUjwbUucHlVUR03jl6uzhw +YSYtoeJ1TRB0nuflV7P9UTxOQ0MaQUMWyBiWQzzV7Bat2tY3NE2fDwD7ATlWbm8c +hiVIzE+ZPl7iwSuZ5r2Xbp3tzimMOknWZDRkGtixDEGl5f7O03IvlRaJ66ykDw8U +u14OVlEchwm0IAIrKhZnPP8eCT66Z5OzFp6blBx4RFND6mCB2e/cKPLDheysfgeY +9tqebYqBxzrr87ZmAWHBlLLW/ez1yYAM8nKl/7vXCVA2qIl83w2Pe4R7n6ucMx81 +SE7MbpojcD/aX8sSspCbL0hh7vGX1hUwaaydtDG0relxRD6R6lpUlsTn3HSBuv5+ +dddFQISU8qLAd1S5tBJeBXlJZzRJlzXdLAzbT60K8Mg03yRQkB+oYR+Np5z+WPS0 +24Vg09km/I47C/gpMqf0OMoqaCfhnj8A6iabUmcul2BwqUUOvo4WZrox1iLr357q +6/A9eexVEUaGLZ7t/+8wp3pib0VUY2jmT5aLfNsuOru9k0uqbCnmWL0Xt2X/OhxT +jkRBNlWIqIu2xAcghLPvrrp/M4MVZM3zXnS3XV60o+UkGvoboe3WjuJNxAnZGNLn +w8hMcwdtBizFofB8BFKh04xUXPiPVsPqLfJEECFu9JnxGms34DB5XytwNbrug8AP +gUT+yIqUkCbtKyTs+pp2vgXY98fsYK8Kxk8ecK65E6a+ZFXFlEDq3Z1/3QmVq4OL +8A2XvLs7d7VrYUgcsZVe97q7IJeNkgcrBFwJrM33dGw/ccwD26PyEAUN6ka6hkYb +HgQFXAOVOaBMgKb28LTCooqfzLUHyn0skuPBY/6aLYclVI6kdVFtAlBHc+maHKeE +SwcAySffj9MHBW+9yotk5qDvh/c19PkOl2cpiK6JxFuob+WrdFuMBqkZ745Jbi06 +2ASAThkzPEg8ZZ7jXrYNP+xDhed57IUg2GJqsETdexE06qAkjqjwvFeVm5LXPq0p +F2LuEc/f8Sl/ZJDoBRe4r9RnkLWbv2v7VW9CeWeckTkq0siZTKDqwVRlswdmgH4A +ZYmcJ8aD+azTojAh9eqLjzWxP57daYrOV3gXCIURIGdLDU5DiI5ueqsTaPAx/UWV +7meBOZ8ps7kPfa2LsMkhA7ljQJkcdddTedBrj3Zd6dsVHleI460SthAXaYCGUZUM +crqrGLi+aeO5oFv9v+N5aaD7yqscA8Fr1FA1sFoYAt+pLH2Nv4G8+cJJJdU+GYxr +XWmFKGBD3mM4npdoy2Y/PcOaxk/G2LFIIEjWQ+fmU+793/YmVpB/fS3Z8x/fyJZ3 +AdoFQ07t+bzsrP71pvOvvYeGOYZW4sz+EY/x00EAEdJa82Ud3701SUTXQAxhYo+S +3ovzwtiZ4+EXTJ+eI3rPW87p07ikxd9vT5ZsVS5OP3GZUnj1Qi8EO0/Mxt7tk5hb +uPxStHPAL9CUBVYCFo/gU45I+w93PiFM/hcoriFp1VMWp977hBfsHKFvQ6GBYRR+ +lF3R4l9L7U5N5m1S0PLnCYBQM4ZZ6jFF9TJwygVlkZ+fpmDwB0rhKeoGPbwkP6H6 +2cRJJfMVe3sbqzOxXS+eZ4NXNyNNj91hW5QXjadqpEHdYgLBYBm+gmUqZnjCpEpF +TVw5/4p2S7XKWgDEKjtxjD2TaSab26i+f/cGLFU31hIX9TW1t+tQ+MwP8xcLbjg+ +J00C65KnetlALOYmoWLMOnjSf2HqNWoehLAzRWyUtxSSPHgYXcZ+B6DMbn7WNhMz +7A3Lmkf62KaJvUIv6Jr4+hpPW0zpJsDzWAN2R1vUbmMh0tJE44nU/fKwoainQV9Y +DbxzIxEJ70nGeeCxW6JqIbCMQJofJTzxYxVxqCyZcXVlDsHTzeHPA7s8J754083i +xO3WwKqFNyf/ZZ8zPtrKk/SpCToBi3yZL8YR843V6yxVI/6ScFaSyyF5go8J3hrd +2NlKMGPcjtttrHq6w3OawzSysZVu2KimwfhHvHNLZfiEmYSUGdYLDoc8gu6RkOsl +Vm/H6ailZg9Z7d9OHlohhZT1ylU3YB8E7DjQBDM/mImMOkzF5IcNLBWdKCBQm1Jp +O9ufgKYfd9smTV0bpGggz3g0EoOHDycvt+atlOD78ylC0QVFqmcyj8Xro5lPMpOP +RWLwnJry2kIS5BhrSU4jwbOKxoFebbZzq6jfFg78FBcUFlEhunicegDQWrg1Kb+K +sM/XdzuO0xZRK7Zyx5i/Vu+UR0vJKR83iV5dy2M7pMXcLLpWRsLsVFWmOdNLI0zV +aeGBZeIF1VzhPAqMsvX/mhrQvtdzNf5sJbrfUsoWSfvWZHvie+uZGaLeYegEREfe +Ky+cLbvKDbKFz9de9tTuu20LSJPbKxz7SNtZTsqLD8NRNYZuKnDV3QUBxnMvRE+L +ox1sAKkB4kQAtea41mdId1RCLUUvKMDqwznQFd6TDwA8faDSVe7O28RoPH1cDjlj +VDEmz8FKboxeoontqqK12ug6oyPMqluKO0JFzXvQbuLgAS+FE1lRVq5lC8lfg6ef +d2EJ7No22zRomS0GCMwm+3qCGIIrMn2XOHVsk2pS1j3pecQDpZmEtj9LkwzlQ55f +8jj4vo4K/g7Rw5B1Sy8w20jRGSAlbBA1TFdWwVm+kEc8LXdPZ6wT7kV17CW7cxGz +MlA2ioGxYzcSrkIGrlONtKoD6IYniN2yQdpprtMgOu8Rko4QeJGzbCtm8flFSB3a +gSgfCBUMRElcJ2hwKRgoKqERBBDYi41NPOefR0tpNaoizYjpSmNhFROKCpHJ3QFc +rnP6XeU++prDk3Wp8ceOOg4V4HzNvkjavum54mMqqESFPStefowOvXSLv1S/U+JZ +g3db0zkDBzSrDX2085bOlk8DLHOq3/ZrRdtKWGFrI9d8LXfguWUEOWsbV+e52wqb +p4vUjwlf3P4ceN03tFk8pIyRbYHi2AdDmBgYYq72zjpUmS1EsyiF1sIpogHO/fx0 +Y5rkFxWzqbvqv0080UeHI36Juc09JUXqCFCl8dqzMF4oR8qxc2uyOubmPOGtI6h/ +qjVOI5GE/8EACrYsukr+tKH5bL7hyEsFkfJtDZlNVpsaNlfs5T1voHJTgK0r51/6 +wlNA0s5SDSE4f2jTVxfp83FACGJE21iSori0T5p1/b3sYo7HcyKubKeIyxAtIaas +jXf6TBaPc89fsf60/stoC+MYgtS3JTvT5b7g2M+WbKgpQmdii5fgcBg/9e++zdCY +29rXQYHX5kKxRThUNjTxWrT4vadJmTpdKafqxyq+c4Eungc7/f/DLX/gSPlQpyV3 +4zythXrTd87aTU0Yyfyc2OTS6xXEXf3m7T7vCMELboAbZCogtIal6GX1LhY6mvJ7 +WzLq1T2Gr7mlOocEmtqLyD3AaAog9qEuHMeqndThPMJ26cB+TJuuOzuTTRYvbBa4 +eRFZiyOtH6LqBsbryBw0PWLLTQBHJSDErvUKBhe9+Uq0r306BVjebF6oBCgvfhTJ +QpBoqP/VqsXFW+nlhWc+jUmYQi+wMSP/tfDs+4OFuiRmnYmrdQ3hnFzGeKqAR1+2 +F4ljNkVqzHh9I3Nb00RHt8kMOH2sn7JMlQAcI217eSL7sEak0O4G39tVikuxR3VE +IQX3tnHyvLpBVUxXgdnM90AytAyFtodtqISCN4QwXrcbrEE0fPd++DJBxUgKrnOH +YBZ3byakA5KNY3E5fYj7ch6+GgcOQ+BsLjWM3r4UBBvrvnt5zfDKXhcdpM5n3xLb +nbMJkX2qcJWtgPAiLJs4mYEW3S49UfcQweO4DMk/rhE/QuUu82snWZkWvp6RAU5i +4ObUlXpBt5IKhm3QON5+DtoNMcjp9w2D9+qydtbc7L4A1Ly+3AIUUOh04cg+xE/P +yGnYvKN6fG7sB8SNhEM5oKMh2VzfdjLQdECjbygw5VzJUe0PWte65ywGCdISJ33S +lv6/1wtBxv1NOdr4lYYdDalnX7ACC7LMXdzUmtAu+RdEVZhzmXBR8xoQk5JfQy8R +GGPRu3CthfVIPhBY/tuNMJeP34NJVu2tm4FLnBSs5Rc+5k3v0mP4+MTwk7iUJL6X +6u4pnbOu7mr7sl0Q8SCY8ITlwRMUs6d9LAZ0i/BWPHuPR0rATtc9nvL1HRkJHtBf +vtq6P8vWo2v0AFOfoVUPiyOZ/MocJ5G4SlvvW+RnzdFvEL6kdKCC2rvsQtOGXNcj +jYt7sFxMnbbIxEVuNLcfL08KSJ832ufjPwbRFlLGTnEBdefdK4g9s/0FhWo/2XXS +vvRDx7Wf8t3zHBQhze6I2ADsV3W7wAGIpMUhws3ocLehohJ6M0jn7Mm1lbhnIXiF +oWQYgr6MalJNap1AO1Rz2zGrQRLH/gYAEwl8lxESMOOR6/eBXpKLPnOSZBbCtsZc +WhhrXD0SBlJhtzcIqd+fSs+V5j062CZOhcIekrOSg7+arAVZg6AtYSS28fwNSXvp +/KdsgbMyYTqRKIzuk4y++3NQBExU3/Bim0aRnqrsKi7HNMj16acgbKc8vyDUEmo+ +cRXcKdssHyQrl8p51L6HbvCoAoEMSI0oB28O8yZuaUx+VYgwYQmTpTUWq7ZXFq3w +U40fxISxyUL12y4QIewHDTxpmuCs2GQTm8i+p4uwv5QK3cBXHD55SKX8Ns35mZ3B +pC/0MF71VRVUq+SC4hPmq1+4inJvPnravhRKY5BUi+E5tZc3Ti81MrlhCh7QYwjE +H4f151vjspXvTmxoZzc3fKXKzYFJdoEJmN+02CoVeIFSeid4RcmbVkmIDbRjkDxj +Q7idjez1WdM8wRGAEXkJ8iWcETSPwey6W894ET+6RhUjf72iOfULnQOoXRc4AJBd +ZpqVrKo/ZM0efav9k7nB7SdJdcV2RdplwHKE7gnPSGwSJGlgPBNBrwRfe99xYtfi +H0pshlIBIVaK4t4zsGuNvEvhOy4o3Fg/HRREe2BBnWjoH39gAifNPJ0Jc+x6iXAv +02rsQoFyrLZfkDhtEbQJZvL9IZpuK0FkP63HR0CEpaXYwdz5nM4ZotNjrI+Lqmfb +N8ruYtHxEFOHxY5wVM/mru1yCv8Bk1GqZWpAaikuTRnba6+eeAuOeuGycP0Mon0s +sd4koWgtsf3+D2U8CdQr2mtGbY3d6v4V0yyJIbq3UcV8exP7srhtpO7Gu2XFWV9L +S9zfMM4BRmcVdo9av/yogRcOFGV1GDBODf5+FeBcK4urnVDnuMzZdTYZQwtZKeUy +HwnPO3RpE02KrduEN6N+VrzjwhDDpXpuwnvhS9GRDQzAResLNycz/Y+P1nKftXsc +0HSC/pC2B5ianEKnD9ZHAhREfXXqR1xTN+Vv+7qNwbFfa+o9nuTWUrdPi8lzJjV1 +E9aA+kepFOINJcWhtCYjhjM84B7FWhB/+0wKpgDOAGMXNgIGekCKXviV27/0ORf/ +5N42YB7LBRX/dwNjMzGlNarpdnTO5hffUy7JnWvjdp3ekBsd4ntkAD7xRC3A7Hw5 +GhoI8iU3XuJbmTywqUTRJat3VrCuGFX6Ws4Tfnj6LE5JYx26bthoE6wUIOBSA//u +KGvf6FOmcfH6CbWHIHlCf858r4Z3De7b3uWRN/DDzo+xODHedh5oJNLS11mpzJsr +lj3YegmESM2TwO0Icp1H4Kj/cw6tlr0GDC40SpGBNMkkCThvxSayqvtKhh9TLquz +8u73rveL5BJqyho/PvsZREPNAN6F3tue4FPC2K8hI+IzameCS1w5/y89DkzNhbOL +BfY+G+KvPJOTTuLJvOIv3JdI7adeFHlFQMKv9B/fPGm/1Mp2JaUduWsP0V/t5SEy +OjS8RR9ruHiZTIFy0gO7UTBQNPSXGIfNqRvKEoo5M1CzEEoNZCYVK+Zar7tfOOJt +A7r/l1HS21/3neAjzuObzxhb6zTQGNJe8ExckK1PFFiKhsFPSh0juF6adgB4/PF3 +MVem6RA1t4BwI5CKXUJK34V3rCDurbRP9RQo/i/01gnAQNYk+pfKBka5Vrl8fK2Y +kK0M4sCkUmAKUho/4ORyiPUuvFMOspFlcxnFXXnYPw7bY/oGPHV9CqO5wszxbr4V +kPdVnQna2XDVEyf9gbKpp9KpcafsK0zAnqDi3Eufew0+1ucdUNXIVuVLeYzIF6vP +/PTxNvPbpSuAPc/JjufXEUhcdNB5V45xk0Vps5Z5QFTYOS0ck/nUOUiR8jUyZIuy +9hgORmLm5eHZg9FfGkmwdfhFhbUsPh+FrpppHm7IXgqwM+TqdScLYwh7MylnmIPd +KSn242OCJkb2VRcALj9vuZ/cXm4pGStpeOC8WGf24yK1/8t8boIVTLolCJwGlvp6 +muUlpYVaH8rblwL/tycP2tK81lwx0qeopoRNx4NHwD8QG/yTQJfWkLN4xMNvLSRR +I28wPCJsiF8qGfWhOEOpv1Dkl2Fh0XY2IxjOOIaOmGjUdH86B9ttakFmOLCbg1S2 +OWLtp6meBm+4FccmtaAsy/XHYnQuBeGPwP2gMkXQJ4NDLycA58fIJkf07vJooiwz +fzRnEdvbn04UIXL7egnPxIxF3suLWw9onlEfYjVplscYJGYb5nIU//gsoz3OGskk +bCz3JatcUm9sbfMkVmSrL9YvIz5glHVzajPhmxPsUh/EYHmt4zBBgwkJO/9r5FJI +KWB0wQZaZL4OyzmstgWeyzWReLYGZvLffCShk+nOBRX1BlVIKJqbf4IpxWmCLIre +PXxgT4/oNuq09neA9kzf7OYYIcT0Xy1MstHrFoMbJjXju4KaZ8rjLi7o3iMDDnss +ECEVBNQtsgpQ3dDrvdBHwHhnK5nSsyXdRO9s9hrSzbw/1AkS8zIMIb4WSMEaDSVE +TXgRd8d+PNUO9PPgl6bRaFHz8oT1rVHynI6NR5kwOLLcdr3NRS/x2GnAzmYtb4KD +/rLidExkuo/1DFqIIUM2qhIxh/AKrn7IIuPnY5HaNS0DOHOG5Q7m0QYWj6BCt0Xh +QHM+CE2CKyAh41gfam0EnEJYA5neVrJjr6HkLXrAuoX35RPd04mCfDZEvUTRpc8E +O4wVtu1nqMQIPn4KOi7i4+6fbJWbW9C9OYq1bEigF77JpUoJMkF9vLJpI+tYcdv+ +mdgzdVaGqVmWU7rtTrGijt63cqw/TGOTC1DF38Sr7vUd7oBUvp4LVgK4HsdTSXYp +LLHuWN8m1ykdHkq5t9a90SJq+BM1cMmYC0o2mjCC0qPhTzGU9+ApolWgT1B3hbFr +bH1imOhQnbZOUgmjpyu8O5JZrmfC9sBoGK/VvHZes7iV01MhBIEp2Oyu6vbmmQUY +eQ8iC6PJWOs46mfJ9z1R4CIjYHFU8TuItqGUNFTGWm0h8xQ0DBWdc9+e3EJh1Nr0 +c4XmzkBBlL6OzlCcq/sF7iK2qVCGq9s9KZfj1cy8q5XwWvmEpzfzeXKN7LbDxLHR +RzHMuoX5kQQSzrMzZTFlFaaJEnsBlhqL01QYS/dFj2LTFTYKUBL7JsOdRZhNkr8k +n5FV3hLfuUTO2/yFFVG8nplOD1KjIVshzQ4gGyKloHERT2MizZGWi2cNtduTNkxC +kQtBqEkMHOeLEftkK3gh60jAQwU3E5ZGfXBePQyGN5/UcmqPNxzp19tMXrwT+/IB +K2yb8ER8u2ti7+xwYyY5TvT/GWw+NEQj24Jwqp8vqaAr45CrvvMjvEcjPFhq/K2b +lyD39mVNQZD1G/53NzJHBez0qtVGb6hPMO1aigcJA7xm+sYmWr9x4Dow6nzV89Kv +ewE0xjIs1v2UeNeIeTFaAAj2Q7TJMCDqEdACafnvNny0BAGuzIroFv0DhQuSS0ON +NP+M+iW/sfbsrTLztwgwjoNhGyfIS+TmuoJnrkaAe2nSJUYaRIv/kjjAQqiT6PvM +qBpJq/tEcAmxb/dY5NXzDhZi0KqTyFLb46bFsMWj5K9MyJ4uH0191BjBZwoI/vWR +7uCwQVwEY3CGNMjX40tlttYvn3Epy1/9YrhZXU1UAsC/bxtPK4oLIMIK7B7dhadR +A6o7cgc6sC2kUnTV+/sh3eX05vvK6mEm7KsCO8Wd5JwL9SW16dVKRaQGFwEdtzua +HENaZO7TTdEGK9hNf06/PhbZnoxDqNKlHE5bFwqhUwvbAjhTHAJq2jhI6RpKtsjJ +SGACJPmzVzDZ9Nj+p4qUpQOWb7dbiXc/HTLD+kNMXv053QEGinv3aXrqI2M4/zHC +uWGmj4H9XvSx/9MZiOZ84dPZ0mssRMFafkUOrtoext03uqZ3TxlWnRRr2G70qRgr +E9bu3LTDFcF9BUkyNxHDbZhYHbxP3pV5nyDJRgdqSAqDdzEcfL3Qvh2iPIJElhgT +glJvum+nQfMkHXDlVlmwalZNc/XF8gle1u2EOkb3m35BBzZ0eVgKIKL/kLMvQh9u +zf9LeDCWrOcypt+IZ0HtaiTF+PAGp9LLdSjIlzTxxkJ1ZZPOEBZmRoJYPspghV6b +fKhxfjq/7qQUj+nT3BWMJh4aBiV3PC/YN/H5ZnveOV2xtteXEQL1hQPpl54fQjHe +ZbJDUbvADUD37H7/HAvrSkMYTXAesKdeX8spAr7ifgyISo2YBNVsGhI2StCjox3g +5bP5G3PdE4+Kpz4LUgwxbZUM8HLex8z96LliIIfNrWmxM2ihmI/CozvyA3NVghTE +ZKBKQl8yy1znalpTkheyw8dpnNCCk1yuBrlr8CK4cxle4Q1Ua1/oSLSct0ZazvTm +OgIVduebYvCAcyLjvknA+87rK5BukUJXOtmkaPm8LCWGB6xdHYsnpqYgalBd177u +IQzzujti1tojm6fccc/d+YYh6UZ7V2bWYsMCo5kpghcwTi3K5B5BO0M/jG91xrmt +/Lu7RqIk3flhwKEFJzXsSNWlXfcgdr+HVDPUwmfsqv0OfU9dmG6E0pHMe/RN4M9G +wEJUyUmPXV3iw9IAlG2NRQoSs5cZmyLFiZI2T+BbcRHXIWBk7YXum4+qoCtwub0Y +IrTSQ3tbYtzHLOWfFLm3et1d/8wHYQYpkrZCWi0L9Y948NZSGa3GljQxic1Qknow +st7Z0Q4uGLmgfv5Kx4Zf99p72tV5A4rKUk8sp+oBtdC5m0IXFwkfz/yk0FJLa42m +qQ1JkOvniCv+qYxMB9+MAo8axsiYn1zXTQB5nhMOuuadptZsG/1G4bCyzuv1YS4O +sHme0YdfVMGIB+6a4kTjP/03XAAQPeM62cmn8C0nSRc3JoNgdR4TkiL/qdV8ccjP +nGadVGDTi6RysC5XGpNnvgeXm5RZaoHb87u6iyp2SMhdTVAIjc35BXgTnVNACfZn +g68FWvMHfJj2PjE1RFXMuiTghTXKAUD9QY/sk/2jcLArx0cXzmCqgx7/EwGBxZ3o +wvOgwXogqI95+sw9xBdksjpsi/MhVR0rKnRsHq19V02H4K82AJG2Imdd9C17qqux +8Hm/CmNdpSwDhyusV6juR67xcqxxxWF0uV7vPUBolZhCdjV/cnoLUAhc7VQOIIxh +nn/IsGeEpP8XGEY9oRclZvHEIycMqvMzyCNdCag2/qBfh7jwSJfq9uYAWGlxLDdX +aAo57BZqIaMTBOA56kIczpzbhDJgpgRyj92auJ/S5rb1YylKWDqqjsMkdZg90OG8 +DiPznuLTfBCJoKYi0z4voe3d7QkfDXzTRzPamA67sNtiPHywGXX7s/RDEWgIiaZX +r6JiCIyA7CdGWYjIU5CD8PDWmU9TGXxeGCDex9MgQe5q7uheAysoXF295xKW1mdj +sWdAjh6RRuaYn2Jy3bPh7uozuYXRzuRxBGBVgZIweNVbmp9MLsuEpSfMaL/MJrQJ +QksX/y9wEqtGZkwxHF6gnLQuQ6oPjQw8CJ9AR7sYLpAzrFL+yS+tjvflQXBuFneS +2DZPZqbgLENdAsye9NC6K17d6jdJvVed3LWE9CE/oSmM8EeHbH3BawQkSuRS+weF +Y4q6c04ynTfip6x9tTJbYClqrh7URvf4ea1h/lxvCR+jQYG+Y+ecKdnWImpr4EDK +YdWWHLTeDYgsCCcVRgD7O34mTevnzUlCyxwWO2up3IO+lrehRCgfbNkLMAvD4/rp +xi1S4rkVC3VW7p5ag85+hZV4e4876mTOS3FVb8YmTAiXTINHM472BTEl9Ye1goEw +h63KwS90UCWbJ0gGbmTSKFtl6qgi1QjngUilXJTalHtKn1ySUbLBWDFvjii3OxzF +6Tj2v/8H6kqjg6e+e2CcSgzFtrDlKhlKpbcnPi+wLAS5/9im3b17PjHz8HAMSbZK +x3Y2L0b2A3trkIj82GroBw0qK5v2G1ft/92Czd3xHwwHC16/f68KFTZd89Lx2dUZ +23c6r8fMSGqT9hugzzHmuICyl7hgmnQjIaXuIjK65LOdcAGRGwHEzHOaHgcEN6ok +z+N5G78rHNlzb0rV1jwJQbH9KjHaz3yyxVPXKxsWhhOI4ZDLrSYJ2EnhmQmFVZ8U ++n09rnx84/+pBVxB49NkOlbnCBPMGBDa+sfUAQg5V8QB3841cEpOcld2D0otPxph +6c3NNqs+Bxyykx0t5pU6bfDxQ8QRsrRxpVbm37QDQ5XS6cQm9Pk7VxzW5/DnDLMU +0xzIxwXq52rQPOdlSZm8qqH5kSBsCaVjCnRAnnpjuZ2+5gxo4l1eC+bkXcxo4Kie +P+i8XDYm9rwUdUQCfbJrzxOYwpawL2Gv2oWpULGvXXskCzupZpMp1MqJXXe+LzP+ +aKyl9dY9/BLUJgKfk8v+GfthlvoNN0sZtoabwy6olD8IHGooRW+WSly1DWUa0Or2 +b/ERJcpnyWHadG6My1MSqp0PWVgV9JEzubvsqsZbBztY5fU0YP6qWMFTaTrBH+lU +bbDYGcpmmX0StfDt24teN/WNxpyZ7i3UoCCOVGHnsU1bjNMr64l648lh3ue5osQP +TZ9L9Fmw3pUkFUaCsU1jly2ZQRjVcxjZ5Tij2YJEa7bnrhWk2QKqQZe3ZCqRlp/1 +AH4ZTN3XlFs42ETIVMSbTyq9fjQ+vxE0wR42fUnofs7lUg+7edz7A9138VBShWjy +P9GSiJvhB1kSrLj23eaG0aDJLh00Zy/sJehVu1QeC/elpL4TuhuWb6OOcQAY4sSL +DDflGbk7mvyWmjKeSm2U+9jUmPbf4DXa8V40hx5mXqkmVZxhaRrMY2EM8Cze1Ysz +e8u42+Zj/aqnbxSOezGXL4AS5+extFgrUW8x0sH7aI4MFTIwfg6MGcSZpcBbfdlA +PQOHGuLLlZKaXAAKXxP8PFpHHyjW5Jmrw/qSwrXEK+S5OHxsGG6cE9N8xopNN5Ok +QUtwH9bhZWpLe1Z+C1o820+MiQJjPHkUD+/ov6L55wIpzv+Fp6nxOP8KoKrOllss +lXICyNWvqa0RLXNIEh2nxjHcEuZGKrcIgft9ZCrkynqd0xb3xznow33eNv5fkhrY +O3NSPPhR05KluY+5ZaP1XixzT/Mw7rNJJjrH3XiEtI4gPHqyBNPZY3mbGKM3Ytj1 +CMoeV3h/zb7bVsKhm0JX1rcOXY1VEGkYXeswNbSj9Wzcx+AwVmuACyKw3OCRioGg +Q+4P3y48LDI5wnN6FmdsWxHYLuSZKg/Em0SZtKIyzClOfMOQBzORvMOuvPifS63j ++2Urm66vbNTIYkPlcoooOzhJqbhJM8FlxYqPR7VISi+cG0fqw/Wl8NmUdk5uP1T7 +u9PKItMd32qDiJB4j4L846EY772D5WsBYuCgLNqaXuqZC2cfsi3mmM/W+WTAVhcW +qvTnXtBBBuq4vtvff9j8DP5srH2JsyL6QKDmyOtfsUxrAVNRiZLpFnONhb1Jx0tY +KuruH2si+buxCtGx3qImzzIzxWtq+3nsQogYLYLjplTK9pKw50XllGXGGtoL/N1h +zAjsEFHmYcV+ceF9gYRZRTUzaIIqgSBHhH/YW7R4ptdQ8QlMuF6zkOuciru2BUtz +45RRisvpi3hQStmgm+eAeaUBCIJ27WoXF97qKn4wCHVQOrJU6EDau9Ya1xGL2faT +12ca9Vvj+9ED5jaFUlCEvlYLuDI9sKA12Gsk1Lmn0quhyOQ2UMWv+fIX1tuBD/+d +4z+jh8Mppd41/QXQHrL0pu4/KvJ67/5PP+GWnvap7ZCXoWOmXeaN4n+PDi81xNh+ +mO6/ajzF3jlwqjDzv6+k9VRIypkhkZfLc9k9aRex2+4opZyjv1trzgz7KCT6GvNk +FPZUuXW3yElceO7yUR8DmBN0swzeYgqNUDEi2K+074uJlKmjqL//wUUS7rECub3e +5DfEq2ypzD/UxHZn6Ndn5jS4Nrd5WBCqCodulOzj6TzDtxhJxy8Wyg1c67AHZrYL +qEWmVOGQR3kxJV9tDZlSLJPjFDo88Guf56GsoxnHL5srxky61H+vu+i/o6qhqqSy +qUFkXBykrG23iqt5wm6xjSRAu9IB4qgTlm/luvK4Jc18xWBCdowIl9OVB3zJVWek +eF8KaUjjrYz64nG352Ixnh9ex5+bvHO5saeEcLwc+Zu5VDILfpB6RCz8HEuxFa3z +kBJbyWpt0MUgZQHqWC+0MSsLTUeoIFCKWdgRLXgc7e54nD+drBA72WV4GsQKfNsn +3XDLJMt4WOugPwtHb/UDfKH2NO1oq3e3bLlyVWsDx+45dGI6X2sdod1IUcBoe/cl +h+HXb8OmXW7oaPJV3EmN1JMLvF+w8krxV3RTg+pOG0KeEX5KZIQ9EwT1eSPPRAn8 +NV5/sIrQEBb86I84aNjQuQiv4asEPiPMgV4aXejAXXYjcrJfbIj+crVlRuOtppdT +ZwI90lgIqHS4SSIvUwIdv0Ye+TbEAPsShb6g4pxzmKIf0O0rCKrIoMJBOOv7Yx1i +tbmIXBQ/flvM+qudtS/VQN8KcCNpt1+u4tm6MMdLkya4CJl7lS0KjectcNXIEs6n +NhS+5oEJTxXkvSqgvv/wBJt/eN2IqO5qgglFV0uKsUeinNTjq3JS/Z6VIQw4dLbt +s/PnkcyKFqViYp11j334HydMnXKtHqo1PKgxm0kn5/84W/wz1tneDYAOk7VaViYy +5wIgSo4PO3gOZOCz9d/expOy5506MYlT7LtHIz2MLAqlfoet+Vvn+VTYjMH24hhs +8a05QvQDDEQNaE5FWQ2qGd4rkqZC+DLz+72DwEX8dvaihVJRFYObj+hBc90Jzf8I +OYFwR06bVWGSUbSdNGhD418K0m6tA8VjlcakEU+nnLMFe6L89P6fXVKRxu5S0rbi +EGI5lccfWYoMWCWRRjd+Uzhw9sEOC6mZ1BOsAyjy225nNmMbxHiUaLhjv2k1Afyb +ae6ZLYrnoMnxlClymz9uRQQlWyWcXvl7xlQheFNqKcYQZ1E0RrmEW32ePcOrGuS+ +p4j9xhaTwN6MzDGyfwSBizMXY4KMYLMSl/nN0ljbapRHw5qLXQdQo1kaxV2uw4Jh +jH+BS/dv+9+v4LHhptI4CA6OrYgdDtWCjdLs+VLhkfsWhh0/XtG57pOPkBxN7fbc +uIO+kNi1H+/r4foVahI5Av+h1DC+W+yk0TDyyzmLLfxYH0NAXPppRhBrKjqVZPpg +JqPe3aTFrX1zfFOJnl+IFs8GJnMDPUfj3duJ96FU/S/wdxRJezPhjNsBi7Darn5m +7r8RuoWXzOSskaD1QQu8d37/e37ijVfkAUZRgmtIUz6iybj45Lf6dsDKVya+t1Rd +Ltq4kBz2G4OEFrXuRnke2rromNYS11qz8ApJCu1Vmx1mKXq3+hfNuPxyHdlweB6Z +C9BnnCS1uN3047y6lzuleBC2ZVaPr0MT2Igta/DkpTKkYufLg5bbgDXqHkFemect +tFD3KDYIUpsygDTLk6zffk/htYtIRXJg9rOjx7wKKh/XjzhgGLiQeIUFgzkmGNhp +Cz7gM4nXQ5sT/wmpc2PmN/MrHSlRtIqws5VdFVgKROgokPNC6DZMuIPsAVQTVuNK +ahVsxmwB7nKC3Siiws6uuXuTImJ/VSoZIywsaoyDqLxqkWbblboS/d05xG69jmNv +J6/I5zPFzeNjTCWxDj6H9YCQbdKiZnLq+H/j91yxwdXSWaZnBXU0ltXAKRfYaY0L +2Z4Kjezbibhe5gmXAM+DuNf0n3iRg1N2xD9aOq/ag7ZK/CveljWo3BKVoug1oR+0 +8Ob/QtZABqlxXdYfjp9XgkAGbuHixOuL4kbUIJqyyuwAiHAVIqJE10h4oh+iMPY/ +nsjexFsLZb5+/eCGz1dSiJEUPj7fNxHWGjd5DTs9vznWHqntFpZaHDScXcB9lWJa +8h17LpZTq0SmVbnkpGTiOT7dAVZ927m6lM3usYfF5r21WLGeCpkENBy31TAxBVdA +csAKR1SIu9bHfHk5lOpwrw+N5elAtxufzVetE0f/F2slqEqy5cezhUV9VpT09uGl +5wQWQDglAITUnFqtiRC5qltKIqQkFs/1fMxs8t6407fZnCDSCOjAtGhzXnLSLVaG +oV31eeLFXFQr5e8OdHeE110itg3QuHqNbI1KLoMXjMo2A41L/tN4ZgTYuxJmPXRk +/CQkNhAvQVlrLZCptqQD6yQ6boRBA/DLdqRSg+wsv7S6g57OofHp/eyP9xJ4Bi0X +1YWgSNtTtTmOZGbj3lOxTSCH3LXQEYdywAbv4rU7hwWAC2oRbBkqc2UzoVtUubMH +dj0o5VWhl6HQTslgwnk/4eei+aC1uvszzeKlq4NUnuLTQU4LA/GNCvtK48dUOD6z +SiOzpUwHNUZeimJj+KJRD/8Pc4e4/4QmUV6dDA/PUdHGcXzGk7MLpE0DFgIvb5J1 +tyAReRnA82suvvnM2CGo3hQAeUVCRQpZ7CejijQ31z0z/FXfV/n3YPWtBUy0bPaf +b+T8MAAYjaKIZZ+6Z3Y/5fqOnr+pJ2b+7hvhdhfZl47rpu+V0KmqJ+d2ge2PF+U0 +jzJQGXqDJfoLOWb29M54ruh+JtZu6fcIIm9jzh6X3l5Dw9kK2As36cwRDmIbJKON +vdunWp2+rwDW9W89YdiwEAdK72DHR7Sb4giiougYaQXeIsAY8L/c8YDMWWvx9LUn +/P+S/eL5XbbTooyIvVDKlCwruYK+NIFXyAkdGW+xMzX3OrEIPy1XtxXNBvZzc711 +sew8dy/HpNg7l89SuwUmZzxBCfvzHg5EBStK7tgBpaSYcokOyn9+JiRSRYdEIzqS +e2jkjwbWhcXI+3BKpWux/LAhZdcnJnBT967rP9Qm4NUNIHm7YRtC5ZZgTa/3PZXe +4g3n4QDXYHRvmmKLu12MzQ7T+JXVaYnRDa/1vPYV9DZgEAHhuezzo/qHom3VwuYV +53nzaswXPOZJpHyzBGDjgBmDHocA5J5N3fbdQ5P8paQnIxn6wB7VMNYY/ipwucQb +HofUZppiJRB0ZmS+tspyBr+BgpcV2vqPxidydaqDPzl/pU9TCSosyW6ZF+x7ynWD +OmrCg2OM3L8zA+vPRyHPVf4jwE8T1PHrCBkgxu3uNS9oOfOACgg/HafR3l7owJ87 +1dwEQUof2F8uWrzdo6Si+s5diSqh3WQ3n3K7ZIJZzbOvMFt37lNenyNP+tpOmd4+ +HjV/3e2wt7AViht0pT97Qvwvw58A3J39jkaYnpF6AmuhFMH/5pVj6m6a/IW2+7eM +dXb3LIOP85iH+7Bv41s+BSl+ChfvnmIBsXN3C8ec8nl0MPe8GvNID0jND/QfLW2n +GRLEB2CP3phFlVi2jgn4QQiaVlw61Ltygbc8hnxSy1riwZRKfY9OqC4+zZZ2mguo +TLiotZiw5ssgHUWQkAqgjvTHauGh2pr4PAwBf/IZH0Hcfb2H6IfYMPDzBtv+STLt +vTLh3E5GAXB4iuIXMbx38vPOJc225O+aifPykHh0bHiRE3x3Pw+6BBJVx2qLBjh0 +usnllIZR5EF6opKIb1n6QVGPYAUhMuePig5LDKb3qF6I7hnSU39rZIP/XZtXLQp4 +jeL0QFG20S1DesOJ803g+DKpqEp4mrsaD/TEqRMpfilZsX8NdfVkEyGhSRgct1hf +ib6W106CRmZ8H3l2+apuloUnXmj1qwYR3XZF6HmPQcjocp1FB6wgJKnOnv6xoCh9 +xM0wZvEG0f3Q7dAqCIWF4pn1KkRSrBhk5yIf2iAHP3LlVbyks//5EtMn4qEpRalh +lqMiWfdfbFyBHBVEP+WHgkN0FmQDrJVQgrSMd8Y5hdYYisImZz3RNTgWAUQi3NKI +IiAQOB+hWbdrNOUKSrgNI6s6njJGU8/CK5ZeGwtjRtVrwMpQdthEhLiU6luHJUH2 +q+x5V/APIXEkAdcH9r17JXeyXEfiGsfI8LWHZ7i3EojNADrxx0jB5sUyuFMJzdU6 +dLW2GI76qNu+my0n6MLmgxt6tLeeAYCd49wTzhiQS9KC3ZQjj7K/qx6UAFkHFyUF +psN3jfLys2OjGnOYUr/eCngR2mcm4okP3VNvWyGlZytKJAOBM6FNyWoZAwui0YGe +hqmI3DFnx0f1LptNtUzyOiIcLWFnPkgSpM2T/9H0mWtx+IStzxF8hLNemMLnl2uU +7dRi+mibT3BxlmcSO6Kwk1IGexG3elsOJvLsc+tXb/wXWiQzrQtn5o8Sr0vFwdhl +f/dFkyCQUY5eR+TyFdwv4U+xV+r7ceTbMmnPFaua5sQlRbcJ8e0VPnt0Kda1qmbX +orHPnJaA1uQsVNt9UwIa7uqiTZku0GrSPUirM5JgPdt07rFXk4pK/xG8V5cCpLoX +/FTesvYDiOQHprNNVEiCXEgJS8rK7gtIJW2/utPO+ZMInMmNYNGlFlMX1IQQnl6P +0Z1ET1HSldVwy4BXpz9bEuyKJMWZtYw+z/jEhakYdQsz1sasHItYXe2625P0zHw6 +BPx4FtFXKxPfuYuGwurWYSE9WjKQMWQqXi+K3kutYX1HtPeUX495skng5BZmuaoK +Te2fOtmrStyvfy/SvLls6AKzu9ISU8WViEKLo5dpHPmu2HFTefwKbVs/5Ea6kiUI +toa4YiBaXJNNE/yiWbOa+S8CnXdS/Edv89HajsF2jSbKBcjmR7v+qCiQ5hhJo5hz +iU5TjpaGnH+LndJboTGfNABXkN80YTZOGATi5I9ngTNavWdsuDunciDagDhczgo7 ++KNLzNyqXHfWEeCpLZZPEInp251IZds+vVaId1zunTqAchaSzaeCy84pi549kIfA +kCPW8YqVXPsIa0yfhWIDMLHIFN0bGl70hU96aEu8vZHaCHqHgK2SgRENL+a+lMYY +/HW7rhceek1Cvlvn4FBf01xSA1ggz8h7vxNAKbh61WwOHn5xmVeCV3GKWojewGP3 +mVoHs3MKNZ4wL0pCD/XxF5rIcFF9IVbhtKvrUFRXm4XgYDOkOpX94eyFi0qL3wCP +XdtvIGZRPoktcI5c4ohaL8MOdVc5W1kRYf5OaxMeSYt5CbiIGarMNscxGyUOdowh +d37sJhc63uqCkZugu8sQY531Em3Vx8J0A6aty8y75TrW10XCQiap7R7gOtKoS2mh +T9Dv4igruNG7gFsPgDHDxeU0CNx3ZRtE1RchD4kwdO9HJuf3pWOAuT/b+8gPAK9u +CZv6GL3E75nhBhf2UaIh4sB4RGeFPVJleT6FYH3Q1EiQ2v5rADaDj9/te7lUYZAO ++g7mR3atAx1mHtutZx4b9cooF0hzl///JYz3Av/mdFGZpRpqYHeKg7plSLmBEu9Q +UZsshqx4sokBZGqowkbgCTchi0j4tSqXF4NhG9NQEPOdwGVEVvRFAQW8xS6E7Yw3 +/Lcslb+F1J+TKCvBqfOo2qOWWmNR4Qsu2BR5CHiUftnIjn7vWQjOBeaRv+sgnYog +ZqJNQkNj0j+R1EWYH+uGS7aREPJMil/NDF031yVrPRsG+k73t/znkphgHsLFUIto +p9G64boZels2+PT7o0S45NEEVWGx9d677hGfsBKCtFGN+ua+IgfgRr885HIm7kfL +nHoLMCp9h2qPcIi/Wo3mlofcNOlInySdc+yb5IJkmJX8Dy4BVbpmpV+3uh8OKOKJ +6l8MUn8Bes0qSMwwnn1PpV9hVHvjEfFDZhErva4xKs+VA2k8opv2uoKpzsYNtjoa +7YF5A9v6BEOrF4ifFLpgTPnmOEMeXFucMzm2GXVw/QBnpCfEhP3Eatz0fUrppPiX +0zw8S4UPRFQP6le7mwxjlCbi5+BEZSqjOyGB8OMoVrO5mFEY/vE2JauXQD2hhraS +4cPaqWhpM1KSo/bX4udbWEbrQxEtP1X/ECbTeKV7dAWSecdzPMwV6fgEKKxreTa8 +Ou7Y+I8eNHSAypmo6tDMOGLHAfzROYNA/Gn/4qOmp8vJ+DwPnTQwnjE+oIQR5nqX +e+NA11dDMMkBtJhM06SlaXvCQdtzw45LhsVfcCvUnEeQplKZuYrKIlSzq7o5rGa3 +HnOwTMfuYDthOwqXn8faoMVIkAsMMFb3uiqiKtcSUfhv+bbm3Bl+R1AT38ClWYsy +u5s7ZS5vASh6usXvsAIpT6PmXUxoftOxOHqVfgN14AGWEQ9Rlw3SeIo894HS6Pjr +jIjLbYLdhGxuiBBXNlBUHqrQ8AFe9UcJ875XRQruPYv/ovuWX6IqgseBUoZkItXd +jYxX6DZ+qEU+cQxW4V5xUJWqh8Plb5ypVUCvsEkDPuZc5pIplrNiYOYU01HbC4+N +pZU3DqL1wz8IQNM258+Zmi6xn/wJ8QhtWbPGaPx2ni/cbB+jrY3UGLwI6pp+Hbp1 +mt+kBfhxRf5ocSmc1klQ7SqHfgd6w0rM1j3OgmiG3wSdThKKWjz+Ef3SOZymhUeF +W1E7JIMtY2C8WifwTR2pxvnUhOYqdzARB+G4NMnh56gsAD4wrZiedxIpl91omSX4 +XY2dqdF7g7Bg6sXX7GQuo8HzJY19s/R4ZQ65ECQYLBaDQKNRu2sVvmZiOOk5N1io +1MGNDraUH+kU22Ew8wG1zIdWWPzeogPhfSOPQPS1xefXOUK51M7E+rJd8xocuMit +m4iDwe+7FUOdBVMHBXAg32plsBKAROdScxnYDdx6oFJhbDjaLffeflS3SIog6Jsn +5BEldFPL/H7sFMKruPzLYh6eXPu1Shgt8RGrKTUvCvnK4g9UCLCsmQqeQ0KLf1CX +Rl1sAtS9e/GQ5GMe8DWm6SUgPW8uNhoF1cgnjdPxYGX8e9shFuOiguGCRKcZOw5h +EV8r1a2LAjvw1+6FqGG4i1pQCzuSi2uexbg06yt+3YxhjbO+Nvd3GvrCWDIbmqxi +UCbWLbmTgFJsrpIbSVvSaUqLUv5FwmUBLnLkKa5xlLHfbIZax0U0qQUqf0NxwdxP +dj55q4WnSjiMRX+Z31otiIdG/ItZZ4MWnQCMFvbppXZ/DXyR1Puf4Zqk582nAtX/ +5f7ls1qC7cANcpcwKhrGNr00bQ8WS/PD59ye09cS16SbNzPYHguLiswtfltrwyZn +WN2PNrtAgeCyipyda6hGtLmsxgD4aDDByHOavplcrPNDBZfsRzAoknJRr0Hdmnjd +QIMhA3blK5LjCs81HZGda5rJYY0h4udduJsrrVdNVvHoY8UPP2Eeq1A3FrzEcFpg +fWwL6S1eZ5Z+3qry7CuupuYsfgDhePRQqqRyij3RsqVKlwshKgzwNBU412Py5BmF +J4Bw9HPyKE5aM1zI3wuim9PnMLKqIHIuk43KpwPvWbsljV3TAswm9McVSsEjeLuR +aYEZxdq2+1xsefB4CSRPOrZu5nNaqKMKfL9+yuEXS1CsuxRczgX10ol4OJe1Pd5o +0tb+xus75iH4PbtQh7jKuGeapmM6hwXlhd2kHJx4ccpbAWfuNbVEJC1cRbYvCqrl +p883Tkp5VPUnWCSp3nmtRIp7RmM7bFFv8k9yRuGtR9LvrMo2cVsasvZvwr99nGeZ +Yep1CfloT3NdOchsS2Sp9ax4M7/lLl/Rb7PL4vRyVy/DOA7hqRqt6LzMPShoiIEI +qGYITf7FR+ptXzkqfAeXVnH6iLQTZmLzF6TaaC0bF3YSkHofxA3QhE+PFmShc8tD +dNbf5HHkGal7BHLOuIK+87sYxVNh25oi+lidfcYQZucv8msssxq+SYIVeJJgKe8l +iD7TabtnsRblxd+qnqOGZU8ZnEPmYde/pNV3UbsX1Hch2JKx55EDQlZxTdWh2Lof +891WfBLx6VU+Ozko3RW2No0YvijytT83p6Kki6ORZ4lKgfaHO5JfydOaJNytm5uc +UQW16f9lHYCK1Tv3jxtF4IWuxH7/9costHzB1S5SjrjjNbuXYdf4N+zq43vMB26H +W4M07GP53wMJ0/+izPNNZ8CxaRiSOe3A7hXHV/RoCCFFFNB5DPbg/2C5BDVV52DO +wp8J7ulnWU8zyN9JSkdocnlgl6cw54CXla8k1zo5eYsSybS4B6wNoDv2q4olAHjO +ACGBKSa7uHOM9+wDO+QBSJNHO12yKRG7kA4F7rg5ULxNrLkSO1WeaqrRn1b7dCFn +oZF7NtePHGgqzMQUH4aXmUxsSUlrt4ik+8paFX1u2iM45dMGxh4IS9Eb8NA3QT/B +wO3UkjE2M71EbIc7bW2O4+7AehXEl65YKV23xqkF1u0kjBW4RonQwyI8Xm86iF++ +zpmlzTjvwQVPRFHOvHdFWLT4VqLFtpau25GG96B1b4B48eptkp0NCPxwvlInA4ru +I3Wtqxs6UCjhJ75ExFla3uCcV79GeTmCyMCj2P/AcjfXJJKtlyATcjmnjQ996F5i +s/mOkg2L6+8ZaNk1Bsm4GxrW7eviYQRpeB6kpxYzzm1TV+8K92b3C1iOYkbyGPmn +fmomJtcc7/8x71EYC9gsGjxegLf4mh1k6mzZoBwSgO/5BHwd9xENlC/LJgL4Din5 +NuXL43cKzJ0rE2mEFCNNN1z95Ax9udxvQtcE3bE/7EdpdKc6QKZOyTuCDVsjofbn +StWjncxHXWAs+0WTxn0wJ+4oAYQEWkyMQzhUrbQ4U1vsT6YJPx1gNrrg6qKOyn3S +gifcWOCw3MalK5N7lERwpC0/bKrNUHsY7TEceLvgnQ0zIM5yjcbK0VDIerd2cQWo +Tyyd/5pVFgk9s/qFjb2GsocvroW6DCQU0t/ktuaGqIatGMiHAfDAdgK9+4opCD9r +q6SalopXT7Nf/Y9fKIUBkDya5jgvf6BOnx3+nzPgkcKJIssiy56H831S1j8QShMN +KYlwLZY0qMz2uKHRwgFWVr44kndKOIqIAZ3TcSOBfMJDDRiD7hDZSGTlZPk6HeqP +1i8tRunP+JH5li35x52uYbnSm6lkuXTfM4oUjEGQe2k11aSbS0DEKEfmz68Q8U5K +BZcKTCQa+hev2BL820SO6vDmT97AH7sw1w2PWjz5SO/UJ7Je30Com2LtXpbfi6JB +RdrUdN28Z47gLmE4bxJ6d+TQY1FzkGSDr27CNWFG0yqKWWhPleVvLcP31iQ5AEwL +a9hUDzxWfqs+n1be485D+y9OFV6zkBjEI6pnfW46o6DBv7iVeyD+gE6FE2bdoMe0 +w3fi61Reipekuk39KnEjtE4h2B+8jM8fa3UrIER0RdDThz1zVgWwp6PMT1SP9701 +mZ1PLLUxEeTd1W3m4ERmPF2KEdQf61hLE7nxR3IXx2lFLaI8yAlxjtAW5+YIYSWq +jIne5OggOt+mc+qaphJZ/Xi8lri2Zo8TL6VZeBF4+yp9NcqqZQ2VckWwrO0j6vOp +qHPNniFcBTby3V1njjWymSCAZ+Io212xsWKfjyLoKnnHWGbmGelTybkbtrX6IT4I +zvR7/iPyfsX+aGmYBzlKvoz/auIvJ5k5imoqhCBIRkH0DxCjokfFqlTapdkYjAMJ +Y0F2dOvYfJWnSsIXEwuy635XqLr8HSYJvOvf2o+HovEs2pYITcs4TdXTP2zXWXST +npwDD1t1qJKoFuWRrrRJDjI/svdkPbrPUTSPWURXA5DbMe7HvDbxKg/v/3cAjMN6 +Exzwg3ZHWU9+7ouxoXAHa2VtcxN/jWuyDQTJuBFrouNwteWdf/Goc0XtSO81D1H2 +aOr9qd6uhl8JwAUsAxVjFa9OYfxHBXIKl2D1qOPDNQSU/rGgOHWFujlAGBDt36ln +4T3SnwjJb/XVD2INpcCJK8Jvoqc2zMORQUNvlWiPAOxDzSjKbObiw35bzeagmhiY +T8l7GGk692bZ4PcVzn5ogsFn0M0djtIVOlLGSewSFJRViGM7Cmoba413FYeDMNqA +W90mvtOQvKhVJeS9GSdgMFPMNLb0R36jcX6hE4N2/I/B5Til7SaCFzhSQvw+hSPf +/axADDtQyYCi5jkUusRjPbDy5lNXG8gl2WoYGTVjQKQzEC1AmBndMqI4PmN5ovx6 +ZspIsl2HQTVfRGESFeL+LZTx2s9IQ38v1YQEf9Ls33teEa0t0T4BGhdSc/DEfa5D +GiJ7yiIW26wDtg1wNG4hhwne4u1FxEZ9CCiOcgPh2pfH/iGheHKk1fndG/bnG7d+ +cDmvO3K8nQzYhj/NEEHEJ9jIx7jSUQC04ng1Z++abcqMAmo1NeHdVpMw1CS5Rrog +I2oUt/lLoWt5+zctctZ5HL3RPvIvq5chQ7evxznLSUBw8iVqM1vvap10FLDN9n3/ +8qR2uNmb6NEYg3wu6VH37GOuXdN6pQBpQUf2L2AIhOFtoZ4XbNlkIfLe1FVYShDu +7CARpffC2ex6vNPEI6NvaYMirZF4oep9fMjTalLVcK7WjU9kWvtPbAqORy8HLSH/ +nwv2UrNmH5MvtXs3ElepJBN0cQ7+Kfm0qqUKUHtmFYkU6I7Fle/gQD1IF0EgFwIt +QJkjPk+z3z6K7qmHrdB/W7rg0MokoEPWh+MznINh4WIJEvWHzEYYdPMXO+9RLl+A +5xjxYwrllI2UkZjZ9/QVmB22+Zaspf9UR9Ccn/lldRdus598Rl9O9BI6YAI6xza/ +FPPIG/lxkIKBKTSxEkzVcjGylPSKjeVLkqtv2YFMCyNib79LLhs5FaN9CMcCR5ml +0WNQnHnvMz5r279S65jVRHZIs/kaFugQfXn2s7t9Yq+4VZkrTl1TNGNRJ+Km7boQ +TSBzK5MGL/jKPBAyTDptFGRY9ClBlkWdu9z8fyqNaXrLx/0uQpaJCmVweUZpyrBw +wCR7/oJMKJuicovCWimf7ybyrYzlh/zKM6FhywWvAv07PjNhZh+vrwQ4WJoW4p4S +X2tMMGYx08IUYbkwnF0LMOCQrknQPJPwR43qExCv/wleU/ewP8m3HgctXqvxPC+y ++1grQC2mVueDmXVOwOmWAoZrN+VDrKQwJqUnIHh2AdAT8hAw+5wnB6rZNgU17BmU +49rwuREwjNbhdKiT1TA2Lv2M/0AtTKeXm3WifAhC5Qy3FbVhabOp3EtbSPoA0Z7g +tZWk5qSkhv46t700/RAfuKKsGQCoiVuYCeO4N+FNbwkux4x6xiYiaaue3QjfJ9fN +nUWi61gZykBDQ606Gua+p8g6UXCdfJrd90UmNDyN7x3Wtnle2CqwusNkKyT+y8Ts +aru64PnzMNRnoqojNSmguvhtSYXe4p1fFcqSLwSHv2nBuBxuvXKsQMjgEWP1XxMT +gsb566gTPDz3EAqEuHudT1XcvGvcmaydAHhxQDGCHYN5MbMxOoyNNw8i75fBNblm +Od2rjx52q4/yX6ZHlTZpPSw1t1qMIigdu/t7+gdTX/Cu1hZ17wm4hV8RtaG35wcX +X0Cya8PhnBK2fWsDPrXaILl4fBWV4eIaiA+7MwBo8+sJVPkVVbNhm/tXYuf9AD2H +pqiguFiEX3FML6TCBNErBEDkRrrVbKCgs5zYfXP1bPfNcNTf1/r4ZHR8MCEGmPkc +1K459r9roO+VkKMUKXToM2hpauloxFJPyDj7Zhy3RRcWDNvRXkWdMvN4vCEKChHI +rB3C6FBJkYfTrb1xyrFnKl/LktcYSDyqcIWi9kEIp//KxW7hzRd9usOQWaKGGE8K +RyrNneuzaz1oJLyOej6YeZ6UKCeCd/m3uJLsoX2O3NqR3SV51jK7OGeR9KEswZ43 +kBoJnWVGVGKi7jXm2QvlgtBFYu91r8fJzbNn+uCklyUGUxacJOSDkmO5LJ4jGG1+ +kE7ePfkwLXyTGiqZGM+R0V4l38MR+M2+eGj3AkXb7SGjZY6pao2a/57ywP8Lub+B +Dq5XpkfVDYRBTa+CHDT16WwkE7jkiz0c5Y0OG2poTEe+HB3Mlxstid9KA8XNdNLD +15vpKOLRl7ig0AWZs+UL9xtdZRqqFEtWkLpJHi0L8+KscV/JyLkG/SV+svY4K20i +kAF+jcR4NN2EWfdBJwO0ObFmvT7gwYGKnlT0rosoKIvMzvqLNXpSQ7Fr182wVFHp +4PRopcV0ZpRsQSxq0OzJ3zlzxLd+V/wJ/LncygyIx9LqvoLy0xak9m8U7/G96D5Z +ofo48ZvRLeG6L2mfkBdbJHI8hsq86t7jYR24BkrT2ICSgTR7wlzhDZtx4GRQSq/6 +P3w9bwv2lz0ni5V/+0A5GZ8pd0I0Uwg8EEjczLNJWDsLtLv3UvUU2a4PB6VXTuSR +s3WxaN2F6vkMUo77PvF7beZdn7zYzyFiOphzx7eLyVLrNRfs3k8yVzLVpfuRVe7D +YzQ4bhbAmZ9CRLZZFDDCHSYVTxfmskgbvwaLBCgI8j/6CFY7TTVcXe1iAh+TdZq8 +k719sL4YReut81ahrXl0j1Qz+8EBj/fhjd4C3ExLlz/mTW0jRz0q/jP8XneZKhM7 +WlaLsf7p7a+NpaphDdugitpZNSfqj4f5mc9YoCnz3C6sxtzllE1Ds9yG8Xz0F6ky +upRTJeD+0PQ+9a+J0M1WpLJfzjITv8bjLXZLx/FudihAFEL/hQXoNQcqjjrFQUpF ++plzFJFHGmP1XCPZDPSy6w2zjDofaY2EuOVSAHqWLWhq7qJbWipXHG5xE2JvEpn8 +hTHeqqDL7wI4GqqH2JtxuTn5C8qkmkGQqJDc4Nmr5o85LBB1D67aQgtMEhyr1QW0 +e9Fv+HUZKIU2Mw25Litdx2AGbhA4b/Z8yMfje6q3PuYll+wDfwKBMrCHJBt6W8tc +ICTwD/DIGPWVjmzKu/TpsjqmvLuaXxf+0rG1zM5mEWZfsw5qdAhCFCGYpWmuutNA +G5TlMowT+1txLUwJTRNPvANiitroNl0WXGoU3H+xbScF20+6ld2QBg+BmSY6jCE0 +2nfRPc23PxW3rShO7/TLePdO8zZnL/UUcpFELuDyEyLDfGdvKrH4FxOPToYUEn1x +6+m58XoOzOdzSIfAkdBNcEsoliBY6Fzh22fJNkmoNAKH4yvdDw9IDH0bZgViSeye +T/D981TWgWxkzjJJW+2/tMoFqEIR+ksOSKfs4KdUXTnvLbH/jYesHo3HbwfxNqbO +dF6d029azk3fjgGvlWzD7UE6vYwPUxkvOE7tF4YW57PbKYleDk9YmNcmInHKanRH +GSpHVZFqVcVw2FSTMGME5+4PCD05i8tf9JKozlFQhQCjCXmfH7s2MglDwF/MogYP +7vFpAZ5ume74LvCpxeKevp75ay+zFroaAeLP5tJBcDyQ2DKvYdZwtlcPxeboPo7C +qv5EI88pJhc9qdqdb5U5tK2Ya5A+NJLfcT2oCdRdJfAoucdBtFpRDgGpRA9ruFve +/2ySbnEkeNnQfxIWVX/DhTBC2Mvh57wPK+q2W0gWTp4myUa4fllNrSwoZlsEtIQl +AuESxK+1qh4NNQW7TfN0SCzuSco1oHH9LjHBXuX3ukjf1lzkCs8uvm7fgHX1BgCO +Rto6e0IfKHOvtCDzzrlMtP3R3VCniu2BEHdaeo0qDae9TmMu97DN2a2y8oy9rJ6c +ZW+rPeLLO0pAv1rf5+szxMGg0op9LwhrIj6D9RN5XE0/Z/QRv/F2aRHdgK85Xd3e +UliWO4Q2C6DYkCsa5AAhuuTc/r6YveLpP4m7Jj/yMykcdg2G3++yp8Nmx3FAGaCJ +F2fg4FiVeQtJp5GL386sTdUJBcqeQSQbjdLUe5g1BBOuTijC1ZE/GYMaBKf+Gh0Q +zxcKwrYhATU5dZtD66ah0GLM6STU9ZLFQq4ErCX9lJEQDXxOGepJIs47dJzZxdoy +FSy6OJyig+aNzL9/uisSmAs4DdeUFrNINdevISGaFubBTclN5TaH+WHBvBrc/oW0 +ALQWJThUJIJU/OnbUA8HWttyGmsFggbqIGK2oEBC9Md4Zz8htQ3O3olRC4n/cT+G +Epptls8PkMJLVLi8z1SRnjDxqYTzV5ccTDeM9Bg/9i48rOjHe9acEQgv3Qh7g6TC +hXP6EMJijWWmlrl6T0Mu5ZqoAtaM7fbzwDiP4UN8Zsl3LrHiDeGDKkZRq5Hy/WkZ +0dCgJAQxdmjctksOvXSJRJ12r+baZCG7fBv9OenyNBScpboWd5hXoD537SatIYMl +z88D2ueUzwKYqPFN+2Lomj1E6jaZPoMCllF3/9LiHkVIiO7Sw5M/Z8AazNwVFZQc +mv5nZuVhhXWrYa98Dg+ql3/5x+U519tP3U9zxNYIE1xUTI7JcNt06TCTk1pq56Az +YW5b9LJu+yuH41yoAQU2ifWd/wEhCJlgzItR/ZCQ6ixqFfmvFbY+KGkyu1Sn/uv7 +J9mZsn0mhBiY5S7E4PupLhmtRtp9hGKbL0zZOGldez4GTx1lNIQpYpU0ki+qr22s +mpHyUAtDLEB0psk9f0Ce0JJZ6KhBdlZ7XfHjRgyzTv7fjkBIWbiFTmSsaDVPReqp +QPQ0s9KeEc4EQ7hryZIX3clFPBHFUW2dubV/EpNOgfcyIV1C7WzIz2959FaFHzUE +O1Z6s+lqVWxZhcjbmA2VFMwraBoomXJv6EQa5j2/U2B3BDc/irhQC7FvTHZwRRQe +0cAAzaO8mOSewiv52Epyj8638QreK7FcIA1pPhTL66hmiHIRfVDxvO/bIzvMZvnu +Jkafq2PafHCnHtQUyN0jdp5KvEmd4N1lkSMck1BpdBnLT6Y44/z7IQfUl3y2OteA +nqiCTIQKhn2veLscVcKdGUdkAzOMDyf3MdWxag5hASwshGkbVCyVY+TScDZdAo20 +P2hHi7GmJkzHj0TuBGPFM2ptpCWHqUkPutAq3k08FWx7Kp7tLrvCcCK8QD42EIP4 +hn/1laVm6N2AwNiSb54hbAy64wmapUqx3lwV/MYcTTzghcdEdKen04cUzgPshsvR +KzfKky1VZJKRg5Wg5ZUdRjZl5ZJykfDFhDR6nhHH0gfxXEYVaGNSJCxl84DfNIGw +gxpp4k27bpA3EbMtdzcQPPwggi9nUjqht0vx8/73kTNF6E3IZ4UoLKaIqAQnPcYX +7vfYO7c4VshR5C+5p5QJqqGxJLOZorS+GvZw2Aq4js7XRzMmnegQXX1OGjKe/O0E +0tgXsKo53kTOkcsZov5fRzM2aH5p2KtxTvtIoqrRFBb6Kvjm2TpQ7bSsH1syuDlo +1gATlboTD6YCM/1JLdB0djNcviD/E3CoFSokzq1BDCKVUOUIczAmNcEYous+ruvd +oc3QpFaDos0g08DzauLfDCrn26H7LYBorPoZ2VNYpzMZnciyMknJHOQbxKLei4BF +rc3jfxbrWp6ckxL7QIuakG4gVcacz5jxNLj9TgDCL061Yawo53vlMpefmxYSF50/ +3OXRZWwtcOPuVsytFjkII9mcWMnOsN5bJNbuFiosvZFY+LVO7D4/d0ykrAiO37Mh +xxE1EAxRuS9gWozllblg6bKK1yID0LgBbif8g4QV8Tga4emldxHmcniGb0qzq4TQ +sIcvR07GnQ1iWjTzgCIJpA7XnH3P3iaDcWRXGkoWM9Yhv/9MXq/LwMKVksjNmI4q +d/DtnOWAbXAVncSyqIjvmYIGYXpfaJBdVdMuRpg87bb1FBCBil+0FLMOymd2Sdb0 +AzEG1eobWQ0JzVZx/C6dZOAn7unSD/vy9gyKgEPIAhZReGTUZi8Q2egRL4+lCYem +e5GqpdlTHQrUVJqp23gXU0aQ4qErjlPZvPH5SC06lXDKSD2mSe8BtIEZ1E/dMW0u +KrtBlV9bSOYzQC2gsnHAHDC81GBJs1T1Vf+FxXega3PESvKVOIxCb/VmMWQE+TUv +FRvmyOlz2zwFfFQJMideGlQxNPyX8hl5okj4L8DKAAw/nfeL6IwejsEnr8O9o8eA +BcEXHgR5bYXtkgvhgAF727C2fd5J3Dw/icYJg268IRxkHLSUyUXf0ylMDsRe/1zu +Xv3ezZV9VQjGZE9JwtT3eiMaew3enfB22lUhaJNe5iyqzdazl6wKp2RWcs63YR6N +Q1O1/fIs3sXCvVp9Bmt89cRJmABpVIT1pDI5KHQM73G8Romo5Uv126kSK6ITcsBn +1srFeJcG9d6Fcnr2QJjJTrJg/Hq1IFM09YSugD9ldpk2gI/Vs0Jx+0m4kotB7+b2 +QRZhBpsgdeZ4oQbBULZUE+S/T1F9fG59Ztas050nWdEUUgopxAykaqNiz4Var8Mf +afYoT8n6F1+PNQsdHoZAZOIxE1bWQ4DV8rbHV/rWFv2g5n825pkQxoC70gBp/C+W +QCHf/cmj78BxwK9kEZ2GkEhXQCaZJVSwMnHPFaU3c0+YRCWVX2e6vgTXS+8fYVqd +2dSEOGhBYIyMbWyat4MH3/yUMu+Hr9S/wptsMoroCE0Vik2wvEwc01iLC8UHOcEg +jYxAuYxpMJCl3to3su12QlAblNJbORp9VlHGu7/tXkcSZ6wdPLC6Qt3Lo4syOpuG +KjOK56PlECI5g9jRhRhw03ZsxP1KYc6z1Gx2B2UPVkuOHglUC5/ALLWfwdt+HNz7 +uwDGlufIJCkmqMLPcL7ig0Iy0oaaGcHc+2XdlSB9c5Z415OgQF1faEp5FZ3Nn/SH +gNt3gXhsq3yqynX/LmWRw5+f4gweN0ZUTPmuHVUbq7lwD33i4OLNi3tAtMyjF1ZX +RdFUtaPhB7aI4k8fWy1OIOxZa6SQvhZEzP5Tmd5oRUOuYuO5CeenltS8SMTG/m/z +8P4812QUVLaJAhDiTZ3CgfegMfrLd2PkCXRlTNh5zO1LMKJsWkJERCTq0/5cqSSl +ARK1v4KAsFWi0wv2kPWGeNuqpM9JDBGqjaIh9jh3Ei0bhmXYekqnVK+eOlgndlm1 +pTyohQRYQy8+YDZcw3kcabbYyCqerS6SHPIGPtg0hk5HlNJqFcjg5PiGFmbn+3n6 +8ZlBKliZy0eEbrN9MvAnVNAA+om+j7snuXhr6lhhPQsRIUp01LsZGMeJoBZeNhB8 +5/ZJ84PbIyEvXBvTtXLC4NqslYCO1nn09cu/nrcPpAYXHKS1tGAhK5De4x2pkfHW +K0431H8pM5jZXdrl89b18WC5++0udTccaXr7wF7Ix1n55VbqPp5BqzXo6BwRv1lF +PzBuS6nHe5dgFptx9qOA9Vcw7zAW6PtD37w6QbitjUeKWdbJOQ2FMKqVvwoM4a4x +ilQT4czIM9i2p9yCrWs3SDAbIGFU1iKMUYvQXm70AQgIJJaRu94NsjsKsY38ZrtZ +2838IrjdBqExhYF2ADaVrMg5upJmksqZRX8AIHacyem2vfkIXkcNGBbYNpvPBC5R +fxqKB5aHw147zzKSQ5ORwlLnZKlKWqcnOt5b4bwgrDBnQBF6U401cueSaf6bo2oR +tvbQ+qtCX4vynUbtsrZcv/+VcEYHFMECmzxhsk2ZrXHU6B+0Xx2dTExAjkK4H8YP +XKfBEjS1zo5/ZIfPEqVj++cYFDU0Fh/o/zE+oSHvm3a3YxjpdMsaGkoS+4pxhY+B +YVpLPN57E0H7rzNzacr4b+CmAys7sryDAZi1ExsLkPRSYD9dxTtOpmaTDyVzl8W6 +1QXzH1GlUQySTMnHYqWfV0FfA4+sm510JXXb5kLw5k5ciH2ZtQZm2/1uoN3c5R7X +jUBqTg1SFyAjER42SHAVF8hzHTn/7DarisEwVIeUMP3/sJLYJ94sbL0bgB1JdB42 +6nm7eBWp1ghTCzWGJmr+NxGRfeqSWMH5ykXxXOeT1/VBC2JKGnIZv8p306mig4CP +OL3666VWVLsMdzsQgto8OZeU5PPvOW3lmn+oaOMuHIZBidoE6zUAjmHGrtg4GiUm +m0p7Ct3xEtoVK4XYwuVYQ4pWIVNJcgHRDnb1FpVJpdnD+N07BUV7lawjlQA7sljc +aBAlDA67CfZPOD/LZilM8X+0mrboV7AUKv57jBW/ucWH5yGwztKb+OTlhwFYm9Mz +2b3dWBKLrdodSUFaW0awL+zn0ikNhsFbvorgRkFkvqwMEwHuwTDsd2/d4Nwxznpg +1ZzNSzKhzKl8PbNi5NQvdLKdJY3Zg6cJv6pEdZOUBiOXJSi1qgi9rArSyvX5s78L +WsV9oN6u2Y06BpHQE8cejRNQEeFkGnAdplJNZ8Dv3XBkICRYVlDJMsAu3yXZaOPg +9C03oRJJq0R4R/7L0jEHWGS3xjrt35hoLER+CqKmtAO8syLnGdeM8ZdV4aiwkxAx +GlhZZZOsYmQnYukRQ9PEX6XIER1/ArXNd+PjIvmhH0XcZ4Ndn0jOUWcuZZ/AMcn0 +UcCgJ9WRdiAZuV+d1+VFlIMLx4qNmNjQm3aPofisOQetAzfx+1MgndyEbUKeBZtj +YzjBS8pYqOpYlXQSczUYGE91TeyGJJ2d0YV9ufj+rA3WsGhQb1ea2wyxM6L+HfDO +losoVGVvTG7XWwxCzLSTBcPm2XSqowxChIcVq9l2dgQL8vQSoTPGFDrHFVODyuyO +nAcjWBcynpNYBjE7j9CcgP7qR+dvxpoMEG/s5n63z4s63n3vVivpBbERPbEXog3Z +3nWA0eKQqEzKzB4DuOqed4vU9UjfAekJcMl5SUQ8fxcbTg+QuYm7M6hf1SJIrT11 +abRsVxNh6STSaKya0Q7nPmY9CCtkGEJ4xrVPuafAXIKufnQyzCiHvnakmwozEl4f +s/yCBilz27xPYaqB3Z49/47T/vKoNtchUyDnz9T76w2OudRgDPERgBewGvTTAAQt +xDp0sFcBWeKUbnrcr3icGY9KPSeSlbR+dMVhZFTrKmNlSdOlvEnzFxm5QzblUXx+ +NQaidJpZfYDaiP41ikwjDO5/0MeVjIaUCSZdfyb4bmGeI+9d8izZteYaqyIVb2fW +NiUAEAPS/LObtqSADMylbk1HddfG+wZaKPGHiLHGvZGVRx7xjra/uRhEgigLCpe2 +mV0eiZapCh4VEsOG8JceMCPazVqXJXsyb6YqDbTkmWz4V/wOpWSnVjnr+W6GgOyN +7KjJciZtW+CqWQIp5fiKD5/HNuExCN9uKxtf/WIatrgjKYdnLPNyYCrZU/aS8W6C +7jf3/1Fea/+HivQ1ZuB23TFx1oI4komER8Y1vLMVF94+GKFWjGUpyM6Dj96BR7oY +gFPq1ChQ/ZfYkbbNLRAg4/8cidNxOi3w7kARMI6B4lbf0y2BhtYSgNICKkWamhZN +8NbPiqICKMXh/qtfi5NyZsimhPtnH7fwa340LF0htdsSd/l0HBWw3MXT5Uvuy/9m +ndUjeZnrio2SV+CJJe6fvV8UIJhe9GQZTwmQ5VcexQhTBidvrE9jjtKGg9/pALwP +QmGT30BSsW31vN4RDjwBkJ0sNBCLyVqDteT4d5rsFdmOGJaqO4c7iymoTiXJxmfh +q5W97bA4uO+uk0LYEi4Umz378RH8I9eon2vyaPOCHuQ3WsPrRPorV1X4xl6UoZLD +xs0JLD3sXSHPLV9OM3k6/rQAVDP1k12YqrbdHpRznzLKx8hw4Lew0YjBFUot8gIw +LFni0+CPk7wK+4lWERrnVITKOndYM9DAwL8DSz7H3rGFF2q8DHoj2DoY99xLQQ2/ +Cm9PAB3PEZ6vUOpg6wY1Jz2cOcU4dkE5vsowWiwANk/W/aJ5lb+uO9qYF/Mz140y +weIr0YhH/jHo0xZP1bEVCAAMxOLaWkPlN4YxVs1FZaUD87MsZO+euMoo6dP+ibdn +MzYLJt0Vosn9eSWPdoTcE3RJCqOcRIUXwE8Xc+hYjL4k4xERBEcmKT3dxDKco6kf +GackpSWFy7/QkzvnwmTTSIwzouhwwVfHHrLfx3qeQ7o8tBRWntFz8ubf6XfyJRVk +01ce4S8vBB5WscUKHRi6Fg6c/AwNiac5X91eKyc9E3z/mLZU8pbwVLH6eZNUckaW +8AeMoWTmlg8sMe2ig+UzOXNDRbkX2s6BkWJ/iNhGFIdjkPrVvRSmMr0Kcl63uJI8 +vVYpJdagFE6M7Z7U6nHuWykUJzQzMSq3rncn/l4de0QpsWJcMeyOOM0BJ2MuJY7F +ww70U/oyTXhlX0YNhiGWn8O8dwZXKgzg5sgyIzyZDeO7D98dENivV8SRJ+l9aPLp +B9c1lK6N6jopCLgKylD8l1/R2dMdhfmugOHnxhNVf7fXLL/CWnjYPntrxAH6szav +Q6Unof1jfx3fvxVkPI4wKjQ89AE1+JXGqAim5zSlnVLvSVW26uEbpyOP5cE7KLqf +QifiXOTm6sPCm4RryoMNGbVhgDu+T2K/aQ5IR/JiitOkU96jYSECQP5gLuLXI+56 +VD+eqB5O1vt35dqqHblIEVBRevJlBXHkHkRi4HLwLlzHFvLkdNpgWKRR1yr7+tEO +eFqD45SZWDYLwlo796Ix+EXCfaiF5FCIoZ3BGQG2OccCfH5aoIgPiRe9IhmwDCe0 +kmmMEMUCfTNtU0Ns5BiVGMS2Gpra2AVjZFK7cluZAuhgL3YVhyg+19g9/DhFIWyY +mkw6a4Y/zM6LrrMqMS4YwE5KJH0ftXL04/WsSzXEYF/3FWNCLIizt8slFdJNo7pl +GDnlSqR0PYVqOykTEBsP9S8rZWxe4fwtldzdlzOHgANmzxi9fArDBfowzHgGa+Tq +d7meHZWz5vlL/w3si/5ghLAxHZgrJEefOiV8iMOGqT5zHy2Zt9bZZa+sl8Jd2P+H +6Tt3bMJMTLIfCiaUK3GhK01JvziNv3ZJfRsIJtt8MZoqFlOm/k6OJW7LBK58XKI0 +zjlVdayPuKSjhZyBbHi6Igo6uXKLRvuHFQznLtqrMpKIcP4DXCAA8d+vSyN4fKaK +WD9BmEABuJszPVto6Hfxlgd5z25pDZqRkuobet0sI2R0TfzrJDncQT4asaeBNm8M +UYnR93MU/8FSa/FbuP9jaImaa94r5Cu0e2Mhrtz6s9ID9Ryeg+S4S9cdC6vhwHBL +MWNkqUVm7JB2t9r/njTVBWIUDJ6OFwHyINIiMNYG/fJxxlN+QIk9dEtpOvudu+eN +RSzg2hc2aLWgPtnOeKX+qvY7C/fY/CUjoSZiE11NeF/KHiPmIOfAza1kZ+q855Yj +o2tahl6r16uOhSzeN4tvm4AbAsTI6jaZKBHL130qWE/OwJmsW/RvsPs0GnIO85B9 +nPYC5KgU0HnufxekHv4FH6Rw6EnkUvJuUflPBRn8WhbaQUH4E1I6/dTpG8yceCt3 +YXw5Bx8Tx81SRNy8EgVeNJtxxIIgQbh+U0hfFiC+NtyCB/pFttbe55balrc2Np1Q +gGn4tYCYcTgnVXO1wI8CPKOoxMFsYOrvJf5kU3bfJ0rWZUh/KX3/gN2fcP7FdMHp +XM5zJpZUq5Ka2tv5yhMLxLZca9W3KlJCn+JGEHs18IODsduJ7sCJ0Q/2xfoA137s +drr0b5xbHcgXvKV/aG3yrKvZ3rZAMsETJwi2hXCmFSRSF+ratYpOQNrLwGqYYrZZ +T1/nY9m0pRz25R1gYNC4ZqeZll2MplMz5Fy5dVZ/dD95rgH6AWpdUMlWcuNUZ7fa +x5Ds/4eNcnYRXAix2W8LbXL2ffGxr4HT9ca4WV/JPV07/Pnum81trwAh7pYqUUCn +iQnhOaMjKkCzqEWEy5GwNnaIBMwlewx5wV1XNyzOkUpye1s12p9/1Dz1/wjgfNuI +quHkYD2euOsrs2McN5fD2GGmAr0GUaaKZd3S3E5oqdD+hkhXn5PHkxrWr8pfxmGs +uzB4EHLildhAinDJtDHPyLLKIyTfo1ziwQTe/JNpEopc9bBfW4aeP9B2kOWfIIhy +Ar13N8XT2QOb1ttYM3vPmz0JXAxLylbvAFtEhAavVVTHX6eVl6pVu3xbk9IE3H3A +dBWoIldZZI1KQ14GbkDmvnV/G9nzSzFGb8sqvt1GuaYn1+KsV1DHp96aZxe06AFx +pmgHyUojvPWtPPrdiIybgOhLiTEPhbqFZF4cTu8eTwnQFrdHsZzl+y//tcqFIDoj +EAL+V9elorN7/xiL+BcSWq8qHgLKXlPN5o4+ah8eGd1B1hdcthjXSgI/Xvam1dzH +efPF39AdUmwy3IDAJJoq0D4dwiD5J2n/nc1X6ExmjiTt6I+alajaWJXiY3jCyvHk +4qpxqxT1Ghldu3cJs7OYFVsGLkwinCkW/z2KVfMUqhp2rY/mXkPeapJweh2yPq/R +QhlJlVeRwDuiSa5ZtCTTURhCwjASyHZXiPCwY7qFMO47Q1UR28sHmAnLc2p0+0qt +eDdl3c3tJS8BuBoIZZsXn1rs8SkYBYZ2e+8Bw4ke0YNiySA+LAUfAgF5DufcQgDG +xXgvmy6tm+0/YyfkPycFc1CQ/gzO7k8zZXjNvHfsjeaMHRH9i7lTN4d0sY9LUAvy +cebfh4Q2HrP2E+EOa6urtXnRFYR4Fzvb88jEQpPmCPgB8rRmmnQNupGgeWX8zQbI +wirHgjeU07FQpuzIJkLmTkyEP3s2y8zI9zHIcbl+gy+5rcizPbaU/sjfzUc4RpJB +i0dgZfRushW/mRg0mWEtN1atNO0EKzz5L6mlNeYCp1Ax7XNnPU/lm9TazHJqDCpW +lUVHTjFYio7/79Ny3zSxjl+AhsVbmFllwf1FM5Y+7p3OTAfBHu3DmjZ6nBe0ek8P +QWDYDSLRGoOS46mRb2YTCjofryQ3D3nYRMs42p6+tUZzVHD3UYC08BhzrJTwWENj +9EJfEijksHTGQRHbtt1XLjfJRbrGaKnXovHQ5qePddcqZyRRx4pb3vCp2deahNoC +W81p5XpBsG7Qa7Eb8dmK/sRGR8T9aPqmsLod36vJnOS70F+XaBDuIFg4zTxFtb0q +MPBy6aaWVm3BN+LHUVBV+zbUz8Milp8VIi61Aw7VBBsn67NiELGxBx0dS0+hqGCw +SYJvikKbS8r31pRJSiQLSp/zldBouZef8bKL2sjojJnSaCG8ptryjuu1Pne+Rto2 +ee7yzRWEP3nf4yN62PFlhMPDQSsCUtrFWbpB4wtITu2cJBR8iOkgPy1bVXe3N1n1 +TMc2j6XQSvOxAqByIa5yKonov1OnYY/uxe17e9sF/WrOJaT1GZa+wAMhTzciwnPe +fbPLX/eHDmhQ1Ky4OTYYCFJBk+FbZBYOHj5Cmzc0kPtZbTvGxwCaBJ2iAvVXzan8 +0sY1AeyWCbxiemKv4e996Sn724bBk+KGCA5OE6dur/q7qjWvz0L/TbqZKqrqPxuh +WniBR9W0NA1poLUfRvS6lPvTbVh9sQ9JFp3k4sz23BSQMWiLWif7CN6RFPMMNFvp +2U0g32u73cGVTptZOhyTJFDk5oB3YeBdvHh3D1ntkJmyNMZhVfA4uBuQihYo/Duo +ARE+g870t6sGYqrrtyERXF4hF7P47jJC/buxrEHzpKk0lcZH1KooYQr5Dc3gW6RR +h00vhddkEU6mnvsOXt6tFwQGaNxt9Z9UrFXUOkBDQ7LjDTLfNOfUfIdqAcmRLeWm +XB1iUuXq02UENUIs7kpFSB5VJu7Z334FZzreDxBLrxmdToBQ42FvO2c+lHsqPmF5 +E2Dhs90anwxFmz7TZw1/vKSqwQBSIzTGOTtyTbVaZSSPHTssZN3AZY2zHsKGw8H1 +/DCFTgWtpBtjEmNBpqrsUYayLb9YnMMEk4lhNnZq+rI2FC/shKHvPoyXt5akyVXK +c87UrN2N1eLqRkZqoD5MYyB7lHBttvd5TkQdDNMdjNeJc/aThJpAgOYHBAQCSmz0 +rRnY7ly1IBK6bmfeMm9+APtLaRL2UktREMY7s4EPv3KLgZFmCdWYzXIqGp57NH6i +RIiAlvtQEJhQpp9T/9giEWu4YV24L+EHetjV/5EccsolJnPaOPE3NGPu1AaCl3xA +VZomfEPES8Hk2GZUAc0woXDMO5ElIDJL9tJPldQZ4mrDK9/QKb85If5t+OztPbmg +odvGpynlUtD/CHolUBXhcW+nciUasfWYJrxilb6efOgFP7+OHubxzi2KVRXqGgWy +USNuLutjANa0LFpowlm3+oBSf4vRLrnXj35xq5Rk+Qy9Gy67npxbWwE5VWuD+18Q +V+qIz303SaYL20VUmVljrN0yQIrBbR0msNvz8Dt+Z/dVDfIoc45NqUwz2LSAzveJ +9LhU/OTMkOPeuF1EkVdFHCVC0hBHlytBGzBprfYpE1Rw/V2ec41M2AP2cdL2yHW8 +5gmHsVyJ1qqg5tZQLYqWfEVcplgs1GtccoA0ATNw2Ab+JrCIzuatkvd1Cbpou6n1 +XzzRfn7CQWpCG0CYU55SB4v5uU9BZ1/qrPK7nLB7IP+E6PWiBmzZngwlN6CSnCZT +HCdRtlo0JYcWbeI7j5wPJ+KFhBMDLjcWsK8QKFtL2fpB5iaoH949/nlYYBa8IpI+ +ApyEyX3D2pUg080iEaA793LXmnT78djwzsOKuQElh0ggpYQxlpmFbQoWq+vzzPsE +6etSaVwlGmfCk4xKM/Q3nrDFNX5jqwajqXQLVzFKnPyYd892XK7JdN4Y1NsNL9L6 +6cN3l7WNmxF6/8ifOm+sdLxxyQZJpTLEhcVeieOMGWmIro0VimeqSYGI9tNw0ojc +ks3QSVJ05kb4KmaIDMr9wSW9Oe/vfnRv8w5n3VT/5Yf7HUfNCWp0mTtQpyCdfAGq +eFbb2S76oK/QBYNYhhi/1bskCQ/XYeqlv0uxivHldjOEKrAq7TH+ZHvP4XRMPm5H +sQP10wsIddBT4wz/sgyP7aO5wxILYCopdQMcz3+pHajDTotromuf22T4xWjF1Ktg +dRAhv5zr9xKsk3O6X+4qqyADKGPafVU5Jt9E+o+35C6iT4H3JjT43kBj02WrYJKm +zo3C16YMFwpEHQ1yt8ac4GGQqIPiTWZPst3EVYkJ0ZNj/pSqbEtoD43S6XPfi3uf +kpAP3AMu7czioifyMiGCgEH5y50pjfRufu51klGtpNZV5yDNcpV3kLO8Zx8TbM4n +qrhJ5746qbZkGyHz5ibmSDv4DTF6d3te8MuAQbtKX/htNL/oOdVrv5KxGiHFH69m +mLWvEWjA86p8WGA8JGEDtfQqZ4zBhtpg96QJ+bp8pLiYqf8FZIBR5nLR0CcA1xmS +7FfUC/9e0fhf7eefeAUfcWrFYT+VX+Yh5Qq3nigAJcgjmLIsrCLusCNqZyIsHfB4 +1R74uFgeOXwA44RK8Uz7RPMhjUy1Bb4DcO+mZrSfi464xzu59RUp9PG3F5cmEU30 +J0hQYHTa6KENa6lSzNEKC61Xn2NDtEzcxoFI8+hqBXJkjgi3qKTaXBWMoFq/xax4 +g4LzgDH/zcT43SjF4Ua50t5rzpYppJCcDJ5satvo68pDMZN/PAoHvJd/ndL83Y+u +L4wBUl6u1tfM/C3vyJpDOR5M9U6aTwMh1zgTkpEDlPun6A/biFFmfW2ouEIrWBxc +QX2qd8ew5RJCXUeSN7bCncDBwqdlBuRzcyqD0vO7JFKEoH3xJshCJKGv3NPrVHYX +AFZ5eqfZz85GcnPCLmBBPtos9jrC0fmY4eF+L7vhE8S+cQQHf66ynMLFSYwSpgJR +2cs6LNNiKjlBKFfV/FVni2oqJbOOyEQGD2Es1f0lB5oPp/dDJprrdRxI7FYPErrg +r2R6pZ9NzfTNvMpZ4K/ZNqXqRJksrg/iE0nwkocUdNSXWwn//jaggsMI9QXp41p2 +G0hQhVe3I5+JKOkdCK0CVTh5Xme58KR+Ashldk3Zvl6z2oHn0CsFByalGd4i/SKn +UGgVAu/o+mLSdQJE6BgbwiJHUW4n8rdU4PEPZSdL5U5UEq7TZ1HAAWtwVmIeL/zZ +sSFXFpKH5RmBNLch7UY7umrHW3Ae9E9DTdO4lHtmYAD/uf959v/cm8CdQwiqxbKs +PbTMC+xMd6i3l2dOK6/mQO54wN5a+TeMalopMQY46ytJlk1HjV64K3tSF6kr1OV0 +r+oZXqPv7e7y1NyrHDrB55GVZS6v4kaNw+y1BnUI+qLb7YvnzOO9CzgrfqKAXmw+ +/Qt2x00ZwcBLJo3ZYKKdXTnezLUIqHM4iNfWRsIuHgtGYk2Hnw7deIPtRhlJE98/ +kXvEolLw/MHkkqz9EmHssKt9FN+6iYt5h+V2Ke1EjTFU+YFoiVrfglt8YhaLwp21 +WhdKcalKkSEuBbNDNRCjf/dSHx17XA1bJORXXtTPsC8HGp2mrk8BLQ/aR6b6OfZn +SjLFnxmQq9NtHKvSXJ3Ig24F+MyomlWFFmjYRK8DCv2KRsePGrbHi0cwpjzFF48O +N9lKUPTQNrwZzHbyUS8+7aHqft6eMPlKvGJa1pHf2gp1x9SKkPHlJdtoAjoH8TPN +xUevbgUR51tXIrDyUnPLAIS+5FbPmXWlWgnkJYbVHqFtNOrNME+6nteMuQaqzvwG +f0jjg2rfeW/lzhhp/t/rpBWGWvcBAYZxtYKNtn3Ah/WDH6fXsEek+N2nfzoPXNRh +9ZvayQQ177m7MVPLH0Vyc05BX6+BxTVqll+wVrBY7MzCS+p+z+wGz58DkLVSt5ed +10gnt18jBzOutv7TwmX0t4DHB/x5Ufi3vS3QNea4/bGwgplWRyigzNRmFhjH057q +X205Xz51/dKVsuFSrMFu2r7xuoKm8G6sZpJOFbxWp0gHfc+4sRhve5An4i+TKXsr +NM5gEhdBbiANizS+xRyv/RyHxBxHgQ7NiFae/Aqd2S/LapJWjS/aj5/CluTOEoZ3 +ygMsfq4/jFwHeewzpaVMwOI1ZUsQjA8LpUp7wDSJBslJjanX0J3hHC9Q+dh2rv34 +bCMCrGGePdkqT+XbEAekT6kMI06iOgZ6a85npom5fK+Cv/HEYeB08fyxkMTbnw4u +G1I2Nf/jf0aKLuW+ysSmrKRBzkzsGO46/q/edL67kF6XspCTcrkOcOj7i0l3O6M9 +WSW8MsoDQV/owbZ2heWmKxfo+sDNmeueh+RKGcguc+pzX2SzRZfHf6T+n4ZaeXBq +DgYQiLygxyxUCb1lM4AOQBNFdCIXyBLN5+VX3Og4X6PCzs3symrK7JD5DnESCFno +6XDY+DNIv1sMuh0wmVfoQQsLE3aapsJuG7x/um2NDqC8hKGkngp3iW9rH2CeT6ZM +DcnJSA481qlWCWG2Ohp0k66OrQA40Othm3B8i3k+raeSNjar6+aoKoiH6v7zf9Vb +ie68R0E/uet1KXBrJKyxviFw2ntS6YcRHsOKwABv/t6BoKyPm44X42OTOk6NfCNZ +76x3V9pRkFDKTiJYp+4U149gfgUdpeDdHEW8KCBy2fP+6YRYSnBwsYOgvyOkonGc +2JokxGMj4LLiOcuD1oz3+A+5i6FU/v3rPDJsMlx5LIzBbQvNxCJ+9kheIOHmlCVk +9UxE9MuzhPq+1KwKYRs2By96kQV/qgOJvTQByHaDaAbIKBHt/iGngNS42Mrfd3hD +DYeh/Y/f3vGhQvulZBn+ViIyc+pVGgvrnssKTZT0ie+gQMj0C06Kx+F5pDS58Vtl +5nWrMBDLwLbAgKGAYdZcHnseMFmmgt/6j1e2DdS8pD1x7r+Wvg7aO0I0XdWm3T7U +Rv6ZtJop0p1ec7ExGpoo46kvu/UlfY/uzhorxNy18DcbB4x53IRmFlz+oMFMESlX +1KIKM5L5qnL7PcvfayWQfgE9NCAbhCjMxMGJycGdAZSlq2Wi9LqeNWae7Zm6bU01 +zhq1WByqfcyQ0bV7fAImfzOUsr1S4oAgy573m1d0+/bLh9BUdDj+0lI8wv8lFC2j +aLkV3kumm+8BR0R/ggdfUjWdlCZw/HVzw9ZFIVruVBaMMieOUBYiJGzFU8hMlWTS +g1juU2AzdM4CeA9+82I7F+60RefsNKbhVSJhflBDsCSD16FKPushiklBAo3qtGGU +TelGVyw/oobR2vnRqJ9XELOWQwwFAvm1Kr79fW2R4YMC95jjMK+x4rwOIEgkjwPB +IquXZVBcuhchxjdNVhX7QgDnU2FyIUnCShupNz/A+iKhAomenSJ7fpdRM6egngeo +wS3/euF5pm8OjmmR5MO08bdsSSK3t1W0cX8lYQhcshc+VbAfYmHzRUm+Xa+ntF9r +jTrXB8Tde6Q1URqHSAjSJrLoS7dIXZN6sRIhCi0ipvIynbo6q4jymnNO2V+w7Mex +c5tcQXyTpwXvMisZXPTD1bf+iZzdIGMM/OGlfp1ckhQTQtIiAXjpm88iQMr/rqN+ +rsjBdZ738UqHhf7FxrA9qsHrLu1HY+cwqYbloJpctEzGnmey3OaPC3Y1qm1QeKtL +wPLwjY7Tliy6UoZ/JZOhuZJwDevaaWhiaIOpGLVnCDEbXF39Q07XmpT9glxKBoJs +MB7sfRMtpiSO7pDqAeSfiU+VRGUajNERn5n3Fj08twiw+Et7W+B8tbfqcxwfusq2 +KOEmam309Sc/h4uhDN+mXHuOOmy8Tl2+DelIPmCAD4vi+dMXyvNnW29z+aGSuCSR +oQRc2HRj+46J2xmUW7Cq6Z7npB/2llR/fg/F8tQ9Hn7tlb+sbbO4QMLyIxXK3zoR +EhaVBYKPOxJcmxtXO5lDck0ZyNSBzwfsb7kPLs7kRyb8/FmPIGNuXps1uMWea+Yn +R7K9TYKvCzQ7z2vwfzHePn7zXtpop8FeFw7lQCjK8DwLEq0idNymiWEHlcFx0mbC +GngSeYtv1lL3uXfFZS9eMAQo+UIUbILCIN3WVoP+4iUgXoauu+VPCdJjtLC037Iv +GpNbsXgjAFvtyIXI1NvI5Yzk2y1hx8BZrwuK6BzgG6SCvJwGf/YgmWXXEWHeYygo +bnHNMk+KZRO07lcaKHpV6fTavidRFuOGI2IS4e7P/nJIZmFH6s4F3xSUPHLVQ+AC +p4xQpSKR8em4JsNay63LKuvOhuZWMWN28a29gGbJavKeNn6dhiN0jTLmSnYF2DTa +OPzTAXt43ZCNlbkAa7Lh11shAM9SSt92lxIc7oLUTRShMBcqllVvNMRkdgsZzShG +rP2iAcEhnkm0lCNEsLrVvFLvo1DIdBt7QHBmpr+SxOVbEXuIYAkIVeEW3HN6sFej +1EuZ9C0rEyHxa18OolV19liZBAUUQh+AUQZjXwZ1lfV+F8EKYxI8XcjOy05TtmKZ +92dTn5TLKaUjnUIi3eQRM8mQeY0FRUswsBelc6vagvV1W+PswzV63TN9MHDVuT0v +B1WwjqeB8XQfFuPWUuTU2+ef1ioXuGv3BZV83Lyqr/ijLkUuFQfqXDVbH+bIK7DV +ygHjT2OHgBkFXc0rUJrRzimM89a/6rqrsw19WG3rNB/dABSiAoDK6ngGLLwCgsAO +T5XoI6DHQkPwdxfl8hoOdscFJ9TuijLUEXsf3znpWnR1/ugWDVW88PMJISeLz38U +1+rqWhs9/mOmJ+IbFsX+aYUbp/aCGRIVYPWN11UGOOq7z2VUy+GV/2fQBdjjmiBP +VnpljtHTVRMEFa8Pxz+bGHUiYJODqhNHAZSrt4YzKlL8rbkBNTweIADRN+p0/AgG +eD5q1O7EQyUh0bDq1eU8KpEmZj2C9c/FMSEbe4Icc1wMzPLg/oF1l7d6gwSM7eKW +H9K3uW5hrvkra7ae/qOsFZbSCaRIvwNlgxDSs898DLNgMUtKt/GwcTAIH3hIKx3d +BpkPHfU+Z/GQ6SLAVn4EyKd9QnEkvDRF+dSsYky4PitkFT3JjmwNtpFhfx/Up1/z +1kFBUMwu4IZ2HLjLs68XpgjVw6hBIS8tYtDe5MTSbgYP10f4iKq6tNL51LBhm3oa +UE7A+C1qu5eitqLhZlsX7gyjJuBD6A9gOTTxeG+uDnsP6bD2yhu2ZJefdsARZ3ZB +ZN1E/Z20D55AWooHqpDSh94LAdc/BNAAPqqv48JYRVqEPpioEK5+kCBOqeTCNqfX +oofNHr14lUltHQji3ZdZlih77VSlnQnBP1B4xcUAbLZsxXFNNyv4Lbw3UVe6ZxVT +qywSqMxOuz/BuBRQi/0ZApPj7OsYuyHCah/RwrxDD6Segbv1Hbvsp/N/pAKIFTyE +/dv0DjnSIBnyOOVKF4slyFqvmyLC0sIqruI09QyZQ0FDh8YFu4vs4Y7jBazsYnD8 +ldRQgPrw94tFfU+cliLkltm7c8OR+tIs1OW9YEUb2IGPYRmIRpBIyYaULcELGtyV +tguhI6gnR+vnC3oVg9bc3HOSiokV+Hd0NTrDlH1Wcp8BVdaQ31XQS+1Dnw56w9XY +9AiSZUFM0BUOXd7MVpstLf5ixJd+TidjNDAhdAnNtQMqLtOs9Rp+I601WD5osPfE ++Yz/kDI5RQFjQoZG9NbMz0iqRz3SNJTCJcILkApPKOLVv7/XKVQc1xc4+HXM/ixG +8++AIyOffdpejUwRFDMJ24GQdxXjdbBm1WWR+59oMsH25W2tOKSJm5RyU4pcqyD/ +l5OxFy6lvIQ+ouc07F4re8u2wQnIBipWnR6hhABQ3NWYjdtFR1nj3D/MdhHPF21c +8Yl9N7h+tDxEWf2eSgvgW1OelPOmN3R5XQ5KXA3wHEQ+BGrgGrdDaRUqut2Npp8x +dSwCw/m9+Tgjxa9uZy7GssXif0BrhULWQ8Aus0vSsqVLStITAmen5uGKpCvYwoJa +qeKtGZy40QrFa+p5ffa1aUQila7Z2tFCflHUc0uGoyp9B/gCjXO5qQaea/D96MkS +ViK2+QyyLDeE+xMg1e5d+zksbVcpISZ9mlupIcSMoYZpmme1H4AokHSL83x5Nw1M +Db647+OMzD37smDcAD6PkOi+2qlPxrkENwD3bKXLsAmroiWsz6HSrVUybe58F8PV +bbS783OgqaHpHDHEOaOoydceh0KckqLsqQWem3nxeheELngkZDMD3+06aEsqv+0t +hfRC8vW1MSDNE+8fNF+0VSdjPqO4nFonKiX/7Wawq4rTPtiTud/dB31IUP+eo2aW +o/IRNRkzMMVrbcApCwIcVW9/xqruiUm6dtMRBLtGWzt8L77IWEaKvVDt+B1R9sXW +zNtmRwlvrj6xspckQ/sMvUJwzzBYaLEnXFunjSlN2VdHA9inysKWXth52Px8UrTc +nhlTpiBX/R7UYkkRzAyvY1GiZxroW7hxrABtjxAbcAcSeN0qnzq5m1Cm1576L871 +5CpRiVNDu4BuWYtntaJDWaUG5PB+6TvvWrbaUO6fQM+L3Zb+w+bJd6YxVsZZYx7Q ++21RjRePOHUkO/HL4xvDWo98pfETOm5CSuSmpKsZIEsP1T7i3ua9adpcjrJHkI0g +0mC23eOoe4pSzCP9E6GWgeSs7Iv4OsP2KvxgxPh7NzFamuUY8CXAd7I3pPdjTrM0 +1Ytqf0YwPiD3gSutg+hWsDZYNk8qbaAzebJwd5nb2JtG+3ReOIfJxLbxxlqa8LZ3 +eTtpQ4AZeAhH73bxY9wouUQUfc4bX7lp1VUwyA63V8mRUiZUwb/a46Gd7LirarUU +0K9UU9+nCd51cEvjsW+7LNd6W9hC9EP8AVuRnZTuoWifNMBLdapFCYIc7Tm7Bdz6 +IibtpqvEFtNOgwv74pALWUZIFaJ0exz7WS9sXehpIneDiqnyrRerb+n2XMX7mCpe +ZPxTMjyzK9ZJcEKQhOlgJuQ/ghb1zJtr7QOjFlJpQX/uhi+9un3JfGnOKpBRxdaS +k998oyW2oPtGVSOMRXDYpD9+I61xAWrS9IDo0nmoRhXdX9pHvW7S2jHuxW4PNEa2 +bJQlfvYZxnD9XaQHdj5KzL+gdnBqHvVKX9UmXLJ0eRDYe3zakyoNokp5A4YM14PW +ePIQmcj7rXc7peFQJP+opIF7I0/c2P9ZqXt89HjIkUGASPFDKsW/OCX2OO+wWU6C +kkIDwE9SqHTdCKIioC5kY2L2TlSvFeRrfRcom4GsVudnS33Zm/fp8+8aVrePwoG7 +oo4iGw6eOjsADeArxL7xAAU1EnwR9DLgYPOmrX1ITVX5yA6mfUF6ES5mjQEi5OWm +Diy0OanRd+RXogMHzAS/zEDKEMwY7iri6Q5AxFk8A9kLsMRiVVu2xC8gclr0Xy9S +8FSzVQly0J/gbeqTFjP1BsVSv+EbtvP+AdKJzs+4gT9wPdy34gxWDSN9Tu0aDRNP +T8awKng6w/A7fyBZdpAK+Pc8ZQsq7UAT000nfQ4nQB7KSaLphQuExVG944Lo9UEp +3wFQZ0kGGB9uDZdWcswKcJqTBUTw2kM+3FNuFpvF0+9aDMOGcwf46J4ZsxXhVgeH +hroQl2hwBGXzg1DYXzcP8yAVX9NZNSs4FROuc+96cQs2ARAJMUdqEtmZYOydGCUt +JHBqoVip2fWE6TrDEyS/GlWef/1EYwD0bMHVV7n9AqT1tdclB0FEBZh5kr/HF4nT +dSsdcyLS2vcjZCWtfyNKfYlYfmxZ2jUyWY0pRmAS9ScjrAkehZ+JxTOKA0wIQbcH +EIlvH5BdlLGw5hdFdvsVW0zAAANVmylLnma7p4uALg2v/PMCBjD3Lfz/M3t6rOT4 +isUyEAfSWTLXV9txk+hXgT/JVsNQcOHvVsu8y5Ta1GgC2S78LV9lavuAzPFx2XBc +iWJ3D9UWtL6hu0oNTNVshtuJ+cdRQksEqu5xsbjIn8l3kH5PZUYPBvIqc5VEUAYY +ULvJQNlYjWJJ05tk7yDuaWZPLIgxWpEHD8bKrjsLpd4R/I46AcElB8EdiT1p7jRs +U+UR2oTN6Ldkm8SSvEyKgjBbmtg76o1/0is2lWaLygaH+3YTa3y+2mwdBWpAQJJ3 +UXWNAueTJR5ZyJlybksT/CPZr+uGeH21luew8A5LwXnUdU3CD7YoTHMgr7Qv+HOc +M1RFb39tK/JEJHrsBf2D0mbNpeeg75hQRgH6iHUHXOIE4H1GwjvhfmQ2yfa5BZUu +0/PhbyKRcGZ2NAXZMg5aGkY8F2+U1OXFo4eTpAiVKMMJ3/E0P14f6EdlBPXwaeNg +F/TNwDetj9eekbiy5EHqAvyglflFpBL/3QJisarCQYs4QVytsykivIx5Xe3zJiXw +fYPhSEqbUU2s71fPCixFxnVHAYKz56M0I/8M+UQgiYTnFv3tWOwLFlfdruJt6VxS +XPydaJai6VkAcHXB4a/nuOIQOk6lRoPuZmNzkB4dK3wj5fEu6eWY1N5feAh+w8ih +d//H4bphtEhY9J/akJtZG99KLgcNZaTqmpOUtBTpTfEP3y23XVlLliB68+rvVcbs +KdO+YRL0KgEfI+H3WMPidM/4lgjMmWVhlywoFY+o7XeoUdGaqgdMg0wmHvekaWjm +N5qjOWN9VOmtYCOCZknEcEg2Yk6XIr8NpSZ9vCTs0zVf4eEBv+eu101wOIsmg4PA +aMLkMOmxLDd7KFgi6hYjwqtVhTPKL0lc77XptnginxkOQDDeuWjOaKdidbAgy6cn +hE0recaTynQikxjB0p84tmOnyDT9EcN3bAMpsaBTHAP4R/hma2j9XdD+055/XMLY +EY3PZTy+/evNXlOuCeG9srgI4msKRoy6Y0qv8KnFS26t/MZPG1X+v2c5wM9GIGUf +iuP79X0sMTPUBEhF6UG8w8LznuQ9x+AmJW/K7n7SQWvghOR553m2ilS3vmMtEzs7 +V14HIL9eEg1hiJNyxKs3iOMG41zBxq359h4KiSqr//5sdtVO8AVUO7eHkQbUisRs +F5M6CcQlakAptaUNnGnhXDUI0Oo+ytVr9ajuvEtNBuUXf4u9TTZ/9kCr/PNNwN/W +xNTTho+oN5K7+ie9hRpNdO76YrS+jmN4FbJGxz3rgp4Q6UzvXDn1n2fIyutKBy+w +KJdIOlesyHfBSvyNgBnVtti05GcVRYlhyTVSjyujfBgxthck42dvUftwIkdeN9LF +PlUUlYf7bbSo28Gl2dbRakzQRPDxUbb5eMVC9dzx313DBdpVz656k/tA7PeUue0q +rBJMuOq9+3YYqz34rmHlNqm6z5+gUT0TlDJ9XrouTJydcRnM/ZfS2F5KA4uXd4A8 +JqHztcTWqbmqoR38SPtbY8euKlerjLGbEvZQu7PlPHGMhKJ1jraldFbWBatVXF8v +C7mGfEBVPRzPzsxfqV6IZmM7b+g05dtnZuAB9hp5nafTW4foPm5MN/SiR+PA3mLL +dmXSyEdcd5B1R4RoKhYYDMJF+ncgyEF7VINrTXNA2vzu4wXyKTF7WtEOtrlJS3PO +Fhn2S9q0IV0Lm00qozbyhsN+tu8Bqax+sOlk3dGaVAMfct6oocWsioWaLLZynH9U +VaVTQM1T7hdCFWgFpfRGxSrNm2vbl1jfrP3xkj6QNZUjAQRVVBN6xqGx0zR6F4BP +eGF9B43wHldFfndTtWTtd5qOPYc+G/HRAN7MgPU9FbGYkBhqCHdbZdYZRtaDbIt5 +eu9ayCYlBxNrq/lCOoqdXjZ9G8/uFThiiY6BYwjSkCc6dz6wJQ2subPWXHepubFG +o2nP7JIpbBxBYac3nJP0GhPFbpXyb6XausBRDLSQ3ab2xaBa+yRXu+BH+/d++FuL +z2PD7cpMPf7V+yySRlec92fcGOlls6ux65+2lN1P4mXOtYzPa2iAiFGclAACYxm1 +x4q6fvAipawJypOsnizyXjHjBcyDyerXz1Esd9ljdaRPU3tFaMiFrtWYWUHjee3D +0ixufhbYdwn48bxXs383MC3ZQmE5oV2fPkuMLRc1lTMCGoOK4QtSIaSpoTL24Dd8 +tVzipTYVJ3TmigvG+F1CNX72mirfwpQblFRoatjudwdDiG8e35Xg8KLd0zjuuF3f +QFrpZysUL0x9xEc0KRWebYKGOIxw7PwglTjbBvMvbluriuC1EeM1E/g7tUDLGXwy +3Mb3riB0YpsupHtDtBkkN8Nv5/i+HFhYalVv+NEJY5BBQWrVPzpMDuqxkWWXNtm0 +AFC236hXW5O90rIcEhYxwy5lObuO84KEFDHb1Ld4t9pHD8bqhK6bhEf/2Yk0gdfM +wWNB8RZAOHdfMhEkIq6V4xIxZTmlf6cpeiinvd4kDhzJ0vAcCUH/lAOusvbXASTw +45XYxAgEdvazmwTDaqVbDcJh654eGdStALI5hIXI63tNtlIEttX9RIUBtEBVfE+7 +xE06vKGE3lWzT6Th5srGZf8USIHXNqVbDhOaRHHcmuuizmD8jGkg5MQ4M3JiRGWF +Pu4vSArRbTSffJpsp3N7o2iMGBjVJyYMFiJKECese9CiuWpuSWSFJrEfPx6S2KrK +t6goatG/uQ5Pubh35+uMcZaqGIsZsUjieKT9IHNS18od9SXkSBfk+zOMcPcfRtlI +oEbrPwUzZUSmRo5SQ5Q0WiDapFMilu9fS/T34aUg2ZgKZdhCVsZvrNmdM0VammDm +cyc2BuLQ5eMTaMG84UrwieeZa9aL8epz09XLmLH75myVZQJ3IVZhCatTfk1ficUH +dT7IpTlZtS2V6xNlpQlPqCNRfq8NCvdcRX4xFUJR9OL679vjimxbvtvdDULEZAaR +hyu2oLg483BrO1aPGRFv5yXcjWFWrrhYZYfpew/mzKNqhjXIu89pers3zSZja1tD +l0AXms7IZWZA3CFAF08+CX1OlJJ938pUXXjeAyDqvwg+y/bmr3pliuIWjgeMMvae +2wEE9BXIcB3Q7IumQ+EJfey8GVvr6JebO9lTmXLFWUXMeTGGReppLN0Y+kF05Oj7 +ab7sqIFFJPF1vva2mD6fD7+40pus5htx4aJ/mmmx/2geLe5O6TZMthmYdT+RPR+l +xMxokJoCLXs/HyCaf6MnZt8aFRnn5G+sn6oRpno7YbEh30hwf4ihPHx1UFM/sc05 +VIwC7IAVjcJUV0koJsDU0mN/6aL9JY3JDivdnhzmljXZOC7yQ9MpVo/Sw2hREScm +1Jfq9c2pS//KQF+34aD4Vg4MLewDAV0rMzD56GcdMf7FB7FUov94c9J/BCyJZrr3 ++e0NH+/yVmpynTOzRO75U05JYE0kMnBlVCSITlbPTvXjG1AtQdog5lREbATDNnvW +d2oy1D1PCaS6QApyjBSprzjyniuPbiLeuaobYE0hfyB916TcCD0QX/gg3/MGdmO6 +O0v+OBfUw8cFrVU4PSu1btQ+sEUNh1tKCjhzVKpIM/yuWdF0Q0KZQIb2D+q/HopR +HA4wtZjN4T3NLm0oWqYdmVvpPYYdaBVSPRBbEpYfdopukvGP5qN4bGM6itx1YPRG +qfHiaPS/5ry6o8Af55txgC5piU0gOVAQveqy26d35NyHO+fY0djXlOL09F6M6/sq +6FdPsekmuaX+ndvq+dtiWriksrrBFVrQ1yOzKBIfF75PvyeKpVbs4LDOTPwcOqP9 +jBpntty0WGMELnfW9tj8ysouytbjND1MbAshGZLyqM7HYw+jZZDJgCt56ga1DRdc +kbn2fgFhF6TYZ5NTT+z256s1qTfsgXjFJGC5DInuG9jneOphanGvYj0MDAOJuxK6 ++6AjCEfaLfYHPkEnd5VytEthFxi0iJLAZCWbsajm459ZHiV7A4AjHLgPpxauIn/q +tu+AmAEe00wH/VHDB+fkk3APv9VdT0+kzSNASJvcgEmZlFDc0KdtD0UWbwWLx7Qo +N2Q/YL9Ie4oB3RXUj+BQC6DNmd2AlxvVzPM+4lpXjvBSFRRVoFiK5K+R9KwEEomr +mCb775p30SsOkSBQQUszwGb2w4hEBnLAyqCjw+4fyEZswIbuqOl0zHaPWZjWFpt8 +PlxZyRJejYMJq4VD20cn7DgIy+IAg2RJ9IGsoITO1wzeYHZfIvIYkqzH2oNqnCnA +ZFJYX/B4u0rMRcwSBWxRBsNgNBxQ2oM1x7De/HqsHqDt7QW9C6WF+yY1n76182js +AUMxlCGneoZRAfIaF6CAmPc642DHcbfxZJ9v1wNvAC+vpPqDoNq78fwMmMMv2auS +PafTEpNBrr1TtK2duewdcNjwGY8lTSePTMHqzWpIDwClInJMa6XmENlkhcFblFyX +XPKXX1q4nt5HJ86KkYT4lB6fvfGsLupoD7gkomQxXVYR6Bk038R6/JkFyfQrHaHO +NXhhwioH7GluFPMVxAt67OMxqz+SnbuBMyO+rhYxVl4L0jFUbSSHbJNp/vpFiKNK +1I2G6IgXaRxnxPAq+wOBbmcQngRXavSEF+GpHcA2KIKbdN2WMVZLLYMLitN9A08S +3f0x0DLbQvtdwFBO3aJPF219MaRjwDqbknK3JZ3cZS8WHtYILuM4DuPW3h7KCfTm +bpgm/hsPc2WC7/ZWEvtTw9EiZ0VxmoR/cS1TPZbiQrnypg3/cStu3QG8EJHVkfdx +1W/VwJiuvqBsY+nPjs47rBmRdA/LJAsopakZP7Vrq+hcPowGqYq6EJyt601dhm9I +42T/M6IUmFk16TgBLxlhoxaNzOxjTlGl38TQQGKipuqqbrjaneREtqZ/KfoCmXwi +j7UA61EmHgdO5np+OKYAxO3xh/l4hzzT38L7vm2LUqL9xFwSLpKbi5LvhAwsrNi7 +NTkWOWumH0G890LkOqRYugPyevQ2BP0kEX9cFIRNIgUlVIwYVUtYYSQZjgbirr45 +m+R88xjSKEQmy6n5Wr/jsyUxAzhPAz3L1BMdDZcu0KnSjnjrDu/RmDylz7jCipp8 +yLvwJmTMpWYnBsoV0TCat4vtmXgBC7j7TBJ0lcsZwtkmOTU9EFceZpSb9bFYLaau +KcVSz2Nur5BcJxcmIrPW8GQfLshzb/XI96DkEx0aN+zMPhFBFXNhUMmlsWZuZL9h +Mv9xHY9FOT8/5O2f2sQ+DCPcCKM7qeR3b3wJg3Gt3D/Rs/Tr3zwtiMvf9KD/LCGE +Auy+RMKEoiNZvMgloXoSb2JODB/ueckagbJwmoKv4wvN3e5gZt1UNLZ8C6ZdyNUp +fhejJnZuLLXPuk5MpvYCZ3dN7pHw6/q7p1J9z+iODn6I4TanmG8YW9hoH2b+33Mu +XCdSEfKFN0aSO7wF/o9c9G1MX/zp3SPkoQoX74x1TC+U/ubJjd3FlNkz99a7QghN +tqQav9hPLVTkaC56P2tQtIf6eUMhytgIgDeOPae8soBTcPoZIwxaJwOh16zR1nJD +s52MK4f1qsRxS7xTfTEM8fPJzYLvV11UL6uTe0XcZhp8zaRh1QLbiz7UVQ9ldA5q +G5/BHFabZApRAb6R1PKSLr5wPWe3edJgAMmiIH6oME49V9mX8WzmJnQJ9F3JxDU8 +UyDB5P0iCW7UohFDtVkIL2gmqFA6Tj/lLkeqEazjCpQ/xaDBX9bXjMkYk8Q2Kama +rYUuPcv5SwGQP1Fp6Jcw94jeKoxruPJZYk/o928OUZR2Wu91kMxl0mNwA8ORB/PW +YfDv3o9g8yI6vIcmCe2O7RKxVWS+/y9igR7AjSm5oKR6tnY67HYLgNjT6Wl/gVUG +8OYbtHZPLLKL8Qpc1VKSpVHdCI01OStOM2Cc50lkA1cIzMyz5t7SZVFKoeTLl20B +YOhu1qAjgP+r/5PYhu0jkqYkyCeyhwjBNu7Wi8QX/dK5leZqaz3LTdlIgjrDQK8+ +jl9q9Yx18BDZBTvHHcG0t9O/eBO3jYyRI86wYPnHoI+kKw6eCnE7tkgEe0Pz851J +N67u/E0X+ALzN42XhZRpDon3TGVmjbTmYYpBBP7kT0EnFjfHtduwfmLECePez6CV +dvsahRC/H53lxLkm0VP/qRWVCfKxfPGibAW8Z1R6/6mQ4FB1oordSubEpnn6my1X +i0HGjuJ7L7v9DmO3HJRdLUyCJvS3dQo3vycwdm+djSsS5ej7fsiYzu3cwnzBNzf2 +bQ7oj1kiXQPgqCY4ODi5/Qu/cwEQ5jaArR972EeDO+uU/EOF2cOocgmkuKcHokwW +TVBM2+79r4jJZtEAL/MmA77WO0dDELNBFXdTcrgdUoqjdoDKeVu7JEQmA0iaGRBL +/PAE2L/D5XU7ZmCNSltSUR0UtvghC1T+/pgleK7xXcpN+JYktVO76jXRO1vlRvVK +ZGJW13QUjljZvTUIt2Pt9e248X28NM64yDsVWV8Dj3rUmpN50Bjlolf6USvAHlkg +7a45sZhTb+2iDkczYbYXMsv5bH6DV/XN5HXjkaPB3TLIeXWUkZHoFXnESwul0SRD +l91MHPxgZlOuIarmNkoUjqANg01JiIZ7SY/awJLiFCRWw1BCzX/AEFVfBc41RWjq +3Guci/JINNy6jVhZq682C0X8UHMrZv1XsEVnay7f15k9Q0jk9Q1y7DDn11BkXrkz +7yW3IqBal6rTxGWFp9Xwc2y/6zZaQsndUEASMrAcN+mrPAJONRjmknZgtKwgeNpx +YiavATADts8rW/dCeJ+CDmY5F76zxD//pW5CTltb93GCQwOKEqzJV9Y9gEg+Eg60 +NGOtkSMy7BTXHrBx24BNZ0mQhe7hmFj5s/Go5+cHpNX886QaifGa9cGTuLHGhamy +qbiy2f/OeMPsFKRcQVT4bYberhly829khYXdAfttrtlCA6iB6S2LpUNI1nmQ2BZC +KDZBEt/3Ht0918vBQCfp9LY7jwL7iuqkq2p85bdm53iFzPk/a+AH0vD7JIU0ypFO +z1JRJb/fezxM42NI3EVOc7Tqr/rmY+WN9s3GPvERPwtD/K+WONPPMHCyil8j4I9Z +59avSAOMxa+CNdN82NtJVtxrVvjc2jG+e+llo2p6LNnsVFxh7c6HpH9QhbHngM95 +7p3FfQycQW/R0E2rr/TFFNDMEqVqos6wsy3dSGwmpCp4ECJ7YWwjN3AC6Up4mc/F +CqV/i1KpEhtVanQCoZ6NAQ4TMbNMpPv+bhiUhmSXIdBkVEVLQmxEq8Jppkkqou8O +VdjKyhgnb8WjSev/tuOGER2+B4Gw9NoMJsBPaqMwd+i3T0DXFPCYF+lco3DXxQzx +rsTqbTFPcPqVxqBBAmEEIajyXO+IM4u3yFWubm6PilDDcQgmUEQ5lx3IZsMNe/5R +us7J1NxzaW+iaYqnPcHfOR9lXyze2DisYjohPf6igOwNvuCfetkeRPjvwieWpWzX +1HJiD8aurc9dn0VdFMmhxbdb5TAWzzDNgtLHalPR1qeEd6ypXXCRk5jDV4atwH4N +vz6dt2ZzCtVMgZhDDM1On8vHG0p3TVtgZ970ivxam6tcdnt2gjyncvJmJt5FHNB3 +EKmwFKIf/RZoQC6GqYd/pN89X8NiDY/teF1Ptd015J7k60oiPOwjQGuIVvlgmnTR +2s/OD/sfHzrQu9yzfMPAJn6s/r4llWqWZ5FMLir1cJp7WAoFjzkuYrfrFgB5K0Wb +E/xgHmwn6kAQ+eJzXYpNHva9l4cYAI4J7tE/TqTJy8gh+4MXzGGbunfHLwdF/q3h +zWois2K7HhznMXFHPJayXF8JElGvlK1EaTS0WEzRJETE9Iw8O/VQaB/DPC10F6/o +XjNR+UeFGCX8gJSt5L5A9lCpaKc8+cI/DHbTwezIUkOw1ZBzava+cXvoCOzuyLVt +fZBrcUT2Tr5Nn9eerZ52PusNKPV/Ddjw0thbFKplZNs183S7JAbYVWbsy4UB4aUQ +Rlx7QosNaIqVcMnfdQVz3TskYMmog3gZqpZslPTOJd1JRWIZSfDcKYmRS+qiPOts +euOxoHbV8L+UX3EndCwbCOHwVQwip9TJt6ucy+p2eTzzABlQXF9v18u1S4NK1WCD +WfXMadQ3OPmdFRQADtYhZsnuc8hPhZ2HOxLppMSCJHsnBetVp1zY/3hfkp5ZNpjG +ZLr/jj2As5plFfYT0iBHj5DPp3trffAxWY33amrlhCEyR4glnyvWgpzpdrhexncv +yoA/8vnPIOjgs1GTm4fJHJoqnWhg8hDQJmqEpZWdFiBTwn4MWEHtmV8h8x5GdiGM +3ZzmgKMOV65ABnpKTvyZ3cfgALklr/L6uYHG8IXgt3TDJhAc7W2FcrTu10DR/SwM +N/2Q0/lAixIjqbzHDvBjwAbT/Tg+gZRNKjMRMuM8hVlYhNuhWRCQ95DtYsO1zPKy +HxD+5YSV7HUBp4fJpEIoL37/nQ+zB5mctkB2QjcMiMlwqPnBfSLhL5Z/AmVOM4ft +nCxbw+Kx+iXwrEtuWcdO3yIVDu2H1ZUIngPudDjY961cy2LUtRUxVrDTDkOOKliN +xiWMynW1jxmmDDkR0mtsjd36pFAZNWdMN88prUdxv5tLh20P6dZnkeK8kLDH982d +F/ySXhoKY6djHFsqxFNLUDZ9C9Q5SDRZ/0NlpMtwTR/QFcRvVPQS2z0LkinFXOT8 +QI7vzfxpQoY4qouqVKIBC3nFfeFaX/i1T5ZgWzTGp0i7vPvI5wcp5T6wDXcwumEM +5tQjpkuhQVWkfAAG9wUbWcsJrMpdYFeGHyYhLSCRrWhgGMkIE7mxnMcCya8Kzf65 +q+J9FCCO4XeDs83ifg8eZ+IapKZn7Yh078fu0imDh12oBVN7Dwk7pysq8qI6vFZQ +vI7TL4Nne3auKeP+eBbfitwuuBXwxi4A7obtt57WlRByus3zAeLa07vLtOZYpgCc +djYB0PwENQQ5FHMzvha98+RjjLmhTAzuv2c7b6qbiWaxUofzHNQxCY50Eex4HCnj +FQhjOo2t6Y1nTmMzc4TSebwu8JlRFUnXk8wxh8OajLEsq4nfyL9/s6tFerNTLZxy +VmdSjn023VjKez/SbhNeWH16wIhYAuqtlznM+3JTI3xYFZ3UPEY8fANY9TLzxykd +DcWZ+dHT7VfnU4IlQ7HJoVE7WLT3IlZgl8f2xecnwZMNNIQ3644Spa5DbPP6K3+q +kkDlGLBIcTE3nGchYu8LAG8SOR1duYyyck5Of02B4nxPF1RN96QpFoRhvcqPVQQp +i7NW89SJA6ROhh9O6YE6iqp+X1gkeiZQmn4cU3klDTFw0nqAom0HqwEEMf3+z1TJ +dmBb0EsaSlYpqbJk444k4oKJ85P/q4UxDfphD2sOMmE3Or4WtiPws1xCJam9tQl1 +VegSdHT+eLmT+DUF66b4zgNJX+HninLJ4Z1g3VdYN308BZMWow50sOduJC+MmPCx +EqJ4bdLlcreojj0v/kPDh48Q6/ZvNiAF6qthDS3vA3fpB4i6+UDqVL+FbyVRB72P +LA8zHlBSQ6tCHyYSxYyZ4gtkAs/vSuciVGfE/vCr5KdWXbGPMorqQV/eq5i6Ppyr +qOoGj0tKELym1i7ZNBt0693Olt6KrS2HaI00mgyIbbGaz8XYU1xdo534QtIZrVDh +JdYtJ5qUD92mSYcc+9x8WjPjaTl5ncu4Dt2Eq09MsWBniTwWLhc74Tlv/Uhnjd0L +v6YEgjt0Sij2nNu6ccYPuHKKmn03xlMAYCkS+A2U60JpcHZYi5Es+mR8gUAGIg2o +UUsCSWJBN8Fvk5o/EOynXKfjCwYqkLxFIXueFStrAfMTsDga5EbHJRSBz2WN3fpn +A3f2ItGCVWzvku2GFQfhUwQcCT671vyqi/p3lZ4uPAPVL6aA3n3vwmqDKm5Ob7tE +fyreoVArbAOEc7wrEF+ExL6dy1K+UgoTFwDvmRLchQLmCO4WVpdq3RiVEB38cllq +uZ2fQ50GUvavRQJ5fllPooG0lzHcV21BwLe1zwYqG0RN4QM1cn8ItxEbREfrX5/8 +u9fqRNI+C6NKiPR7RQZZneXCrCGmKSmxJuQVPY7OIx3e7OgMQFMCnFpyYZpglrP4 +5Hw87huvaL1XYyDKGwQIMLSCtCDy7j5heErmd+Jb9WKDNIhjkel/a+PLe/1VAvkt +7vHelTmsOLD/2NvUlIXynH5fkAjhfF+SRnGOq2UaP327pperq0iAHYnZP/2tUY94 +3lR029cQoOKINFxXeXl6htgkU6/p+xArxN8H+QoM+w5Dt0qPECF/zh0xdZp9eUYo +UGbdj+uEgEt5QBLSjXEGFUjyhLz3n7gkWSX+6ilHiy0Nz9LaLZT7hJRpkRnrZj7e +fdabr8V9o0yYZgtli94VZiOzJztpUiOi9YzQquah+6c4SSvWy+9WKCbIznt5YY+4 +RZSbXpuqSfa2mgAJrOts/nK93Vjd+qG1zdG+/EShQhmeE+0JoC/+ZTPpdmx7E4Tr +E+XMP9IgPpOXEX54pdflvP0rtPNv7bGE5nozYH7yLUBwe9VYlYDXCkBgBP1UK+xB +ZaZcYBEqawOU9cI2Smrn22FXo4Zwx7G0GK1O+yHPY+Cm2eOB1D8cyRnAo440aZhl +licqsHWHS7AimgaOhQW753Q1DFOu5GDZ2/mYQwG2PYnnQb9EsNGC8ZDNjSgXjFce +AVAeS0r21WWUTCQqSM9rbFb5cZwyZiRS0AAuHSXPD+Xnr5jBQZ9/nWUl22BNAWLR +dqY5M+2DmR6g50ZSzMHNfnufVT+pgps4dPdQQgHtTKT4WbfhG/Kx0GEdxGbaD6ac +2hz9pf6g/nT+cAmoCgZcZpfewlQzsdYQUBCJO5r+Q+k9Z06BXAJew7/qH/CGEJfD +elKleGJu2I+j5nGTq59xLPFV3XSs//2cjTlV/LYceZUTV80ukAjE3x1lB7Z9lAJN +7ny/KAfyqP2g8aKCDyNuN6viowia0/+qeOCQ9d9VCmO8WT6Blb2Ysi5aUDswHOpw +WRAPbBiQ94Y2csqQFzMDt/uR7dVOHw3IT01W55kIKpMlD2WxgRy5wDqHHrnPan/5 +j239sJazwEv8einEG6ZJpCVffI+10wcs2VMNLE9po5nZtrv9wNlFF0a450Y+GbUI +FJNe1LDBCWaWSzkorEqTfKWeKmAr+fy/CS7/jph4sucyHqtRTcEis1XWjp5YAFuL +lXYvzHliz3Id3cunjZ70YHh61wGkgfyspbNSvsCMMavaiLQeiyzCkhoh3katSJCA +6TMT17bzVuPLTn2rwxUHGpYeoJqBpxy684fdcSdi5H+toGeUBhi7XV+u5/QbCwI5 +Cc+y9UR+y7Etq9iwN02v+7ZVf82H2zIei4LWruj9KckvJa3sgDOXwMuXjAoYi9zo +8tZRme3kGUV063RE3DQzDNnnqUblklwPr0YNSp+mgdAjgZq1jaoB3OqwHsc4znfY +3DI6UgI04EXp3n0Nvb1VSvReErwG8HWRpW+NqlRPM7h5exW3Ii279snCweenJOf5 +p8KoeamWQO9Ju74u8Qoq8JVuhbGQDqGxMHEx8tcDhAzo8oFsnN9adxEwU9vJkP6m +AOhErE94aqim2ZNP47lxrRj28ISvSrSROMqEvP/ZXYsIJwJkCYU9bT8xkyOXz/mz +dGJOMBJAFW5cYlDHl8KuLHOM2o7svcycbkeEkXBqk2bfh582G2/ICV+0W16E3Tcv +BZYuD+vqaSTa3LOxlP19lctGVmRoqByCRwhvOTR6SbSFHbzkwntm4xg4g3oqQTxO +9cMIXQImwHq7QJ5dZJrlNQ/5P11dhHlkb+1S59DTOuLzTtIyRahb070tutea+mJh +AL/XvEZGq3x8zCsOYBHy7dbZi1qbcVpSZUiaKk43/xZhwnLPsL5shDtZhx64r36a +m8ukeTsQIUJKePekRFjijMMNB8Kcv/dpbg2JKkEdUCSdPbSE1ldRzqw3RcAXd+YX +5j3VW1Nz9iQ5WV7krTEkztkRFuY4rmAfkJAZrsQGEyKDLQUpTiZP0jd04M8XeX+y +5yjEe7HhlbNAMc+gs5TgrROvq+Pb4D/Zky+rteDkWqY9Onss4IzGLROMNG2zOMwJ +zVwu4lWXBHT27BFzm0NkkzK9+IcM2Kn9PtlQcXR55c6lR0khmg8pQIKwwHi3Cjwt +FrTb7/fER5dNOZCvnOFCI1g0yV37KXyU58j8k6xBgnBSWtT9lqVKMfm/6eheFP3O +Mz1J3nYTpYQ4djnsRDbI0tsVwo6/2KEEda0EPurhl0omjMEDv1762PR4ejW7avyq +w8F8RihJMEibcfZ6BuQyEAfi0ktVdIpf2bIq7sFYPO+81svqJOlxjaZWaXeYzGoo +S3DJZPF+NGtgZJi0jKwxBSbS838SJfCdob67MDZGd80RBPi9oJkguUZBWHr6bIbg +VBuSoqOZopOMZ9LZJGDcqKT5lfb7Uaq3zQFZm07USMEPKMLcGoZeEn8cRN6H92m4 +FdYuLlQKUs6WXk1ZaMQ3+MRkZ/Mok5dtlS0RkLXRfxa9lp7ukfYrOzw+9tuBHzGi +93sbCbIUZ49SoriyoezsMDkkaL2mXZqP4k/HOGq6a+6nK36fnOPb+6OLRFmYgHej +ngDKlL0TNMp6s+qQZ8/qkvwnrSkCLZVXNxSVRro5pn15GGblwdmtmyz5gIJOUhp8 +iQYLvi8zq9xPVVV6opl1Uyql8DE0K3tYRXU3aAvpSnHrttK68gU06eMPpCURXOEJ +YhV2UUs3a/l4hfMa5cnKMYRQt+S1CBlJe1ap21Y+fldaizY6UZGiQyOfQm54ooAg +BIUz2qHLO/0+Fa8cfh9ndgO+TYFiNAXfIq68/HP+1XFW5REwtc0dqyHh7pyl0uY/ +bQr3BIKRWWnjQHqJwRjJI7yQ9pzpSR5vNs7cDneK41BL12GdVsQWUBCL3gCpOqDN +O+IFZI54DNE/jqBvyZCvezEB8Tll+qB2wA6N/oxmn1f5c+ODyWyI4I17w9r4KVux +EVLxJbv+312xwUBNWh0kZTA0nBEfklhsA/BeP9ovBq5sbmJDvmxGFo/3tU5FvK9c +Wp0Fvh01jzyJPESvtMzsSDSAes/HWc9gmFJHqCDi02+eTUEA6esXecbJ9s0aW8Yk +WOadbxfYCm7I9+iDg+CfmGlbGaMo1RRDFaAukozGcv69T6SCQugvDwqXErBIwNuM +u9Bop8rqVM7+9R1XZcW6KrNyn01UeHBI63xtV2vNUgtCJCSwHtDCQSbY2elpsamg +88eGxanlVKB8t+fTbmO6pv5ROXQ8kmD7cdeejcn+kee3jKFUs09vSDbPtIqCLa/g +oNp0LQtPWJFzhqODi0lWII06QKtetv20VDA2A1EdS+Dt2tbk09GlSjgyi3ncxss6 +NzZdAAa2YAUxqWHxppp2p6ZgtybF/A4o/LV++P/MtneDIaRWFRPFVpr1Hh7hDggY +ZWSwJYMa7xPMvHEZbO21NTzOPBOoeQ6EoVOQeTGnlA0ujekTjzvLOLJaiLlOy6+D +yql55wHgd5S+O5FH4DnGkzX8QFJ6DHfXGyzY82q77/xYBa4xS/Z/igyztoZCzpYp +n2q7B3Ad/N+iLB8A/TLfucMWFXYcnntrPAD9z97EqBMnWXcDhZyfHU4pHLrK0pyI +n20i/LIeKZ+rFmrnVWdc0A9oH9fRnGcVuPQe/BFBNJ400i4X96jO2HRTguCOIV9a +9iw52Bedurs5KYd390O6XMAaynR8YtD3EVjIeNRRQH8U0IL0Np0fNgz0vRTy3ads +sGkOVhD9DgKOwXrou3zumSbG+7LrxKcAitRv3DldcWOGo1VgB1Ty5aj0nzBN1E5r +Dw7f0BFY+68u3t/oNt3PEL+MuWcxh/mZkWoZ2xbsD1ttJoFKMSLJSZJ80kvlfWV1 +QaS0j0VTgT/JUvzoLmeuw/mIJgYnDYAiDHVVoqLTD22R8eq2XtjGMQVHhembwOD9 +dKe46Kh0opig/JxJzEi3BbpOjk1kjA0M9lZFz+kykSdoD0Dd5jsVJ3fbscg4mI9B +FtPleKGmF8I7hUI8TBRd9RVX2JQYfWzNNqqrap4TYDqKN1jlW+r4UGKSuQSU1+O3 +kLOxgbNNhgnkaZr9cejtISQB9Lf4sTQ7+7j+UEqzcv9N4OFdxSZIMKONbYdAkVsn +/09L6zETVYLNxbW3s6Y/Fp02abb8nvVx5ZJQTmLz0YhYDqhlriT0V3lrcCDZVopU +hByg47j2Glrn6H6n6C8nJEkRNFv2RRxoD/vsYW9jwpz4WAD49mXYoUKOzQpOnwSA +t484bbPQ+xjkT6JdMqmEaPHI5AsYLDq6oOFCN+fw01h/zlD9LGlNNRHIcrrpYGFB +dKFTKk9WCgUTZxI644xGPXT9uQey0RWcY5LwWXIygcS3g50K1TxNn7sWo4TET6C1 +rnlsKRq4MM7wihdTErQFP/sPbEvRfWeP0SXKv8pBYmMEgwIhv+4UY99hvieJMl7y +NkX58puqxt5WN0Z/Onu8GR+4ARZskDal6YA8nryAXx2s5z18XLjSVPaldz40Us3u +6idj1RrdmaPAx3vIv3XzhbLX0npXp//pDIYzVh0oDBHo2AUeqO77e7kn63pHNO6U +K8ivaVwX5xKGy1Z3Pl6bnZeZ41yKsG16zzE16Ezj5wsGaV7Vfl8kIpRHxRV9HY+q +ClkuZdZACVQmazbai8wCEFzGYOoQNgXFvV6o2hA6dc8NfQ/E9xfWfvq/QAf7KFv/ +QS05PufPDFQAmurzvJ3Bmx2iNc6+JhXVT6u28tjyHWPZCvsOMG8sNDNKJR90oLvO +wMZi3xdZe9VRgpaGXIlPv5txb+HRwfu73N4y5Q4kPKg+KEmZ6ZPdG8OHGYfI/hT2 +AObzNv6z8NiGOBFeQZhKQcqJImIwQ485rc821EAP7HW2GleBFbOlR65tW/hRT3Og +k5bbOzv5ZCaRXDG/YutWWSEFzMpp4TVRdxkOXxSYUi8sB45+SMv7wvNogLM5P6hQ +chaEA9XA5mOKlll0n3KzNo1ecHxwR0/4Aww82My82RTiDPgp6rv6JeQtvB71AK8S +PundtHSLY+/+PfQOohNn4d1IbQOb0JIdWeUy85kq1cFI6HaKp4xQwwO+K55+6EEU +k0N+JsexF2Zv5d2CcW0okECX1vsarBP+qxsbT+UPc11B5R0uCAo675neMjiVcSVs +KPQZ7ADJnGuQVxpV7l5SYxVzEaMEyCU/SnvEAjHCFsLMYVs9bylvTwUGMSNgqtt4 +tHj25C5FcjLIZWxDt1XIvH6COmnJ7ZWaEvjgf4cw8x5nmcWGJh+gSyQG9X5YL7Ju +ozuMyF9jgOUBy5jUHgyGICQmsfflD9B0VTd+S/2S2pGuDNSUzL9dMNQopSvV83pg +t6OvGJiTG1H+4U6FkopB4xKEzbu+my0YBWpP5XhpFPMQ0IcAA06UAx/iWmoE5y3K +0Hai1Uh+K+nC6XNQFlePasB6NClCMpDXIGUglG+K7CP7isZ+vBs13c8iuYzvfyRa +TLyCyzSExPkvYlmPKAP8juMnX6GJZz2XzeLn5ONwQRZHz3shf4I4iwRtSLExpgsd +8IJXA5ToTf8PLlqc4jn84C2khPIOmjOyCaWv0secH9EdN7cD0K+Xd4LSEM15HfGE +00FDOPaQvpGrDbAr3zu8jskxF0BMQ/QHbop9z6NX694OfBbdstPS/IGddG5ugX6y +ERBvhIFRFEyCZxMyVQtnWVfOyl4YUDhGeQeS4sEWCosnCdKcK+BwajuX32D/GymD +AeUaqUbmqD/Nz8rKugI0sBHiUg8F4h0cDvXpmz+MrGrUym4JU5Oy5WCAf/hLMtAd +dLm1D71ejAO8808+p1omkfvGmjM3MVTEuR61d2hODi20fPBKgb9JdXOCvf9156+l +ZCAzApyp7OFiKZtVQtr5JrGqK0t2rXuZNKzX7OcS6kp/KpedjbttuUCJtwTEta9D +viOM8jMxcQ9mVSSdiJKiWOT+aopalXcddqwktxU+sc7fwaaofJRYZWVyi5azgAU9 +Y3e0FC26n8RWuGCx9/bDmSiS6pCENmOEyNZPHluuq/H3SccONZYtPz1FoSoUNrfG +YetvW8uRO4im5vyTDGUSb4NpA14UsfMRLOvFEcTI+6YprMXXgezMMi9KWMz/RTJ3 +VPJwAPf+cb7V4g1HYB2J8rlBnELof5pb4xWWrkt0aMeWRIL+hJRf1hIlPnrom7Yu +PypJsupMykBJRibVkYcN3u2we/neiMYYt3VJV+ATbR5wmlCQlQag0I+iRN7WdUvE +DoawIO4kFdrU0kg1lvRQC5I3q9lX3kuHKY4IvTFDCNDHSbhNAxP/nr1b7vwIc13O +f2QzKscPGgAlNAwLNtYOgwJiA94MHSBzKlfHaIlUeStCDSZ0gkE62V8fqW/3MJnF +Se8c61n4k90hdnu4uU5UyTqFmnKNzz78VIWK0WyizOriDVcB3TnrkDjlvJ3Tgv17 +GBv2ywYGk3X7PYR2y/9cICzPYqpkCsmEA8zR8jZjjTo/98X/mahgpaMxjo/2yna8 +tRBxVrgbr8cgI9RidpPETBh8HeUqMIk9D7deBHrLPkX4j1V5AMsv2M0hlZ3qu48R +2EuPLrYeM66wtu5n2ZOVawDZEt1Qyginugy/PAv+bZ5v+AFN/juW1TuOAz7amkZ1 +zH5c4ZthND504HHPu7Pe2SZ/DNIzPN2D1eRpNOnCTTiEMfmIZwWmoGJvlQFzMZ72 +iH3zpKRbf+MRnTQIZD5l5p4sW1jgA1otRgLAQhxfnFWCO2F+CB2dUyRt+QEP58gf +2o5W2DVPXKYig3E0L0PNL03na7vA2XvQ4GiMiBrMq5lZ4b61Ke0UC9ljePLSRNA3 +nYhX+mqznLWyY6rDtDYQdaNT+HUH5QfyhQsE72OWTIA/GQ+R6XpxTIk0asFzdFFR +CjqGShI3EuqAsy81WDJdvMcgK4NcrOA1vYWITBs4MFwazZeEj0WvdBbUJv4FD25s +Vq7OG9m1gN70SllxDWYjnF+eswNerPv0Z7wVZ72Av6QJslV6TOOYCGZSOmLzomu1 +k75SXyJU0kBu1LmVrhgpQiehsOev0xPKG7XdPX+sxnff2I1CeG7Q7CzeN4pEwKRJ +r2v3Ohgqak2YhcAe1O3GFXr8EweCu9xxWIz9ivEx8cXXj4XV55TT7t9qqrSS9U1L +R6IUrndO2VKNe4A1CH6dLahg7UU3pUbYGvzrVtFtxene52ivTRVhj6eh3jkSTNk5 +imXawAnXApiGvZcyw9xv1j6F82N3ayNbEaMqE1t63Lx0zX/8lzzpeMOUCsAllfYl +qaTRBVSrhOMaX5pKN/mQpGY+Lk6fyAyRFt1iXZyVDc4yZdJAtq5FZs98fdkV3dPy +o8BAgAVZvg4sASxUUbM50RhiJ6rWbwrMZx8tnFRTJwVhSUAMAVM3oKgKgFwP9Zui +qx0TRcK65urtqlvpShlBiMQivsuImcs+9RC6/tph9UXFY3FDlDBTjT71e78ipW8s +N+dfgHCgvVEsEOBT871WCzZkOHpQUrsolX8NlJDvFTszvuEJTT5+05pA7zspp2CA +XPm7ahvFV3isqLYxrr3vwXET505vjuYs18RjiXacAuEMk4wAQJhe8q2Awttvxc29 +QBk2oWtqDBCwCyQ1f3PQbjMBQIxHTy0T7Dg7wWrNYmSp1u/Wudcw/k1d0g5kiipd +N6rHMUeHjW35SHowGSF3hz4Z6PQD6LXpFMGdDzCWU9cbre4FYXn0sahCE/8hRjwq +vDAiW2V50zr8bwMe48ibu69vhQohMLtzThFGzNoGgK5Heccpr0rWvisX8P1Crj+H +epygUoqObaXfMxsAgUj6vhpXMgxeN74R6uaBoI6qyHxyyfjctISQ6WswrSYAxhll +zaqamYGiTWe/IYd868t3VusIZvh3qfwcZUJib7RcjQQv79HwqgVxuINBu50jgu4t +JDCXKyzKJDCsE56cxGKeTSOtdS2ypX7+/ToFii7k9oeQI4nzxT0jGsK9PzxJMz/h +6uq+xTl0FoupQslzvCqtbtlHBrAtMoPfGcQd48ffaYbJRe2FpHOplSg5Yy/7CyAV +PIMGhCOj9QSWPLtcQhlXmRc3DLT0tJlFpUeqVRQlQBjOhO2CwTYJMqEr/HtLEf+D +Z/d5Zg+8aMNB0RRJuJkXgKVm8xWNJukwcKkxhlbYsOa0TdpZR0F1d9Y2K/QLGawm +pS9ElNNd7pSa/JSlyok3m2aqOGdyEtH9Bvsgamh50lrlxTC/t56V8bskVH0z6zLy +/TZb5buE1I0SsmplgIE5It1pEgEeQIUaKS/6YBrAdVnFSNSEZ80Tl2J8DLrTJzNd +L9PBvJ0j+LywREolqha5ySnxBdt09GEF/0Hwdc1VmYm0l5AjkTA5WUd99LexGwgD +V+GXpA98kFgErq9+98qwUWIiLLBIzufFRq1ReiCHHnjJwucDHTlYuZCJkdkGN0Pb ++MWtKUJHcoQgEKhTWPECBQG7pNsEdaUVjhLs4FA/h0N+jylDqe9Yu+birwAn59K4 +uqlHbRo8wtZiXaM/XaLpz0baXQYvUjohrjNwxazXQyI1le+opUjLFj46sUN5AGUE +q7Abksgn0Kuwgjb65rT01+9s2aJcScm1ijjDYfanolrHvDgXyAQIaoEY9luxSeHK +/lWvr4JKyk5IK7AU/puJdyrv0cqSF2vJbdDmdEbWOCM80xxAcXyL9f5BHe322rDV +mU2OlmB21CMT1MDud4+mpUcGOGUpqginbnMyGHfT8Pr+9UNygBtE/wNl0kzZ0Hny +zThG3trOeLK44qyxzxi3eqCcGcZsscyty+gtFhzdzhxpcYGJFsGZlLwnVpZf/skn +ZLrfOB9s0gwTTy3RTsreRhynxhRS5TLX5vDycHvRfLBVBDLWGQJEL6Fd6YJud1iW +PzrAoOi9y76RbR7Pmh4PVY5GV0MwbdpPxN8zS+jezCwMepM3VLnXbeZEfF7ZgGZO +79Nkt9V4LQOUDYzu/0/wJC77GXoRzHkHNWav2NMuQsyqQeBOutNWQ3UeLvSAGWxf +ncdAYwc84LThVPLoHTkIpaaUw1FXLF5ekPZVOhqxuOk+KeZtgZbMH2s1kZLrEp5u +Zcx87XHMFueIvTpeaQrEWMs/XtleI/rlvz4eY2uu56jYu1B4sZ0A37v4DoAmRgvm +4xota+Unc00uxywlwkFXFKr1mw33ocQRg5EDwaeFBu+D0ZHWTdw0UF30s459HYa9 +iNpIarC44l6aN4YJqX1bjPzwfJod4cE7ooJBPShrfh4Rb9X53EbzTyPKOokUWVpE +mCqZX5CBZnoKusn5MltB2SX48Lgk1pr5ZtnoXGJcssSxIp617XFYQwV4f03fSsa8 +G92rHahr7tX3ME0Y3bRFMoAi8qMVme2Ey8+MeyiVPV0vyzQocujyR2yP6gGvVANA +Q5+SDfftaJbtcDy8RgASLcp8sTedbvWzVcdwxv63rHVzEBUGAttvrIfQ2HDoFEEz +bf8ocuX0V4xmuLl0vxK06u1TtE0cg2ffFWKHcj8I2v5v86uHEniRU5GM0iQ5iEID +FhO6T6anWseuAIxe6pm2EREDa0iBaOo3YXRStReefsROBBPwRiVxW9PKE5HuyQv0 +ACcSnm8b848fmIvL9ftgZrS6UF+5/nOmyKDNuPTHD1v83u0brleISRocelSfWtNx +hsD+lGjbgOy653W+auadoJeLPqIG+XsWMWFceFyyCrjJmE1vCjDwT/CRs2WBPlLI +4V+12g5mI0H/K/m8hGLV1JoNDUruHTkidyS6iTZh8W4rOKYE9mqzWS9EsxIkcWq3 +IK+IrIZZWNcK1nudx77RaXIh4/ePnA4PAIthEpLEhAxEmSTX+gtef5PFwkDU7NjK +VLWJUpDkoNgUTqrpAtmnUyvLtVYmMT+pJyQA8kjpgnuTEQP3IbrtaFwWlGnPDz0I +QFxFErSX3yv3WABuFsk/AQQJcq3zxUTHpHIkvQssKDO2xeSXKBvuyDnJphUIyVz3 +to+k6VbnlcUM5MffpwbH/+8z8xztfkV7VW1LAyDKVEWIoeUhTdFMemELBlLWT3nI +xE0aOxTSBFz0gkjM1tYu4TixVbBFwfd51pmU4kfnQxHA7+aUUtswKaZuMYkrpOb7 +GPuZWinwEwn+vLKAtLGThud1AUZ8z8KsBJ+R5a5Ftdcrl83yiqNOVCQj5cVLJ/hv +8Dl0MftR/VsQJJ+LNC8CTwhdsKzBqWeR7eMFVf51rhSZymXLIluu2NXpLUevL/FA +C813lMnMoNfGrjCKDtYHBel4q4NNROkoVIs1KoFgZjWLfgHyEeQUgHbh0SmXghj+ +9rjvUMRmZqvv0XwlWjZ6S/J+AJ7udYVqlGIgmiqsxC1r0cxB1SdM3lA3ekVWf7mr +j7nbPjFklJtNkbpdW6/9nHKkVBubQe6qA0DlciMvl+1IlavNEx93SuEK6v1ctKi8 +Oa8i+7xXXE39lbhpieOJB4TocbFd6kMudeZjs3YjTFyI+Yh7Aihsnp7sYaRD7k59 +rqW4f0DlzGBVMsmm90OgDb+/A2kBKG0Qe4lte7E8/U2Mq4ZqpVR7ZsUBOkz9iqK2 +BBEB9fCdvQsWWMPzwRAOfEGJ5l9QfIDmrOfyjGQItpNY5oI1PSwLQarrzHT7DtZ5 ++WNrRqlvYZ729Q1Jg+Bk8EmY9rbGl42TbtYQs8LGwUbIGWk8iMkI/EswVwUm3cSU +Xd9rpWwnPJwbMLDadp7Fmju2FQyVPiHPrCHzfXuGL/O7XC7AM1e2aagHw7zcbeCL +rMhBEvvvR8+pr1psl3n/QxZE7r2NKhGy0ahMWobNa2dmp7IpVewkng6tGoIVMUVs +pc6GPlTmDFkWREEdxf8o5VL5ZpMv9D3Dtb2cplLqml6BQvINteYpb9F2RGqQFwO4 +L+ZIYZysMeFzYgD78v2ARAMJ1rO+5FdRM01Vn8VwgD3VI7XTYq2wAK1ESlTMz8Nr +TsM4lS3Rva9Hvxx6MOZvLYhe9yKwOgo48X7m4dRPDJgPNSiuiIKhFRHzB7XMzlS8 +AY6Avc6GmdYbFI7dl9mU36rfWtw4W8sbXhjoCsRpWq+tOshUUTq8SmL/+efqgWVF +OdHz2Vp4GPe5lsY+vC+nTueDIkONHCKpwxE152Zpie2SfsmMffTSe8gPb4fSDVJS +J3mVD3nulW02Ri04YoRGc0/XJhRo1gOyZsOFxB6XzfbZAlgXq87aT1ZWJ72mhjEv +Mjj3rDR41lDwW0XLf5Q1sKQ+ssxmWKPGKba6Q9Lrip28DvjVxL0WzKVg4i2K+z7Q +gjP3JScH8OWEMrlVqRRFNoiCTFT10thGXGkdd6XYaX9st3SRuz56Vv3tF88G+82v +Nd0iTrbqpdgjkfIlu6m4uXtIkEEzraY4GKJlXc2CLedV2ij2R6hU6k6ezBshVx0Z +biPgbTluh+GDShIeGFUO5Dz78p6C7edo6W8/aNVbZIUIytC3D4dRcRCfb1wsKiHM +RpDcs97wIDPIy83IEo4w7xzxtmRFeggzhVIxUSGCKxtozy+8iLlGvYEpz0j4t/EL +NgY3KSCQkt8WIcxyE2MP/jxrInbKSuuLCm6HzWy4eaPpBaeD+RmBcvIeujQscB4h +5IKegGR6clP1f3UNXAw/bSVSuUW9tObYJTYGBWbq+EdaGD2dTKFbO51OojhKoQKd +4A1lBR956TW1z8YARXL7VqiQO6/iNNF0eZkvbxiLEbjTLEP3NzKqZjaeXyM/atdz +GNE5w4MSZOFtAyyXRQCdBA/Su6HGUjBzMW0Cn2Yqi3T1AF1AOcWZu35bXuv/o5Pu +e5odj5AY/ms0kBUGlZeihhWuMOCniAHrTEgySiQVgT6a3MWHFkzbiRMKb2d8D2nG +rw/emz3ENop/cIt97tpsYbtHRZdFZAt8znb/o96ssloWbCY45H1z5/um8Lric10x +hOgcGCrTg2HVWdUhXwa8gSWQn/PZAbMuV+e8lxwu34H/rys3OaAUX+8LE/R6po5i +ZQvYNt8U4GBjGTBpvH7nrwSedKR++IDhmb6FxRJcx1RCBMzUMGsSuwFuhQioJwCk +jU23BAsTVIUGDfQQbo6pCRPSvZIoandSfFWKxpkp0Lo2uPxFu0k5tFw9Io9QNtgq +BI091pcd1uxWWB1mELfZ8BIhggQFLnSzVUky1ZJ0ZWyPFUsBYnWdE8MIxP4g6cN4 +zAHFJbAAlqMqnlyLZDa/+iD/akn+J2acKE76IROPtCmtNZaY0RoVmQ8sYC5Ijrzv +NKlVGU68z+6UWQ3/+JTuitD7O3grJ4gr8W+nHwrnUOnt8MV2t5xeMTf6ihirx3u2 +dZaIClkSIFU74Sfd6EOVAIZRjmg7k6UUP7ZJOulxLmAvwRwgj9HEUTMbOn4KxXaL +mZeeGIrjAcNVJT66DxU6Js18PDbNfNF0JoNwYAi8UqvSCumQO2VBD7tdloYVcfyA +LoSjSgF+9N2cTyFSpJF6HnjtbC4r9pwy12K4vyDNYgn5kMP04k4F6mCrrprZVWUO +mOvfd9zUWN+qAs+OXqMDQFu673KDRVjew5995MOp74PETaqtctTBlhMHaDVcDo3H +3RIf8ohZlIoeROHCwcwNC7cIWi7DjDLlxtlaF6SOj1zsZ6nK4qN+4uXuBZa4UF2H +lZIpiNpzHuHnugG8IQ/PADjrRkZIA7gU0/jS5/VesOEfdgJ1maDgA8jXlK+0Lx0Z +WIlC5uOC/tvx17HijvymogpMman+L8jSZQQ1TuqAWKQEgcBJoiTDw3XxuhkzrJmt +kyT3YNm4Z0Fc406HKBlJmhjoa4HIivTNcrSEmgJHH2GbwMvfirBQ4xGwYlURvIKZ +rx4jX9S/xdnGZc1Lh4VxwhZ3rvrVOv3CCDXAiEEQFnFPqwhLo/JfdGpU8CdyFV1v +Qbo/oTxDjSHfkTht+1LDykSYeO1UiIN4JQrNw47b+y+eS+SAVv0HzM7Ip6WhrOFH +FzovLe96wT0qBIpTHRKYjesSV+tRDGukirESSD/CPk8Qpq9HL02Ez45wjic93X2x +gwt/TJvwQFZPfec2Fhk2TLrSyPp/BdXQnq0H7emoJELXmsCznwtsx8VYO7/bx8b2 +PO6hQYfamV8gAYesMQqXAuqEmOv5bJMOUmOeWlKAr5j/BL/9gy4u097cbplZu9GD +aAFx3l4midRNvJ6fbv9dwlhFbAq56AkGyIVgDFGGHhuVVUK8dO8wYkeyHvMDw9au +AB7u74B+B/GD5xGbismlttQhyGPOEfiIJbeeYN0kk6WE6uMiLyFqqmrEpxzfvmAz +vG6gbrYwP08HauW9BHXpKKlho+R5WS/C4ejVA1lJchca2ASUH3CLNjqA/Yf7ooV7 +pt7pGtLhdp1BOMLSGyPjndcEB4O+5Z9JXZQVy7/1Av9flJKLVPEhMXJbW+h4qQt0 +npqV2jSqRTUJoabdoFWqTs+4QWWwQlvUNw6BLJu2ace66/8Ujaw8UEl51dP3p3nO +6GI1Asm0iaj4Sb3B6jQQpSpHgdZFLtJfq05oR/HdHu4d7/Z06HoKCLU0XKHYnmtk +MZ6XxoHi8m/hDqzBzwtPbqInKODPWyZuPdEyUILoNHJ3KzTjDjfc0fnADjol6SMU +s2edN8bNawaJ48PYwFh/6UJUEqg+Xui+bbnK7u+J7Mu9Cs8su/UPhTdLEwCIlNl8 +pKavWS6VtzGxY5fVmsGsmow3uIWfD5hnXiCVWgAMhmy0SyPECyW4ZOXIE9IKKaWB +cFjqu6RbWkh98EaboyJXenpJ1ikVV3uiXy67xTXaQsoDT476J1VR2QoLixnWAsI2 +hnO62GBQPYWzmGbBTKVbwmr1vRruIOZWOmnxclhVVUVrgro+EcWoEUQOG+WLLf1V +RzkALHl5qOMZoDjGKRYiWOjumPmpMVORQmZ51cGmKiTKSUQhRECKXdy3OG45XfCv +KktWYivG+wCnglujnIzqho8W+pqZNw7KM247Es3HAzuO6KmZuwcze1e8OJz+2DK6 +jHyMm+jixdK9pS2pghHlCqCLEaCMyIDxybfcJNbbsqY8WF1NFPfwtZpRYM+lBVvM +waAOUeWN+/oBijnCvizItA7/j+VXx7j2W0nIwDYC/LiejS0wM8GscsvWN1/SCiMu +iAz0kiS84/seKCgSTTiVZT3KjEErDu9gP6jK4ghT2iXDrc/8IYZUuinJ+K8TWplq +zFc2VkQdhXitSfs5zfhOE///ac5G/inPUYNxHY7ImXOR/X2Ahrv9NM7+5ApowlQS +RLwDaY1GqH0EZCjA4r2WvMkyOZuS/+SE87LEXJrA3odl/06j88xmnHG76hGw6NG7 +V+PjkLF7CBro/bJX0A2yCnY1bCV4myXuct+pJGebMVoVhaPXwiJM6Sxh8LMlwg8u +H0XS8+tNaI+bvWQ4FtqqWgWjq2En+trDin0StG/7R6/M5EeNYJqcWVWlAHixd3S1 +XnGoiBMdBNOc944AElbA5X2Sc0I+nOb60Dp93suvR0M1GpOBoJi19zQ3jmT3cGly +zpVmjOysH/kMh6HAo7PF7iLuxAxlqpTxOwnEif+znDnXoui5I+1ObQh1hRD7RkGM +h89QkNLp0n4GF5vgLub4WCf22YiuY6aM7NP8y+3ngjojTnuMKeDZOIq7IN7j9OPo +X9H96w5FFrevZVxmeCc9zAqexX6qz+OALUCF0hKik/1oMxx2WlhN5cMf/jqcU2l7 +bzQECoGKU7CVCq+Vy8ZOPNcFU9pnwfkqsaddVEipW/Q9kcU8f+ryISCszMkY+Jsi +gA/h6NFfWRr3lHbzx852ayy1Y6Ctd9LuvkamLhPpCKltHkRFF8ldPP3eDe6zbUj7 +ipAtb5hMnoX7ULDRJQflf4Vx+x3YBOAnkavGoBcSDD8Ye0hX3kolIaPXruFoEdYC +KNylQBcbpdSQ/eFcFrNiW8KPdscV+70znYzhbXX9T835m781KunT4jDSz5GUiAEM +QGjiU286XykE8p/pY/6EQKNq7ZNgtbeY/BbhTtkSvXBpHsVzty2GbfEB42sUDu2b +V6zBWd6wvS0b3N0iFNB0czZ4CmNcHi60/hpe6Lzx5FX8jmQ0X+zwTsGLOBolkgmk +ZpyTbzORUW7NSYJ9450ILJbBXHDJwd8o3Uve01cYri9JvSCnpJakkIBIfdj5qHsq +qbPOTv5k+YqPcXcWbxnuv0VZ8xrxWqLDdwwYVKAVI4Sn84bvJki+HwRICRiZG/wd +zD1taMeSbpxeHtunmgZ4x2qql3JFLa2jCEMMhjwxQqVBhADdQk2uwKAF3CbuUa8+ +0rUIjqgEpn5G/LYJ/OKiyixtbTq1mATepasa0F6ejYKWkTHYhQewTOxvMzuIE6vW +OWZ/yk4qq9JbRuixuts5cOOGrTlU9xuuuaon1vWQDre5s+vfZ37Ugxp2D9+b2/3d +TUf/Ku0rV5mjDsaIDBrLTa0v+boLR3x1Rqy+L5SRZ7oEhpdLwLyQnVcKBDuql/S9 +3s3YIi/SXa8FOx09nvsYbcX+i1ap3qdvkl6Bj8KJ0FcQk9jG2LxcAfE0zyiVNOim +oju3ge+fNlmT/wcokCzVG1D/Phr2+DUXnKjX3U2KifnTPeu9ypl1fjpPXp2wd9B1 +cJlVU4Mkqtv1dZJRNt7aMNHRl/DlquJHT8Gx4HL9mdfocSNlKMcnet1kmawqq5XU +ab8q3VidHHC7l2/GG5o9xgvlFUp1ODqAnXlvO2UVoi+XNFdbi04s6zeTC5PuIrcl +5Qa0/LFXAl4WxmcDzxFAa5L9Ohl3gxbXeySvD/dmxcDfqKdOwZdt/DfeJgMwIn07 +0hA33otOmFdADP3WtRSMuzpevAW8jkqgZ10hZP26QV6+0iVoYU0CnG5XVIpZUoIS +66VDlTTL6zaIqFxz0gU9VLSP9vS5VvN97Mf8Hw50cPTJ6I8gb23slAX9rEEiwXAz +IsI1QytuJBIgPdkW4hxxv3V7Ae1BdUQmPYDiLwwVQfY7q9MGtLGEl4AhfgzPeIyV +Urb7CCyAauSuYAYbnH3b6jErL9f0yGovcwyjWSKgXunhbgDc8UyM2XxUuF0nXhsf +z3HntV6uCtNqjOLYb2i1VIcEy0pOyWvfNIfXp7JMlFZl/zOIZH4ixJYqIN4zduYf ++E0senqOkptAiXb819ib8BhtZHi0sS3w6NdaXrUuRKP1qPZLOuh+Gft7jzQBt6os +dwXWZ3cxrxXG1YNrC+3hR9VHaSUcJKwpPBIsoJi2VIPsGPlU5kwRpsEnLrkqHVnH +ZbILAr6wUycq/8zSCoXMTOIC/bJoN51TjLzOhnJmeHnL2yscCalaBHva+AFlVOCv +MwYmxJq1q/fHD/Ay2d39bDx46qOk/WukAjqsbF9QS7Hp2gaHrTdkDbp6ltslY/hy +4kT46d2rZ14Y9Ju2Ha85ggRLi20I6Ez/qLa4AAyX2y3UKC42I41i/j06ZM1+KvQ2 +hDxLdMoMMj2MVNZlmcczaZUahVEX7me3Dp+EQAbQjMJrY0zfu+BS8XFupSepwFs8 +BEQjuu0HnnVYpXijqTUiZ4DAP4zDR0VZtCiWE3S/X273P4WZS2UNmqeHcgZ4Il/v +PTG8An9n2w/sg39q9NKzvAKrctQCsjsuaGvkEJ9TMm1hPVgNgzPYSBNEWVg3CXnx +wvpYgXU9+os76BJpoCNelITS5Zs/6h1CDm5cWYiMUDr8JNAeqEBeokYNNwwrRhgm +xDo/midIWmBJcc+Tp2QeRIfk72mXVJn3eZvEuU0NKnTYEI8XWrudKvRcmPVrCVk+ +Y1N3uLZj/6viyvlkFDoJpguLHed5be13DVv/h9DfPo+LkZRZiZ9eAPTT17Ck9v1B +BKQEH3wD+rk425k9zj6+BtrT2np27dS7wN4ameGsWery/QUHwLZL1XZjk2glU68o +uTKpkm5TONkUztM6meUU3K70qMBhM3SQ9Z+a83C5iNXevf/ZgD4/ku3bzjQehzBa +G+RyUc3K85L1MWCsLR7U/Uc9kb2w5rMLSj66cAusKjokhDF8d1L89gA91H2n12Un +Vy8R6n8F4NVMAGd7NOvWvFeOkRkRJzir5EMWeJHAazgOEPD6nYojzwqo5OQeUQK2 +XZYhBnyY4XbqBQkNLKejb/0cuwmAuvqTY+9XfjoGpjjYpBnn4LLZkACi0EoBP71x +LvkOmHNuujq9fNj20q0ZLYFfEavB0taBKNQgG+8GuguFadU0ttFMEAPwxf9sg4iO +2tVVTUAHu0MwBn/9htVhota28oAw2IQeLapfbb9TFenlW6ARMkht++OKlwuyLVTP +NLXK4lpMTpHNVEI4spm7b5rtTsD/PM9mUo8tOKo3Ixi0wjO743PkHUfemcnj6U9w +i4HNc+Ju8CyakEUtIqpj1vnhsh8FEi9bESTPdLMYQgAnzO4nmeU4xJIZpIy8r+Qh +coIxKcmM/fj2K8qZ8hkdnTIdij3N0X0/X9hs79KyLVAOQF9g4Z3AAObeu02Kv6W0 +TrvBo8npvBT0x1I6stCsi97+IQFvgANuTX5u+AtglAyvr9Or1oxvQttZGsMgw8hG +JwT8Xi+mIqqgxJEpB8cvaoi4pTE/89ePM1i9CNRHrJut3fRE2BsiuuudCzxfnhYX +CTo0KzbrsVHff8Pc5G6T1Y5DnwZrFSwcKDyS0Y7dm83/GGGbzUI+zmiwcLX/vXaf +uWF/DDPUrIngNoVOC2ioTuCtOsz1rSEJ+5IWRI8MfGGvyEEu/1pXVgqTBY+O5K6G +GqLk9uvrRUJxhY7vADhq2qH4bCRBYH3B3MoARjfaMYyXGu2dr/W2WbEU88Q7LbvU +C7zo3fmro6hmdwcKOG37MokK1VdpENn3Uj3w7dp6DiVew/YFVxU2eUmQmmgdpLka +yeXjzuxEbzEAyEj56MwqJ+57SuvC2mhgfGhGHHh7gzVQOr15wBIVTy0HIRRXSYAS +xOb4zQ07lvBQeO54XMIesF2H1No61VHYwiLontEaukIciZmTuJw9xo49Pgc6wIeL +eQewKOqnUkjyT95KiB0nFcL6urMB/mnWn5P4MyrgXQFFRdsRdcvmEXYoUCmrXWiP +ndOUZOPznDnOd1gwy10UMjmIUVNzpRciIJWJ7hTxbU0HzTvA0QqYrzQsSmTPCEHr ++7GDJeAgCxSg0h8pZeT6BDVhhENCQxSacIbLoakYYXJUpPMmkaS1xln0ej55qi81 +SWohwZ7Tt0TGzAUfZQnf97y2Vks8azHdfzJXzm5AmGl4Cds0t+uCurt/RvDPiJQQ +5HUMQ8ZAlLu5tZotyUz7aExSYtSldQvURNnmEtnhbLL9dWWoorKAADp/1Cc+xWiI +Lxsex/l+msrgX5nIKn8AhFSI/41NZMaUpmxh8mYyWuyMsAZPpFTzBL6emIaqRKsy +axrP3XeJxZ0umWITgApdgu66J32g57eQvie07GIER3jvS+8JY7f7Jd5wItxzV4iM +yP6zaCfwOqlkLmsDmZUHleoDPGsAJrFqONRMR10jjN6TRu06HB15knM3D5AQhg6x +lkUIWYePrzn8i2YhXJw+SMsz1zwvnlCed7LIWRnYnbYfnSHRhLvs7E3wgXCSUXQd +pgJXCJouxG+rlrAAs1yBOrKr7zdmDpd3LNU7s6C0flOW6Zwb1ir4aKNC+2dUVI8I +RqV3A2EoKOsDEmJTIqOcbyb538A3D0vrIH92t73A2sb6Vb3VUcCA7z75mgW/y8bQ +VK3HWKtXbJt0mIpjkdZXB9Wqjr/+5hZHPwOI7LRG5HYFkBHpXJRiPVHaigCjVKLQ +DU3f2Jx1zOg6oZef3aRbDJzVEIsAevv7HrrcF4HBtkEbBRkhhArDz0aaCKH++B9v +faEa950D9UVRUsphOQCPODEbnTWLMiHmRFPrdQOvD1ixtV2hhxmgWw/Vgy2NTSLQ +Mk9uhahuZWGIIIstbUZ3qG+A9V4jz4qXVvSzcsytwx73XMWG803ezaAy7xlqzhVn +OvX7R/lkZ5QjYBGkBqqVOepm5gSnqdfnsWfCL0drDDCQ+6cWsz2HGCDGpSUCoDDk +oPDYJbcsWtwhKfaNxCbx2NDzPqcnjacdJrqn3c14K46A1bwY9+vPdYJMYpjEa+Pw +shOrpX1m4SLCfe/FN8HR+gqKJyxL31G2ecrlJnEVxfdDQs2a0UC1t3kTBAz17XYv +fPFV5nAeJwh3H7HS67qxf7nFBP2AFPd/1JCfht4fsWWuFWjsciP8pbFneRnngel9 +XUAZ8B0JuvR8GhRWxqU/urKzTWAaoOUC4FTIGYKjsTlDlWtIbHVNmwKH89XFlFWb +iOm6wirm+zhKvqNSgmW54LD9SLYG2oGCRlLyxpKqxe5n1XPLXMrIqJcngEMajDkf +95GcVMp/ArdaSGurKRE2oOCTmtlupt1meLhw4U5vCAgPhg2lJV5j7vQc/Dg/ZtU8 +Y2PaYmpuO4/hI9guPJNfzgQkwKE4rAFGDoRYmaPZpsh3qayNS7ooxww+fy8nG6Rr +PGJ8H9imMxkxgMYU1G9ly4MDOFSgpJK9LMVZyxt0g2xNbdiGNXHfFThv0zrZqVLq +65jNSRL/aC8blPKSQ3jazrTgA3ZMFVHftsbLlEMSS1i3v1ZA3rhZkjCNbPHcuM/t +kCJkrcfzmcwcsbMzBt3N32lxwAzSirRRk3dkshPJFoWrmXnkKb5hTg81EWpDX3ls +S8y6SAKxD2etnwWFgOMbQMbHFCXKcAclZ/PO8sU2cDlBZha1VHb6nEF/JOkEM61g +eeRI2/nJ52nktkrWZUGqfMCD9zeVOxanI02Gq8B9+FrovJXthK1V6eS3FSE/5FOv +8sbGnf8ycUEIE96xp2bHEMD1L3f3xOJEbDt7UBpJydOVCuCB0SVDJolRq9oU8/GK +6im8MfXiqzgGTD+tSN/F0e5kv5kewUb18bajSpWWFQBVuM1T7o7QDMeBZ7WmMLbX +ugIMWbfK0mZlC2LJtDRH7afZhEayqunRsGtFI0E41EaG4yO1VXVTrT6lv8k+5U0k +onegvdRgH2/eIZtrpRbdJsd1FgdgEAQ15oES2EiNmMqIjvGyzATt3A7cSu2z2Dj+ +DAxjYtRhDHw9oAKr1LRjk/cFhhyEsKQRBGQlSV+CWSJ7I95gh1tFI0qJxdaW5QdA +1PfenQElxr8heXqcFtkDTVM6jFFEbLixsbhx9byhBmat1XikR8PY2DNYR6iIPOMc +aa0MJ15QPgOlIeutUMnY6yctEVCoDYioyDt+bscCaVdwv1jOQrk9Ajrg1vd/LSXd +zrYBZ3g/kmd4Re9Stp7oOPba1aLpBeHsBtyZ25+ZWplDZdNkt810YCG8bM60OlJG +pmkf3+IEm7jIP+YfCQW7+0f9TfHWq1XmQqkam0/23qLnooCxep4+M/1pPnLu/zPY +9iZh+ydWmsfIzthXLjBnUahBnmXdcwaL+tgaOksZzYpXk0qjMH0rbkfDX3weiwtS +TwmWTMYZ+sjupTwE/JLx7e2l4Q93qsivgp5Gqu1VWmOdRItKeaNuonM8CNtZXvvI +8T4u0x6eix5KPLefMc4zDGZIQVTno6iDF4H95t2WOgiu3lVhrF/ijI65uWc7wm1Q +SwwBWIaeO4oIzUfBtIWInsaXBEiIqUQxugsZhD+uNkZ2xSvAnsav/h/l11O7UmxQ +Fp7rah859fd8MQdgS82d5NIFGOA+ibD2GJjVK6+qbfd2GzWmSR2dqhG9QF/Cuj4D +ESITwCe0xf//5j6PtPQK44wNw7jDpF3OYBFTZ1VIKlYlYhkt3N4OGNUA0B3pNOl9 +TAr/W/SBgF6TNdErg+14Oj94+nAUCxUO74GBm5DaGeUvQIJRO0Jsh+YzQdZm1wS7 ++f+ic64t5vhm9QdBHFIeSZypdjVl+GNAPJNq/FA7FQu1RXcqGYPWQoztrrBuyicx +bbFKjXVkt8fwWqtQ4c568UVvXpiP09aZaFVfMHRpIr+B+3slXcSH7JJrbId3ZIxe +/1Q9bR2WWk/mlgjJXCrrhMgCT/wYezP6aVyaNFKFXw51bp1t3WkitEx3/hnSE99t +xOWGUQon64zBQIRrK+BEbDj19iu7d/CuSu0qf5GFoybfuhlgUG/0fK6IGj+VDBKe +HjtT4HvOKj+OImTkACFGmsliqElArMWVV55MNxSVgPmwfeqjKgg0LH1FB1EzKuTY +v7QXYOqp9vBlFC3e73ic5y4fZGnpWfPqabo7ttcuQwzqoC1xMtiObGfL73A3C5hC +cR5TEZ/ASyl7uat3Hiji91SXU1inn/alC/fMaUBFqLiewBM5VasQofoDojt45u5G +HlvZJ9r48iXvaAk7Vghr+dTtLMHLW+3vquuRo3bXZhUUq48Psb/RZqM+jhGScSiH +MYkrdvPgsXV2yO5Yy90c8h9NY1K/CvOrGg021E9Lx88ve8cVHsg3VRpMUoipHAtQ +yy4d7Pix6b1CLVPNelpMAXhaqma2XB9P40HHbJ3yDZW+w2NbTSnVxIG1cpJ5+2HY +c8XsX2+58g2drDjZfDika9hSeli0Rp32kj/KLknmW18Dju80MMV8rkR1lb/dmLy1 +pW9qFECGx1OkQCl2pj/Z9b1McJFSsYVG2L5hsHyhPjvmnV4uV52WZIEDWh/T7B4b +r4ct0bkeEi1HAgpf528hP1ohild+d7UcEorX4BbfMokM+glDZVceDZ13CJa2MGco +iwLuGXIbplMvpfKFhDhUMJcNdnHYFCZ+z11mdqxAMBxGVgbC9lRIMvsxHOemAT53 +M5ldar1QHhaJjMiIwny1TXA4FPMRn3R7fyOGn1/VURqt041Yt94icOGsB6K9yoee +/kJ3RXvszJvzMuJ1cJ5C3uw7P9Icb51sk/svdGdSdFYwuckFn3vaQLwD41muSY+1 +hAFyqgrQblSWYZm14lJmLL5nqMMaD9n9Aqzq3H6x31c9DWuGzmboTRzzxYjaJa6q +PKAFnqgcwH738KA1z6KSxF3vvKqDQC/SBFZNPPklpTLah53JQ2o8n3DJae8girSE +2XViFg5MW9NM3cRnR+F3yDiK/Maw+8dr/CJL/dZcTZO0fV6dppo2N4XzkuQmXlI4 +f/rlYtLb1IWUDF9/crwrJIUQ+MUQudS289EUvBuE19hZ0KGBBrxjQoAXTIxO60JR +XQVX966bCma2wnhRZGSE1cel47/e9Ov74kDS+0GkVkBwTMxBxv1xmTsJ3TSDOpFs +3PgcerzVLMaLkcTV5mUUO2rY/vs+qN0NwlYQcNdOztZup/GcjF9DobhD5rnL4kxE +Y+li+ViNngimMjVyHMj151A6shCQaY9USPcH8GKfB1wTK3cyslRJ8QFyvZMWzITT +q7C1QsA8Ubk2QOd6ZtX3741xmyogtR/wBpJyXJwVPhPUtB/QlO2PmjU21LZtTUJs +L3SMixuv05fnR06ryNC/92cnuZy8wh3aK/JlUInmJT4mAMJcYR+a8tW/GuySDLrm +/hn94QLyAdwUwappcp93JqbNuni09ThHOx+/FR+qCdR/GlcBIn7F1yf/28c8sEI7 +49cBypVA6FlYPkbtKSM6+eUXGXQv3ukDTelhi1b9l2UNySVCFmgbyWkPMrUX6G7N +Adjsof4FccYkVJVGWsyLf1R8iGd91lEi8PhjUAjw9hxh8R0sqOnyAhdhzFN7TG5T +Yytd1Y0ZJ7xHSPf3B2Ujtzm68DYd0ch+w89OOHDxOKMMTIQUMjTZlW/lY2IRtNEO +6GR7BwQkn8BtQrzCWGGy0uHDINhK8SS/GIEoaz8DohN6JpoEcO30w2lhWynqjnnU +TmNtGsAJAqpxBQn/r4bOIZNl4buj10VYlvRYb00cIKZg+9L/UbXjoKG7R0YcyQbo +4+jVpN/KtdLtcQ796+1+BlSFF90cmQbs40WlqtVZ0TCuE1XlFbTDwPU6Mep1riLI +ozf7ZELtJ3/Hy3PnUWIvOu6r/tvzBvqRuWOrWW3cBm2jSzmMiu+TvS8Agz4l12n5 +4Kc1FFyO5ShfACWYpM45SSsfA6cYFSwiqh5hQ8BPGCuNlz2QU0WspzVLazScylUU +Htv1Rn44P6aYdjbOenjAiWSjtQEoXZkIbhHVjh1BuaZZdFyAEn0cdWyC9ahW9gtU +htvOhR9KHdh0IGujJQO0LM2PXwm1m/pJYT/LUWAj73lU0m43RKb2oT/Bih+0RsqH ++VCT0ccoXaPFqFiGZWZySYRxrJyYVcyxrXxNjLNmnHr4ZuDZEK9YbnfMme1McT3+ +QVSrQLAHdWk9B0P2u1fslIXGRoP/J7kPnlinqRxt8bJZzOEN1+5paJ59f5T6W/aE +Zhf87+1GAO849jOUVOLMV5cnwtewqyGwK/e8024k6ofQLiDiuzDZK2L+NMO4dN1R +UxqTGkZXI9wIlWDEyrAOMOi9qKB5p+MeS5Srjljs3osG+n6kP0uhBcpl/NPGGwhJ +T8hpiADbKnuO2GY2VuJFJ4dOYTcKyU+2rxj4vjqXJI7X+IlShzbJGhnd9smc4h9x +HgVXUyeLYwOd1RxY5IKcOBEroxTCtMTMkBaT8Q05eBVMPs9s5G5KQaFlQAmAem4i +CMtA8oZZqkAEIWwWGZ5FIt9NxVh0erkAET57aCwP2QvgXzompeyAk+WNmZGQ/EUL +Qo1W3ejxSjkbtWegJgHcjg0r2UesiDET8lUx0DKC4ukMsGPIDBhVO5FEg2+HkdpF +7Q3b8T731xFbCQmkaMhQmh7KocVkkPNHz3di3smFYPphlDknpaVToL6Q2LoTEbV2 +wTo0KZBYgUGeiYEkHwIcbVzSOnhQhLk8YPj2ItF3P2U3tqUyBpovm09U8ujT7wsu +uq8lwzJ1TosehTJRRxdWVAUGr6c+jhOjL/fQ9cP7HHTcDgpoygagcX+WQZnBpo1X +djBVF2niLtDYMQOFBbUfTeDjXmLuKqu79TbeAMqyOQdr7eHOX62IK+iD/mlx8msr +VBBQ85ivqW4FkycphB+W5xttlRF3fRkJdE+FBSGfFeM2JplqAZP+I1kzzOS8jyGE +mIpZ6e9WZOrWmGmTYORKvYNqZA/zoke6OUisvP3NbfoAsi3yJwYGVFxMhTm5Sp0/ +tSqEa/zYKL80ODWYNaqDGK9aV34TKcHGmorwvt8R9vSdRZzyVw+eRCsKTRzV53aT +1S4okdiSYA1llHEQwH7g5H55KgElYymBdzFriNt7BW+tZMoju4N01NRssIJRNMvB +vfZkyYH3yIvMJVF3/cOBPYFZrHhHsJv51hNLER3ySidgTsJW6iEW3i1QalduTEB7 +Ylgzs9XG03aOab50pa9wv43avy2ds/sISf/eK/LnXYBpo49DGu3pVEyvnkI++s4I +vdvVUXZfKXWnH58bUAqTZYQBGIy9VTko3f3MNMm8Y+0buF4HF7A2afwiVSPFdUlM +0j6b24TGdzni+OoJL3BaoQyDbyXZ5MT7xun7SRObOpt6usrfoLK0+6PPlx/4Q8ZF +JTr3fmCgNOalxwUWPsXTs25KsddzANoD1XQVzd/2FCBQu+ZXjPzYtfbe6UwHdpLJ +AT9D6WfY5BXVz6GRDX4z8RPqpfA7rcpNBEzl18Oz3INc8HlIAFN9K/AhBxQJ2HTB +kfwPVwuCz/bklQMt1E/W6LNVZkUjE6kx+EG8fTP4s60Q4jF5z8LfDet8HMKfJolg +MQt1scOII9bZSYg9Z7ZEs53K7ubYRsAbD1wxOB41Uc/W3uSMLVwDz5pEMcj/Fazn +cdB+TRAMNKIhNGpk/AxX31v1Qh+526PPBiXfifXW3uNAM/xp8ck03F2vRjevXrhD +/xe2XjcNyPJ8XDmmH9QMdy7/bg3uhig1mQyUqTnh0mLXDy4PnM22FwLJWRzkEX+k +8kaBEYfpRmCcYFu8wOOsGAqHtMAFxmKnh6U2/kAhBzjOgLKrhYj8aJc0ynpibD35 +dJe929C+0KGoY7dX8cCEXdeOCVsxPky/A1y+FYrf3GOY+j/FTJjJhSs5DcCZ3stM +l35v/UBtvDBfk71H8C/YXs8MW3mJ2wmxDTihTxSpEjQlLdflX4QLPPN31eTQW9SS +C72mda4aEl4gRicUtHzvcNvMy/v5Jomcr/5y8IrEpgv+DNyAGkRLOaogql3dI/Sf +hebetqKagDJ7Udc7+QLRGHVoA8OX+6Iwe8zSa3du4MmNpCzOhg4TLIjbkYfjAxAg +xC/puojDQgGIFXd9vChTq9QcBBdoRavloC6OHAuejY3k4tjkEFNjih22rGXB+yhg +b3ZJhltTwVrpvIvgzEeomWutdf4Am2r90MkGac4uUGm6yVPKSSmtj6qFinJXUyZG +q4spwQThkm2+IkVTQyGiMh+SqBUjc9FDy0AhwFnSSuRQv6wlI6POrsLEM+/GOdmg +tiJHDvOKX/QaNlC1mUHSaI4LfIOPUwEFIhsCjlAaVI/gazeY2jCY2aG2eere2W1R +W2AIJg+jcuT3b1J3xDAAvFv6YNYjZAu/L/6v6cUlFU2Tt3QYInvxPJsujzkYDsGs +UBvFpB4RdaBj/4jr2Yb0ftgLjeArRiFhsciirGRY3ZFIHEFgJy7SeRAClg6WhsQo +JNwtpjG83O2xWpkXJSrJZwHyNr/Fchtq6odp9Tez4IpjahN5aSZEBx9nUNfBn2uG +1kxQVq134C/pdtcNRlKAoJlizD7Bguulmf+BPU0D92BUHJyzESeol9utpEYG0/7I +TYHBWPBZB9FG9FxCz/ZkC5hAyqbUHDxXAjWMH7G44NdRp1BMmvO4G+1gdwdXPZfx +E2FyVy9m5Pxqdmt4wSEn0ZikLx54EMpx0CHySQlJXMpmveYjt1Yw5ynMLIFb6Dpr +AyuC+F+rA841QlR9SEL0vyf31gzkvtVJHPFSLcRI7NCSS8qYU8PdU+USC0AAXrNa +1qXtXUMu2MnYtxdzglJkXj1uuI+est0fS8MB4grSvDyNbEVcG4LH4PK8SBwtEcqD +V6xQU18HAf6KgZ1QPvRkPzgrNKy7BWsNQZWKr8Bc3j+A9IM5HGTwPMJHhFI52IYO +f4icnoZYwDfN8kGEclxdh7VqogaNWoxnX/rxhyrFjWcE77yfVCNfHEOZ4hKOuXqZ +ORC8H4St7t8zNKQ1xo3zlIad3PVLU+XsqXfieZyAV8yan69N/IqN5MrMZh7Fz77P +Y0IMXej6Ok2+L1lhHGUpLLqn+kKS6NTm8dyzZdZmHLoNMi++xHXmQkudOib24jjV +icTU8wAnPw/X0ziv2S5eXsgDZr6OeUREIaqkLuqTPIxIrvpRt5aO29SWSRDA/gs4 +Dq4MP6fzvHKYVlKJh22xngqvGb6np3omvJLDfiTqCfh0dwpOmILgPQgxzs+asy3W +TcO8d7GIOVIHmXnpMfCUk6bVV/NyABwjvxrjPXKVRBY6jILnDLfjxEqNnLSe6c52 +c3CqZqkBQap2kk+uQyQCHwZY9/b3Ne+VJFNQ+XtIzq2pmhER8ZAtwGiD5FlGbnwg +Cs2HwNRvTBWpoujsI3OHrhqpCm4A3OP+xbqVNTFmlQaJidNtXDhp9k8878fvpfqT +JxvlQpYjkRSZABUeOpuLSJBrWsM337FmCdHcC8iDdaEi4svSKOnbIMxbi+pcBcXo +Bx98hAbLdykEBTfYg17htGPGdFO4iL4eY79KeShQ8Xl2l7+65AIh8+sIv+LXV6bt +oSKLGdsqeyYkJkvfHiOExl2+5l0WLonNeLI5eSHKg39hjqHGaVkyECbh6DYpfiAN +o8FBMwoTQfuUG3EmMt5d+RHASZq/LortWv8iXZ+gusr+x+J8Du3GqcCBbqkrOr2S +tzTTktZPHZs9CkZZscNhBLsbviyEiMqz36gwseWKIvg/g4QdjE+SGt28zNMx53Z4 +tzfvhuQ/rYboMnuADcoRIm2kEn5gRm/eYpK5o2D5MkRSmFcdwxV3rLMceNu28rql +vztajtMaB/Q2L+V0rLnzKVZ5lEYGWPV2woJ85EnT2igb99KkQSHXokczhjNvjTCl +LJEggjGWCTakJQvqaKVV9I+UZgNYmlJbzP5fwoRI2ac6N7Lr81/8xynjHzLvLIt+ +1OYQdGGoXEMzdVK86LhlEOoAe5j4unUgSfAHQUpQLK3yvd36mvFW1k7QobUsJGT7 +1qL11U5FLZ9LN2RAfwgDbmtgQFVNYOfNmCDxNO6UCi17VSVpuNPNlOn8ArTt8zkO +um3NPhPqHkiDqJ1jkyi9aJi8526ADe6mcxaRSzUHqY6b9pxkunqoh5vfeFjzYkIs +i9L0CofOUSRfiLfbbvYuf5MhFHuSvApD07jXROV0VfkRxhKxVzUvwMfq5S8HyucR +jpNu4Png4nFiNLByrkukJsonLvZTv5CKVsRKvgohRdH+6W/wb7oPYf05cgOFHEOJ +J+EKCSi3crccVlWv7meIQydn0SAdHPcu8JvcVl1OayFbMUK/yU7zp+RT59umNCxM +r4bSunT0X/vNP4x9921+jh7J78a+bOLHKXJQpJp9sNYiCBrtqRlPuz+4ViAY/nKt +geJm4q6h9VYuMabz+yv/GqkIicRBeNaFTGMC9TzGWqpW9DpTPffrsjUJly0GaJ0c ++OQaHZtL0T/5UpOhsE79VQJMBfQiZZVwTq4mSG0t93bbYRz1yVNUEo1uZtVH6UCf +mDATPucQf5I5D4XApfhD+OZXQSdqeDIOlWd5OuDeY2QzTioI4eG8NraWlCflNAYK +wJrC+btFGcs/W+EhOY5Rx6lEY8CxdOFcHVLTVVFUcu1D+Nfd55hhlRMy9ldUj0+W +d1h/ly8iBwCA+p8fGCZt9WsYnYWdMM1B1uaB2bJZ1qdO786yreCR0jPNkDfUrjc+ +q431OuA5IMAWN1hF0rA6CHd7dUcTmf0BFL1KDR5tJNYXKte7tQCpc7706HVTPtYp +fPxQR448HMf6Dcch7KbD70K2lim3ZyBlmXghkRq7ThvgoCOk7/I/CUZ65SmN3t+h +6ztTuEYqZC005iJpaBJhwvs6OTrXSQncVBblyZ3EigCOS1lciHasy04pvqPCpaLl +iRf0IauqpprMlEEKFUuqIrHDBIW5U5ySnui7YtRNyYN1TbCmzjNLf0CMWSmdvI7C +XF+ANssjBnNlAIxQ0Ks+Njy96GwAdm5K7v9zPsWFgmKoKwjzsAvbLJsfiZM7DNUW +Js+szdNQ5TmVBmC3m30FyWVqaCYMKkKaxndbZrGBm+iSt+NOxjQYDMI16bgUu8bc +eGM8tK1YfE/N2k223NV44ixHH35IYX9M6FGm6e5MW28QsQQgU1ryZwQ/zrqhFMah +qCWK8VknwdwxKb+VZiKFEGLGXSWzhpOXnvbjtFr8ZUL04o+2oVieSxj1OhogvOgS +Go4OoBpBlw7v70lSxbytoP2WuGpcfH619is5b9n4ndTTsvN4lFwSPyc3L9sUPqad +pkv11bVvvZBkQhyFiJm+0Un11JQy+rQH2kQxqZ75V0Woxqrk0FcMh2vAQC26/zER +qEAPXQRPCwehm8RATYKwcg2XoNknLK+KapEnM0kY1GdKWzSKtiQgBKIddD3hUXN3 +OoKFbnXeU/mahV1m+xa/xE+n7VOwuTZduzodIVIZhKEH+aUx6dLwPnvkVSLL2Uw2 +eNrWMhtBQTELOTgv1llxI2/N/38SHBB5ZeYNmqLoaB9kPCWThndN8SpDljz26WDC ++NAyGZLuRoNGnm1dENNp6H3oK58uXEuPHR4jLGXdBVQaBcTpVguRKf8AIjYXv+PM +LVF+m9AdZO4IcAKqdGWAA+1SUwgFaGWSKoBPHLukvvkaBPY5yRCX71tX4tfoxfLd +Y355fOgT5Dv8x3Pb/kdoTltqj/9Dw+hw3ZF73sXYGhk67yIQXyTS3fx5ogJfQkvw +ZcAQhFBM1ETD0xuRHWSsgaW7ZWNkwz438NgCqtJIBqAMQtBYl0sCkucB6Fo2cj48 +NoT+XmiXoyaqXL3bwQ9KbgaosLEtwG1LwJa7WwpNsXn90iHw3/yjJkStbUdYf3wo +27fl3/080x57yZsxkgO6ZNz2Q3jzOdUMQh5M7I/Bqamwamhv/B8znYlO6owxaQob +PW5loBBCRFd8hHxHekfqSiw+ZCSkM8gOVsJxP2kb7EJOJPG5/lYh4xZyRBKJ1rf+ +FAsF0qX+793Sfn/mZqKuiqTWbvYqPZG+fFIf+p4sSHXR6lVj5myu/TYH/h9XN6OT +P8X9twrg41hifHYEtUwSeMop4QNbqXGh0wn5rkdVqPrSJ9oD4f+rf0ec9l3IrgE+ +MKW+gi4rBAi4KQMiU+BVEPhNSfax6iOL0gBPozS42/HzhMRi6F40p5iSTd+wSFLG +WpUBI8br2Qi+ulexdgeew4+J+8SWK9jvZY35cu5z3/tAi8MqWe25GEzHgdR5xmUY +megav/HU+Y3GV/yyOEGhXl2Sj60sWNztaVtD54APecz6RO7lJt96zBCTrzbWS9km +0DGhCmp+danuUwndIM523BVqWAge1gfYZPTQRv0XPIRmg1JxJN9b4BGJPYYFnJxL +/bR8yVowMWvy0zGsrK6hbwbeiVAKVCXqcIjsq1HpRVDp6MPM3u0XTWozQa9MK1p8 +fElyzdzTzo87GxglIH6GhtWUYpxXr3HdcyjIjzAJIgSD00mnSyk/2ObQ4RphbMlg +j3/LUuqjWthW0bpdsdy7mhpIrf9l1xZX6sUNOBQGOsrPkKQuFcKjLrRC22sd/Z7J +hwhzcyrDEOnBFkqOC40HWcShMEFshJS69envmmLzyW7+6ouRZrtfg/urK6a4N9oB +Fv+yd583MQDVivjzn3FH0EsU/itmIAHdYRsfjLwWXcbMLdrwNKv9+GOvn5n/1PeM +rC7Rp8SXPNsBpyLtXHuIiEGsOAeoFY33D002i52VaQ7AO0s4FyNP/061GPbxATPv +i7FSFUjiA3RXV8obyx8ViXvqRBpRCaRAnh24QYj4i5e195BsktJ4bmr2a9it346T +Sy34kMlCH2Q8UOkzUZ7YHjTWt5h5dX7CpCZz9AESwfI8r39XSu3sQbVFEXe/1off +aUsr9VetSwn1W9QoEIJG1x9g51vsuuYxOWv1E+tUdhNvN94SgW/0N6sKzQcwHoa6 +NNnDmV8bdGcRmh/Z8Pg7VYGGtJY1L1Cr0SFlhcN1ufjqsuFjc89ZVvyXrdQ6EyhP +NjL2yDlmuFGr5MV2osXpgAItga9FOXc3gAS70H/B9MzEiISHO6+RjhCeKQlodsMQ +Vv9Wph5z3hfnoNP0QEOX4iBD/x05HzguTjnJamMLLcukSrcq/HWYsSYyGmfEAQOK +tJQRUYB5MMvaXWN0VYpqDJEhORXC7Aym/CyzGXgFBv1K+HaUoPAwhUHtU2ujoMh1 +r0AkWN/L1LD/csClkPJ69aJypTz73JLs3qf6x7yh6xyqWzU1ba/y2zVjcpPkbWuS +fXgec3NY9YOc5+GbpDxYDZfXPXC/P47vHoj1ETsa7tLPWhv9qPZE5J5/MiH0VFer +EvpYWpP9DJ+X07EJRL9l6Xk7dj+8RQNiHUq3T/4OttqAcNptIHyEK7GUANi+6w9d +EFtznv1cxmqfCDp9QSrZ2X2ZXr85nddFfAQyLUlEVGlf7y0i66bRg7R/tW7WUQaU +XchoYdOegbInJXwxW76AVNoKK24g01CLz9OsvxdUpTn+nSyUYT9W0v/0PpYgKKde +pHbJNPHvpNh3VvrlZbj9eRIGuNPcQQkG1Ay+T/azie5chDvwLpu+y4dOfWxcdxG1 +ZGKiUdnvmvep7WJGZT8cQVKmS3sy/ZxVgWycnaPq1gO0NVgzMlX2Hg1fdv1ntSQs +ghgVfYfkLePBEGBCRuQc/7O2mtn1UPierpI4sMQPzkO4lOIsM9fcaOn/iQB4882A +URIXuxBqi7ckkj7ypGGm2I+NFidr1iZq4BxyLkH9TOc045mhUT2ZtQLMgzzcVDS3 +hT1jyxxZqLX3cvEpcBDEC3nIC4vF54bE+dTy6zxQyyfUbki0QnoCldo7+xA/MZgi +6I1N7UhyDK4qX03PSCSU7jYvLtkSgxgHcH676GzuTopZHq25jUclcEscfT0uwhrw +H9O1Q0XfN5XFUZ+eGLfkcEPEKbvc38xsQoz555/u+K47j6r26JbLKIANWqBCe0NE +DBxUBMnuekYDagjEYyuYJoHxYF28rA2qiZwVetrc93KnmCdXsFcf3Ad37a72Z8Bc +5GSOyHFfgrroAznUgwoP0b52Ow+JQz8uo0A+4/Cnv8+W/N5vEdDATonM6qLDaqGz +iW5p4m75ONdQPqhOrQKgjx/3f7R2UjOUIfRtkWMGqrS9ZbIlVe45YJh4yeEzoYii +1YmGtul+wHwhQhbIObS88DF40jiUJpwEn+PrfqoP3XHtAYAuPSOjXBpUxBgznznD +oKGqB3O0ll/kafsS8K3Xz4Nn7Va6MTp6iP+V94o9TK0TUlj7W+jiIF3x9kQ+70si +P9cDqCKCjG30zodN4MPDzq3Nrej6hS9A2RN5Edh3/jgZr3hQ6eE2OXnZK/lXwKdw +WtoFOOMP+2tc7Uffc2ONVR8gF2Wngrq8WFf0E1IuYvlC2NL+SDGCgdDTt3nfSQlj +PmNVlj43A5mBjqGfQDPaO8R0X79Kiq3NPeqOgX4g25IqjdAP4XQSIsUCzA3l/uEY +MvltVRyA19NTtRejIy9qooSYy+VVsv+XxdsmCRb1W3LE7gCD3AhioNyZjDsvh1kn +POpi1Bwi+ySfXR8r3Oc5bN5GAgRw6d4+DGds/Nn9cfvKVCwrqbCl6BRjLvP1+82U +yk3qphqNzvzvfahUYigjdmy8qG4/W49htNqAjrE1kY3RmqU+svvpr9JWM+dveINc +rMGkW2U6t+aRLbLIsIv3BVdab4yjng1XpDrA1pKMlAfPqpO34sanPl5o3xszfZA7 +a/QljeiE305AkoBFz64e+PfkO6COnoh/NFvE72ER3g8sbKOQ2g6r3qzdxkUbiSdk +vEJxh+y/+zk9DR2dVAuNVJoprXxoRIFUZE7AV7nwbaKLAKca7p/ULEUQPdvLBlmb +A8S7UgiH2U1a0va/YIrSltHkrvx0DiN+kSvA435NpB8ZGoBXrQjl3jVazCKukfDg ++UlTVZXhGxI0/vbh/rjRQP0kLbh0MFCyZK6vcHk+eTECRiF8d0OGWF9MFAiAO6xb +39zci4n3UsbrSfY/rZv1m2WC06yYkvy/3pqlaLnq0fwbh6mFcSD8Y1QEFaFhemph +aJuYVPxZcjp7Ze8H51/cq0viL1DwLVkZ8AQTR3GU0YIstnmmbGyOWQ6i6ji3z2oF +pD6nY4y52DMQPg21T3Eyr644gcyif1rmvaUtWkbMSURSWOXL5UvKvbluVVdF7QV2 +42XJZh2K0MVu9n6QDkPFyU9O4WOmBb5jFPr3PEJweML1O1nAT1JZ0rjUJHOXZNE3 +fbJL4X5CQmT5Nrt03Y5PKbBMtrcO/ajx+2nEo+jqw4UGVPvt4DvJbT1E8QSz5pUx +mlS0ET89SZTXW8j3jo7nVxss+D8lX4SbjkNvNRcFybaMAW1jSqgCRT1Z2PSPYrdy +faE9hFarxN7DTY3v5s5lqyV4+IrKgJSU3FzJrAFWS/xYvsx0PMB0J7DDYQyC9WLX +ct2n18824AkPPKdAAnVlYevkYKF4C9cVQUOR0kTUQntQkmyxAStpvledDnZz9BMD +LEomC9W0gVj9StYubr4vPEPF6Gf3cBElCVseXKXfgia+A56CAv7SkaW6NA1CvD4f +qGfCtTUvcyZzd0xcOwWDFq9u8CU9X4mIBSx9VuaK72AqvICrceWlYxgorrfw+XpQ +ud4d2VA+3t1mFfgBRe1F34qCq9QO+VClUk1dHkmc7cvdw3+LlGHLZJOLn1MKf/5U +wjSlPMkhXvbTUbOgHfXkiMtvmYClF5s8Uzcv2Tr1e0BqAZmVCVB6mFM3qLHsD8Fv +HuuV+z/LLhLhwpddyEP3wa2jJJ4GVDZ+NheZd1w8VMvdXye3Ravg/9NXLU9nR5YP +hFb3X8c5pAN2vcCGkH8rmeVnppbT3WEFlMedtj6v2urVYU2JCCWZwuPUcAsJ+WZO +mTyFRWNMoaNJEBlXeWAimLrLYCga8IPBN1GNpDoSlD5qMAQQ78d9bH86kB7OKbNw +7G0VuGfNTheIJaeb8HoOlhGCH8JK/2Dj4rFiTMvd4kfMKBwXVYBLpQNcOhSqg8TP +vtyp0nzp69PdrmL0EMPLPe7zS8u771F1AEz51WAUcwQz+y+E+/5T1WvrcAW3WDsV +5R3hmR2YPLy5A7oZpiyvH3selnOklkDemlBtb02+9V2x9RHICJbM53nkWkzg9zAZ +hL0gsxIWHtcuI26xutq+U6WiDbKWWSwRYVEu7XOIuTUuQvO2xuhSMZnLSlj2K5ED +lF2SB+c2eOs+ELdyTeShj43HSB69swdKpWvulBJSjJLvg2wEuqMmJfOlzA2tkVi+ +vumvR/ZJR7Cy5XEdtakgZSlB/Ocf2GdgCYpQedfQ7ICBCz+STf5cgLVZ5DU0Xugj +S45dEx/SI/AMc9aa37hn9yZelWIpOl2x/R1adEQR8+jQfnNWlhdntHQAlB9U1wXl +biiguDSSqwngRAlVqqGlxXAGP+WyUjAIb/DvD4JLlbjBY7Fg7j/yJvLhHNkLjRI3 +cDpZIMISdUdI8Mh5wSh1HhpY0O8t+8TAbLbl1VlTWreYRQgGX5WcOPC0w4dtYt4m +yOAQuGRu0z6Bq62ruIx5qk1J2b7oilP9sBg1t2F/1QPIVoe7VlhWtDjiS8zGtwHD +TLAVNjhT2mNJQYu8j7GgThh4ORHudAM2Xat1dtd+/Ycmh0mqReYOFvriuNvN9ed4 +RHX0eG0Gce8f18V74cFWaWqNH6Xyyyby7rh4+oGFK+5tgfchLSGdi9SKkKsqR7R/ +JN/F9uKdlhY6BceYhmmP2vnkhUWvCrVSwd19OdzNnPrj79g+1iUo052DgY2T6ATD +NCimlCUGVvi/IB8dgRRNn/gQaaxtLaD6VcEArkK/s8tP/66kpbbpoKyaag9BL4Bw +KEQK6JHkW43JYKBtLN9aquFx4Mn/8TWCdIRpszh/3npbeAY8RuwfXUoQiwWZG1de +solaUxVxkvmP6CIQkkreUZ8BgGLQ6pZoDbo6oI9WZHtp5ZwOtiSAXtjuNXUr/6wV +6K2Dr9AkfuRgWOYA5pZ790ToEpLH28UCUB/4gGj6GL/y/nY64AKXWdEE7qeoF1Ff +RBP2wG93fDpn+hWXM9LZZfFPhHkxLkNpk+tdYMph5rJHkeSyp2Pmr9jliyHTIqLF +7dBiSUpFMKgJxyEzaWxDJYrP+AtPCOvuZXpSRUbM2ebvIZC0Oe7OKwotodcQeFyx +9ou88++j1dAEff2b709RTRNSySMgdUTjddyDry72WJFvGKmQdd3AMZTjAmQGG6Ys +tao9pgdI40McpP8vKJQMBMa2ZVqVX+3uf9trBD9mIeMdZbqWcjXyujczLOAiLXwG +8ZHriI6Dm51vmC33NCxHtpXalx2CXUWzCXt8eMLgzVuuYsw7irocANfHJwKlvXWt +avpXkYwp7LI0QF32uk/IjjbnKHujpJX3pTa+HrflldibPViZSWoofLL50UwnDZaR +5luNq5iJIUoZLn76AeT22l2OnBFPYr7xpsUNrNIX7Q6zv65AD/L//7X24Mhaqk80 +MDgF2QMvNxgok73q9qNnIwH22Lr6pUxzY7T6tQtw2sMViev08dCVpMifsi82u0Su +ghIBreIwqBlfnBFaF/whTp3UO6K1Zl9HJVgFnKF2y7KUdxEpURWZtZzxxi80nD1h +UGgvSBNx6vu3NnyVg2oL2B+ioPWcRFwxlVY6h2xS9eLRYOF+1qi+PXzPZ04IYsY2 ++gy4IQZzAiIvhAmalB7SLSPcSgrKWO6TspIx1hdByeGDxrU/fBLp7GTVj/vRCGJh +KuiV96yeRhv6aewkVYGoLZ4yjrwHCFlHjbiKujXpwrP2jVMjIwT/RqUlUMZ9plRG +Wa9TKW4Zscs/rgQn9B+gogiztUMPnX+a4gWbWHDAblM6c1KDKWBbTZ5fGBE17JxB +FvSprfvR1NtEAvsWX3RWudEpeV6j6R1f8HnhPKNCsgyTSiz+TnV5HF3/O2r0t/hB +irgD0zTTvyLZpUhKDjJ6VFHZD1gL1B1unGjhTnCVCYb70NqRZLVorsdOl3eiSTmd +3Sp/t46ny6fkhObl4scMMIkm19J3fJ0QYhga6fi1kb7CfLavHKn8D6GjwAJum0Yi +Ku4CsFwvtCxXcmVPNdjWDucH6Ga4333nWbHoxphJCdjhHNDFg0FftvWabQlqluIN +865Q1qWqkqQYk8wHoY9dfMWx3sDL1tIwCkyoLsRU4e3wIwTLFVBE7eNjgaQV0L/K +LqW7/VkbgCWqn9C6ahlqGbj/Cx3/5x5ZmdJFqFhcYmJrHFTyND7BglIs8mIrGskA +Giaw/NaAItECGy2mebrclNZntiQPXPlgbBnpzu8LEVPVWjQPdCDLxx3PIfMl65US +BtuQe00HhgAuLnUTKiHnPB+MWzkHJEy8RNM7eVWMMK7BjPdmtqrybsT5LfgUEvu3 +CRGvx1vKk5OO3Pn+gXZ57T8k1WtyXEd9Tyyn0j6OYbzbdDBPLJLXNwSMmzvopWWO ++xBhlZqcIApns4aaOIKd9AnbuCtcQmJBe8XFB5/iEFYUZ/AUu/fL5Dx9lV6g+rOu +rWdAFdly+tI6UE/wuWQ+Y4GZA896f2HscoVpiJgNaSoveL/yHuWOBBpNxIM4uLIg +dXfCY92y5LyNuj74Txhc1doFke+x4RkXKqfUalY7V8PBbrH07+A/u+Z1LQfDv/IN +tMRxIUa8BY5F5tqaKPBDWeVNgmoMPqo06Dydt9nRQE+kA+El1b+0tFVOSLPK1pVN +oLSi/ydpkSfk5WIvnPUUu5AzhdE7ouHIDX4p7ocOX/5ooeBW2ZsDZyboJl4PzXPW +8gOSyLpX8zkA3mLiLyaKvHKJwb6Ik09wWHAaBflJZS+mEfFjRsCQs78S5Qyg3cJH +NnIFJWjjq4BUdQa9wOUPBHhhPh5d5iEKkIsfcGlPKHE8qJEahrJ6HDh1OJlIzVw9 +1M8i/06Ja+Klk/1h7emT/L+5/RP7HEx+T+gocrj8dAcLZjVGVq+W6w3F+eGOVyvk +o+EeoEmtNp0O2GPDV2FnlssTOW6aNbTehKCpHW5V5sFMHbaQf8x3du9zdF7FbWsV +Qc6FASfAMkYcQRFEOTkwb5AjHrDXcLamgdXQCRS+owE++3bjlvK6v1V5U9p+NEAE +Dnkqe1lUVjhpUnovv30fCvIUg1XV0zdVTeASOQl7fJjZroY8aJpto+UosSLSno1p +gvum/nfyCpKMOeaQg912HSz/ozJo4366Q71YYO4Q8fnAOQMxXDUWy/j5t5RPPyky +n7YOPNSKdeUMuD6lPohhBmfu4qcMRJfJfjSiQr3lEVouvpwW9QNaRE99nE/aXoNX +pSPWwAleI5znIGx0lp+3cP70aQqPEU1AuDT9kZsVkchvVAk4z41tRy2E4F0Yzhd8 +PCGOcbnyTPMQtQZiwo9bNV4xi88ur138Bhmr4CLJovlY2dihQotDGjUeiFpHaiQ+ +rqfNu677n2AhZmC1MJcgyF7nIzISySXohe2ua18FeDo/jknKnovyM/QhMyaRK3Dz +O06ypBEdFqcBYz12Eb6kPfOTYujMNEthjaJJQS4zINkenaYqpWeP7yW/zW/TJuwi +movo6khnzLuz95QPKdBfpiOnHoZiRW/M44mX1ccBqV0jqTcfu/H1NII6/8cfuu12 +AreEt/eCnvH10mbJHfDiUvz/BFGaR1cDVSSzVzpc3xnmx7BZapop0FJP5tzxBCNv +Qce0MrDZRgM+C39/HfM6pdMP+qA17QVul699CdYIRJiiWRHBwa646hN35XlTODac +aOa0e8BuhO9yHcQkLKSRIpkepISyDfjQmrWB3JJx+dXRcUKkP8fRoBLX+chaFcGR +nabqCUiMXTAg3EJK04rCYM78vX+VoAnhGOskasBZyREieBY7WTPlTwjVMEIBFr00 +9WugFlZnZWWt7IqS8QsI6CJ+PI7WlPWWyDEyHawIfhfXQvnJCPw1RnseRtRCQDTs +qB87CqkCqK9ojluBd725HE32nD8MPDWvnS6G/zkjw8o4XwpdwD+xq0uBCYd4zeFK +FTfXyLZlUSox5e/emFFVi591JtPvczIVExvLCfUKiZ1wp6OgZ9h+/QurpSzedZzq +yJNMcMQfElVnB0zEAaUUTzjUSieIiInVXLZYjS7yLoPHiYyXADJ8hCS7Dt+mgl92 +C3E8xpScbG62YLnI/yWmCJYCdvKgNC4FPhGmhxH2xFTptNH3ZlpIzBrRx0Fmy90O +r1X5/nMcoJArQr8FHo9k4aVddXRFZYs+uwzfemYyuRaboyNw9UGQTzUuTr6MMANk +Q6Q36PB7ZwozamWif+bCPiL3fLWhn19EV5Ribw6RgHIwTCzm6CT4AbprjIB2c/pR +nYo9w9sxmkQHjyZN+uiJwH17JVMk/3Z9KW3UDmbCjii1dF9IaDXR4v0IaRrGF2uk +iaPbjH1pCc+17jyl97SE0c1SvvsWjUeEIUArW0VL/TpzmCTGF+X2MoBMXbOTUze/ +ft7J5Kl7ZewO2Aa+6aQDzSUADtkl5BqiWSFyzN6YwICaZvXuoChqsktlL8gB2b0j +XUDY7VKinYqoJrbpjMGnqgXBIeFNHVGKOri1KidbLYsQtzzMD2FkAg+v9vov1qoj +uO5WWneb9UuE3SxpK+nmwEt6XJBSRitgy9WqZ9LwstFDiyh0Lz4kmMdPccJzCYrB +loXDxN3DX70BfX85sS2uNt1WxIH/WONnAc3qRSMDwl8X48JvfEe6eo0kIMaoLH/O +8L5DflwSnnxM+Y7/o+SmOSXCRzbcsYem5KDv3lb5sW/A2U7R6Bt1q0jAdd1Z4WNZ +jsDbKGI6soGgf2veXalHygXQVBwYJftxcPZyt9XjwbdBLiQJCyuut0OokiFvbNdt +BN/DrMkFC182BeQs3OX+WCmNqZ+ePxoex6ZCwQBViVpm8qlhVDQzBfxLK5b8BMnk +VSaJUGwG9iZSsz8KLe9LJPUWDh6ATrG+1kWd0dA4C60Uf0q+NWIRP3yrcSFWjMHf +QS4C2KjrGASqvdAdBCQGSX5buJPwsX2N+cXNSCF4/T4fEWa5XWtg68KolnoKUqKG +tNlAO7uvXBix/SM1gDcjnhsWW9wIXIGQusINo0LVmQ+uKPEB9rRfdWv3X2CnjBos +qlxSxEERONcb+1uniEgpa/GfrNC4xJ5FSP8VTs1QKEWPD/xeECzYWHk27SUUJQ0r +xgYK5bGjAZpcvSINxIJmlJpTlZFISqGpuIAWYX+H83Dm7RDxUorGev3xyReFiQ6O +gFad5QIwyux41OnGK5GSbpUY5Yn7Ip0ouqlMx1FLPCzrPb5GzHHXz9oxSWXTvBq8 +5/sl2sPPJhcBd4ykHzaliaoIhw/T0hWzIoGQeY6Yj1pNjhUD0ufUqeinDley5Zw4 +uIVwGg+rUF+mxpUUZEKidTvtOYsF815mUnCaX689yhzxyC3/FH2Sh/On08i84Y44 +kSPDkfrdQqG5Voz57+KQLuRNkbGiVrIQvY3l5uEYAL9QwdDEnwyEhvVP81WS4gJb +6OU0Flt8X7/B7P2nFdWoPinX8YReZBaICQpdhrlybnEik0u6l3nu106a5mCgClrz +XarevTxKrdunSCA2HUvnhPHNhQqgt35tzr4tNXb7UKzERAez90KMr8yCX65Rh5no +r43Mp5VHHmucoy9MjwJArw5wjc2Tqr6lVra+GGBBT+6JDAWwCnvEkFbUfogiVC1b +aRc6b0Hx5SZc1e6YXScRsYmXO32bSj87Tzh8BSdEoxYSmBW3QUPSRi4HHk8nJCPI +l/6fGRCQzXJFPVpoErby6MoRj1+xBfbtnSiwS7HE0bWe7miYcSlVlBhBdQEd9wmf +zYartdG2eLGnzu///yJ6vOCnD84vH5Vm2GtILJTFwktWee8lELWeFyOvHNRE831X +Ah40qrbf5jAIbIQEr5qZ9fsfwYQMRJoYlSOw2PLEi4o2AQHYrRxYdiH1Jig33vx6 +HXQq2DahzhEuSZMR7IgAvucLUS6fFI3bMHZ1IjnJGQMNeLhCtpMncqeWsA4Hnhe8 +CuokG8lARSgwkKhdRMzRp5hCGb5t7Az8f7UXdhVUe4xagWjDd2Gnh3uyvaeymxIi +Nsi48uVlS5zRfR5Y2qihhrvHixI30NkpTE29u0/eoiX0qreaUg6EiAKgpDiElWFd +QoGMu4GBwLX0dHaZYbg5CbT16UFkC60JsW8jV3jjFMNBk2NPwmK4sO/lRCacX0/C +3SrIy0UsEyOFrtBT8x4cNW2/PsUm84xpwSw8FBECui0agWmyg/V402Af+mdm3bFG +WrjHJgr0gq2DubPztZ/14qhKqo7OmfeI4WOre4cDETfDKjYe/KdfGHaYkWZVpOJn +BiYffVXf2uxr9EZTmWLOF2tXlioPVJxooHJvm3roeJecOIRdJKB0moh06T5XSQrb +9uFX9YpWJr+tlxwdVYP/x7vScjSk2TYbnvtUeYUScFTCGB2cSDjzMt/tYVPsKyOB +8yLj8c23qDXiTbhVM+V0EDLmp3ANsvzyypOeb8xneFBa5dPnP5SQRCY6W91q/hNE +F0MSgUTqD9DBaHQvpe1oKY0wIixpDmrKy29yAG++QrS7OXYS/XDQ2IR1lOtBIml3 +iLCLLoRVofmPWOZlcYr0fszl8cfKjJ66PLK34cKhoVDeqxi28X38mHMBTIRs6geT +y+F0IpIP7zF3ERuhgSxzjfhAmLRUAFa81DFNXOwyX83OryeJv2ch2wn6odwXyd36 +tiV6FZC4FO3JQAC5Cr7N+NJGZwjHZlzK7XmOHFZKgu1BJDbfhLdPBxI2RN1AI5CP +P8XqjnGatzY+v4wKInW+mwQTbm+Shkwcoxz29D2VnTiwEFyJmwCnMLoxwiUMRt2p +SX74R3nGh+n5vYRsWZSy2ZARVkPyYr7kTNWgLV2dFnO2+DaAg9JhJiCOQvCcY4O4 +PJAXaytbNjuwtRn/QH/VrEcj5ngVjdBUsVsaGsteErB38TtJHStU/KaR7U0aB+HQ +RvvUB6aT+cpvuXez5+fpocx1KRvGjtIJ1vErBquLpVEnZW/BY2LDSkDb5+GJJaGg +WLUY85EgIlt2KX+P9OnksA4pXwAQrkdPhgzrvc1kImzRmPV6gJJRJe0ZuU/kGiAN +u8uecqYPDC3VeMD1ZC/dg1SSpd7gmcS37SXZW/YzaI4QGYTnt8qBLvUmOb12kopd +yNEBKEsb7HQGwxI6ostA7aeg3mB208HjJJQjUYbZDlf+wC6o/HTeBelmwy4ff1Wb +uddIEWQbRek4Bq8UW9Zg3N0iIeE4VDC2Ml+d3NSc2BQIDttUsPmiEk66ApwKS2zv +lxU/pnUd5xFsU2yg1OfUJSp8r6aPDsZzD+h88HJ1X+EeDvQv+Tf9Z5UmbEM9RI34 +FWcyXQ/Im80Q8otQlW3mENPgtewXaSWpRph6eVXjs8cTMv3TD4Ec/EedMlGuiZVX +4kj+kVTSAHf5XwjampzBKaRUUO1ZGt8dod6PodMXXVpmREJ++cyVU30RmJ67B2Iy +1kuwX+7WgDyY5Ifld/uKfUjQZQUtQ1UBTReas2RvhhzYm17LBjB3q7udNp3kkSYK +ajxU98xIYw26zTcwskpuLAJmHuBh1mM31nMJ1g8rX2nwJvRyKOi8wuj3AeATpA0C +i0oYfjqlkdE3pALc2H79e+4wY3Z/YZKopuWw8khixj5EbY3cF3l0zlTpn0pNgQE0 +HjoMSknnkMSSxHDgGoK4dkPhDyrHu718DzXPc+lQUi5NCF3jvm9vjQIGTmDfBu7/ +HknSRjQRaTNg2FXvsgVbHIH7+zI3t1YHjhpU2wWw2MrHPWTUP3hFKgv5NrqIXKJU +j2+l2zIBnH9vjexx4G9j9WwGCgwryHaPZNumi3BUaTmIep9Ss3icVKOk1hqfiRix +hr1TGubD4JnZtBaKWtcKUU6dUXi/pdxJLYCCu9hAmcvaIhe8N6sm+365iKRrYNc/ +Y7fra4/0zfpuXO+PvSr3WjySKM9LoSIWlyH3vBxwyoEtKU3yNRMnpRPsM3zcTQfR +a4sNwZ5W7XZfYggOIbeF+sUi1C4jGwEXGdb8rl1ntv11+/tGLYyh8hmHlUu08apa +2jccLPzr0EI3UhH3aMj9DX+RQDvtSau8ovOZ5ZJNRRgfcJVrEAYn5zZS9upvcZg9 +oDFowO9yxMUz2e/IRL7mdHZh9c5DoVvtNfVNu9jDnf3dn+YjYllnTM4U2FwrYBEJ +tfX31UZwFjjfBVtxA3RuYefASFKFXhbjZSLD5q65dYR/VWPJu6A03iMqUDKOwU4G +AwYT7ZnVBiq8/EKWzqjcxe9eDXXXB8kBo7Vcfn0M2Wl2yiMBt37lGEph+Dwf3FUA +0h4Zb9hy7Ln6U0AIcoJS2MSyiMuftLe2fDsJda0+Hh9ac+pyXhkMKYPOLOCswldS +KRxP5t3jz+5zqjDs9949kGiJZyFfCICC/8lINu1o/KOOy9r+5loJ6sCOZEKimblc +dnkrcodudd5DxmzavxWPklo1QmoHxHzPWHcIkmf5nN+IYUT6hXPnMJmfH/NpNuSX +w/fvnoYAV4p2WvAMJGHuBjj+ff1Rlk5B9Z4hej7w22E4EdufWg4Ndmnz51AFP2j8 +a3PiT0eE0M19HSRsb06FEQ1S+98gzhNEPp8WnBElhtTKuqKNRsrCbYtEIs4ckq/g +d6RMiucFn6kG8qaPHzAZM3CHN24duBUKUdIibaZOvhzZuQFsodepir+4yI+7AZSc +Noa/e8HPQpwnY7Nc1lCU/cisX0rA5FhSewin8Sy+dTQsrIkoAeLUK7gN0cXEm10a +W0cHntUHmjfqYauJQXuu6QLAXSeqOVAlNCqSRnvBh+f25HEws2WuEHwnkb9N8nUc +fg5DmZKn5L2+uy3oxgdPlHAVnGjp5OlIkqrykY1eWETV/aaM+sx09SiLUEm9ECQZ +/HPYKDpqLPnVIB6Rx4EfcjoQP5bUqJcaS+BrdjNDHtQ0EN9ZqamqgazQfy7i+hMU +Znhr4iC+QlhnRP6X7qkh850do/sknj4jaTaYdaRIF3BlgggGHFJaomHscl1aplxv +axm1aGF0rIwj2d2QMj+j3+5Z19rW142qHB4b9Gg7S9TkcVGsij58QgO5CMDNrzDt +nUx37w/o2Ey+hkO/cpbWTryh5e2lL9ZZl/CYkBELyNQd1aLkhWnveMlbst1VWQDC +CyW7IHag1pYfU5pXiYL2L3tXdZI6s3lIJNFhXjFp7icGup8wGA2Xu63z8Gi+TSEE +5u9J0dbLvQgg/KUBSjnNdf9uevEBBxNjQ9Gr+GyQqeK5jeYcmfXQCY3VUzqOTrhd +bjrp/zPTUx55NWrdjv4SYAuCX4PlrlGzmIZ4dxjeI1RlWZZF7q2JGUl2VD+iuOSU +MnMHCblRvDd2gt/zTuRzPjyFrEWbufWA6C6t5InZyvxf10i0IiXgllnQlRI+k3WK +EThaeyBIOrKzasiIPjoNWBEQlXtPAA12I2AJIUc4FGz1qomEw9zktkXAdlFCaak9 +WYTOg5ZfvG2HPjbAD2xbU5UACrI/xJ5349O1rgAFN2ktfPq5v1URKBDXCTqfPbEU +iZ+iLlmy7TG7vI6Nd1Wy+9T4KCxu2ipUUKpl/sjDY0H5riU5jswHQWMPEcMrQ1u6 +Md3Edv4V8EzkZxaVL/47/F1ObRiOE7ksrDudnShGTmg8/emBfuX7PgsswTkGV4+O +GpKyGn/e9AhokxacsI+sKM9U/aHSmB2d1fot/2uI2+NGrI52A+m5GmolQeWlc1u3 +6HgCTQhzMEyWexELD9zAvd1Paa1whcf6Wnjfa2NKHv/lRvriNnQEZfzuElPELLod +SQizRJT96HJg1ZgaabiFn+4kxiGmW+6kGi7Qllh/BopN7COuhACqwwgvFzumJAHw +Xo4rCGZxMhUeUkwJWBkCviitKa8PuVkTB8oLV7W54+P7VJq/L1i8foaOsdyFFnTQ +WhvgLw/xsc8zZzgPRphZWPkAtS7SatMXqm8cNjGGQRuo/RUz4pGV59K1s2OGj5w1 +gmKV187tacfR/acxJry8LjkJOgoxyfHsT9qr1vkHq/1343hrMx0iRoW77tU5Qw5Y +AUJCiMNukw3jgAx0T3JVimGnToDIw4yhMN67HqkWnZYdKTh8isiBc++EXmcM5iLT +naVIWVyI3X0VLxExJPLKW8W6szWwMAx+ogEjxfoOSivDDlQfA9ek76XNTKF09DsY +hd0aVMYshNxoSlXrXnMmr1/4niP0dHPsTdStIduGJ4RKUvFUCWV2KzVWGal1BEF2 +cBemCDbDD8Abu6EZIMFVgWmW5ot7IAg8zDiQ2IH+MnmLbhOG5iGUXb/CmwH77Ha1 +lx9/1suQnzuMVXxf36GqwpuA0Yj8wReXq2G1kpK87/U4/J9PNf7BmTax8J8mTwVU +xYNWQnnhq+cMH6kyJTKU7pjB11CK9RGE4fzutbdgQt55w91hx+CZjXvHPY+Nsm6P +fbpKMh+NIAoFOKLyvBUL4zS4mnG41fUOcw+Pp+wsawzG9SkSUvmHNJGE8nkFHT8p +RfhGSX39GvcihwvPWGdmIwn6qie5B1DqmoHQo0rINxSVoK+Mr3pNaRBwjVxptI3B +Orx6RCVAZdDvaLTQtuCNydhC+wFOYBzLic/UPPIW/1Yj/17o4WP2NVTpMGC2I58A +jF2V/eMYjZBXQg5fVueQNLWvANvTJ/sNNxXVlK+BhpnYA56DC5naK9CX8S9VEj/M +vz2ewkQ3T/mmtOZB4ESFHEEjRmewn2RUGvbdgkcpBDYQBSwJnOzqOfg5XUMAB4va +TBLcBgZ9iI+yjGcXHu22SpaoCDFzZKlPQWnceHMTE0y7Jcuno98XPk6/S0hGg4Qh +xuX/DRQFOji+n+olcr8VLWxkOczD/G2ZQIhz62wcSsJ8HQu8i+q0sGxpsW3H5WYn +J08Sc91s5g89MlP/a3lwRsqKkrq9A7PQdcyGooROh+xg1kI9sxjF80NhCgngVZ5i +ShtZ+EL5JvsAgUHTp04iE1/QcIA2yurhVVSnKr3UT6rd6d9UPWR4NHqeib2rMC5g +QYNrZYZbAhK0R6CEijkPczxbCUdQS29PAWUMplIfsM/MtdiosXu+3Vy0n/xbXNL0 +NPw+mxUsFOT4h7EEEasuq2rrFUfVXuzaBEKLnzVGB6YoVb9FL+yhtgdDWT+/LEkM +sZH0HsS41+ZM78AYpjA10jzXcLbp5P1SHzAGRJm+qqAzAgGJsbw1upYv/9VGtoyg +Mj0Hj0m4oaeBME0LprlRtK1F3crckbJpGuXD7zuD3dyeLK22Olg7xzn8bjOQYHJS +LPGSxdEZlDo0EDzOaY3EY0E79os8eAMM8B3TOq3q6vdt45uzSyNe/d2KoOGeDhJv +JyBO9g+7BD+lz5j6+qW5vkKJ4fe7lEtlxZwZki4wPCduVTeSw/4hZWjhb2dCTABE +8l9Ejc1y8qcBZ8OY3vhYl6ltwCapqLqm5DBLhV7qBFNmqnJDEyi2Qz4yJddBmxHo +RySmiIkq4I7zYkBj6OTxaZqgzXvXsTqlIq4c24gc3uaSSkLPruTMXw9G+uZ8+Ta7 +PBwq1syrUxZ500wkWf0A6Nh8x88Uem/1r2PhkuRhCP7DW3xpzmaBlcO9Fw9i+maf +KJwFNQ/lSi1rv+9h/uSVBL1wV4b9eml3j4Mq8xg3S+hDXsLJoJfXAB6XFtqtsazt ++RUSqPHnx8ztM9DiAafziKfCqk94UYNFSmhV4w4YfZTbLOVboc+MmFYfqcDlkoBp +yHdHiXrnua5LeCYOHZPbX9JEIkT21h8W5RNvikERg4AgNka+tALcEHbtCkJ58KBx +SGvsFSYjyEhCXAsCU42RPt523NkOB4INZwRovRPUNifg/5A3SMJ/gKzToAV+Y2X7 +hl7nUTLlCLKMrPMzggEYGg3GQ+ghPMhLvuEmGlyqbn1PC0S1e6kxSAx7LXqyaKdT +M/VvocnGjxgqaWtw+WmAa5FJ80hg79NxOP95qN0eUlqxVgYnaQXVA1TJoq2M5rjo +vLPdyhuM5ne4CY4KJGdlk8gkwj42NUei3+sO44/m6xdjw3c/X7QI/TKrKaqVuxhS +4D1HK/i5WH7QB8kqaeCEsvgfqPX7xUX5S8P10ztkcCtFfb9kImQqOJ7UKUEZHs3/ +tqX26CFycxCr4QH35LShCnglhd8Obig4FYp+16heNIaeX0Bxlo5JLTGpX3RY10Bx +HODePFRd0/g4rSNZbDFUrGyAGwqdHqb4xm60YnpHPcHKxQG8uXR6NJwrnbxmr16w +3ISBxCJYOV+EY8GtubKBuAidIu1oz6fRELkVfFHvmvbMc6pa/xIlzOkYMqV/CGqG +kOuq2BWoVaMit51IRZhrbzc5z4D8nOo+2GquqVRve4QUaF5ZCh9kj4HkPCw5hidq +1UigiS5Vu7ZOPeia143iPq5Z1R1hZVmwrF30pOtMYsdLMvdNL0nMjyLSgy4PFGEA +5Syc8Ptztdg5L6HwlVEP6vXlyrPIZ8W/5HMfCfPdNeSPyDUfYQ1xr6X0MOzvlGg0 +dPmDKFoa862c1yEsf9tyxWDhVl2gkPhodjhCEIfTO5kWcuJ1oSmKT69cZhRCy+Zn +ts6NI5daHulDryk7WV+8cKq5i++rzASYn3yLnPfDzpV3VdXwtXF3F3BEQik9LOMH +PMRtG3K6bLdbka1SoTVZLT55kMz9SH/Esn4tUbJOQI1M62c1P307CWsGtP23nOby +6Q6a/QI/Z4Ys/X9ktv3U3Md64PHMo3AhvLR1pdDPYMHc1ukNhIH/jHpn8aNgdeOo +8J78c2SWQpzsYmoTly7ULO4TfKzlPBD2R115a0jxmJlevWK4YZvHXqWL+z9azD5m +oy4r47YFGUxXvUpJD4oUli+GWsQbYlLxVrxqrmhVPk86VXcsOQPWJxkVnYmLW666 +MTaxQ7ox1d4ahm5tD4nAF+6+TgC217D7mxYwD+r8mUs7hXU79KQp4TFSIbULvMrW +7hiA8iJ1BsW6nFwHl0kuk9trDvZsl8LN0nLNn7SOE4TWi+KBwSfwRCVqQ940c4QY +ioDBncgkjSKxvRZCpW6MhUL0JeCWwQQWwtun7DBg5r2w37PLCnaCr1CNUn/0efd1 +Ea6sjjVjNtNfYTyAXFXWE2yfhnMmMYSM6WIjeoyH0xkbJuS49ID9yBip59Y4su2+ +MzHm8a0jpoHPMaAZyBCMeogfNFnio3FrQC2z2BbyXybZHsqjWLfQ4zi3STPW03Bp +ZBJZJoKI0FZtD1j8L5fx7sYxuoa41hShAoatnWYXDHCO7Zc/aHR5wwnE3KSXX7UZ +4TNMZsf3y0smkxnHvxx/eCnycsVl1dP+1ezoKaRSgrSpQhbtH0aeOPiBlF6tjYdT +OsNbacX0BYAmYbtSzKj4pfauO+6ehsUrhr2USLhOFfxAEBUT6cWMdd2OWvrymg8R +dBIzduDmvKLsVnxe8ZUC5rY3+WvnRuO+S6JHO3pngx++09IDeqZuJYmE33GhehLR +3VXdoknFlDP/94rcFBICm7iupyPoqDJjKTPDNTMQUPLaMczPQQTWP4fNFXZfwoSC +ZZs/hxhgsm51ZFyZEoA6/a6nyEhG5xZ62Q1kXxWvS3wqkjnIhbIygsgYi/UMTjdS +oiov2zBk8BeRmMg3RsZNz6fnXJ+eKjyiBHpIT9Ast9DXQkUX3f7ezBjYeilJGvRO +3D41xNvj70VI9+OBBHPLenMIQxfw/dj2cSCMf3zEdTlVSOGSNFtlmxoiRt/o69S9 +dSPo6wattwcQ6zu3BQX1pKTG8XO/2jl5IXZ3mb5Ds7Q/gswXkdCmNryiWhpAc+Zy +Qv3RCDPbjX/CSphb7qXIRQ3/wwvQE/AINlv1og803D1z+ty8HtYIjmG8oGB8uenZ +dbIC/EcgGTouKgToZWs3twh1wwmkDXLGGSO6xlJGCVfZFfv4N8u6uOpXyXW4T8Qw +/pXODC4z75CI6xEaJ2YTQkm1RdobDdKVl7Gg0knDPtEAZ6RJqqma3ICxzq5Wi7L4 +mGYU3XhoJ9O5rHTUETZQN8TBfkqDofRmYafeO3V1GISkPPBzbmWW9aHTDxn9E/lW +059QQeF0ckWd9ZmDBdSlUkEzRfTaqNMYUH6FIW3Xdeo6HzEDGKe4HCD4Y5dNdiYv +RKuHPQO91mpbvy+2MQLmQb/hXLwBQJ2Cf6nlY9FF2OrLG9YHkN/DzZx+KnNgY2cg +ShH4mNRcLJWggiriN+AGSXGDGsMos5aZm6BTjgEPIu84hyo/m2L3KtRH89Ud4kpm +o2pDifiO/EoCEzgQACok5NtMwAOTrp7ZU2GyO5ELKMfvDq6kJW4MUdcuE97q5C3i +7xLfjWUxM13Boj9ClCz8EADvb/5FDPLp2k6NkxdF4a02T2YpoLHr9yU8AsHLAvqw +YyDrltGlXMtPZmPpR5symMjuZDFcUNFvCWf755jEGUqMCbcchEIWRW+pihek3Mt0 +qvUqwRgOaVF0qNZGr79B4pYhpB01LP9sfkI6d5kIX+AOVYAWPC6A3Z8q9ljXtUbx +W12jVnufAtzCq63NSvuZmuWMjvICGmdtyUQfWW0Y1X/4jP60ScKKajLRIRht4OTO +ASUJPLE5u1xISxMkd16aRhgUUn0ygPfZzR7QFbI3I9ud4Boh3UOsrGR74wi7rm3q +rjvJER9Hy1O0Cx0W/tT6YWog0RAHE/QBoKMzrANZKzGtFvhU8f65f9C1As5S0vmQ +WfNI3T1y7EY8diom4+mKcqn+LF92+3iFRgoNfUIwfCnJHOl+WT4APhUOZrvWZK7G +t+es57gGraW2YclyTHuAA9ykOyLktEFrfMOrYmMBaek/P4ZgILMNf8uGZP+/KvW2 +goJaz5SCmnaCf94NxkKw80H2WcItEv2bNUVNN3sesJs/qmAdest4Gv3dEuI00loa +oj517dxgicOxENHY0h+kGnBOUKQ3lmq2ySwY9bZCe1+qOSD0gOlQ6Sb2MMPrwgIf +Czc0mLNfcHmeo2Nriky3MxsmzH4rIXlrVptanrbSnyH+yR1FpUZaBRToq12MdckA +Xhgo0hBgv5FkEKRVULWyBUpKAGDTUb+7vOYpYt22vk4ABAczaW0YaNHn33jqKZE2 +f6XO1s9a7mNTa1RxRGEmRspUpP6E65HBgRfdBd/N1X2iIGrtPF5fNDyESv2MG2uN +MDgwsAhde/MiSJ/Xe21UuwjZJcvxsq9hOkcSkhr1X3uqdX25TkFUp+g6/IQiL1Mj +8VLkFtelGMtF29zncbo8mX3sVk2PkUQGmBrNirkD3TQ/qZU7VyK2Ioy+ZG9u0rY+ +S7IVgHE705hZxBMpmbFtE1yRkI2/KHls9bM7SDbpfTspcHWwR5gEOTRlMuLDIJeH +lxSCbaV8n2TLwt8so8MiarVHHihHmJLHZrQnb4otTwpCWekDfmoW0ODfAnbN3Ono +Uc5WQspHOgXFZvi7FOfo1yX0QAc3tIzze4otenZfbP3Ff33iCjvu8j8WIc39Kj/2 +0wjNehTs+hsI6T/bFD6H9dqAasCHy+5zdbRyLtyJfLf5PylUFnSGr630r96zkZlv +iwyOEO6uwE8vmpmAEwFoMxa9kvMTbs9kXxfaSEhjOFXf3PRk+S8j5xAtN7+TFoRe +08kwcY7zpzTAUQax1MIT3WIZ1fRg4mXheALUxVIJzBCgMlvENaL0lbTCMAn/RA/H +uTJHY98u6M5zCqgQL734OnWyYXoYNEIFKvApJ5YY8X1/6M+453MG/gnllSFKpS2p +goMN7FkKZpXO64YA6g6k/MlSZcM1fZzf0TvXASCcoyT0h+J8MLhq0kBfyJb3S3tu +kXwdvjYMp5iVi1c5rzIJCplH8hCZ6/r3QWZPa3lXV2xzCEH8pn051/j5e4hGU7H5 +hZ+etYqV9a5YgYr2+Vn+1gIGdxx0d8yqPKwQjBPxlh4R052WCsSNZ0SrzVVBEwWE +0xPHAQxHiyU4x8sTHVGX43ZRRRoFiz/j6+qWGOrN8OQ8rqxcx9OOOk+E8yP2rYeh +geebte+EB/u94+X/ylDGJLGTMZyVVFQnw3kG8le2Iz2fijvrXTiR5Ev4B0eGxPjZ +D7FtEXJD9FOMs/BRHtOPXTsoy8M3CStrwSjzwCiI8UELFrQxXirLoMf8LekSqHxf +ro3ovG68IbovIcPVF+lTXPYLaI+jxMAGmic29VVnBRm76C8P2IhhTktRK6b3AHIf +K063zA12YK5Th1qRZ2JI7uefuniLuCCZxBnDGwo1bk2p+TpnHRh4uuMH90/Cd9KR +Zp4LC90j/y6A5+DUtVuGt7eZaVud8UD5Y1EPOjRxaQXha90jGVxfAptLsh5kWdaS +WLrAbqkmmvftIJ24ga/w0VsIyIw1qAf425rmuH4+pMGEGwPpHbvU5OCJgDiSw5Rf +l+tL7PWoaZByomeU48TvZW28XqPo+YuBxK5KHYymjyloj5G7xfZcPYEBKHUwMpua +BDgSXKRtaDrj18y5H/WJ7QO186x+doKBB5MPANj14DptxFyuPUF4DXLuWbFIduXQ +C+ZIIsqQr5f3wuTG0EnEVCV90iSjc3PbxrhpxbaLdML1bATaHE6lVQ31J1TYrZHT +S6ZbNFi48jt+J618T+5K1t2CtZ6KyPZY2GxS5iMbi1sPCPg1w9XCEMBWxMdM/CAk +yxs7sKZid/7oiVeJn0ajPrBDmqw5Nkp1/roXYRNZDKw8/Da6fEXt7epr01/WYlXc +RsV2oQmPHMQgP5UjT1DWjuCDdSdcgETZOL4QUe3OylLUcd30g3p0vtGRgsDC4p2I +mK+k/edLB8ZegdqMWBz8i5SWbWo/gE95Bkx7+xQ/vrbIOYzJihNzw8nS1HiaEwNj +UhDQs+B3USspMd6vrXLd4U/Je2FgMA1VM7k23N4DFdFMrmGPMULm9jt0DXhLRmJ5 +B95OyBmkesp8Hqj+0YlUnrZQ9rRPAFZDd+qoYH5uYO3broXnT2XWqJ7GzQo+NwcF +lj5aVGn0rzjRRcGIu3SuOF5WBzF+FpWIwmXyoxZK3AJtI+5WHnFV9htCZ9YYsrhY +Mu86ieqefvPB/BjT4joeKRkt6Sdkx/hD+LE6TmaWZTAqkEjZupFz/cWqmh6+ryEB +Z7Z2H9MJeoLBJOzvb+QxjhVzoDttNGeK/L/HNcyc6xhTLz38SXHEJsRakpspROTv +qm0Pq21AvHHaHnKvKoEOOySofvm2S20FaGvCEya+5BuDElSOY7Mc9n61kTYOnlzM +eFCKacpfla//1gYo9JcSu53tZDkKJav+R+QmOXKtRQs+38IK/nmtb8GUR5QXyTqk +QOhDlzsX4djtjRI75+V7sPqGaj1FwRnIsLI1eS3MamXhyuYxCOnI0iDixggCgHX1 +ZStfwfYq9t4H75Glrr6wz+rc6lHcA0XxhcwAofnjpQQPu6jW/Mhqj4LdeI4OVpDU +iqvxbe5bWFZMxMh33yEVQx6fcMqA/JRfYBFSNM0BV4uDjMiKWgEMzhPyVu2xpbi0 ++5rnLJBuX57dhUPgcol0pPRExt7Akz3umLlGDlNWaKdh7Rzs86YycatkA16QwCOd +WKY0ym3/Z/YSSfnBtwLFaXkUe4/uDHhyGHo/0ZLVA0wAnLkpfdxvmXeDJOstRyqt ++5OI7iAWS02HdmCGJGyXqv8ehuk+neCbHlM+K+t4eShGaYnKxJOPPJKJR0W2nAqR +/T1Rs+wKevnEEsZASitzhugsRG0fw9W2gufvVvJk8WZSj7316Xm+ijIu5sU86rvy +qTQDsnPSsCqnf+M3QO0hD7ZNBPf2vd1agtiH7bnLLMDM9XGU5o+iFvDx6/nSzDVK +c07IG/j+m9ne+QHT2/ffrHArJzdsZV+5RgrI6dCv9sMjUmRiXE2VuK/CI7lVCWDt +3I0xdd7gHcWIbD72RlY+lnYyQ7rj+CJj0i0qawtvDqZla3KoSnY2YEU5k7unZ2Al +Wr7EGjuoiBVhieyjbDbap4tr87ZGOuqXyjrTlyQWJx+lg4ZhHvQA7smPpfJCrFts +Zm2+DOP3Kn1G3ZurPQFhe1sIUKpoSFLExQ7mhryyoFBYKl8pEKFiD157xmzTDM1n +JN8AMJVB6o1atUUuJkYkhh0OazwQwlkCyqBIzIugz+R4S0N8mB2LcbPr87hpUI9S +8FWa3LV6jEFa83kN/Fy2hgl/BGwv77Ucz29LJIDUxAvceA47LH5wLKHs25HVYVkP +fVESQhaDVGT3OcZX4SUx/rb50ffRoRnMfwV8YGZzidmbvCK2LcrBsNEpovGXnywt +rGA2JEzdCWipyaorDIWDGEB9W2kYxJh8fpO7l45FUlf1OD+UJv1eS/hflZ1ps5ha +2xI6FIsu63cj9TJYt8GQLBK5G8D1KfvNjArcipdywSiSRmdS9wmxJFipJAtKqwe8 +JpOSs8cYEzHAUVe+znIZEyPOXF3/mbH9mGANnN3W0nokPi/vISrGCOlfTdE2ZoXs +WvK1qEJO/d7PB+Pzq1QLXY8tGlGC7NC4wGxCweU6E4iIcPSpWvSgdnf1ogQVjNuL +TA86gktot2CCC/WQm2KolcASPPKNzOXYjc1xzNMMdqYdp1RESHzQ3yZuNLSAVgxz +pL8648LcN1Sc5jCr2Wq1kmVgvvLUBVGmvJ7jb6PkPy+G/Kqxu7Sg6jmwyccRx5bu +Xm4zxzISr37RzPsah7F3I25TJba99CWZvk9D3iBkJgasZCzD4perfQZHJF8o/Euw +jQtKvQ4P20MvRqPFdxo6AZPNcgeaedhFp7yi53D1sdmD69MjsRuZtmkAImNdVbyV +FB9qlPvQNSSfHLMZRzd+stiTGh+UwK6Yq2OQsFyEcw36QRswzKVDcQH+KwITehtT +HGx/WMZBCircenOsSifQXutFoCMNhK2AEqePKy/dqZj9xAnRmm7nvX3ng6jy9SJ8 +Mx73LEvrC7+CfVd8UQ4vIWNNprJYhkYNXTqN+eJApV7XmBthil6BwpbZ6IAJNDj1 +odMPF2gRl2sG3x1YEEq5Lvy7l1lESAAWbtIRcKB2syFFqJxeMRfIWUeV6CMcaVd3 +MHlxTwRKSCEIgQHN4L9+/GTjXaLgxQ5VAPVCtubE193+q7qeZ5oUKypZmRd72M11 +7UQYCoRejHJetQOIdLuYftSD25L8wl/gS5wY8wnNCJhCuMsILcmSvPK+TMdMjFnB +t1Bf3Z8LdasIvwj1N+N5IZormhZZw3AMKAe8TIVxaZfvgSuPs0n63rRwucdDMSjb +9v3JzO4oEen6nGU37Y82pcKynucUBEJzy55u0kVPZw+LZvEkHEv/CEvM+cFnrxq1 +97AYBV3ln1AIHTGMdnXaZnu2IF9RxSjkncs4r5xCyVVW2hfUP5/9T1sKYCyxBcwe +ZfsU6pNOLk6i4DtqILLXvr8cLQNfRHOMFMIbqcQxCuBkHSmJD8k56F1Ke+eaJOOA +zr2QwMkQLQLULe5b2qS4EP5KvihUubfZKBBjxKhRpa6+/dQhPuC6xYoTQKFbhTXh +pjipiO2glOTGcP+c3D5Bak4xZRqphYODwquDWyIDUcr7Yqolyi2YI5y2TSgYKQMW +vhIxrQH9tGPmR63SQ5IWlbZ20DSaeEC1/EN5nalgW7MzP3+IHGws2tkE4o+sNezq +jgos0S+n9PRDWeH4cbvKS/X+9h9I91uRjkGhV/0MvXiElEYprbEvT8fqQ9k6ZxxQ +nJqbk4J8QuKZYDPVOj2lc3OUntYjS8NEnm8unwdvBLdQghIUBbeY3bDyWPJCYKEY ++TrbI8raRmUqS4N2DQZRLMb9w6DYI/hT/jU50tCfgkAuZ0fl2KUs/yP5WCqPXDCB +ByZZ/GYrPgGhKDR53L7sj5J6r+iDyyaYJVJViYpyb9wxKMw+TrnFR77cF9lbkFGx +WrNZWhJw8iLWDyf4xqELLcpehDI6+GqF37XxxNVZzGnxde3LcItVO8LITy/cBDxf +HoX2ECwTHKKoylZ4fGbzLVsRJ41IdYq06qDxI3ipB+giI9DDRrH/sOyeRHshUdV5 +prClE2+FEQ085o9PdhWPDzEI3AObAaA0mPrWdPAM2xp5oshC770F6SVJDtQhEtwQ +v4tYaPX76SS+4hBeyCqywKnBjD1Wlpe/fPOuHsT7CBLwfAi6j76k7bp8x3tOnmnD +Y/ZPl0Lcguo0FWJXKzKaaBG9L3EAD6XwEInLZTHw0vhddcixSJftRU8p/XjYLTmY +OGIec7texNYcsNBlxM1NQ4QYfRfLSyB4R1/UJ81XpACPODZ7K2DEQpJcLc6j7gCw +GFoeK/UPs6vkZsevNP8LRMWjlPTctQIvOlGFULzLJ3kRtsTo9W/4fS++4Rs+1d1D +vuRbggo98Qqo0D1NfjX1hMCNw5asONIiKp0QK5Fg+M/PXl29t5aWbRBJBrjEK/19 +9dXiFdjESvUnD7Dh4H49kPQNpWEth4rz8KRt/P6dL/llflCixOZ48GAWQ+q/WJjx +Wsf2+CjAvMCgl/mr5dtPj3FEXZ0xnH+9MA0vpa9dIn0lg7InCT4F4+hYdcku6KeB +TVI/ipd2azSnG3McFMK++D6FIi5j4//s10SNEbbL1CRNOVf+RLZIbtO195f5/P9/ +wmMD/AMT4L/uSbRzz0gR+JUdhKisvWzaqIdTwKeMC8M8sMCGrVBxojtOJXorjOh3 +vzRX/6pJEnW6Zp62LJlpNjRjLGgksq2dkk3TWX49uOn+/1RAB8rL7i8+JMnClgbu +qEMAMKCnP+YatHqR0cxlMk2/db8RsDf1u4dnMzaF6Ox3ltO2RlrOaHSOHDk/0biy +vkHciOLpgNz4jIC40lHTkU5tBOwMAmnEfPienUw0qzLWhG/gTDtEVbug8NfKMCsR +uzQqWvXxYn4uMBAsqYDSD9QOzHrjNg1XpGIbnMhI2DwL4kfPj57Dwkjhd4o21nNP +6L0E0VZNgBth/yYFQPYLWIlfktFjs5qjWlrWLEX0kvcEzcC9QM47e7wWVcH6h6lp +1+l9rqWs9GqEj/j9nX7wpWfEG0P0pSyqN/6tCRSf8wbLgXLaW+Bc7i3Mg0pvv911 +wwskIWQQp0dK2/6CvBTtAHYZZGFCKCzGdG78UTP5iYTvICBSQXIQNx3cTE0nfvEB +x++E2D+vSQMgTNvoATk5j5nHCY9vA9EwevEzYAFogYojpZnIaLoG1Ka8zTVpIuV5 +VyaCPsJDw0owGK5iQ0tRyvjlDZsRPW5GPH9SPiVLUR06aQ4lh+L171AAB1eVUgMJ +8dgWQmraWcXos40Y/Z8h1mYqgHylqVE63N4xZdWxXpFbldpDUPhBuJSo1/CFSFd7 +qGPMEJNTIZO2c3TaN99qDE3xGTmIv6EeYOFD/vJ8rdpEa6wG3vbiJLn2njg/yPgJ +L7/DgL/HveEnwXg/3BRCaQdqLCNwgKgE6lORL9tQjfGSHg+liL3YvEJ73s1n6rj7 +I5fBU4nNGjm1Gp+1Wc1usbtudjugOH2C/GQgpmN9PQ85UcWdLgiMzMRMHbxTZWrS +Qtv9cNfAu0cchp61DC/hWWF/HH9bkWVPrxtGKjdvcyrPBaCVMp6lhbtWEnKQj4Xu +aWxeRqjbvGAvJ8XiSFomOiEpFsKafd0PnVB0jOuhrc1Bwva9N7s5MDkiJyrSK7/s +lMsrLdJZ3aB5t7XoctVBMGTvEdxwdXBOllNWD/Gn3DN9JsM/t8h/W9lovwVHybr5 +NfQUu85dn0pIh6fB4y1npMJTYizCJyexwmZWjSlEslChW35cy22l/kBk8BOJHpkI +yZX/EgxoaRiyOBLaAD+kIEpL266mQpuj3p1f7kB+vKTrR0C7TE6ZcpJAPpIPfUF4 +PY7MHBgD1wyG8fMH8c9Ha/dzPSJYf6Kvv4YavCz96Rfi7puArLSRvtXpO8Mi7vt0 +S2FjsOXtTFUg1C9N1sYG3/w5LvcxWpsRni0RK4Fu6MDAnHJxBZiLuyU4panI1Fff +S2SoMIuh92HkhyOrob6Mpo6Ab/Cm9TIwEGoNnfxTJARnROO6qE2O8v1oNwnRKAco +gPmzsbIpdlZrwNnWo4TLRV7rgEcZLEGKKoZqWt34ph1kT1k0cSHStQ40Te+f9eN7 +D8x34ve/sTw8VK64456ItMR2UUWlzUypG7UvxjEXNtzxkRd93Tunx5h4vYW5rcdx +5glly6GNtBfKNpc55iLJBDRC0kv1OF9AHPi8nAT4373uQNCBHBULhxEcK6XDLKt+ +yajSiAUX9dkBhkD7ybKGSZ11vpVhAS16rvcIvoefhltn5sU1ukV4SmQEwo189mPG +sPICiMxOcCdggHSMEgyrxzuLjWZ/BYDoXAWMn/2C7lmmF8gREGFWZzWwKZN+tFcA +UQtfc+G1pYdci93h+alG7pYhi+GuDjYK9AnrnU5ZdV4CfWCffc/bnOhU9LARur1N +ITTyJKIQ4OZvH6tMuiJwYpFHBl6/lfhC8LVt0usnuHI04RDPcTI0y0CDpirLx2su +8AmZAB60fAjzTyEK/Fk2afdNVFNNXEeUpR+omKfE83uLRnkAiWezbNe7MpzG3/J5 +gwpR6L+F6pLXDUpyhPUMWE8m1/5AyDqaEGyWdkacR6NhgLcu34Bk5OikEpr4AJ7b +DQk0Yc7PPcewkwE5Bs4LKqKn59H6UjS5NYH7mbDdAKYuzwCpcEfGm5UnYrl3Xdcc +tpJkpmQopB7DDVxqOC3Ic0uW7iFrYDUhBEAaBdVPGzpzQlx3UjnPDE0vAy0ZckIV +UgTvspKQ4ymOTmrsGYbWKXmvMKJKBzbvaE8WpB0gnr9nxh9PP4Gur8mjYEzOKBJz +qzE7v6HPPd5sC+31j6ljHQPyRwBoUOCpXJbtSkhFBy3+u+8PBW9kQ/0dJHndSs2d +LgHWn3jV5jzRLQ6yPzXYqP+nCrB9lEbRyLFRW62JFqEKktqHieULLQTNKV0ObSwd +kiAwbA60W3aY6OrdZW0jF/Ic0UKs+DsAtb47EAvDQV4uqLUjywM47PuBvybpkdLm +1dUOitfllGJ5Cnqf4GgX12ePA2NKaCGMkJJJ1H3UyXY/3esA0c69vyGe0xkTxLQ6 +pSQeTKTOyOVkspn9jGYF4YTn/BxYB/Lrd6oPq7pVGDWQGmwwadQVkebl5mLNjh1p +j6Q/tLPMgAMwdhm/EpC0lKmFqVDXcjQo/4Bw6Cn3fv5o3dbrZHhD/FuWZjrDdYBv +LUxJJXSeaWrMW99jsz+NirJ/FT4o8S9sWEZFGIgRhxU+WPxp6zxalqD4pz3ErshJ +/gxlD08wdkqk5GwfTYra9w7KW4wTwbZTIsXyXthh6wy7g/TiyykGpqc5PBRVUQ20 +TMnYbyZ0o7DtZaTL1STBJzQrdADAaRO0CS/cqL3Hkgh56DYjVLQlbqpI2ZC8/8UD +GFGs47Pge36SmhuWeSFA394JW0FaDmuSJGYMUCc/ZKKb4JPeZp6AgSaW/GAO2JLn +HTJJbj5p3WZ5Hng6J/xVqwAek/l/mJgZoAjunOY5pWk1VWFrMhckt9bdGx6KJ34q +7L7GbMZD3ZCyw0BvaBNagmP6DexGjiouyMXxfKe8dyEwoH9nxL34cDJ/ROFuE0hB +2XyzJTd20wK+7FMFeqwYIosp68G2QStunwZn5cpvvd481tsyFv4/yzsbSuNNpDlU +ZvmYhdsSLOkOHr4B2N82lfWznnmcu7CpWY2Ae3anr9T1dC25dNAXut6CNa2m1g/o +k5FhqPrDkKCRqQMMM1La/qkUJuuOM1aF9EK/5TSH7oOQsRyW6MXiAeaX0OWr7cr9 +DtTKzqSlRhdbbWAGPH/aoihDrmDHrMszaMiGlZn1ftz/A99JhhgJMeHswFFSRvVG +7jMeUjh6eD9fu30elLenvYhYmTPsEEQ91Hjru5DVdiVhrIumb+6QiHj3ztJH3VH4 +1IgoNRB7MXANKUE/KPwg03A4V4+P2gh/VSp0jhsa3LGWJyp9Ew07Di4P+lM/jMjy +UHKUm7Go/Cwjmsdv8rPO+Iz5wgtJpkyv06kEUq09g0PavHiSsUGUpp3MrCWzmAkT +aZEZheeTG71dEQ3xvsLoyNP8zUUcR3p9C/5VGrncPlCX26JzdYboUTwvW49ai3Pn +v1R6oBrSIU5F2HIvdRDIpI+NJyZdF4y0T47r53uzUJOTykSDQu7/1FFwFznuF0Ze +ySGGv7Xy2ECSMYkg3g28LDQOnHnZODUf5okWeO4UGvD08Uo78nxqZFpiu3iBCY/V +9C1UbGUtKXMgjVGw3e2L8nurw+sVAg0vgrLJPEKjEoN1BKYGFIVQoOtu/ZpJtHgf +7HwMKanNk9okrTH3PMKkOT3motuFMjXwgoFbafSAtKeGIeVZpOt9YJe2sWad5tzZ +Whxzhy2ORW7lQXd5djL5mWko4svYb5dd1HeT6X1taDeMPk0PJmfFlzJJ3A+3Pb4K +o9QIS5lM1DpTWqraEdhWGW9HDEuL5A9gqPGxVKOlqpg47CdOfiBeUNJTNoa8MT2r +TyOlUTgX+LHFoWo8l8DfHU/ktH8VyfYdBhVadrnrGTiBdV6Akz36cJRK/xkkuuN5 +iL/vFmSaDumVrt4E/kAP7UzzKHYVg+3kCpQd+uLTy+ghs1tXyc793ESAwsn7izbw +Vp0ZzPJ/DOIGLV1GBw5RDZ/68MqIHmTl0xPwVhLGSWr3iyBmDQaeolxHe9q995un +8TtM8itCqBPxO8hw1n1QwuBrM9XxIiewNg8B/wfbB68/tmgg+O1IalBq6P5i6oI6 +7pSaqUSnUz/Nlxnz2iOS5nGnpvnSzLcmYWrEn6jt2dJcB1AFu2GGKMFof73kP/fu +03FOvJHYewmhM3NfgWcveB4MEszAGGQvn4AqDR8y8z/b9VZxFsVQxC+iwUyxpIN3 +Gqc0paQCIs7jugh0mtP3xb9pC4IGMMZg6fbYWGc/1vTea+RQYBflN2pyd3+NCOyy +NrO3flQBjpHuIT+FOC9rAJ3PMYbJMDI/JN7kN/SqDfYL3kXK07R4L5x8fj2HSA9r +MjJHo/nheN+xcRVvwdvQITB+ZToh1pQxDEIIUxoDioMaHdFT/q3dsN1bUxtgv6Er +DuXPEyDzdkpPHDhgt6Wc3Q/pL9j8QMDIFgeL2WbjhuPncFpszbDsBTxYt4x3SPwT +rPW0H/z9BtCc+G6WYLOs+Bz7gv90F0XdolqvB0KcpUmQMDzrzL1TlUmpYqx0/lvG +gplz2xthbbCQ+KC55FlMRC/QwyrkNjd3V/d0/vkSouVsOeWbVjuEslTrDlo1+Z+q +e99PMf9nB1nc91Ob3AyFqVRutW6mqv5qpe0sWAae+3s4OpNLwIQNDcG4cJBefWHM +OV46cUYru41MuwhfU+9c3hoFFmGli0/5QKexf3xmBAiLx/Hc4umpDCRNXlrhv79L +Vslo92219/jGaEL6AdScP244FaQXfxw8OUmfHI4UIMsTl7KxUcF2Qae9Y6JeoUID +ZSLf94zDeMSRjIW/b9Nl+jJZLlyT+1UiM6w2xCDcsgoISQV2r+j4Y3+l6niE3t39 +EVRi6S850uXhlR7rDdwVEzszdrSKy0F3PnQ5BeUvYXr/OfyIsToiN+X8k2F89jko +asTSuJrBRt4hvst3VpZik22GNP6hrUe03dKPT0nQRwPyVEE0TxSYP2iJx6rSvceN +LWEukvDseRusL3aZCrNWH/bwNRezaH819W/ojYxlyUW1j0FYJcjBtLhmy6Y+B7UK +gOoU1nGPT8T29e2BXZl1oe4Y1PRnONf+0A+OBW1WMGvXQgKABXA+KUHSh5P5huVG +NzqoxlQBbg+oxVzJMAJUtZ7kYWDNgBWVFJyWEzh8fUI5nASdelSi8joGEDyme+Uc +7IBHxHDVrOZKgXyRxZAbjK+cKeyrZ5ZSxPMMBv2NLciDFltesCqjYHDhJNwo7Rfd +L4vPjowUuYv1FppoXwia54zZPTa1tu+2iudWEvaV4HQm/v4VOZNrTTGqVrgNeUSc +fnnD4v4ImzwjbT0eFEw4aP/aBf0u6oy6e+SKBIS+QVd/atZJHj2/e59QjbwPcnmu +IhDUJWJU+ynVXZC5o9XX+8gAn+S0U6M98/tnnuvvAMiLq1BoyT2SenkZEhh7FcdY +p6DeZWkmcjW38iJ6PD3pxVCwDOScOUprIfX7lGqjVQLXPA1ivt7Vt7bHfnkfj6NF +pQ8exGfEcKoH2TGChAinOP4pG6O84oWRvWo6q1uJ74bFR+8EexEro9q7eFcnm0L+ +FEfiZA5wH6oe6o6O9lMbL4E1xhGDN7aOCSKgNOYJmCEuUcPUjtr6SULvdWU+WcP+ +YU6TkBIYxu6XuEaP6+HP7TcrZG7VR70IvD0CnuD779ZJHOUZet/LiqjjkuBd41bI +aQYpyrLVb5XMcuU750SIWgeQlsOk2h2cuSMAJt1TimwYTs/yTgKf9QafmhLjLlqm +phrYO0iHwHPSIUdNVFfRxnj1SpMXk6kgt6g+IDJJf68Uf63SX7V+cRv5LSIAJUb9 +RE3rmCj4NxDs8eKW2hc+8QWuQhzXVWsHdXiGDpE/8hmZNYWpSBTB4EruRCB4J/Of +Po8jZ35R6eHn1hJ8609QIUZd9wrO6DtatDI+xEuh4U7v6PeXa3Rw2zwOJbC0Z4UD +VExU9ABjZqrpnKXwUr/dFcUrDgfg3Ro2VKQfZf4HzO6ReWtmingQ7Go1+irVk/wA +JGfMU8y8K2NHv+32xSYQ0B32lGABL1eIftczXEthtTfxfT/zLCX53CBLtwhG19Ko +QUbjDjP3N4EPuqQFA+xLuhAO74WfY5NwEM1+lH7Ix1mlyIs7Nwfa8VzZeNKaTESV +pV8u5PNTvt8VMv2uDmZYhVbOYHHmD0Jxxa3ytERFUBzAkaixgTcUAuGbIx4RAonj +fand5xoCzEyN4McF4m+DqhKpPhZTM8iBSgZrCqnjPrBfxShzkNh0c+bMOPeAI75Y +LkjHUcwjdjaJUzO8KoPXB48zAfy5tkLKjXWxVBP121xKCi9KezqPs/6NlBI9mBE4 +owIQS1GWxxE/brlzPu6NFTeRsIwiMW7t1v8DpmEPUVPeTwQHUB8viPdCjULvrGjq +/L6Ha0xA73vg2wE/LoDCntP1yxYvnGWPzSQfY+Z1g24QonFa3OkO6Jt3qvpSDpxB +dkV7xr87sU55AZqSwBrp8SQCAgANgH/8gnJrWKalK/eF+Sycv9LbyAD1f04WO48M +Ue6VoDFSOp/ZC1iHjBKbRrTnH/34xOVGfn2oEpD175vkqSQyQBCyWI2lDKCGa8Id +NPtTxjvRL3NAxEsq0tG1VHTiIN8E1QmfkYufzQslpLXVmJUGGU52wSY54rn+skgX +mH8MiEDHTVO1IxSyP0rY+greymkI092D8Y9gMFwBmYfYMcOqZP910wVUGpMjRfet +Q+jV4p1DJkycGN5oUXRwW2slIjIvDvq46EZKYZIM97gYbqsz/v7HmuPAkmGsZka2 +m8IHXP+p0RA+OjThwcmOAYK7smoxKMcH1qXG81B3vZt8zyMMEQsC1fEmSv0mZrgw +B9HXrimmcSe17DSShWb9LLvZ2tHippH73OPoDVtW+ULU01kjAOgTQJedd4Kg5Hj/ +k9+aPN2g7CYegBoWJ2NFAY1tGspYG1jeyiyd/FFEGfeiDumwux5wZxo1GSnuHP6F +gUdfxHdus/rdvRDSkzweQijZxMBJV/9RG3mlJMT9wgCGepzwSax7kMUQmCdVcBvi +YioIK0unKPm72tIngK9g3TrWGvgej54Juesbqs0OHC1a9qdG8C+bXTz+68lumPEH +Z2Wwx8OwLw+Z15v8Sz/cI0LKFh3wqouLZKE2+qaCtS7Rxkfb9biMLaQrfsveaWxX +bwgU0DhyFec9nXyyJ6trvrSZ/Fh+TZDXKhc8XA65DgSyyJcn9/ohkkiBjDcfoOgl +N6pyf40qflppM+gDprsn3UmushdnJxc1dLLS7V8m7zaZDsIk6U3xAG+kU+z0bzvl +/rHHlfpVyvOMacBUnt8jDgFu2hEfv5aUolOJMMigXNcztHBbCRMWXTMtVZ3dR05k +fY+aAjNQVs5qc8SUtNbQP7rCBpnCjY08ndS5yi2eJLarP4JeaDx9pM5jnzbCkFkW +xTLUV1G7RnkKk61qbFqF+VIqPBs3gLT/GgBY5mvj8i9iIAGSGjqSeelms1pxY7Vq +WEPGirOcIb7NJkOePInBxG7V5xG7oWZUBpzsrVdLHCRy/ILk2fvAH898nwlM+Lqm +czOYuDnHF25mjFK/NGWNxVwv2Djb4nRPn2piFAqKWpu9yy/XJLHmf0/HE/wZ2zCo +8IOau9IdSMJOJcVn9QDlJDKEIE8850YsBRRKWeLiWdmeiKTzI/OoIUTfVgDwkdtV +i0PmxbxnFHjDAKP6/34gLeB8ggwZRLKt0QlWrfs731g5j8lWe1UiDlu63p5+juQD +cK7aNj2dFQzKlAaA0UGE63xFte21+4942KaYI+sjEAmhsOzTFdXI3NCdjUVmTUM/ +wiGGk6P5e8gPnWOiLTsk871MvEc3klG1CIJqevTRwkuXTXfhZujzXTSteZ87CabO +rF6ErPbzV3MUdgtxfLzgwNDpcxtstdO2lbuGoAwT30l25KCpxjYtnw1S/kDZYj+h +Q//5R3DRq0Um2kN7d1acrh/7Hyl4IGG+A1JdaPlCJdGDNYNOEwlnRa6dg2o3yUhX +Ww+pr7yRNCO80EnV1Ax9NGVz2O7l7arhzSl3P1swAwDYF5W37eaY4G2YHsM8AMbG +wsl4OndoW9ag8y+wvua2HZ7qpK5lvFZWQn5FcxeKQZyXqOsvxzXxoUa1X2ydaDQc +LycbsEfMSNgT5BRmVVy3c8FuJvosMaWXF/Nk00yqF28onKgOIizowwkrhI2/VmCz +RnoYbFeTCFx3KisRU/HOFARVSAg/uVdy8yZY+XGFmOVNjNVVI4MvoqYlzJqFkPnU +aadNMs44O/Lp5h6JJ+0E8alzvj/LUL376H8X/+ZWJSIwAewHaznpwnG3vZWoT3gy +9WadSYGQbHvVKGzOG9jcyQPH+b5mPkFRVPdgYVQM0GQNdC9CSwGAa1jd1juQxplk +aMW0U+u95f4BrZ6R4Qd/fbMgnNFE/iiylLT64KAGfqwZ0rLw+uM6wHs2jmLYM9aI +PaLSHt8ejipuiRWlrHs4bKETMqZyu82/oeafVZj6FRX8f63KgIderlgBnF+0Vr4u +vw8+T0EsuPAFmp/EE7qzpTEx92RaOXTK9HbR9oan7U8P8SrfrL2OVrN57r4sxxti +PKF9qucDpG+PoUFP8/q2r3mB47dEvHhj2X4YcfOO9DfZkdrMLnA0WgZZTR8aHEPn +rVmNSDTW3FG1dNAUvy83yLHFc0EC+eFF6WUVmoDX4jBsIavKy3yh+Ik0OCInTyT8 +wYnk52iivqeSZWh/ksFgUWgI1u/MlVeqpfvtUzNWktGHA4sFsC+x63e5Q2h20xIF +zLw69Fw/nNJwuTKf3vR2ge1mKRz6NOdCGPDBr7/qPjitzTZgjF2lMyFzxAw4cTi9 +gSQwXm6KJlTqFSwM6Qob0ZtFtuDSQCSFZhpUJVxmIzMsAJXNo9gCIKsd4GVVbNrV +U/4+aNrPXULPXXOOJzwBgJtGz5BhPlRj+HohtF9wzJEl7ELrnOFkwcMxP5rUrZzy +y0X021aa3mvlwUtMdz0zc6dzydtbkzZjjxpPgZRogGzFpPZk7G6xqF2jXvZgn9vL +dj7fioojNmpWNjT8DDIQpDDLI/5+zc1HaNl4P7nRq9V8rienJPUegj6vVyNztONN +qlpPOZDzIfE+0UmKTT2eH2qiyYqjZYOoHR5gPJwy1JApxll83VLdsFQY3lnGwPM2 +ZnENnetgK6RNXJ/g6o0RziBpuPBGh4w4wsfoAzXGVRj/kQEK0XyZcTHoyoHsKj6r +PmFH7a85YCcL5h2gMNroxnzKiNmnMa/sPxxoYGaHpt8tvMLJazz7yet26e9yHQfB +8y0tZR4UWPrlxTqoW67LJxBcnNaxlDFLL6aIAKGLQMLP2iEW35s3TYuUUhel9fbY +F/Md88Z4ItYTAHs8290ZLGg/81z7hZRGSUcjnS/oHG/jaVxildPwMTIDERs+gYZ6 +wrZ1LLcOCw6AnW+XlxrbM6cRmqfN4zG1dTkEsbsVl2wId629koMik3Wk87jKZ1c1 +8eXY4wJQWpLOM5dR0wVOAUlw1L37Cuy2QPCvzNZXnOjbhEI8bsVZ0I8Wn2yXrOI0 +YjdGKdpIsZT6s7qCYyudXeZpvMPGnSG5QunaSZWXQ7w9xrilJNJ/kJZ1tZq7iKvD +OHbfxD4bR2k//PitfGz210fGsrx6hU3zrSWuSp6LcFwdYuaqo1tsiQtLpo/WyZG9 +X7/CcRLKkXDDa7MS4pCGxsNmksGHMY00dCQGfFK4P7ci+8xnrAETd7Dos5BJjQ81 +XWK4RWLbsjWFOESMfiZjC8mxngxSkZ4WCD43BDtZ/pBSuWx41KYD2Z1iIDTKeqFL +yF/Fm41DbiwTqHxevU2EOW+uV+U34YyQWJj7zmeeQJgVegNNK+3VPbt/DQZriTy8 +NMrv1/M/zzq1WApjRmXY20Dub90IBmAcOqZdy/t8iYTRYBnRF2igVtRmWty0SzER +UTVYboby4Bp2krABzojgpdA1k1flmTxGFiZ6nYVDhkSLaz+IDQ6Kp/YcZI25T6QO +1hnN1XvcKtrIAbpfYoFUux/2pwMTi5J4Na2LbwDh/pu4VWcm7dCYPkC3qS7rQVCH +xGK66rmf4xoG4hLhmrspeESOWT6DObeNmXLbKvtT7qVcSeN7XChVTiAFoEf9YBk5 +TDrV1lSBC99RrWL5CwncDu2dEXEcy90GDNAd498/COTKegH9QbUBHHiUrHMdNCJJ +BUKswI2nw9QSI6GANck04yfgP2Z2myBMuUCGoNzbvREm224vbTHIfhTTH8ZO0NE2 +h4j4P/78hhPSjXlAfE5QtoFJShQwqZo0jwPeaLFQTaeAgg7rqsOq5R92ap6R8WO5 +8wMNo2TfFxhGD18uB2Xsg33nB57+1qNRLzorK8iCTkJtshN/HVBav1aYXtYPGgVi +mEQOCh0kPI8HGaWA3vTXmndLt0YezFVV+jMF0slghIgklYn9bBbvGHCUkx9bmgNl +rxTqwAqsWBpXg2Oh+RhK0yGeeTfSCLuFxwUVKQanhDKDJ+uGuZbIyfbIxRGx7hOe +Fj0PcEFDAC1bC7KHZKiv9i3ROQ7DG2kTLjIJPgPSkK2MET6CVXsl+9Ij0THs1vMb +Clf6HxUowG0bGhEsLtiOcvJucH1pD+1Hjyp9+xx9oTDSWCtv85GWPze1V8IvU3P3 +AepRf96vrhdI0eq9mwQMnQuzPyZCwBLHqbJEK3ibSGgvSkw9rDCmuwDEoBb0TJyZ +y9Sy1TFVfyYL6WaHWPmbiJT8Ba+8j71ZRo2N6vIPCzWDYZqLfnGk5kIRVJtuhfR6 +Or8X9EaCuyP/ZuEsWYo/E6rsgMvsFK0Q21mhcC2fnZr4HBFLedzAl2SfB+bgdrMW +c3pvimmLTkwC+eNgBwOANPxlsw1fkndbKb5CBZr5aS6Uf1ZzyrZuRogfj4aG3R3b +lHNAgc9Z0zEByGBO9Tz5TLuQROMDOpJWzVbrIB+wu0CGvQAeIZUZiWAWL6opyx5o +K9VdbrDmlHngB5RBy586jfB5YRcE0W4k9SYXoAFBHg+ThhP9S19jjrWKDOY+LrB2 +fHcP9Trj0bv/kjkuigUlMIbHV9EF4AvLs6h+UWQ/KqAqbccHC8/97Z2fH+G8zblh +eT/qgdQkCJ5UVuntv76+SzwwcbzsNTD25rFgu67UPrPgh6hxmp+1vYqq1aOXsCEc +TflTQ8spFunuxYL2KexjvoB3gkrXP//vfiDD4B/p6ehKzB2UyO84i1yZYN0T3pfr +FDygW6EOj3g4uhyxV4Bsrhi5+2mglsQXYWaMoL+Aic7TqfhVGddi+CfKPmMuBiMI +B/HOMBn09tVbXeAAsxtfpnRwbFCpGuQQ0km7qKh68Ai4olVsKPSGZi1eNt8IWkkw +1DoOoR89Fq/MuQEat5XKqicA6CwWejBVb1G5R4GEW+E5HgS4pgYruyBnLxzKL0yI +LEvk8MptMsT/+uTnhg4m/XHus1fzHSF8QpjmAQjnK/1VhPmyZ2QwqJndHHjJV2xa +SYUKm91CyOIyWntywFMkIxhCg8J79MYlBr1Mt46I3xM8CLBJO00JGBsl5o3bPZH6 +SzU0+Ofxy9PeL17EVcm0qE5nd8y+TWvbfBnHHgjDTXIYRtfaCvY4p6P4cAB1sw2P +wwE0QS2krKpisQ5pwBCjN4n1oLIFSoXmM6IDXWeWfi7ZUvrWKwSU+yhSqNzVq2oG +iXgSIUn7jQnEm1ehGu+sptIKJOcnpv4bAXf38agxSAxXct/B6LzNDw7i4bIy1ru/ +XNuamAIAoTrAs8xm+rsvPefKAlSnPO6t1RkoXAEIMyhv/5IrVQYJYoAOoI/Nv2hh +AFHrZ4rqL01W9jggLvo51NiX7sr/8sy7fi5mMRYqR7ic7clIJ7y6EdHcJqZMlddO +V5P+hLLLVyjATkL9Wxf1B2X600gcfsjqTFwvLFGZw3IrP8vXLMC64Ak2KmwAH4Bf +E1rKAui83wV7hkQwIgcVBrK48Kv+a7wQOsh7ETHvYhtwogpOl+MU6G8M+jsiWxjA +xTW3HG0hHmnRMVUoSAubIpQ7LT7fZlPuhJjEM8DAn3A9TuArI8yFpa4iQTY+mkzs +hl0bRj0bc9FlLfwAqquuHGmxK51+6xK+q8X+jc63n38K4c2kwqQVGyHFnBjJD8Wy +mA99aET899LDqkyEaXNEXoDd5mjCxCd3kfW5tD1yqY3b0L3X2IhMo3tLOykS398e +uRFy6cLS/Wp9Jv7xKb6pxs7AOhHSRr8sQhimwg28seHKlwAw3RqcWY6UYyzEjArP +PhWJienS4yhARjYoR0Sw5SWw6hETYhIkFHJXYNSQyt5HPwOZs2vnGmGgEad/Apg3 +QkFtrvDahpFhy4yU0OeGmxi+3SICnEUi6zrCjAVNxWhwN3pEEF1SKTtxP/SkvW8k +kKzsUjD0p6g3xo3w+xJbNklb0YWyiwluzlDEgzUGIty9XIikvLzGaI+56PW2zBI1 +nKJnxVFkxXTS67j3Pl6TSAhIP+1tL58O7ZlEyf55qK4JB/Rsz76fOIcUbDHA2U/4 +yABExqMVVMNkW9RZsEqyO4eCxrqAGtf/NpNkrN0awiS0b2ufcKoHkmi5LROeZDyj +h2OWUyZl0u58UpqQDAXvtIy7XLC5CyLfdHR2/pzFUGHg1dJkvNJwugHU2InWKbNM +QNr6UjqQUmiZdqz+DFH9eYEYoNeUart4fPietnvgsOBY6mJCpO5kgOE/661iM7/L +koa4wfYqSON4HvPt5EEjGHmRK1Xns9qC2RcO7VujdLuUugGK0XicbV8L9a1zv9Ff +7RmZEMgYJMClZiKw6/yBXeYyD+k80JBgak5/IZelhmXvSO4l5fNJqoh3wAfOq5pt +4in0TmRtwTuRpcpm4sfKe+eCMKjU3MPIuki44hoQ4OwMOD700pNXyFygDhGo0ksU +qwB9pNNbJIXNo0qp/i+YjHTqBzB9Q/9vx8rx7IRSmzDaL/+pdT05+dxcMRe8NYQ3 +A5mXhl3tKpl7iJ9HDuRkwcEgrcj7/fay0MwG3LfGTVwn9yoz8K4ghw1IM1XfO+Rq +rygmtQdXKGLuOPWpD6hfrQmnCG/WTOWLJ8o4OcRS6GwDCNwnm2OmV81kJfZc0q2T +OuheKsCauEive5TcTdDfdUFScnP1oxZ18Aq9EOh6gx9MLz4umRzTWAKqStWCwcN1 +FSBPvZ7PSfco6bXn6NOG19/zz+oU9n+ivrRMnAX6sW1JhoG1qUxSI2OIRnnVA5/a +6lSZ444XsBnCphAPA6gXRQHWAiB4sGpS90JHMG44/DJ2ND6K/rLk60KOW5/M1Qtd +2H/0u0KwI07K7kMzKXjhGYf4OQ1/1w4osWqtB68w8vSGW7zdbPiz3H2g8nFsf/Gy +iJp59+lGsDSYCpyY8TN0ijUUcfA9PG9Ac++5fBUZpplZwkhb7gXTHSpBCkG4Z67o +iiwV8XCoamWH9u5uNKlq1Ajdi47IxfJ4i0PejIFevgp82IF7ew94ewaNq1cgcK3c +MZQPvuSqROE/vk7SkWE0vNbIZi/o+u+H6qkyS2qCoRQi9XJ2i0WfaKkLrEawtQFp +uzOy1H6aaEHpvM62y+iT9b26qBoi6+lpnMf3ZG01tHoAnP8JNSZhbBJTXdtKdILN +xnYwvXa3HAdpraQEy0Gqi9Q91vXA+9zJvAfz31z0I7yD9WCjlUty9QgidV4m+MWk +O0K0kPMb7Aq5P6N3FwO7+1Ir7xgsSqm0H2Uo4+JQV6/7yGUzU98ZxyF1pvX87p/y +e1rGqq8fWfiHGZOvOxAvrtO+JeAzQEl+12BAc48GLECtgrN95nMAbltqR32Lz6HI +/K31p2OiZsdoYoyFFoAJ9rBGtSlK8jU+M4S6Xq+nSYw1MxlUq4FXBZGC8/Ru0ksP +hYYIt9JyTkqU3DGfolR43mENfnd7OXu6pvpYdefIgmsw1xUG7uXdh8UGPyvr2k9N +1cTFOQaMUgA/Q9AVSg942Fep7borJS23Lfq1rzNWDPYGwZSegwn5HfJ9OHkulzYR +NDjsmMACveXzufidy5AKbHj+gYT7L7thXF1Ig4BBaGITsOmKBAvnctO6ebkqiV+t +CkJEPac3U/DxKOe7zyfePsNvMQo9dNpK1JjF91qJi5mMdDijo+EQPdya+0DtxOL0 +qEVD2Gk18j4dmLYQm4GJ6IRkAwrt2SPTtgKU14oA5BvvVWZEg02aSyx7ydbkE6IO +cBW5DoD81oRSaK0al5TkVM/s4dllD17dQae0/yYPv2axe4/JPBy++wfOHBRGlOz5 +fhx55g3P5DACn0G74v41w+YBO71ETqHThY3ckBEkyB3vIZRsSaY3Pp1sKR5oBEYU +ze3V6nwbeGs0fiQtr6zlCt4RhPHIL6vxcK7V23/4ePJOnSZ7uXWWn7mCp5ns4cTH +oHTK4cbDglDmqur4xl0hA48LHAiXtFiR/DB6MW7H/ONAs9gbRf7RsTW9yU+KtgQN +nj9szozk3WgxnHcYsSCD+gQ9sm+VCjClSpD9TVrYY1S1p6z2rwF8X4Zb8Ay+w8sW +OAqegWyUigxTJVsN0pu0jli4q6Pvwp/UcaUIc0ocBdo85c4TIeZVFGUW3wd/po24 +ZGk6tvkS8R69Gl9gNsUXsgCGkqRRLAdXS/ff03gUFphSpx/IXBawwzC2U1dHx8JJ +ex1tjcfOd4unCSIdebhKoj4rVBxBBJmSVKSWRUVGYOmFHx95QsSBMfaUMMtnotGr +QWC1kfU5ktyDgQ4nQaXYT0ReP+ovu1IkNjR/IrsGELj9GkmzQBJrhrmM7WAKqyL0 +XebMKon4p3thFTVuW36wcLck2tgdBcSrcw/fysAljykWt06uqrFI9sxfNYe9mdqp +7FY5F3btHOcDkQpNK7qiHA7lgxw22iI8qEawwGa9WJcUgeA5h/GS55v/0X7sJZ1d +MmzqhfYveU1x4zK7Sh9ViZL9whBwE/OmqCz6DoiknvgmVmGzFavhdlelfXBPtCwP +arzu/TxjXwLwiS0iX/2vgDcjXtxsmEARJts6eJsQ0AAhejA1aJR8Es4GKSlg2tcT +/QLVlMwMJ11qnzEHaehkPBudfjvH30mETgOhmzoVEABFOcKvf7Hw3EGZ2KcY0Qlj +kTgSVkH5uDYYMc6TfxD0S3sM+gcsK14/QdMXFAbp+w8THrd1i9W2TF3jfr7Hwmaz +l6QCp/2GTNU5Da9ZpBd2CfDAEmdr3WsAGMdWPEPHa23dWwUko4wvB+F4erKskEJe +XjA2cz2jXgjYfy2apAw9J+E+oL/jkLFYYGSLxkTkRQ4KR8w8fjm13kkPNqDcEnQI +71fyf6+UPOrg7BGZjFWKII+aUFnGKLvkTHSxzBh/x/ynVgJXCReQO+tMChZJDQV0 +R0Ip/rR3+M0qY2oQhUpJtLHI1jXM3FiPkUuVcnuKSryltpEKY0vdnZKcA1a160w4 +Hp6NmW6Ekai185MgJ878KB83KC3k0usxZKroOfEr7dj3cH3y1Cbuyw116/eB7PBq +Jn1FrRiMXEMwgYP6O9IttgkVAplBSONTu/fgBrvfywCXsHssN5MWtXPueMJKJr9z +S6qvwY28K8QeBDYHUGTLypRtGrgBP5I3rL3OaBePPmIYw/R+E52QN6ZAixHoCV0J +BBntXpCGwRXEv/cpFZ1/W1W+YikID6IrYWHNuMN6IzW58bci45HB8bKbuBRNMOV4 +wCWQMYp++OaVCCIfDVfTMKL3ZZcs2OTPPjGLhF9d2rXt/onY77D3jntiwYS0eI5B +giUuDtagDGzM8tBhzjSgyhEPW0dBwkIwcvo0ZnGZL5Xjzm3n2c+uj5cClBMUJqWW +0KtWAgAG7e6iztvnsz/uDEuOytHWB2nhZspZ0ELpFdGdzkr6gBBelSFUTdScDiMW +8Owiahh7YHWy9wI/dEkhJldroONh/hlnA3IG4/4fdt3/SlK9grixMZMuA1cJg9xP +/niLankbl78prOhB2BnWSe19rUGFb2LuhB96UNshvJt2YSQcOkuctG/F66J/QI27 +U6xldaBP8hd0HPd08DECfAhnnjE8Sudz82pS0ycG+MhzsEZ8CwLSIlNOH3YFlC4r +BIWmrrr4iNYgqNkjDBdrZKzvHO8zFD3WiP97GLIXd7k+Vo9T1SwyFvsqh7n2eWRa +W5QGzGgYAqK1bd9HtVJG7x7H+M2cdeFj8XbNJij5K3IlQ3dkETpZYisRYslFWW9m +aOnKhoGaXg/d3th5hVyw+DM+dkzjQstv9H3gfFp73248NZNWablylV5l9ch/FbkT +6AEbIjp/K4rZnDpSnGrkOxhtpLsgM7wFh5UlJ7oRD7x/dAcFaoNLlexe8YE/q8IE +Wl/BEIEatkpubE5ttAp+Lpj28ol5ZZCr5KRlva1Nxfq8elCicU7HwwvZrFd1lGuQ +X3jddMoWgLyeQ3YhKqx1A0IIQWgFbydpgHL6+WVU7mLM0GZPZDrAe5dA06tJ0xfR +mB4ixwhBsXiO1Ju+G2bqr+zJ3zia//AB1qIjcfL4mBHrBRfG9KQcVri1w5MhwGX4 ++fL8kzRazL0h/BqY1cHmyzUmnJ0AO87ItKncUbfhnUAw2fFXZe50W2NANlRxhU+q +BvnRhtbbO5GmKKZPVwoqCsaWpQS/O7SKfPC6bjJBtEksaMfomwhpT+nJD2GnfS2q +MN8ECr48iHk8krYmGrc83m5iVHGyBMPkx34AcHhVKMw4PGIV8/29DOag77TmdDAl +9wjiKf0NmiRlaBxZFw5NWTXIeWDeF6u4wG8FhXjxUpW4BWX07HwtfNHBkHcEMFp4 +5fMiJOk6xbGm+gDSyIcih4zwlE4EX24ce+o0uujdUeRs/cLMV/URtl6vZzldU+6b +n0LDmYvnUh9KxS/2MNvibxyufoWjgQvnx0xFgLly2FkqdkEDyHUPdnerHUAecYwU +MQuumrus7iSmz+EaNzg1IxmhgxTe5dtOLa/2IOk3Y76GBKwm/bnlC07yPBvl5t/k +cxqDbzSVCGWlTb+pLA3tD7ULkmptZqM0jlgnCSNFZ6d+XGE32d/79bBzaaRmEiNw +APmwCVLRdmdTkd69L2Gp6A7o2smTKL9ajlHqFC9tLSjZCdUXLPDEaUw4ncuSmMvH +vu974QtaW006NDQt/o1r7ZSPnMc4Vlu42fl7AF6I3CPskNAg3/+85YXI1P/CnlHe +GeD5kpGCz9g8GAGrHSafXNMTUOy2cbzjApgsn/LUQxvHpe84GcBZ49G0OCNIJP4b ++aEJCO9yrDetIJh71ZWxZrfMStRngZpZNWhJGSmGsM5hewPo3uwx1NdFcE4cxLzU +5zZqo1AoseRa7xnpYQWzp8wG6DYhkfg3xGDGB0uXeiABgPe2hLyMqZgW/W0V+eS+ +Y0CZEGteKvuXEjaa68X+HFKKHLiGHVjSrGwHfD1kvXGlHQtSSMKefKhkUYiP3uI8 +K8pTS3IcJGXJbBM1iAoDlXdG9xOGCD0to9mCz2NndzQoIHbRgblcPbOjSSPtVxbg +cBCS6Md4h1f/AhFJffG/1IquMQ3x+C6t+wJcBCSTIV/73hXH1eGnhIoWzsTGwW6r +oTsgaZyvPs9VlF8/JbwoGxPgwMtb0ymkykXLp4rQAG+d0PbvXVtcoJjcW2uAY2JL +g2BWqA8n8/X9ZEono+qDwIvNR4y0x4rIoiB6HgYJmWbzxGVSLv0Updzz1D7r19vR +UAfWr8BdlVzVkpmxA9vDZcRf91EX+XiCUPuutRKAtevuUH2qAb+BAavjB8/8np+U +8d0bNNcH3HF0ZGs5E9BH75OD0yn6kuYlDJUvrohRqvFOy36VZ5q+Reo9t0fT+21a +zUdn6IM7Ul8TZ0oypeuhYVkH566/Mt1Sib0S1f620rNk3IR0CMss0MP9t8gk+aMB +mEZE9zS01DtnCmBH2W0xYXMgmO8YntxGIdg/+NPBhwyV14o53iDz6j/Xy4Y0Sj0f +UFM3TZs22Zz772qzQxazga+VGLbvaOSqTO16Lrtg19GtKMddbm9p3VgPXmygs2XY +arUGd5pRAQYuXmBtdcZimVHyqaK7QeKpT8vYMr+rUI1CQ2Zak+lDzVmfFBRx3tSg +DuuwIe54vdLNox+WIslWi6WO6k7B79Ci0W5Ioj5wOVxbDe/f13YcWwTFJZTPLgVA +06HzeUAlya3QKlcRGDPQDY64oealMD7JHBMwEjBDouSg83uWIesRFcIZahRJrKr+ +J4al9LNKsQgvgcF0DKhxlHb16BmLdRuHUh6EvEV48iSXXbmVoXGPQAKLG0+EWq5A +ci76HiSNNOxtNxWmjlR2Bo1V0O1nI8cuPoA1tq517wFDvjDZrGdjSNWhRPsPnvr6 +UzAxa6kMiLECyPbi+pNLaslUIZaMSmNoK5OFsP3oFOkiLBUBLw5ABnUJGPsMbnFV +rCON0NHGzTkBWTAF9Azhg85FTUJ5fDtpNQY2FoASjkHzn/P7NtcwTVamjIQ6Z+Fq +PiieJtZydF0vH6qFOspn4ExK1hDRKxp9NjpgelqoeN8nSfyAUDu3uq9f/kCelrGa +yBabr/9zzq6PNhlSlw/e6bxvAGAIAt48HoIeOx9DfFQf2/778aXuvBOGHIsOU12Z +nQyLNZTnkeXhOMCENgUVjaYuiAmGc7yZ9EySywBHSzCNnaqsfJm+A4wYiXWSdpEi +QqacmGJ/GzTfbE0CZsUERNb0LWWqWGCRsnJqlrpWeJJxm6tzN2ikPQMx9Lv000e/ +9D3Sn66xC/gGxRX/udxKceydIqIN936FbLgOjDo5rfcVTIEbdPmCH5M3hMF8qZoW +9NwLzoPrCPf2pAyz8dCIy6+9kYqUtLonwZvD4lVYR9DVkSmP/dgmhJlS0BLSXuTj +bbSNqacGttOKMI7gWyE6kkgkaAdTwWqMH8kcRDMpkLnUCjE2v5A0FPLMLklz3hRk +pZWAcBu6EX+SYBHxfCRV5ilsotL4R8ap73jPyyIG9WUfyLS1kuJBb1LtEyky/h9P +zspWoeLQz7s40Bj5z1W6PYCN2R936SS/yAzoI5m+oftYsQKY8F0yv1MabQZnZeBJ +6YEUiAU0XBDDFrrZMxNJ8oJFD/dirwkaL39U4/iE3uYPN7itdSNFc4+cWPFFK52K ++WK5Uvxu0vn6J2T6c7JgX+x5ZeaMs2VWvMJqMzcEmRCuFxgd7MRSPK1HtOjRFHqN +n+Hw7KUPnjlnN4hoYpaMVOtBkL6BLu8UT2ffmDSE6XM3O5cDzdMd0IFWOtBAEKdX +QQTIvTShHpzcoVE3IAKFszHefUFjT22m5rXuUSPMOgZcgDC5qsA4kxMIPs2Mby24 +T6UU8tqdoqb6JbsZE8iXwqK9bvWTpDAHWqBund55g08aVUepupzjTbu59SsiANZA +9PUN1dh1vAWx/qYSvauKNmERYTw9hbMi2Az374bUyWWQN+EGgtHGmxom3v3LM/S3 +yKmn9meGOTT0J2A1NgL+pp2ZdMXKybOC7/V1DBWAedjQPV+KHmeIc25CWTDvsOuc +w91u2DsXgYKRiHr6nhl/OVbtE/5jYa+kcI6UbvObYQlWbFIH0faJPAMyZW6YaETw +/JTwVpWEMI+IfoqpwySWz6v85HuX1K1vghPkWA7Kt9n2EFUIjySJ6Dax4q2x7M/y +jocjF4QzzDFPXF/Zv+lpO/8LbVUdSmY2h7gDwXA08VUi7SIWdOxJzvUS002tfGyT +i3vXdEirj5eyr54fzAcsYA6hly32xV2C5TEsJpC4CkM4Y/VS/dgbmOvdDxMsIt8V +/Tl2E29wnWWcrD60+q6KgmwMkxitWOGaS+DhyDcYLonuS6CXhkNicvUyhmNxOQrd +GsdDjS3KWLmxO1/snbZjNuI2UbOPPsXymqqLUchRLvcJrrb96NTGYV0P/Ny7MFiC +Oz+WkpfXba15wDdVr+7TW7YXYdOQTBECeMHdi6e40J72Rj4E3IWOGM+RL0gdIx18 +GC15VMRRTl0KrErgUDuac07XJdCqfCTyXYWw5O0JK32oi1x24AaNByIk4nkuwStU +WgIfBoXf+l7xTBx1oEsrsT2qkpiMjn8qKWqRbwRb8oXTtqgsvCkFOQTDpj/FdCXN +NVO/aCFmnTwu2N2imiyVPkjXvZ+A/Q4hKbW1F+O+FCHW+uRGTbYmbGzJqSPtZrsc +24v2xwrAx0SPBA688RiphHUYmJ/KwPj4M5LsJLFrGU0+ikphSZSa2SeJjgJ+ecl0 +YKwv2+MOfdHv0buffALO36IvLRwheAVPvhgXHcwercYaCDMGM/pkmmemTtQPBEhe +VJM7wArTeyoJ8orKqlTo2QWc8VBT588WfXXjiFP8h/VSvb2BuP617k8tuT3Bpnas +IUA8ZExpCDxaULqCQXSJm7XWZUZrMw4SoLP98zPtwPumqH0v+jGAMBfY1RfxxsrU +Uaf3IpvFvAPm5rudyZyi5yla5jRfLIvUgxkOb3xTztDG4/3cNpw4kwuWPodNX23h +6xyTXrOehslp7pALhKWiVpc4397MLbhUXAnT6jQvgMr9l3GP7RIruHpS9GI+CSNh +T8lakhInGPBMBosGQ6g3/9QOhjDl8IfTdCcARn/8j9rmWt4jkuxQiMFrMiXCnKIC +V945n64F/Sp/J01u2K+1tEP3ry6FsZR7pBJiemCSnr0QXrDIF1J/7g+7Tyo9qPQL +4HJPyvN+QqBPFVfzgd1N/GPEyKRzoYw3tE85C7ZEUggz+ZJkw5nDUsgB+cisfXkR +5ZR2Z8nih3qeCDm6zUgb5/FbGuE/TbhzHgTqNgtcLDraFhIB1b8u7ltkzHxkVbPJ +jY/K3I179vrX0H+YLuxBAo3mBA4iBgO4C7sZ7TNRJYWjy7TDEdMWxBUydMuK6OSF +dQIsZ4nfLvRig8Fz6Jx1gszl03TSBpxTZk2Hei3QmUjxeUt5cgt/L9lVWYnOe6S8 +pvH95hn1bYFaKozYQNcFFq0n+r/o9/OFGGAapfbXfvZegIvRd2Uir8jZxaH2SkM4 +XSQ/hsvUKRpMHep8J8SPLZKNj5L1h0dgI9CSgTkYaYvVI5rKJTUh1T8NKJZNuTkN +tgKnMpAeBrJqyy6j7u7+MVZWpaEspCF/RWZw3jGyDKALrWwpxLWk60s1tcF9SoKo +Zixgq8crCZGunExya/wXeBypJX44vJ+cqlqkhllUgf5AasD1YWs2w9lKLY2td/Y0 +NMACLZ7+PXJsjiDXoFxy5o5TzKuqz7KPDYyCSV1b1FSJPmaTwsobehvBE7XDgux1 +E6N4gOD6sGm7L3Ek+ZCyBKV6kgjpWMCOuNtBMdyakgQ2YUH8aN/hJplH7exXsx/U +aVgSXKNn9rI3CiDPapHQcF9mXJtI5vTtD4aSJPNyFwNQqs7GQMQ4sis6482Qlr+W +OFQZSZMNBIWO9Wu8phPAaf+galG4KZyDFyKPTRZtAc2M1sEJHI2/W9Za8GUXEPhz +mesHODkN7XMJim1fJ08qHAv4wzQLAWMk/9UzpJVy/vmzSZPaiwyjnlytFeAqGTFk +XG8AoTQpgnriRdtoZpFtoQqAwPUChPMBgr37HkILvc6jEQGxMflVSWGo+L9eK7Du +vj35/WQU1eTWPiEEvKUuOwffJ+YXT6oCMuBp2j8ATGQi0vULUVERTiaRI/8e/dJN +Iqv+KiWAHo87FfCeisBQfZTWQ2+gSCAGTz1PYD33ou5xlPWECHavCzE67sCE6NR+ +Yn6GsAEViiO1tlm7kk7pTUd3pmFZBpfJOCBnn2Zzp8gt3KN7tqmVufzBJcYesmiY +C6xxDF36GdTY0fVZSIOqY8fAXYv9h+RbU+uLhfZU+XTEOBcCrkM/NsGwGqoWAjXk +6iasodWF/X0xMMAY+D+mR4q1ly5PidRSEsDl6qKLMueka5A1HqOpOCISe6cvSSBA +JVM++5qWfkXH4M5zbCrPLq/omra6HXPcOZHjXVZLPy+jz7IF83QgkoFFjN6d/Jhi +ojwAKVvr582Ym/PC7cPsE6vEcySpIjx2RJxFe649CKAF7pJ7Dz3hzX+rZ3pin0/X +jEYpYwESuIez+odGKUjxzcmAcsHXAf4M2BjRATac4D7jmlQZu0VPugoOyDZ89Vu7 +HHRxqH0CUrAz97uG2PlVwZz1p5BbnrJp8CwqhLTl5faUOqxEngX9TannnPOC86hr +5yet/2k01oaEGTe6NhZ4e+ngUJnosOKmvmHnMpsHnrOzex9qAMyRJtwbDgf+o8KO +ZctYdmYrrE4US9QGxmCNcAHFjNm4I6DLW8dlXaTJRumaA8fZGsNf6gWZFEc5edOh +0SgkuxiLH8rinbYfIJl4gYh87QHMmTa2yhplhy31At5EmzLF3r/jnSmtHLrpcKTv +eBBKg19S3m8Al2rn9r8q6M07cV0g7OcAKxY2pSWaPRtFEcll3gOIlqFR1l4/0bru +IFlTVjs2xDRn4uEpZCvM3GMY6tFSs1jUvzb/ELk+f78iRD6xYE4YuvLbnwiAVUCJ +E+5iQcYGz3KUeqSNFbO/kEbGoS0qJ1dZaQCKkrC6UrO561SyZOLVFYBc3jFVHOky +MSzC4bBhjLkvyaGyKh03KVgqC3qMScVAnXXrzBp9+z+DEyNniL275GGEUrb0VX0a +xu5cDjfx5KLHD4o0usBrAcqwOuR9kjh7IHXMBv9PWFl/MtVRKCxipqy/6dvQaBtt +EPw2v6H8Hm6P36djTLH2IXt0/XVNjw706RX007xeSUgvUpBHW8h/ixYZ85AUkvb9 +NUDdTUtPtmQ5s7+JjsKGx2yLE7iue/7LQiXWGdNmPDhYYtlUTl1zAw+zTZGCeYWr +DzXuBwr9UZuPY2jWLPipLwRlooT1AiqHW/8Ctj1xPt1jjXBowtCOVB0+osC5ftEQ +jcQMUch2LWRCBAYpJncjOVyyg2hbWqwtOSYOlLFZp7ut1/YcuQ5sUNsAbnMlkIxx +GdUPFj7v/W9MoBwQcw99PqofHxOCWxaIlehb6CB3lzZp4dNDFYwz3pTQP8cEASH0 +3Zlw16fI+UMqjZmbTrRjxCnx5VHttNAQ3mKZ7xUpHWEiAGyRMfrgwci9R37zdUDc +kUPrzLZjjMpsi199yg6+sle9oBJNyATSaFxVa3gECATj7Xmamr9IrrBI/un7gmo3 +LI0UFw0eXUkMFJ9fHPjWwAJri17Hu3HrsXeNKb1n8rigIuBhm8eMv+cvGhUtJcJC +hXdCWFG4dkXxtqNc8retj4+6plX5nmDXbpl2ON2581+qWXicDdpUvAJdUEg2kFFi +AvVlZ4JpP95jo46XOodmACU6N4eBp6R/ddlsP2HactlIKp/BuU8lm/IQTa2hAkG4 +GQsf3P5qrKRQB3Ag/2Xmhn2a90cgJ99sNIdW6M1Dcf934WLvf/YMve9lCA1zo+Bi +jR9eqBhjXOhvSR8kRhzKNc/y8gmipWDTqfK7v/rd66uQkV8JYqffmgl6hfetFRga +w4vqv3xWyMZI3/gj1xtAkcZgUZVPnS2QB3lvOl3rFz9pkNa6llrIm3czyIYR/okS +aOHM0y8wF07Nu828pnp+PArz9GS7Kk8OKzow3wuj5yRTkHVBeCltE/7SgQHnfaxv +NL4dbMnqGoKSWTvVrVwatVPDhJmkqXewdbKoV2yp8WG5LHkzv0yJnGMRTi8Ow10v +pgasY/xzSfRUt0J0sSEH0DBv956d3JQH+AVqA5My55erwovELTqA4ZpNv//vmixO +F2qeUUzWnQMn6VHydaWwubPkKZhMktr/ceapBotY/pv8fX/QHzkdtTvYSXAdjbjR +xjNMmyMBGW2ETZlzBKFsF5H+qGOJ7gXmGYWVNHWEBayu5+D8XdigzDe1PIW97AiF +StWUC8pla6+X6EbvwxF1JIDI/mxKV7mAZD14Otgp/L5dYBB/p45pibvW+2C69kMF +V2Ggbcq8SMiY3mAOFRtjHcIHGOsgjmri2CaWSarwwP10KTKKtCEOtwtU3FuFrxXK +5X+eGRvTNkkWi+YEWYDVf/sMOzJaLV3yAU4+hV4anyTSl4W00JgxOiZi5b+BKZup +DIt4DeIEta2ilJh8v20+cOxmVH+zp/nnmPoAweQp8T1MzuWhRsnJLFuj6wf7PhLG +FWD2IsbzCR7Og6TGfvRIwR55w1W+FyeRFf14yLsdJXFOD2ydgAoih3kcCKriE9xl +hEoMEwXZ8bK6Qs448qrddB79TQ0EOrAPDYUTLX4a81mMNaDWNp8RqBEIkIb7cJGk +wDzOGjbXvZXmHeShRwJz8SR/nvtIkcqY7eukNkSbGD8xiOVYsgO4j132NlVog2Vv +XumIPcAs8YRPlW50qwLV7MjOL6H6ITrlVxU3Uc0BQxehLdD2vvBAdkuX+A4KvVvw +BwO5zXu+Dy3LqCvZqXkn+Iw16ETKEdG0o1Xhc0npgfdLuDxf7kB/yd56Wn0e5MzU +wyH04Ergby8s13M8+ONPukiTXYZ+1spVgBxTaDeptWdEtVaujReqTe4g0pt2s8I9 +rasJYSDJwiAbZl9YXhQwT7NWVHqqQdkCRrP4uEqiSxA9AUNhDdzN4MnsQFY0x/9R +lGz3HWofOjfW+BF9gXhs47bWjJQgJBayFZoX9jT3o+kadKBrIINJhvFpbcx620DD +i4ILL/y+hJL0Z1DGyJ1Pc9w/yDrRMdo0ufk/KJLRM3UlLmok2NFjCZVADP7cODVJ +soXL7jQ9pImsFRmiAhJYLTpYwiVuvQmO8848TOYcHdRF37T2rfYmklkiDT2y1AXR +p70CMBFaJgso9Mq3vkkwuzYMLN7K+PJo51Am0i+TrAPehK1P3HUvmhuDvzLxbcDS +sFmzCYyYAYR8k87wHoKS6FgXgF0ZIjSh4JJ1wAE1KnW1jREKO1YGTHzjZeouDRlF +WZruvFUC8RH7MO4B5Zw1NuogrcibbabNecALJ0ZKSSXXSC0iL8WwHJB1oagI9c/X +AZ7gH9c6v+1XszKhzlhQL8XwtNnjo9p4lhIraeRiVSvm/OD7BM8YzswmWcaiIC95 +rVVLNiSx9bvvJ+YoCDpylUSdDK1CdEXtzUOPGHCmOJIPE7TM1FTcImXrmJ8cPzOe +1qgkLYCxWNgFj1sp82W3YbT58wOlT/UGqf/xl+wNg848/A32j/Dx8fFbq0C4+oZ/ +SIcR8pYxSUZmadItLx3VK4APtcWzSXXn4058OunVm1i45Wqcxt7aqiS9IpMEPmiS +8mUEvSMaEbr8WC6Uwm8NFdy5h9ev+k+u1Gi+OSyITYE9wRymBz8ZxH/pJ1p4UClY +tq5Scr5QyRyO35u/Bo4+IUsgHA6k6KRkjQoL5U24RwLAtvQ8DY8dNt8R+w3FYX1L +LhbGZd+IHERKOgBT23TMFEyq5FpFXE3tlKJwYZ3qHsJwIhIHVuR6/tctdi9emhIU +Gr8xq+5YriZy5wI7atozy/SxcrwK/2b7sDQ9PnkA/OLVt1dkeInLatnwwLzD+Gkt +Ntaind/A0j3o5BKwUGgLJJywXWljQ5P/fC+naiMX6xDyiu6ZuPRIGMNtgjWY+5RW +yZQ9s1AmOiGqiYuz5rVJSbEd/D1UvmbuERsFUuBhnfqWqsWbAcUqEeNlEPSi9jll +zDIByRj+tuSSAYXpqubHvqxBXUttCU7W+qoueJ35U887+wjLiLvavhag2dJFVx1t +SiJIEhDfDepf+Ai7W28KZK9vF1kUninINXpHjZ3FwfaBD32pr7pQi3R4DFiGTZ/o +3eeZe5AbsqMzGhw/yM3pDmaM1bSaWvt7O9Wv31pCCEePpgLfVmAZdbp313ofv8y/ +XG+qc23uU7WqUSOvSpC4o3oFwessry2Vlx7LVXeUJXawcYR3Pv6EFDD1GYcmo4/w +gCtk8h9dViDqno6lmBNCyeI59LX4CkoW8WEzefgIH+6nryGjiyFMXaMC42LVZp+h +glQR/lRtZj5k/FPqz/grtCiUftXB8O0MBP1PEbAMD+3bE9pVjAlSm+ybQVjwqgFS +joN0UogF8KPSYESN1BiftPzdwRJR/0FyzoFF06THBOn6pLrFT31DSerPIqnw2Ken +eqdYAalYgiFjPb6y/v+s3VGiQmwQEc+h9GAdLdrjf1784ezaUtOP5GoUbqy688vu +QixF/PDikccocnSj7Oe2kdVsFZTvbtCQDWsm74waVZHXFwdIiJEfSIxYishK7tkf +MWTzq83hLe8UMrAwgzyMWIVVih/wY6MrzkkMdTbRVMd8Ju8IorVAFEGw3ygPN8T+ +jOVwiIGSBe27/BNGizs9UTVhva7PUDowRseMYT10MSVrEhapHV/utEcNFF3fIVYY +pr1XW4JkMXxhJ0AsT4OUbZp/vdG4zubReenqGFPbHyQ5pQXy0iofqdMjXkSj9J2F +kTHOT4YSAqVVowKMvpwniH5idNnOJB99wQnJsju04dPqqSuQ8LrVSWoZaNIKe5iN +13RojRYIigXURu6Eg3ddGO3StLZNciT0SXt2Ynyas9LYL2etEeTxBMRi1I/HBsAG +uz/iCi03JVWw94ua9mRKcrHvW/d6YsNlX4WXDZfCswKyBvomCYr1x1s7rn7Dff4h +t1gPbPPuglnXoKZXyPbR8CynTE+3ncvh25Rl6URLOSmJGhv2Wr1Zhuqi16yNQo2o +I4rKQoS+JTjMCSmdPrRSM15kODTy1E8nlST+fBMmwoLbCy8SexhaQP/uxWnPtSUF +vjFyi8z0Jj+ohvfkdyXo2Ei83AOuj0FR+4s8Gdp9y5vnZVw6GBnjH4oji+GmkPTs +kRqLX2brEY0tUiSSa46U2vWkWt/oJyE8HiDwGdNHVDUYA5sJKu35qx6p5qImmPoj +PLHqZdeMNQutGFMCYWK2SL/KkbV+0aFSTiVWbsdPWk/esxuRsDfb3T8ZCB10g1jB +299KZuEuT8N/NACnXG+m1NBmdLLctnHezFhmQAjV6F3QJRI56MyMNqFeu9qEB8vm +KEbo/8YsPIVl2tWOOjqv/RCD2KaEVmN/hQHbIFH1iCiH+I3GpcIPc7dl/oAoZc+6 +/GKGWxsThNUkU9fNxcgx1902Tao9stK+/NiYDZC2F6f66zeXnouIHVlXW8YIrVpM +/7as0uv/MnldYQyTW8ulA90tw18fbGemIpK8YtMR47Pkf2IWDeVo62NiYEj6W5Ri +7AyO4VGofugubRtY7pBZnDamjg7bsATTqR59HH/yW6Hmfaxtg5zXeiyFVuOzF6So +bpNDiNBxdtKTAHBClvPvrP56PWJO2cHZ21WL9G18ynSlAZn6wGcCpEpzoYdSTwJj +B6ou4Qrg4lqDST9x8/X+UfME60Fg0JSgxZFnrPwMjQ5EYBfJNMqLGwa0lzTwhhMZ +WxQjI9APSCjeXpzhEj/1JMAnrSvY6MTCrW+jMlm4jHqAsEkJhSZsTDLUoSP1bTxs +N5Fhl3xydo0FU3p3tcjZ90wTEPN/Boh6JO8IewEFIO+vI/vFFK6/cPfedavt8fsB +f8H6f6ozh1Vai+yn/9TVoONKF4hMAFu8y4HCY8LrOiFDMyEvBNz+FgE2ODjtydy8 +pMPv2LNb0wW5x3/avYtm+ftAeV4SLkBO6yO70EmZq8Te5HzvAYLl6wkTkBdOJzvF +TtE58E9pHjXqQcVj7crUNh/KZkrhU1owiINM8SnYHghLn/4annMS479MCOLS2nWu +YedfI5EKeu8osOiKzFptX/I+y6m7G8ctbjtdVRd4yBuzsFNv6JAObHwlxIUnnFN4 +TZ2WKOY9F47VXS3WRiAUKnAhKBeBzjilDWp2Up1SKR5wqlXXskJjZnLmz16cKV5U +iqly2grYtAXLINTlRemAG2Su+LcLGL5O2jjmV6GTeo+uEdaPQAaxHJUurmDF21Dt +bkgCIDd3MA6RWJMneOav8+s/eaRhpdE1BR0kokn8WdtI9ZHlY2aKGfQCrB27ZqlN +3mlFxy22GS8FoTGDkBmyyUutmxXw8t0BQ/HhWfBVqV8tzgQkW0RS90Oyvz/p0GCT +lIZWkwFbddsLvaanXiOyAbjwM17AOifaihBHc8M6qau16bZkozUYU2nVZgYVIXoF +v/Tx42Kq42J+V5kVjT0PZ336qkXZ1ll5nlCKdpGhtRdP9Hd+xbRFNeUREpm7tWx8 +g4kOLUm0SIJXuGd5waI4vI/e5O01ixqfeYlybQimi0hYo/cWNHPhHu5EiRwOYUWL +kEa3sFU3i8bXTgT7rBHxj0dhgzCwA/JXLAksOETYcGFpKWu/19b0CT1nahrvLtES +M26JDzIHc4GDL2K2qG9TYuIDeKnMzFekSDcH0PEYmQ1hlGp7WO5ujC+HbjK9X6dM +ogNRR0TQtrfMirLycgPbyTcYB1kuQ7lHi2YwuQh7KGWlRspcXnkamDd5t3XFsd0G +ON94//X5GprvorQxCBzH1q0XH/WeXDnliNibhRC2pibnFnkd84erMGQbsNVxKrxR +pyIzDUqFoPTR7kyhN+5rbqSB7O0H6ihWAMiQLnb6pScNUnUNBIgI31WURricLc/y +8tLDUmmrWZLUCs3blFUiHZMIkxDrzxDpKBXDkjsrU8IaiUa37KdyFJRXFZr60r6M +RlccxT0vaq70O8O6sbXjhDqhZByRuNRS5KRFg5yobjb8Ou8AwlEubbsL1O+eC7RD +9DH30HjFcifCxcIcHh1w4Vjn734F9rrVzHWBCmhEftikOXLgSgrBC3buPbJpQb4o +0H9Zvk0DOP3UVl7UrcnXDQE8ucpJUBIiRED/RUFvUkY4iyHgb/FB4cf2+tvbOkQX +goVZlMBr8uUeBnN9Hd59I6X1MazGxi1Vcknex7DcBmlogr2LCZ+o/ykA1W5AAwhy +6AthdRHUPNu2hMrd2t6llyvqN6T70czQuvxsj3gPw0xqZWGnfIacsZtAXw7fLfvl +TQeK8CvBC4z2Fq8a38zxkYTXztrnOoSpUzisSVYbdg/0h8gYGX5c4eWd/F2EOeas +i/aDljsiuUcnI5KlHxhxLRYnRwTpnJxz0V3TbfnRPMM3L2/wUwpv1aSphTyL6y2z +PRGhRqdciS4J/lEGJ70CBijhi88oF+p63ErBMypF5eeqslcuP6RIRCASjA5knaQN +vhVz7GElmNaHvuE/Kn2SvVm2Ydx7+OdZUQTsRC3vWtKqzbrTAftv5nsVUsV0aLDZ +eMCPmJpCb9llWHe+y9Fc/UE2+7CjBLvpEE73pMPKxVvpMAUG2H5tRy/zky0Cffp4 +Lg0RHcrLc+HaE59Y0RXHMaWS8GnabuQPVbjYF6GoeMc4Rm6B6gLB9DhbLLCRoTTT +P99ppT0uj8hJ48w9VLoHaTeaIfwmSKDwyZUrvTta/vz2SxeNByCLR3N4ajjClRWJ +rXp3lVswujEwhwdOhxjO80nOVyT+9xufUhPQMIYltyNHuq4Q4wgf9UHQLVt4YQzJ +NQBKhjO34qfjdcDZUIecrwIQu0T3xyt7mUnPrkK8qjPw5z1jbPjrKxWitipH69ti +XwuBSPinQhfNI8tzVtVp0At12SGUgktUPrOfnl2c1KSlEQVC6alND9wW8roNCpys +DBTbzv0ww+mMk0yog/MK1PzIlUW4ZJy9wN8xQBVolrfg68bAiWJB+via0vSEuQVd +pLNII7AqkQQ7vFGbysu6JtJFw4KbzO7+v7JmzslHa24rh2YHuh7pNApKyqTpJ1sE +LG9anCLriAEZxoOHUF+xpPNpxsmMpexX2B8SOxeYoidlSdF3xy4KCj1ho+yGvCi8 +TOCvxJMqbIIuqCTY6UZFkMImrZw+bxGii9jyRoUODOZgggl4mUsTgE6SZLwTWCyu +tjNU2iRX31CPpeHM2e5uak32aBZ6WCpuM6vRn81SbW4XR9lz8bvlQ/2K6qwmA1wO +BSutlj/THa74u1gFDx2sIj4+3iMlDO9mbVcFpu2pTR/fMFdgqldV8jXrQfVV9U5k +SXmWAf4ocA+v3sFQ/lm3t+8nRFuvwwHKfET61xklT266o0n2LhXzofAe7l3e6qwl +nY894r/PNFCHONmz9YUhofCujyThPgLXLvOIdo3QHuRSwmPOwgqVgLj+yvP+G7Pc +lviWy1NXpVLSkpt88d/4vgwCZwtmUbnJWio0/KzPWbzSlw/68OMefECTvwfI3ylZ +m7w7QtffYgfjHM0lWMqpZOTX7cBokPxoI3VOBNX0zDqfPl4sd2zfIQUiCUs1lmPA +kVfFN7oEDCSIoH5qvgFAU70OKbkSaD+C7yJdJXIdLCuW9BOS+r4tohKukpw0ls01 +0VhG+2GP+ACeOxcwwA5m0umsnVTRE4IGdMq9FoRkZ/gZLP6GfuRBhE6hC8+B2jv3 +7LoYGt0NyM+CRPqMoAgNmWxQ6ytfEhZU8t48cGdkXivaZBj8a1PEVgSxGuY6HBNa +GLVZhuBm9LVyTe0nZZhDuvjWTIAGyLbQUFoq/gw9/rnRBtol6v4EEWYQ/Qg8/3E9 +XARtKLi+zCW4W+YVxCRx3ym/qwPSY7i9rsTxqDJy3KxCmdTdRnn63RJSxB8O0oc1 +0CNduGBVqGEpEh3yZ20DEcebMPluIhp2StXZBp9Ixl61E7dLhPhmIdf71jVK2Ejp +L6YFfsJVtvs1pXj3b6aePtd0CNKTV4ZShInNpHqrB/6tc8epVVCECZ8Q32NXRi3F +H6tuMQOFiqgV8MD/iR5Z2iuj8GfrnlVIyVutYjUQm0beE7wVa+AAW3R9uu0JTCj0 +rDpzvVrfzKsqa+fB7ZWVO6T8+0UtTiR6xgnDgP0SiZon/t1F2xe6LcdAi2LRaI52 +XLMRFhypD4GEhvLVN9hg/sxDnYYEyWd3HBoQz9XuLznZeXjIQKOIFqpj3Vf7E0u/ +6NWRdnYA4WWRellYjxbJYgjMbiu3kjokLal0AfJhEzYboJ4W8seQR4mgfCoB+2lE +fd3EkC4taYxmWUVo+Eh84AyzeXN0cl2IT/TW5aUkB4DtX21woMeHAc5/BOsrjfn8 +esckMrt7QLgIs71OmeOARcG1IEQBaP8uCo3cSC+xj82ftoeGf4sS2W/QJz4/gEqS +G+pMqN13h0fxz3stlrmExraDG+MN2Y4b8On6cVnTZ2tuePQv93TE2LR4xPaQyaKz +fANr9DtrDYtTtwHpHQ30UqcN1ofdtQVolg6HsKV78onbsI2vuS9Z/piXY2FaVqYX +hvm13yg8R3TwlooazJn/a6Y9qrZClXSNI91o3dmTBFeOMGalzEyl4HWPcbgYHneQ +jTqTLfiQiuXbEvyHl45yJfNAQxERRC7gCzzNC4gSTjj6EVi70H/SUdQVCyTVZq7c +vvHI9Rvoi/RVoSzvfmDQUTL5JEh1vBb5ivvoxqnr3hJ3VoDEJcWGnV1f+Z6XilqV +VisehiNO+s7abe3ofb+gwbJO0T4SSTjCV0utoz/494V8SdMurGFr4A4uVfbCciw/ +XSZ0Z8eJr9dY7OeGIgJYisN+G7wFr/p6NY7xsLIligfqybguUpTleF2uKV0m8j/q +KkliQwrrIkFljqFbXNshZlKCtn2HRSq3V9n8RYU+KzZoxuxlmrB77frs6Ka+jXEx +TmEBj8a899EBxj0HX082vbjpRJ+68FnDR3bZaKBOwFoCkSlTtCmaEFc7gvkfeIwU +mnmKOGGowkrpKpx/fkMon4Xpiw2VpqvQYgVkh7POQOnrWBzTuWBR63LIFO6gcvTp +oIJRUiMp5HeqeuOjRCTTQsN+BTpNow6vWshKfdORWumalNIoUBilLwRaEGJvBJyX +0eUP6ZkZg5sthVQ3rZbncOMxeyoBm92WBUayAFXQ5wPOEOvxQEWskptrJQshoHNc +yiDDsRkocGKeMMlwG62RAjzgJm5ztvlxDnKKjecoGFcUS9SGC1bpkVPmoLYTCQyk +s9vTxvxWfOf/VDeDuOWSUxVqz+CF7gMcjhWBf0tgLSi///gJeQQ9f8GPsASqXSR9 +/kyDwJYjfwfPOXc8vUsY8cP5s3Dz9yj1sawwR/Luf92zgpvPjYqlBM7mMqasaloF +zEDn6e+xLBXfqrRS2JIsixXttXRroAGS/E4xVo6XGj67upZvLkutPkyFfPTrqOkf +dtbm2BHgZ0/EUhdV1VXfh3eRmILISsbaQhMKS0Z0q8PmmRZiOkOhwBDC4vQddWIb +K3ZXzy6u045qsxOHVKZ/RQm85xw3mYKJD0GPdwJSwfh10Hsg8qnbIUb6xzryPyVr +Gz3eQ4iZgR3zswU1BeO4w7SyBHyPopGPdVpuUuPKsUf9F8hGfWQUVfX2aDMn+wrK +MsMn5kxGTF88I2vIqoHwjSxmIlEw7fVAIM1+LUZu1qULOwMPEpLCk4HWGAVnADdS +7VO3DI9BsuZel5lfKxJbrM7+yStjvOjOTWwx/GMxV2GYC0PspuQ7C46SucAgE4Yl +CV5evmbklLQFqCiqSopwLHG4ahOHcGplMQKE1UE/2hnn/DcAez9xg5F5lQg7iNbr +9Tvj/cjAL/XO8ZGafxjws9Vuf/j5VmPtX283kK/4BR8Uitsh2eGUyhxVFKHOpIT0 +nP+fJWsHUh46sTTqYaZA7K4de9/ox2O8SuExNUb73OBeF6ULCaPEAnwNDgvAwvUN +/zFTGTQP0YUDV6o0eBe2ZjYZpYvP8K2hghWEPc44TRfzEAGV5eyotQ9uXIidY680 +6H8OEwpQWLT/4FC8JD2hEspUC2/azjoavi7dbxIF0D7Cy5dUtSne4qWlgX39BPC8 +Cd8cYizdEhzW4cChH68rUHb1JPaJGyZjf6XodWLhi65v2g2UgQbt0j3jiVmpV6vM +/CjA+JMz0MQ59dM/vGJU7jT3tmVi94+RGW0wntUtjH3DMUtSMPRm1sNkYxuFMJ6z +/4+1fe7qd71gwodQWtPp6IUW9Jl/Y0pey9NmfAt9XJCD7HgZOFlZ/EAKCVNRW8XZ +3CYMCzfJ2LgLTBr55onrfD90CxmwMzTDsj/i+irfsOUGySN82Vstl1x4PegKNk1M +E1wk4u2r697CNr7KP8wHQiervMYYD5DhaimsH43GOfcXaalSIhcFk08VJy9k45sQ +E9hgdFORIENKGI/AQw/XC1HQ+6f6XqyDKEd49fVVon5GrfREH+8aNudil5qRxbOn +fcHzlWJrabgW/7FxFi3AMsCYnNRdFcY7gvShABW6tUShgpr6/IEGVGEXuSNyguyR +hq1fn93cetaRwdEf7+8MYLKgevCHSH6MwcCFWIgOoa5DE/YpR/r/EU0TKG9mPDHa +IakY0Z+pTAgabbRMemXd5S8nz4ujcIIJSDv0fxQMuRPbO7wg7a6hS6hl7DGBGf0o +ztC+b9TZvMlxWsUMc9xEC3nHlV80xyeokEhx7N3CK7t5AJLk8+CHQCoAKs0+eHw5 +xO/Rh7Ni0tTBjNL9AC81BKx2TxQBjwSIlsVMZjaUaayVMe2E+/0ElSxZ9Wz7MEN0 +urhQlVKJMZbPXmJlGH0JhHcuPd7xfGOMPeUBqNZSpK8NZ2kMtf9KluvWVoJS5s17 +IzdN1p9mlSyokGxzLHIoXblgeolNzBAQmgg67GMLrB9hOOTGPQVP8CGxs/MDtk4v +XQcWtSNEEbZSxHon26DJKOygTwWQ86SOCjDJvNyPqIaX3QWO2/sqrdY5JCSAO/Qh +uFEWZ/PS5xytSRsl+ysHt9fBg4ZzdLdURxIrWNcxSQiW0DRUPwcQoW0uosdimIuc +xRhrn5O/TDbx5OPIjk5mbwHYKFnUDxR30NZPr2r420oa/QJ9EseIqhxuosT2lICw +QJ5TC1hZBAfZNmgFP1R9uRljeZapZxBio19YgzvHtqhRd09Ij9ewEb/u7HjAyNmQ +aFWWUebh2a6vITJ0bS9dFVyIrwY6PsbVF3q/TI28qpoT1CouPCq+hiYueLTHZcpv +qjV4Ah2lX9i8a7foHB5AzURl638ywfewY3IxaRXPK2GjpNFK1oP1pkqWwNSqHoMO +TKGg9O1+r7pmmPrtCjoyMUq6an8pEcRoCh5zBXMeLkLYp87+2XuuQeKQ/VRQSGfK +VmwLkN3CGCUeBatkSvaf2OXgAONKY9muoYBFbqO37137qW9zYWY1673gkPLO6l2x +OOxplRiEH5G0GPUCOKqTatI7d7lBonw+nXWF0bhd3QrKzdk9kh+QOS+iSBe2nKGL +Lw1nLUL73teruD8Y9+IsYs3aRUxlnEmjkfJRcdqYpSwAKogTYism25uT6Tj6tqCI +nVZ/TL7UWMQBdSsJ4pcKiRMIt/t1KcwGY9RtjcxTACbGLnpS+kDsGgAgB82qauH4 +EmbPqfFVajy12onXugfoCK4dtwhsUAOOQEwYlbmZKf6UsVX/ZlqFXHiYkrNUD8rm +Dr9O96MZuskfDmUO86lEwEMzvP6MvV40sXZCkKzgpGDYCeQjwDETKqup6n9ddBTc +PIiMlap4kajjwyOB54yTAkiPUZF0kM8yyhkJySaNZ8Q+Pu0XuHz13nLPnC5/xTWG +1FfB+aLUpt9DyMhy9N+qK2O59xfYF7gNrpeXNhaoWO8fwIlzXMqvoJixeoeAd/2m +GWmkQVmUOORnKg1DetfGod91ihr5dZ6e8WImi6cXNlmpdBx0TK5sZKWHWUvVUGzQ +/0ZizKj6HUisEfpBJusNRZfSonaZhSw2XUAkrqF6bKMLsjCz5esESIE2ir9Y6W+p +zUo5qtBnp/n8bFKQY+1M0mYLL3APjmHSTAW5EDndc45Gx4MDsdMakNz4o2RQQC+z +hLU0fi9kCK6ou80JQLBOBef1eK2GhiOGK8RizC+XrQ4dnjYJ3syrdWocpNYJzfs0 +toepUDKlHEHkMfAjHVGt5gs7f+EnzPF00GiuhvgqozV22jvMlpnPeJ8iovuMjaDU +aID3szA9xqcuzOzD5KW39ggOrc2W3SObsTHWTFfILzw7kR5SmZ6wbPr+6+7jjTk7 +Mb8BcXtVCfu+G+eFUhb3kIfvCfKneQxzLfneh/8/THhQ72wjG34GVK/bZB3ztUrV +ipcYN7BlXbuogcm7Ud/CP8CgTfzBdlf0YnFYauNwaBDJxdxzTLGfo7poRthNO2cn +QYOwxIBpjwl+xUiVQLonGuCoYMrDWQ1TPjIojpCP9u9XfKc/UrO5vMRJcx8XCEv9 +G/zOrF4p6hyansXz2LRSt8ih6ThPgA4tn1ykKI64+yWyDFFHHTWfufzzkAJlPIlD +BFZ+Ll6W95x4D8hyWomZzP0FsaCyuNbE8FQuN7rUUD16rgATboNJKRC+CjRoGioc +PKTwJPJP7BC1OfAb8jG90PuHUdx+8Iz+bBfSF4cYJ51SePHkGAaUhMjq+XMNxtY8 +eVmxZ5iWt/H6Zd9Z0/tE5caCghJFgCTmb/dtgWUYufm4CCcnVlityadZszKDSLyA +NDTruLpM1VXrjPOGZFvF3HO/IvvCNGHcIWWmjIhNGIxXwyf5bDbjYBqiaKxdAbK2 +fjbXBfVqrwnjYiFGgZEJSSoIIutk849AzB7O12TEsm+pWcWnCXyiqPvNgd4l0440 +mnahgKEdO+3dQbZ54IyTFmCvx258U52fJ07L6bVjCU98XZHJ5c7F+Rsu2mKjBQCZ +HXvHSBmJg+p2Xi7a06wAqOZ6rN9e1ArQk0xEQxiabD2FwDqEbJHSLYQtdgc4caFq +IR38oQI8n5g/xUfqRewLGd+bytPurv3xlHmoYjDvOxItbyp8Xy6fzYDR5/rCcyCm +fqnlCkGB+QL7KSEKxz6PyBdY+6jL6+YbggcHwZCnMk6nlU+AQtLqAVStDNapL31Z +s0JPIMtu3Z8sZUfC2heHJfXvlXwpkd5qxarNWEqXZubjY5dMCIGMhtkylucWHQNM +inDAaZnHbsoxPa3qHXg5EPqDCEuU9rLirVDJAwKB/Pca7fggs4pNWGRM4wSFOija +E/u5rc3hh0GHPaGsKiURIR8566+ylHnteiqD9ZwuPAP1Okjgz72OF09oKGzWHptJ +jC3LD+PQvvYd319llImRBFllIEnjunzDtowlzLfoh5EhjNXbjn6je7un30MBfRPT +Bb40Fzrar4l216Mcuh251OOOelvS4B8Sh9Pnxn+tkOzD9mjaZeKhEnnvd+VVzSvY +03wgN1a7R7hVfPCjdepcrFSK5TNIRdUOZbNQvb4VSoiQXdoCSSsnNz4fbvVFxayZ +lbk8yaVwHz88i4otiLr46Z+gvcs2nTFjby4K9ex1mkHUxLNU7HE1KYajahbozJZs +tFtuCgQaSuQznCcjdtwT/LTpxHRUU16RhXjLX7npBvMNSRUenxzNbBV9QFI5jaL9 +9fDGGTJ8A5oMQ3r3Y/u9s0eqfwALUCXUIswwy60k6/wS/MsZcMFO9GpocMUq8vHq +xXzDIM/+wfs0qFd97eKH0TuO02DU1bau8mKjLJVXdAyug29OOj/Rv8X8WiZHckxK +kvTcceN6oMH8Ms+hj7/3sLBIk0gTOt64c99q9w2YNVJRl38ZWkdU+ZZQPGfyZep1 +D55ew5h5TBSRBzOHlI5n+1BrDmqle9U/KNZuXkf1LjAGKygYLOJL7JqATzNOS1nr +uxEU66ZmWxl0uJ00/BswXKYWqT84iolZr9tcBMWJD6nIUeDqwfNcnQmwAxxDvvH6 +MSNyjHJEtaPXr7Rf1kmVl+5gCF6h4iPV10Vq1t3PAJl/L4tzIR4egGZsXCc6vDcc +/Sr/0YWvNQqcXqnsOB4BKd4Jff5V7HyMzwg8RFMjPeOKdInhCbOW+9TqZ9fGImA1 +A0zIeFWAmQksug63sdKjpXiOmFP+HLMoZ6aoieqgg6smcLcXvjMsGogzrUcnhmAl +UNgwvQcX7WXpY3meIy0PjVR+G5CasOy2HF/q4vxosLhOq7KAG03jZ8mr90tEzNKP +QQAvJx+VScRm7VtbQZu0bGR++qWHerIUCmt1PxTVhPz/6jWQ+a5FtP/QS/+hrAbh +vvKS+raGnmfivDnaZyZ5Cpb4Iqy+PPm5LeIEi3KvCi/p4lxGwQzqp+DqpQ8iOFIP ++RycUylJNBSkhr6BxAb8i0nGZt8jyyBhe5InCzD1hD/1hSqHN7PEh1h3qoyFk7u3 +NyQw2Tm3/W14CmZQ79AwcCRYtAL3VbxOqv/VNnmaumuB4Hu12L//8bl+yriXxSYZ +GPZtAPA3tBkZRvwLDYZHWS0NsCIIeniXO7WxpwufU11QWW8UrQZgXY5Dew1btKT8 +ZA9ZKVLS1xrZW5IyzLhjJGeuQmZl7Uq2PLS00x1zcXZNDcRnd8qdKvk9bAk5rAys +WXdBmDrwljN2HSUHo696CedUcPcM9VZcDh0TX2Vrx+VGN57f5vK7GHTlbqlGyT6K +L+6VPwg7ZdautQpdosw9Y+ixLPM7y5riA1UR7ow9AT7hGhkKfWMwONUZ3c0M+gm6 +p0j0RgruFuA6n6aaqu7xhZ7Xf8Q+FUOPWsUlIl7eFc57RAZEeUB4QDeVblI7ciYu +xz2RRBUeufLmXCzh2UkbQau2QXT7rGJkGeO+eqApjvfI0S7iOjz/lRc7PLeJKWjy +tjsv2Mhca9Y2a50Trp98LrveHpKo6GCxcCNAfaSMNAoinK9PytVGFRZ7QMN2P/fK +9i2kyqVDPxGfWD/EkknCd1tN02pyiZN7zFLklks9oucRc/dIDglzcQqcfqd1AxRk +Ykb7T5SVoRgOuD65avaoyxMFY1NVZZDg6Nbw+GqS5DG7YTPqx+tSpQDSWO7TYvR6 +zx+GNJ/AyTULBal2JJtpBCHuff7W2RAuTr9yCrcFdMVGd2iSp5dmvFZmG2kIxVyc +3QGJra8EZnTKuQSULWX8wNTYg1ojDgkuxex0eLqmA1ya/xFUZKfyzoXgAvlYpitL +bQ1jDB0qU4kmE7kX3z2UeHWF2mlUUCRBJsz6N1YoRlA8PDeVPjklYa0MA7Uovawj +fwPPVmBGo2ESDzT9mqz6hLcf5RF/tyrXj7pV3HlZG4/jlmsTlUI7MEej0Mt/YCYa +ECdIn21Dc5aN/hZwuhWGWO70dfvg4mD5ClHXhTVCQ/yPPQLJDz2dx372wVl9xys0 +SVeWLaHmLrsezgCFsO0lNg7ojDudZHn7rf12r6YqanNWuNxwgHAws3MoDk1cZMuA +FK+I5C1z9kReglnQRt7nAEcPFY93317XyAr+MFInF+IFFNLyTsru9A7botE/7Xq9 +EgaysBrL9Y03qD7anxgfZdB8Zo+bKq/j14gR9B0hGrjPC50Qov/NQcL6SKrrZspk +A5pmtm1LtTd8Pi6C1GOunVBW9I4btctJSQZJ+kQFW+EVlevt5hgfCXj+yt7BW9M9 +lxF94Az4g6kA181FX8+eXJ2sL1jnOse9dH9vuT0vGWpngU8yhs2+G4BOnPkQXB0x +xUVWEng0ZlWLl2uUAKM6Kz2OFfQQrPGvMC3mczaGc2CkiBQc9FpXvfpZbzshfgmH +1qHyxhgMj8Nh54yHVNQKOSYuaK+JBZsAwcpshVaBvBV4vcbmH/zLEa8llx9dhYPe +GJpSTdOrVAC/i25juRX2YqI/23vJMUN4KaJFWII7WqyfrvUuVwpPR6ZEuz2Z6Vdp +ifKw/Zlmd9f10WY/xIIFssa9xmNfaLHxm+ippoCOEI5nxOhrL+/XS/Bvog/2+Lwn +QWw73QBrlSPZCJnk4g9D2Ux/fyIakZ1sB/LGxeQOyw9cR0GVWrnr8b4JCjNKCYrS +s2/gHTqoVRCPmz/h35KY9IirAbX2eXeUHSF8s8eJJiAILwgrkFRJm+rV7Pc32r3r +MVPwRe/0lIb2l65KLefFu5SuU5ptvsi4xoDEUU5Cr3/47Sl7PJcRKJwvrx+Rwf5q ++o7Qd4seRB0SV+lEeP1ohVJPTimcWSAxfyzLKMMBk252lhc6RmtRIU8V5ilokUah +Osg3PYwB1jXSSC5icFZtK+zMPgDNxxQF8Bt6G81g8oSIFcNMys84JOi6XDleSs+3 +ZZgnqUEkA0tkQeHyQquHARNS5JwZXlOuVEiDRePRM5oR7NmOxqlFBTq8YK0M1kCC +a0laYYBNvxQbt3ee3RTS/fIuNW9rCebORUrxlLgacA8xv18DMfX83Bzj1dLIckKE +30+2+6oXZ88rSiQAyXuTApW+YJsIJoy/L8ajeJ9JfBalQPqznQq5j+zjHBovqMPr +31cWSNYg5yHoJ5D4rzZnN38/lFqUsdZ9nMU9t/mlpt2MICqD7zFOY1AaPGIeG6fM +omFybbULiuuYdQ+hOETkT+n0Q8WTn71vYSCkDrc2oj46ViPEBq2mUyavZ+chaxKW +Q8+9WJIKLmZHR1ksWsSicDw0EXSfe7Rj2wDM214Pvf3EBVZyVRUMbLrvBxg6Wtji ++Djc1uNZRjERfV31FarAn3SHEAU4sn50iblM9F1iYe/64Y/er8TgsVjdFyPEUyh2 +wJm0aPLo5NUkIzUAKC9S+zVx/t1IvvJdymBVhXDFEmCMQhwnhA1sfxBaraJeezCv +WrD+cVRvi1Ed0H+/Efw1a12U53xvtfHJh6GStFS4gnSvYNnXZeHV704jCG/2gYYJ +e5SnuGNLRLZPILkP/7RxRK1obxlQ5Q4wZ8mcmWpWdf5l5UZinr4n13/OZGderdks +n7l0SXrPBfWGNAF1eWxn9CmT5jp8jD5KF26+JhNErZMKCCfXknoGF8xafCzZQdFQ +NLZUy2rLMn/o6qwWsnSSxZjzYCIG7llM+y11xrYAI9pAasMfJesKI7STbWp8v/mF +Sdd/gqBPhE0mc3J+ffcEHcHcI9jRkpQbd5sk08OcnucDkwiEKmxQFxIRx2P9I6V3 +cFg+RfZFEwx3YRp8XJ48O2pvOxzGzUZ0jCYybq56KeAJiRGg3mV5YYFNufQcKGjk +prRzq9rJ41SY5O8v3FIRrrCMc48EV1Q26NzzVwTMXGf7Q0gvD0eV1aCAPU37IUek +rp+JRoa3X9zVKkZSzWdp//z4IRtE9t6Y+R4ZPZ7/EB7D8zSTucjRbHrudExqj83b +xbwL9cTLuRg6v4p9yqKKwpEMzvgFjImSpDHK+D9IJrrhWNf/92MADvClcZgZhW/3 +cmMwGPalO3yeEc85Bsk6UomEK8pkaa8gm3A6Tu02WsqXAKjOVwhZCy313EbWNmSL +UgmNlg9Qn7yruA1s1Gb1/TeczaLu/G1OcOAc7A4YJ1G/+mEwiER4b/8Op/rteVYu +zWowKakJqCamZaipRxaQusrvjfi2wvoCJHc50QjqwK8QNP4TsKlve+BpbB5pWrwF +jw3PRbwoym0Z0HzeNayGD289/tnuyPX+mAt5DIYVFwEWjeMmNJVXD5MLyD4pY3nh +yQpAwoMEktSLMVHgistWwtKvSkgY1qTjLjspTE3vSFRqVeJit2ybAMJ8PJGUk5G/ +YkLgKIvoF/Xw3VuBBX266EU2w19Kkf0dwDVlHrgEIQHxpY+ZyXoxsly4YiOp7nOG +zdFQaFwInwHIEMnjI6uNNHeaXDCk+LkgyjeTeINFnYKOHBqmdRy9OdFX5P0t1s4m +n93TTnLACWuZznxw3+tYB8OftwEdO8eheiwwuOhi+o/XV/cVcGMHzdp7DVvW/PSg +yZKKTnxfD65EOudEF1YWCqHcn45AHNQg3bGPwP60JMgfxzowzoXIq5LtiGaS9z3+ +9qq3cORDLv1xrBSjORIrWwPHf91+YfIiALR9RYuTCNGwsG8nBqOlcHb9RfLACxii +qLnD3fENdO4/mEhy6Mi3QWVxyqCDOyGroXyA4Ywo67jF1kvlBxZMvU4/iGUKfGQx +pNbbIdZ5kJa4ZVI47aaUJxeM+haevNCfzCSGpxpFW0ExxPEDIZ0lPHm8lOoYVarK +UfbZfRDE0VuFCvBOZa0o+BZLiNA59fAJvwrACf6kl1VVjUZX5w4exc4Zx093XXvy +70j7PZXHTJmVO7eflnTG7c1RUudHDuUsQmeEPLJHpCfNVDvZmiiSWg73+hS38vgy +5wATQ/b08dPfVuQLvdZVotemveNgDrOLkDsJ9koL+KUt3pcybh8hjdRB/J4RGzY0 +vJnrUMnyFWXzNo3WSk7wsmnB7CGisGtPThg1VX6yhZadd9T4mFSqBXtybnU7c56C +wnxxuEpt1/Y8B1lkVUWMA8WpCTs1wZ+dlghjPBjjQiOH80vhCEDfmuVMfdbC4iXz +kbEFKS3Qk4zBOok5/9jq5V5uoHQxQ8ve3iQaJX53T+Jq/HV4wAkvTTiG9hpVnb40 +GncdbbfHcclKUy+kIKiJ+799y4foX7fxpDApaFx+zfe10q/Sjft7Zb7w/hz5BFHb +tiOCZ8dpoOgAs569uJhJPatsjMWTj3TKt7YB+ZXm10eL2Y5Q4ZRmBC4POXDEtqv/ +2Wc3RvpJncpS1P05fTDDWxAZmYA9/wGx9m0JCbIAbNCtdvYf7j2Mbhstfw4oDBdB +UUGz6xoM5OqmcshdH7nrW3CTx6+S3ziGFN8J3DMpXhWF8/aznNI5meEjfMaxJOBZ +NjAELHz9EM17VGFHqkKK96bVPaSmZhPjfN280xt6XF//hQ2a3cNRr6DuuMX6umYY +n1g38cw+8OVweDRRlrlBSXPiGuWC5l0uAU4k47eNpng0hh6Qu76pq6CRqnh7LUqn +sr5aNqxjmvgnGwwupe6NIoEYLYtcy3aV9XLVe12FTELnrBX6PRmrjoDXD6ku0T/D +CtROBSrIwJtG15fvKlC5LXUaCvIr6KHB+qx6kN45Y6iwenpmrEO2LfmqcI1ycmoP +jzeDNtMDCHXoxKy3wET3KLk3IKcN/E7Wt2QDLGt7mb1HiC0itcLcsYt2vC4yef+O +IjjxpyURSvpe+01rmtPVWD7pAU18Xy0IKz5LFNX5z+Gzsux8YXyWqBnlJbyedtDE +PHZVZcQJPt7nCsH1ILanG6pWcy8HRwPVHbKq/O0kxRPFGOliiKYxQgVZk2n18zh2 +CNb8hshxa2+QbKm0MDRc+krLl4qwHaUSSpP9RWf8QqWLsGTFehOc38ZfCQGp5e4C +ahAMKRPzSlU+BG4L0f/L6k3pNA3l9Q+URMiVGSogn1pOl/GP80RBFaNEsqUqHBY0 +kUsuC9WbfyXMKKYXutM48so4n/YmmXKmTrhNJkJwyH1UbrVhVMDdKmDE48aCbp7j +BhQ5N+m+tFLixW9WVvlmJdaja7eeeUNxlgwzHrWFTvQ8+L6DeYr2T7xWnezmjbRH +3C/YIhorBGupxS/vY7SHSftu+1wyc9Y4eJC4/X35012OEVF8rsIvxvD7SLB1SDUo +/2bKusnyTVsQ3ohQ0lpPE59MeD/kkfVkS9HZR3HVOTCh4pq1HrTGbEpysZulnrNn +JTcEbqMg28tYfqnyEInbfvsZea2qzKkw0xYdET4bqD/np7GlE1JjHp9yKE2f3rnn +R1XcAq9s3iQbGhY/kB7BsPjhuBwno9RggyBPXwclY4H9qgk2yQG5WRsP2GYKGauk +L1ciCBqBuwItkQngxbw4gpphGEm23VnwU3GSz7HhJzgzjZyd9pLmHik5EZwdUmsV +3kG61Avh2Grz8Q5Xkth4Suxza3YWRIPbkjD4/KrGsUVlhG3BN8xjr0GfG0k6V7Be +uRXQBh62CkyNGoFYIe6ZMqs5zvQNtI0Sn3jVPltL0V1/o7yvbnEHDoREeNsnIEkA +hDoPmn3D7MBr6w3xyllU0wk6zjI6TDyjwPoAxwhdFsxXVjZ4nWfuD5Po2RqjYous +cvmy7knQnEKtngYt5FfrSDLru7kigcl2RtcuzgFNyW9nJhscUG2DLI768LmdrRH0 +oUYUXyrvhv+K3BvIYoo4OcdaAkZD2vehD1pztMW7FXLTphr/AKxNNDJ48rWZqFhK +0c5xQsNKMaY2akHnyTOdUoZ/SwMRHHyzTQlhvgEGMnk6ioxz12Es73/3LZgvJ4V0 +9JBcEO+njzgFOqURZM8vsCSujM3hLIagydJQKhL3JqViP3B6uxsrkmxuVTeDLNxn +lo6qTz6EmMYd7YRFFBYBZB7SF/CSIeEli4sVq6tbAgm7vhsJZo8XPZ/BW10VBeKr +H/M/lgGXa4G3rrBHRVbvNrMrgQrTDxCK2puMAq+fLs6l2NZnjWiFi0jfxQ/vT3M9 +jt8GvrgabSwfpzkAaCkHG3sm1WV9ZyU5FSYELphHUJV9EFivZpkhJdY/R6l2Aq1f +v+F/27eAuMtXT4ZOrQuqfyyEyiit1YY020TY7wOldBb4E1E1m+n94cfr0ODyURWQ +B1/mVtD6MDVhLR36Vyr8DNm4ar9rOuFF0miUX0Gc+ydOPZBwpKQ/eNr8lx6tqmMi +2fW6UeyGIQfyekzu3kXB/L7YY0m/iipKYMvqcHoTQecIWs6T/7VDQ6Hmnrkvhkef +/NXZlU9ICrqkdlZYllgIJrzcPLs4AK37+wKEGNygIP+a+vADLhApQGKPUI4Ao9Qo +C66DdvgKwhqd3TYjgs3JYU9k/+E1RFJ4h/7upFT745/arg4FZ4kCGoDhb5kfB/h6 +Bg+pPslACkvct9Al5/NmFQaGj/C15bdzCH3n4XIq5d++7WL2MsL1R75FckuE0bT/ +wX8cFHBXZGh1v2zAtLAUsnay0KJo/59ipNiHyNl8WO457UGo5STaXbGubw+6HGKj +Ih1Z/SnGmB1cz1aMCxeQ2Ijn+EaNr/OdoYR0xyJjypx7B4xyLvxCxZFJpQKsVlg+ +txvdo9J749yL1pgfMe7/cgCbfdzA9ZrFraPLVKP4fMdYGzaZ8AsFE1orGVQ/bBK2 +vSiB10ieTNc6nL2j8UyN9aswg7z62NSG5IDQ2QmpTfjMxPaZIlB7wRnBRLjt1GVc +8MznOVXxU2nbyMKlbkKIZBLykaIGOv8BZCfDyP0bbAEZNmIpECiF7iOmE9Hp18LY +GzX930f6SmedIOTbT9ePC1K9h1oAsmZnTyB4AU0iossg1d9dpnCKPdtPkLzsbGtF +Hm+jLT9udoAoRSrwrZJCFHnY56EbbQIqtNbflHhzIXmHV9iczKPVIWj3NPPaqe0K +rVgnjErYqB5L7ZXUNPBt/eFOQE9W55eKJdVewmt/DbBsrHHYmYfwYnpgQYHl8cn8 +CF8mkDvNuUGd5oZ5I/AdGFdrvc5nLWa8f9BHg43IH+8GWX97tM1hIug3fGbjj9YF +J/dhMe+GIlRu9TjdYISmCucdVlT9aoCnmu8o/rhLAQrMSnMNwCSkNcC6obY/3uMe +BfEcLpbiA88QrIQKzkjwIP+QzdfyT+/R1sGPVJZaOSaZvCvIxvXcQm6O+JpjYfmg +loV0NrvPv049IcTGu+PmDi15PdONamnM/xBCuJVPHtCEvVLl/VK2BVSRclKcFotF +OZnJ2C4jfjBqROvFBRr/h0CyznjlCnOXp92uxfDFYs/1U6S1hdl+lwPpdDGVrcsg +BnmKSEKq61iHVoZjAw4TB+5m/DO+eoo4b57tigUx5fL3tTtbvS+Z+AEc713rA0LJ +JCORZTJoBUplrdqkdiqO8BL0CP+kMJepCSu2vBUKMnSA9uJYoPt4ViHDZBvZluv4 +1AnVL6mQ2V2L/vIwo2jymMA80LdZWuwz987bUS2JZr/ILannPO1Kw2R7YvSoi03k +jJw0nrg0PoGyZpgj3hQGaTXxyzTrZBzyE89ulOfMjdNhDL/N8ZNIqcEN10u3Ibcq +XLKb0k/2EMz88oGS0BvINQa25g8twCQ6Mm0epLoCCYtu8n4wyeKoIBCQ+w7CAmET +njguBz0R6qraIPgXjjv5S94gN0b8R9gytc5/nLcFVz5MBYmL6HMsjsA5iWlQZla8 +PIauVCAu6j20416dNIyMmmb6vVwTOthzkuTAmo5aM4aaUI822gw+j5Q+TXDEGD9j +UH1wGc8iH1eBHkwHwx5PcZUvN8OBBFfRqMOdHM3KaKwyBO4sGMnKY+nsDbNs8wEv +CHb1YzrTYYyjDkrY83h5aqRmU1vhJJI1FGc0FDBIycOT2x15wyUz2EF6QbZK+zcl +wdo9Rfpya1sobJ9c5T7GsAhGV47w67nAohCkcT34+dREChKLnnnhrV9eCGBHiTq4 +ra/nmdu7j2+BcS8b7VGCxXIJP0lj5QY2AffXMNQATJ1SLXWleUuYbKlS7eWXJPfK +f1LnbHbZxuifhs1/ysrDK4l0lDK1q557UW1xdyiho12jn3sbPXiVodQAc//X/hoI +WhdXRcal3K2kop9H2txpb0rAC0NJh6MpaNiomvH3UGG2aW+m6RzrnGNHQ2Marn0U +s5iuLx3Mpj+EMxiXgoTsCN1Oumx/6QqWt8/A+/O+R8PWNT+94qZyIU0RqurvDJio +Vd9LZFI3Bw8BNLDjNa5UeTVkkSHik9vw39b7vl+Pg4w4dFrqgasI8WAdwQtvJL+U +9JiGSDbz7Z8NCMdKkNLGTp3GFD6fZcIeO6Tt1CqBO3Vbi8JFHAtWwq394Lovkcay +1EnJ7aAafcwgKhZzx1+/q4r/reoEsPbkhIS6NQrjWyChnRpAmgbqVavc++nYHr5B +dOnpAxOweu9bDVro8wYOfp90MjuOp3D0F/neDOaeiomnPKwiWx0ixj3AkvVUVVr2 +LYTMwbld3GZd6Wu+qOIS6N9Unvdyev709uJPnce85lNYcFQSTBrAQh5hEp8GZOWb +7rj6FVLlLWp0wXdrjtaX46POm8xBkx4yDYAo96ywMvfb7eSfRqarNUYroiwfhbo1 +4i2G/TTXQfhOgJ4Ta++0GoWvsZgP1KcVxWlI30xOpaBeZio0AqQMuG7e2zlANRsN +MwKz4h0jZ4Z05zZjfYqXLwE3BO76S0yonJDdJ8DUy9x38Rs4lKQbsOK86kwU+MsI +ASfgwcHOFYuLy3ov7edN0sx+5GVe2vFCYizppKg8JxG/nXG7/G3L8r3VwTNgDOHe +U+8HDWr7HDCd6f04HvKngjey4Ruu87dJo8IkR/eCgEHK4kS677DfwD03IscRZa27 +4TSncyl6is4TcYCCYyfB5bUpeZVIFTXWRhFbdOsWYgP+sJIrLkzbUQtpz7LRJQS7 +W2L14fyLW/v6o/jbrUURJdHeM49XujWE8cfsvnV4Hj47ZSH/6bQp9Vc+xTB6NhkX +aswIXvm/cPKZotvZ/kfq4ujZe5bTZ5/IF7i+pV4hHb93VR7ao9ByUlAQl1kl69pG +h6EeMTTOU69arcTOJuluVsQVzuJcJA1aGUO9n6v4eQ+0n/JyiPiBhaW02X3+WlyW +AdyCN84ZmyaYM2ljSD5qQBxudy0gj7tGEZLn0S3MfYL0pUaxwGcefHkukzZs/LEw +uWMUhUIoEkHKOTnN4nfMNo2cCf6/PX2fgU7IbhAwQmw1t3E2pTudI9ZV/qSA4iig +bw47aHMNuvVChqv2KgZ9he8qQS2GTEkBUDFaB/n+xMy96N06WlXuCp+pXkNqMmhN +N12FM9eLBYUhwi6N7bU+L+f6DgtxZIVoVulRL7PcCSTEcf3rdeaETtKhz4xY4FW3 +8jZVnzuZ0wjbDdwIUH6RX3BPwZTtE50rCmbRyVfwH0AC4nPGs381oKbo/U0q1k/q +fVekLeyEVFCKl/hKDUp788SipBZHXHfs4676nkP3JQtwGUTtqWMnw+81UmFqe1T2 +KkSKTY99+VUKtjfVaV98z0NsLZ6V84gQl7mzopAgQ3gkrr6CAizvlrVztg0hCP+m +Dz4NDG9vgwLxAw0hg4ViXc9kUNY+NO2ub+ACYSAq9ruHp8+Pma++kXkO1nO/75wU +Bsi1MVQpM9KcdX6UGfPSa3HeI6SASXTXeqrBt/EbFA/9XCz28N4aro/lFk1NJyUO +TZB5pvxGmmALbqfxR+FVktbAM+kny3hz6ySPJ5diPhqiyBa9yKHQYpnis2MEcBeC +ygNoTd+OSox5l1pYHkak3/4P1fk05sn8IqeYrnONA5E3LpW/F/shvqDkLHlG63cQ +g0J0QQGOjrIgtNwTz41sSr4D03OVuhdDFlXc+SML5ZAONvr4CyJlg1UKFOoAkikU +Yd3q239bYZvwQGzXZyYG5y/H3khm4udfPsGMSGdLwsgBP2HT2Tvv7vCr/DRcuA9D +1CEEn/9AuGixoF7wbWwth8EF45HVKKuyK0pcMUKd8s2NLnL35nhW45VWNZ4A17Lq +2aHOZTZJekf3FQcZnOElDdPkGAv4TcRy2OYqmi1cQh4WUNnAaff/AZWydwkbvXlq +IViWx8ZLaPofhe+gmMQbzmA4Sf+nWZm2YeXbxgt4cFxrA+CqDn9XIu7CpoOYjoVv +K0hOuZyTFZze9D+fFsvBf2WEsKNho7Ogd8rSjYcH3ssU2ViSHVm58/tnnjI7sGTJ +WklPobvcfY3Fdu3LLsEvJ3kYUQUTD/LbnPO03zPvGc+ndRnGdWoNSXpeZTyXpIx+ +0pvYQOVYuhKITyp5O0h/jqcWXFrcaxCjRLB9nZNfpZvhw2sz9LTLliwz2+fFowMV +F+VwJoZOit0LTkaotCowusSjNAQwVm81c/71uy8rpZFUXHuvVaA3srELso+nZ1Yq +Enrug1ckKypnBLwZDnhVqq4SXymMdUR2fa84G9y/RdvUaRCqwTP+AvyRWw3urGUO +y4nxDxcui+7bzjZack8sHM1N6KxvyIVvs5fA+/na1tH8Qhgo39Z7vQY81mNGA6y7 +r0YRhYeUDwZb1N0kyQ6YWPEsURNBFUM1kd5SJTV+vkOXhvgtO0FQ+PJfSMBnpZfT +zz+qF2RvfAImvg1GBjAkOOdtAZ1S5Qb4x7ICDlOA8sm/rLv+ewcbfXkTB2iLJsNv +IIoZkITNcdmrYwD4gDwSJ50cH897fbB72eY+YCxsv1EMuigL7S+lbXNnfuW6UARE +0/EIInFsy/QsP1NhQ8E1fZQgZsBDp/F8lf37u4NVXJfGXMFymeIIXjLJHEkWYIo3 +jm0/9tgJZzvQKGdB+78HW7VZGpFqqUqV8kJRpotfb4gf0HWvq05EyqejCOjXmlpT +ReW8XT175gdoP+NBDGu//HVbw/BeOn5Cf7LrjwpuFzGQ0PiqiGgZV8JhKQw+65RR +peMEP8oPxRYMxRVZU6BQxot5D+HyBiZvJV/rZW6IgOaHGUgAY1HTAHCwkiOiXFXq +++ngSe7ZXUprTv3jj6iD1FIG/qQoniUftK+UBUmHmMLHh9lOT82Q4I3zU4gOp8pZ +7FPf3YMdTZ1uaYvnz1dJa58/EVeCMzVH9+ffmL+tYQcrWq+5XoPl7/rYYLQckQKU +3MlMy02YFaZiBgark2RMhH+0fQVh3rq9QBAmVN765EajFd8bZ0cvXCHzH0+8SGaU +CgEUuNrqPX1wz+XXIkovoMncZlDocKWhjxhiUa28GeudESOsr1aAN72FYQOIkL6u +XiKRouLP16X2+KR+34orheyLvE+aHNeFsPymyfr6L3M63kNDDXLTdI+mnpQ8e8ek +ui1g9IzBJHnNDTXvQfWetJF4MoC9Npkt0vQUcS9mKqmaJZo7ETJHmqVrP348CZrd +aRVN2C37tBv6RZ98WWDdAgvJJfpXG+rvNc5BcPewu+zPc5iG18ldH/AmNNwRHuOo +jDv3o6MIfCuvkvKnimvxXOm/MaHuBxyt4vPvGyUolcMduMnPbzvfjdj5hLyishlc +msOcGIy2pNS16aWhV8P0JJAN/Ih7DHd/dATBgzvcb+h6TJMVzFa8TXZNShRgRWhh +kQSeu0/3W4gkqup70nSl0q7ChGpdtLADrWdhTIugKmI1puQsWnbM7OFxmLv3LkbP +U6w8mScH2+uTLvNUpPSiO1wc9Ensj3ktwOc09n4MoBw3fdxgrbzNfWEyQlfCRJLB +6JYYiKa+Ju8KdPfr6cWsJ9jLQV1x4aYi0VCjeCR9R9YpWR3vNVE9Zj4IQPIHcIKk +H/ITukCEzsE2h3MUgYKHfIm/l7H4JJje/TULhY87okyQ3f4N5q1LlEesgcekbmA/ +j5sznC5nm7MtuQHaKmq53FGKXM5bBNjX+x8z9rgnCxoVFrFJBfic/m+lE4Bt1kJN +fGeZVYTsluZL4sQPz9rTGYSQwOtvzM0NP0XhQK+UMHDLSqVsDiojYU4CJst0e2ES +BzYwZ0hRHIDXbXvqorLAXlWighxsl7jsUyuyMRIptwH4YHiiuVgIx9EOnS5dAJLm +b2ljL6ioB2DrXAFd8JprsKCaB9xw5/moDk8qCaGXF/Y4s1xA6u8pJg2T7EkfsZ7L +9Eb4XtzK1TZoZa34fTv0mrK4Ab3MJ5Py6RGrfMxo58yN3UwGs9Fxj1xQmAh3fh8Z +YHLpuQA5z4ZkqSQTgOKb0/LW5MIlJaCyhk49OOzfHLkDLzT7haJGd+/UxqtpdeFO +3JovdYh/KzLvwuELCQ1xBHb+YBlygFsxThKfrjOhUQdBORQhJqbnlR6n+MEk1Ht3 +iU/m8T9HMPbabJZMzO67rCIE/OYfSaIMgnUuu9ztKmEK2Wp9omBXY2ZBoIRQbGK8 +9Nh+7qEThc1fIxsZwy0F9sbm2dTTTZ9jEPztzK67n99fREa1Q3BSqra0yrXqIPVk +h2tiFGFCmh/ygE7s9XpQIGhMK2Yc943TBE7MzMFV0cT5QQaL/qyYdae6Nd1ajBNo +PK385FrJ7HY2zRGUJ3VFzdjmBlnsCz4D2+xpiBMbzq8gc+bwZlJBF5SbnBJv4o5o ++zykbzzNY5Z0cDcpVuUMGE7sE3yNpxrhyVn9NX7D9rhcQ5MoE7Nxw5iyXf1tnq/1 +ROvHUXjpLaCgiSluj69a6XUS0+xQjm6kHCypOzfGDFAvofvJANkj3Sy5FTKM0/DK +o0ufaXnPN8qxutjoHHcuaGI5dIgRq6jYr5AMhJOCXwjLo9LJqJr+GcgaUt1t+2fR +evoK5YqabGs+GGwEaV9d9mXceiGJBuxhDVvMbh4hdXUInJLiJXDJm+SnyDEUm6u+ +FLrKkXGC4q4alhwK1OmDVGeoY3YY7uznURrAZbonH93/jXoMD6vkhUNsJFWs4iEp +1F4LoBCiaDjNnQEpZyhNEcw0++6zE0XG/d/z01TbcUB2l8qUszMeUKjKjWFqoH7S +gpswfgX6aNo5GVW0Na0faDL2SX7Mke4N4QTgqUZreRuzO2+6/k/wY2+QlAgAGEPF +O7CLwzGy5WNqXGG6rkgSTRqDOFh41sPaJhqzxEfvQIrDj2L+H1vk+aBb1Ja33T9X +VRUxrjDYs10tEoEUz2gUbhkBJhsCiKP9WSjCB/3o80Mzt5g2O570UyRrH+RtIqcZ +jdz9guoSDRkKeUXk8q7EF4yJ7IR3E6SPFxTXZj8gwnfew502+YKM5k9PBYkxIB5e +nkvvhjRVvKlq3OnnAjFAyrGiy3LX0EitlTxMcnaaaL9QopeirbhR3uT0p7E+iWzl +1BX52mbY7lTNc94+SessUMKWcWvZcIWAibxXenqKhxQlB8NS1z2VqaGQh550e90T +OF7D+maPtV5q2NVaFmWXNz2chczqG4yAADliQqPxVzhcXbrT7cB0EY7CNG1as1NB +IKpZDJdn+AfEv7C+l5M+rjD9FtnNtAR33jupm9gCh65D3F9t7rhR7em5Skbr4F2s +dWDM63xNeDx0g8pDwdDsu9j4Z2bQ4fpyomzrNYdDRhGkkWcdkQMQgPIAGutPI3kC +vTOfpD/NR2hACocJvyRQAYuGyaadWnCdcgVAcerGT290Upp/6GRV0N5hBvoZU4s4 +b8v+VeCcNdaDH/HEkfEbMfpEJHNLoI7wj3YO8LNgtk7BglnTQgcgTZ64sjmrkKsZ +v4peFPeXshCbHz3udnzUkv4e8E/iC7lbi10+/iCuIXrOq1NXqcChXxMbYsJ0Gq9N +shUAUQDTj4lcRbZHL7rUo1AbPXsBpDQDTvtzhYGRtyG0O2sQX6qBVdTLqrho53Ss +aJ5Mn9GV77AntzaR9w3st/9ztF2G0KmAuRA5bD+zrAYQwgtOoGC44v/2Em7ktu+6 +O//GZC0tzjm/HDklBndnOC7uy83s8I3Qo7SKtKdYJgLBnmwNZOtxtawjXHBNTmjC +LQzqvBFzMJtfpEGCdDQ1oiUfmBNn2NZcQsbioYD6v7X+Sw+HBYdwLx8LsLDTKkgq +4FBL+OgL6iNAMC6YThLBghiaYPMXHmCGJTx8kqUEHKr1WCdWccyoceECxuMhMg4k +gw6RlP/7+5+sJ1OQ7rmm6NJt4NP8P2cnIGC0d+7Zy2yrNDLxvjC6S4mkFdvvCHb3 ++YMIbaSSMdGDmT4GoT1lVAG2LkJ8BI2pY6G1hDZaRUCCzc6Ng0PSGaKPh0DFrL3z +y4WIXBQMmbXthZpmDf6GpWbw23sCKtV78SXX8p4uubumUIdvXCWApz5ZtE87TT1b +4B+L7hAz8XnBLtNxokXHzka/EcgBWxS75MWkyQmzj9T106s4OHuklqs9opreZxBV +BMIvSoNvuThX/rLVwWCUoXvXo0M6ub1Is7cJh9BqfsIlHQY5ymUsnMi7kexvfAUs +hzQr/ic78oY5bnF0tS4Q/5/z8T11QQ4jHMMbqeWH5CZeHbVAIMyo2nuufO3ZQZzS +1UgBmNICNqb4MKRLD+5kayjwbdlrN+h2TKfg8KHJSurs+TzS3qmcyCDAtluJ0j3Z +4xzRuyAfte5yG7IkmutqC4ZxZuBizZKZQW/WdlTmoDzmBCkJ6zVoWWlHRK0+b+xV +GVVrQM2goDdcy7FNe0g/ov+/8i3SrKrlyzO98oDSCWX9PrH4Llr6twCe8ZMvAmXB +FhDTBeP7Ntm8w11Xi/dYfXjRlhJxT2rXDUQOqGYewRdsQ0ukF2fQe1pIp1lubIOL +nJJ36TPhxUWzSEpdKtQHG6It3Ifxj7NQ1lOTSHZtkF3pcWEKp54cHJBKszww3ccC +4aiZi86Jeyn3/vk/VLVaSZtmsPocOgGvnNkZOFi8vC2AulKAyWOv2HjA0nesBlPd +G+WLY8OIMAu6s99TOAPeCENaZCZKhvYovJ0G6/KDE5a7ZYKb3NGeSFg2lPPb7P3i +J0gld7HtiGaUuf8DoNAs/UcakBe/9gHXoDL3ZOScK6sanYtMLRi7alqA1j3Vdypd +e2CXWDIxMVwWPe5HnQqGtVDZxjx0SNxcM+mlu2kEDOmgVlYcBsBfDZj3JStAYlB2 +Jo/nc3im84jdogfXGW7NukNOlBUh2SQn9LZyBiND0XmT7zOwR3aCC57TGI7mfiFx +OjbZJEbT91rst4+1NJO+fUzNDWFsaeufJngBvKSFipMu676ZApA9Bgk2Akd/7Fbi +79fF9GFB7dUMnLld1JhzYXSgApna3Y9U25PLHJBu+oojeAIE7No5ydYALZHz+8ze +9HW3Trop/GREnHFzWmgYxxqzIhpk5I60Vg3S6Dvn30nxNW1uFPceU7Ddatir0GmJ +kDOtKtMCy8V9s6soBedNuRdLpQxL9NS8Q8k97hGuD6lNLSkn1DeXiNFAiVzg0NzB +rdacgvpmUpESFtFLDo+1+pqUrx6qqr3TYeogTMmTNMp2qv+7WoXsL/yxTG9VMqC9 +WCO1u52LcbdeVJgW4NJpGkne0GD9MxMQA3/kZbfw4SLW/1urrMywWrUSksBJhZ17 +5O19R/CNtjAS22s8QBppPxWa8sE18wlILdxoStzCSNi0AKv2r/frIEGJtClW+Ya8 +jlzBQefP5ZWtpzM8F9UGddYAWnemImpp1CEERBOzbPO5oa2H9ZnhjOU+b2vJ2/rt +1GZ6VnggNBsLs5ei1vMUILog+CGOm12jy/yGzqH5Wle1eL55xBVt6SSxRCVq+bt0 +J0sA3JPKRoJjX3zoW8Dbt7nKfu9wyef2hkgQxwYPCnw12YBBZVp/zWCLIhHREHUl +Y7iePlwljdgsyg3putnwWwzTvv8hJKBdLbaK5NXmm3Lj3NKxkg+Yty0HiPGQmkJN +fFa0PH63TcyPzUC1lVbKtjWXHEvFkmcRlSwwmaxdZub++dvNTinJUe+ydbeJ+RIe +8AnH2mwyQFnNbcZg6fTi1/qrrtUUvcAx91SAeccOSLdWIBOUfBIbe3Vns4AQMD7g +irWx9pSRMR7ZqHmuNaTq3IH9gxDiMUjWtgYLN2OKXDMXTpH1rWdYOQ1qZ+TpKKhQ +ptiprQ9dV7MO5KLCmcJg9kUNlVQRR1O/WUbnIwCBfP5rPFxrpoQGxvyFyfZac2R+ +G/hQZcNWBIBDSMaoVo2haF7njM+geCDkdhARcvOHpkaV46Z0Z7KAypUDkpfSgzsB +vyysPxrEKBvjB63fF3hygoSVpUUP7Hwk73/w9x9bvCrLNfRnBkhl/sKmEIbWQBYJ +HTn8Edm/CPqift218HCSxG0Eo+hPO34bCw9jFZEaKkD3jHlkNP+kNskwOjS7hVX9 +D8y4lfdU9zROG8ESk9abKucm2g2hAY48oE98nWiHYQMKpQURYqpkenO6PaBuCZhY +8iHZVpYunAZiw76WrqB6hd4RxK1/jjCgJ8kGom25SvIRZqGzwy/J7WE2NIMG7RuS +Da77qMm0EmKFTKZeTD/Gwryt3kk7QporA2KHsyMHmRKpnqw7vRGqusarbP+91pIT +OhVnoGUUi3X+9wI3+s90Lplpg356h4lrSHyB5AKo7yS5msX5S6lkVQ7ulUZnMaN0 +HR5C4JKfP6SoOEymxVoyw/P9xzINDrjbHkQ14mZqmfNAcDQ7QLrXKvvSDhqtfnWU +nkg3ZeQxr8jmfjT7ZJZhfyj8gY6GSC/8q65wJCl9BLoKzl6C25vB+1Us7uItcg3A +CIkdBsHzYA5hOzIGMQlpKMk6QGpx6jkHLbj2ca9zTuJOJbAxVW3YKoD7eixMlzUD +679uYHO+izrrxr2h+HMSlmWG8l22rZ6vAPuC2Kb3apdUbuxn0AiEMMy08cyvRrzU +mtGSE+RGwSgEtOGFDmw+uk6WU+cm8kt/cWhYDFaEFRbf43yFHjXQiq00yEEyhAiA +Ebeu7cL0NLoG4APvxJvIh/aO2B01ihKDJznmUTLBdNx0lNJ7FJZUTdAXI505mDSs +gndbnorNRQA9v0tO9LwHqjAC520xzhkHrTxA0Is4DhPs1fxXNy36BnpM32z8qLBA +U1XtKy2RkcQq8ghRGgP1GxnnI7EqHp9ZzLrGO4HlqTaJ0bF1445PJyAVfhjhWifh +ZtME5gdU+FWL0KJasO4LVGnoQKVyOv9GureLqPyIDucsGgyT8qXtUbVryfvZx7eF +YeTTvLJomp/Afw5FrWzQGjwX//IYTJ/7fFBnZh8Qew9wpFN4ml+PAdCtigRTkm74 +s4ribYQdX7aCuPox/dDOZUJ84MPCD6IpQ+75Oqzjy+u1diYjUZDw/ne7XbRKMjGD +pCm4kM33B9mg/x4QyKI3RxjN7UBR8WEq9osO1QyvFBxi6w3EIsaFQPB5kCgUzPae +1HDcG687Jn8hv8VqxXVwdzvgPYqt2xqLtM0Upt1yPJBK63MJGVrYXJ2VYP9iVulJ +98p9SzXr6UGF8T2uE5z28P/qTThOv6O6XKu+/4VXajH1BqdO4wG975ntzFWkotYu +TqZaLkxjv1AevFz8WlNxcaTARVZ0evTJlKeAOV2XABPnR71gwKpBIZLNJeu5URmU +UbVfCFEymdyuuTm07nTRq5uFq6PgzetPhjJXkOTTZCW/9QRQi7j08w13R0iuykEL +rlMBnt/W4q/aRUTuAG6h0w6xZ47rh1Gxny8s8jgcaUsqDRM99JQbsbxbB0kDQcVp +00ZwoYxfJrPOG4OE0GT5cMH++aLhGYaVuoBrC6HBiGPdq91wSTzt3kBCk3D5BD3y +qlBKwfF7O1Ktut2fRG/vMoQ/xBBw2AEsLS2L42UUGf9HZ8asnv94KNkKA2fqZOoI +iVBRojjOwtz7m7dvWOziA9oNoSeoCmgpoxPc0cj5ttF2I0sk9ev/m8cbTXWK1iml +qCCrVhVQn8u4/i6CniRRk768Vnm2iv6c/5SSrkLasmpWkCojVY1m02CSZe4cnqyV +b/+xKVthdvlwDkHXiKb/RB8ECpNL9Dkp7MBL3W3ntL6k7aSJCBuSop7L1ZuAOS+i +IUw4tGbjpAVt2ZDC7RJrr/BFePQr7OxkTkdwmZ3N3WiOQeXugZS+PnaSGFkmVJ2U +Nu+j2IJ1GX0oAHAYRmiWDbn8q+YpEg5G9fYkNImFFCNQl8DndZ/XpV8jkCix+uoo +/VAx5JhI1aAEQ28DySlaReP6BhCEEPtVWz6pbuttOzE447hbNxfOfpS6nLRB5nF7 +AfJGymt2l70EtIb7Ssm4ngi8MWVa11KVTnJbw84OT//gad9Y0nMh8B8jnKNAUfo7 +i6/RLjLa1Odza9CmOOgSuB9Ag+qr4Jf81xcpZIM4qUPPMKl7peD/JYHtYpuXFvxY +cqhaOhuJV3JBVO8y9auT6CPsoXgCiqd6k7ttITUuUgZIklY5VLU+OpzLT8zCYkz3 +xcKkY9Q1zyj2hXaDnL+TJu2kc1K91UPDteDJYbU/GS8WYVePY1MRJg6RwKLdCZ6p +0DKxS10I6m3RKPZJQm0zMIKHVOov+Fv/ynmEBaC0sZl3FUOOSiX7oDtS3Wb2IymB +hM3nxMZy6YSkfyJ/1/LjYYrzAgtKTw7rOZa4LRebe6NSQwEKUwptLlOCwob0Jsb4 +BP/RHFmQwPtOVwf70NZyRlyd3KKs1S23mBLYjE2D5ypBjfBQXetZDlb8Dwh2GqNV +71lNu4xTBhT55dwlQhN/w/j9P1Au0zqgWC8LeIaUo99DogJbPqBUXutMfM457xES +CLP29TgLyzwbJhSiTeqnQmysV6FikGXpxtwi3cXeEEqaRwTok8ZnEwaApR4suIcw +rZdah8k4MvcnqMZmCGk2Ys5/QDGwferZWJqNtevcwkUZI+yv80VQjV2iJKJPfAIP +2/TTQBZf1yF5CNAPNDi5As6fAdKc0AjTcP+/HoiTs6rfyfoghi3Sb4qH4WCh4xxh +nRN+9Qw/Ruu5HMGA/4AyUsFS4npKohDPrLVxqEkFdXwFon5FhH21rmM0MhtGMRnm +8C94ZFI317wBViewsFBmXmsLz5VGEhqXCW6JpjeDTAgjO3lsx7ty8uku0A1EZrYU +neYxvgnKDePn09EJqNbYxjWp88wcRwabtE/Fw2JFg23Z1xkXjANKwFKGzqocaH4z +45p0AtI81BznXcc2K/qkHs2o1+iXN87fMMHJuN42Z3WH6xbtcxtbX+lmsNQTCZzw +PhpB44Vee9CAl9CWvSOO6yWi4YlCLqUdX7qrW5IhlhPPEdwNgegZxqDTISowwSK2 +cdIS+nUC+t4n6KhudzG1ihrrxrCRBRBHggZL3TLI2ZT21ehGNjIJ2JgmOKRWPfT3 +PCTfNT61bish9mh9uLRPSU1VTLllhkZyJ3HeuAAWAKvV7LKOgY6eR8Gg602WRL2F ++1NsnhY5dlrg8oFz5W3Uyz5snjLiK5Qm24HoirpT4k0a2Pga2ezQvpXdyJ2+/TQ2 +bSzf19s/biuX0CvJirmIgtYUxw8/0zbW3K5lUlRdFGfIgyW/vDAYrmRPeW7DUBxH +g5SFuyGjnczpyr4NuWwsApFbyPoMgB/GvLVw/JdzJ92HKTizbcNK5XSOEoRUnz4M +hPCHscWD7IaiIK/b6Zk3yvWGvsEUXFfpcRHD06rSD9/6ewr1F9kPBKvi58Kw9tzY +mtDS9dUOtyGEi1FL2LlFfo5YO41wIhdOSZCaRmnqHz+Viu/yVKr5yRr4o5AvJmIw +8o8jTs0nGbx+6JOQb1yrezddldIPFrwi6RJ7u2X3Kpq4I//eP1CU0PleLH4DF9dX +b9hTjprBNt0UadF2EGdn7Jv1JTR0HDd+D4t3dgivi97EDNkZ4ALML7eq5SfFhOcd +Ewo/dQ9wP1YLItABEzYPc4Ar0kslRgYekxj96Csm41iK59heGZa2uMVk8scI/9/F +NlbxFzBg2kYxqLnLkdhVwYcm8XFBgGLD9FpRGeg8/5Qgtf7F+mMQVTR2oT7+/RTI +f94CQZCXWe+7yZrMGMZbuOCJKjMbZ8t/4er94F31rFZwI6pl5s+JanorwiaAVTT0 +gkDh0SknMaFeDx4kXZxBb+uJ/uY4Jz57t4/exioy5DnN9fiZ18Ezpquo8AD20t6u +A1mpFIt4mEqkmD5T3OaTAQWVxwdToOSSdCPwPJvuLZsWmrZ6AvXd90aQYxe7jx9c +IORBZn3eqHO/Vl5TmXMyKJw34Xob3thnQEUPDyppjLSM/XfmWRBjcHvmDtXJH+Eu +DpV0Nm8KCj4chPXYQeV1mh15L1zJ5RFhNPEVTFW2v2dbmgKNofMzIxN+psGJycWo +Bjw/XnWPC4VwuwYIiO/Syj5pBnEsPkEOG3Iee26zfsW3+jpUOweO/egjTr2odL33 +Xwfo9/d9Y1onkyKFG3Wa2DcfVB+zUUczbWXTyvSRWOMf2fxd7zkFsDRPthO+FMlu +PB3KqezoUP+FX8+Qb8x6fmxxIWZg+nZXUjNaNk/ZCjE6uqcftKDdzIdvTNkX/pIv +zVKtdQmFYABLS1m1HECOcBKt6JlFJ8HOfY9OopwveuC6XHXHFGQLSF4Ikg2nojbr +vJutSLpNXQscYXYRG4OReaMIsaKCL98x8eKaCNOAsnIw2BqJ8NS6qiOBztYF7MoZ +ViYggx0DFhJ7NkxGo5wpZwR1TxZ6E/DuXhi6cxnmTfWuOcbSgl0IwGvJQjPNLsT3 +cJZJ4btpCIiSjdoz0nWehRzJr0uwMuzLOBNuFFsZLM2g7IrnbwgkcfL/vv9tV1zq +IvPRyoEAfT3AMwC+XQT+rjVQhjeXn4qx5Opa2C52o3oA3oobp53oJil3igM7ZiAd +G8ZyqDc56+mjBgkMaGb238GuONUSYoy4Rryi0r6lD7JCc7G3wZ+Na2RXzUWSHIcK +U2n3/6ZCp+gEw+VhePMDadgOh1bBfGK9IsIFQngq8bRGbG5jCCIhbUicGW8wJQnA +CDa8T73EgR9IaekcZog7laBb7qGyjb5icoiII2TtWwGTY0Sl47a5HMJX4blQ4xKG +ST2gpYUeHOfyUejdtTuAinwF6XZ5hVmVoxWmyq/RF802PU8a67bfJJ7xOjsCZFNf +FvGAY5pLlv/bVdtBbJPuU7s94VbABGlM850qQHoPZpXudSF+ppRRGN6wIsoF23EA +hClkQSafc+Ok4K7IeuRcLjmZdlHhEPrWrQQx76tAh9uFtsByhl0vdHOVvWERC2S6 +63ZDq2Rue3KfbX6jxcAQyBiBRIc2fADczB8PTxaU2V+jY4ox8qGOgNWu3BxNTfeZ +vT0kfd787icA9X9Jl3vsnlWps5eTUddEcRonGnn/ICcNkcjdMAK/out0hQvH6xxm +jPSb9RS2Ku5vAHiXOFBZfvlJSgz3bUEMtZ8iYQUSPGS5e+SrNZ91k4rYJ1pZUtOl +OIKAKwqBpSzTevFTKnbETcaMo8CVJdgRdVbozt2O3nkwQ4b9AqHm62CtDgmK+/2d +o85BRSFXhANOpIizI2hXC2oKgXg1ETKQO7wuRijcBCIdJtzyCsvGHJomFswB8iSd +UkZwMDZndsXGK3CwC/VFWHy2rMAFRfqnUX22ZUqA+xBQtguUor9aswt5nkcoYyij +b6yWrMFpvjAc5wJ5uGaIgmF8Vc/FH9vba9A0IHG9xZK6U0u0DpKjxcr1ssHmhd70 +2VHxZMoHGWfT7ywnWEeUKteQl3g0cXJdFwXd8/tUJxQJ3hlR/TZwUWcMbWK+uaHX +J6MDfF3ZdtL5HaffVG320F0uHUnBZPzlAD3lVqRBcCt0AjkdRoNEwaRaS4PKJ0CP +MTphc5Pv2yjX7JquSWIS+geybq5F7aSRdKuJxSUbM84/o++eGtsljowWKLPxBa9E +BDdjYutsv1CaQfioVFt47PpHYBidUzQfGR3yWov1Np30GZgR8lfAJS8f4R0EYhz5 +hBSnsOsdnaG/CbHKd774G0WsdR6p8rvbwsLOhO2iiZ2/4s4WGmj9LN3ghejXFpae +UPzgTQfx3eTnG+VlLbpUYTuo9WE6//RjM21LuiEwSURuk648TEWbUFayLHQwJHzh +6Cpbh8iNF5uzi8xBb+l7ZvhyeTsz3cGQJ6TDBmcQJp8JQde57gPZdSKULWoIhh7k +v5BCkRHdSki+LxzgWoy+Bc0T6VABmA9iKDoWwJBBS+JwbvTaQPJuI+aDFI7YlnI9 +cPUxUXsF3oS4qygoR0IVsYz3Yix7Majh7FqL1mpR4nMMj45C8qmyJveB+Af9eOtE +kKSRPm3gEzXFQ4ARdHMMnyT5K83rsQn9zNgPWdkO+BbcNOpeWyiLLVBZHozhN5E2 +Puz7+7I0bEVzeBJ5rFdJJljR0Yguqok9LNwxW6FzwT2AeBeSlr+TS1e0lnOwNZQT +t41pQroLoIevpl0ek/au5I3m2E0SHSI0DLJ25dxCQ50A35RLPmZkM+yKSWVYEYHP +/T4Ixxd81gqyPEePVBaQtLSP0So+YHHfX/nKQhYkA8R9pJuSuU2wEd+vkFsnFpcU +FBXPPF1njVmJJwR/usLdjhJa58eivl3QCbazASa5EkMCijca+7Swe8is2S1kuuy2 +ekhw/QhV8I2hJzxfedud5iMsrKbylEmt9ep1YT7/m01JT4Hw3JADQB6aMUHN75Ax +ITSkgmCWh+uuKNphZSQx6iQQTewGrgRHb03ZGEayTIVGCxY8JoYSyO9x38Iu/ZCk +2fP8nTAnQ6f2TqdnzT0+ILZy13WxItMK77pebp8XrhWayIpreoxGM8+zcaRwxwjO +zJK489CA3x7saZvqV3OfWWdAbdnaTbxhkT0qWIVjusQyjVrd2pl/0ZJkkD+SvYtA +lYptThdReSaHoGqtugoWQKv2ng7C3Wughqtp16IOTPWT+sitOKocN5Bs0Xqi6oh3 +JNyV5rwqfzSIF3qHOop53Vx2wN5gduNbrg4yLzBuptAcCu7IJuwylshh4Ufyz4F7 +QpDf5Kb/+oZRdGlLxjrzQ437UScs2fHahDr8srYGOHfV0+e6fkL3Oy63mqBaQfx9 +DtulUuE4puubmfF2oUc9i9PCJRw/LN+PdNGgfkivffAASt6R/Z0P8WMESg4kJTNv +v3vdR10uliLMgp+5WRggXOL8E9aG9OdJ3ol2fF3DLZ8AswbxkOZnfezgTpZ4l6jE +fAUlfQlzHPLb8lEdzoGnqHVOyPCoFx15cgPTTOTMX62z0uMjl4MTQXn1XUDHtXJT +dL7fpztlGuQU8p8YRMI4++KI1yG3ha7qAbJkLFRMNqnBqNktFl3OwKh78qW+SEFg +xRW6uOfzTDsu8/JrAlF/n89K20Zkx3WezOs8awi21LOeSoLyl4f2FjLRBUmVvwpg +0dJ7zn9tfCQng6tbrfaHkwIqOqOSCmyhOgkGC5JLhywBSNPNW9iqiB4UuqhT/Xj7 +typubqM9iCMCLRBW6kSFKxOGwHxyP5JQFHKYHrvbXull5vbnkvTJme4TSf8H83gB +xqhd+YWG1de8377Zl97A3OOUTOi9Njhzp0o9B97Q3nf5szkbYJ6v1hngL4PVBBYz +RBkUbZbGEDAPqIcD9UB97xunQwQcrWFkgZKtisxvbqLK+wSeMRkVFkNJfX9gYrJQ +Gpi9cK147XPIawi4R/gPngjt9+jpBHVJwCC2oIj2B2MPQK/rn/S+9HZGAr2wEz37 +Hb66aHgOG/RZLzpLEc2wfctcNVvzeyufD5iW0fQm+rBNKeWAYDLVAKc0jtPFRghs +ZUCPJ4be9TC/m9tkdJ6GY0S4pLyjzzF5jnaTB2IThLebIHMnb5BlOciLwVTpyZNT +PqH72dN0IObNGtFoiiPGoWMN/Nvs+Zaj/6suuhRxo9YiMhMLQZx/J93XFImEOj/o +YaWnPzYfVRt7BI19EmtxSEZ/ykenvfa4ilr3/jKbaBA4XP8HrGpB5N0+r9b/CLjo +2Rtt1V7GjJXL2RKMaxX6iZJlHESUO3/lZrGbdvDXDAnDX7j7h8PgEWiLocSeCxvS +JU8U0pK5ia0atDvWbvmnH6Wgzm3wahncKsRf18nVkwhS7jVpE3e/qdTDxHzdlBZ9 +e4ZVZPC8qqNct+b/OFlHu/Kj5kyYsGNfZLPuIwJE6h7HBZiu6yyyGGIbcNSvmWqz +2tDjfilZyajNNodkriWrICTVtu83wXywKsn9aHNeF0NOLaPWiReOG+E4X11b/lM3 +s1dnHppygs8ULupO/Ab/ZW9YanEuO0xYOgEzujJWMJnf74FusDSPyPxJbYkYXVOx +zp0aj2QWn3hhr02BfHwJyM5Viv6KU/5MJTtkcNXZM70iNjOfI8hozBMrhmNS9S5F +lbsyFq7mLZUo+HTMrvwayA7SHVF8ycEgq1UckpjXF297gWbfbBvM+YFMCm0gFTp6 +4OaNGGzVflsQUsSvHq1rVteW+erQ+bYkaZYhzgR6YsuRKzVlBr2Ko4n4tWY8mVD6 +ws0kbkTSiB/ULCoZFc8wy6htr/1l+0+W6Xbm1bMEp9vydS8kox+7sR8Ev2WvtEgO +7JF9TpPfkm7jAP9vzB42mgJRiGDwKaT4sOHAKR+J47v/xunbTcacWbxHNrk10DIe +LR9m7XgUUKfloS9chLW95pB8rKlRyaI/gwjHTmn8RBQm8OcTgFoh8S6bIEwQlYqK +D9KWq/W5IkqScp7D7l/VRXNbyRtV6G+6/7BKeRYhwCeL5YU+gipaQHv7/kQkR8Ge +Gh8UiQPeUME3pxHWeUreSv8ubbxWPatH3Sm1fXlmdx5RjAM1XnZRqEtPgRHI30bv +kHlzXRaeAJ+tmGpzu+/EjQ96OrSXvZhrc6pp5bpSsGmAQJwqYtoADQsyqBl0wfHE +DohEj3f9cVfrAqMKiUNJi0UEZ6ZInhR30WIF6qgbzeNxc0C8FTfgeVbtMrUTyMvb +kHVr3KtMgSm6HE+1edUqQLc5+Ic1fti2TJjHJoWjE7yk/FG97fW3FE4whGXPNxiJ +pysUbN+PD4o+QCXOA9bV6Y5YEoGRnhpboA0zlmvfIqc7bzj+3Qwc75e7hn/GMFxl +B+jZAtR4R8ZVKpQRyDiNHY6Cu45ruuSvQtmez/kygZgomNosls4ULvnO8PVyoyfO +8I2tTmE5RAdqWyOH8+6Xlrlq8y0dtPOARu21AWPwXRf74NFj/poLcIQDBFNuU++A +SLHnSCMfPrv06NW4vf0ewI5nb1LPtSR1n7mEAj62gRyVNcmwkyEMW6TWIhp2tol1 +Tggnctmw3yj4FcHqRGvPm0XUSIjWRoDBbv0NWC1vT+jByuTmiE5nfJe/gvuNPQWl +R8y54HL4HcdhWEF7kuKePQeG+ZgSH4MF5XenHAkKCN45H/PMAjJKaC453xM2i70K +P0/VFK/uHHWpDAMcxqyZ/2/hjRqqLIia/CjduYrWNtBshI5YYMGLYVVe5EbxtZa8 +c61ekTNe8a56iAu4Rc+7EdJcViDfHDq8MtKHJpDQoknl0lkpGC0nCNb1v8nAQgIS +sTPKYstT4rQnhk6Dsx41ckN43qzT0/+tAj+PPuWjjSUOXq8QxM33dLBIKbkAuSL+ +PcVyDZFQH9Jmir0kw8T9LdJI0YWWZvHbSJ5qgizZQL21dS47zFmjgI07qaVSnhlp +4ZbAzdbofKz+DBgzY+2j40T3iXQp65ibBbuQqsT0D8RihwuxFRTZpw6/dz1ngExk +AdBEsjmBnEcmFw1ix4Nf2Br5ldEKae6zMyu9DoFGZIMOr2PHEvnIQJ41TLxCCyvX +t5lLFYYe89DsjP2wkXofbL9LA2Bfp7kNtnoLrj9TVaX+Z18RloURegoA24+xsbu4 ++gLNmIg66t9sv19++kDcneal3SdmLZNPi/fGnNziNj/cW6NIu06zIxJ2k9SIjk4G +CBEc46PkhGycrcAYixPxkGI7D45Ln0ewR12P5Xf0xggLIeucnoUAHnDO2+6g7LDM +CTTd8MfM0GS+LnDUvW9EPDaKYdqzuu5Zznoc7zxcVdV/469f+pzlJAl03/KMJ2ld +bt32yXHvyyGlXB56eGY/JOtjTu8HDhslfRgP5c3batRkSArq787T2e/8V/4vsY0h +ud213Bo68rV6WWcwR2FHdTIretZrFUPAevyrR8qePSo+aNw1NMu+XqKidaPlMwnb +yHYH2KXxhnyV/h92M6woMo5DCwYB9hXTaH+8+jp3zKFJcCX3arrz9DPRl1l+go9u +/rzuY06NNnawejtbD8PYJnU+wVy9Ssgdu+N6empfaFd694Txj3dsSxdwbZzWzsqC +WauLzApWTsIDJ7aDqKDq8vva/QS5FLlc4ODVG0Z1kysL+VRPwNlkhZlcKzsEzF22 +k9eYYfVm8KihOZBH8Qn3Zl0Wktt/UCWuBPYToPbUK1lJtZalNNseTSk+U3RmwpOY +nbDiH9YGuRxLhdCbIJEkqgNdCvgJ2uhnLgJaAwCitikRaAMgUNmite9Om0NuieHt +UxlFZ01MRNeYQUbJB41lXaSmifR0YcePI+KXhgJo5O2sFkOr5rYZHR7QLjMZF93C +lpJMXM+6yzblXV663YW6nID2tuAT2P8XcziSuXSa5UQWJyg6Gmm1x5Oqh1gaBSNi +vzsAi/59zlfKZKolHtDWeiYwmrt4Hl6B2M8dIF5jMSRmGDCViycs0xblglKBKhjO +S5LoGtdmxN6t8QZsqRqx1650+b/sQHPRm1Mc1o5s/CW00vTvIBzcUdrZbOp+0qA1 +IiWGis/eJO++n3ZjYeNzoOqmUb0hIOpUZ7kpRLCJ0DYLPMoBlPe+enWZu8W34A9Y +pqqYIQbfoUzwYR0CyxkD2gw7AZ/JD8c1f9/dAqceEKA56kX8B5PegvzukPpHzJmN +tMBFN4U26EeN1KCQMs6PxXWh0fIj2vTtRQmoF+o8NME4ZtXvVPrq+Txszldk8rRM ++ZXXPKgMGc7ZorKtTznPFAu9XU+w2m/CS3+7gImYWn2T+4AxU22W/kkSj6u9JDMe +707gRs+XikmA6QrTTfuAxEWnjalMjfEFijXS4FF1hn8W6b0pO1EniUuLCO274q6h +LazNVBojv3rMDgzSu8Rw1WGd1sMza+0kqQDI1W6iwrEIoZHvlBL9VL0VVhU95Vov +ufQ3YZqwaa1yoFyZP9BccLJEfcrOiImTHBF13AWxkUZASbEXJyRBcmWg6JrSMYJq +LDu0N9WZNmmqtpy4qC2Ntc2llTtYDInEQaI44BKxvALEmtLKjbpR2BPckQ2Prdqc +9tA5gWcjZ3Z5S7sis9z3dNHdL8bWxKQ9iU+P4NgtYyJq6IPcvzrPH4bO078qKSco +OSlm22NZ07QpMQFlMViM356pWmCkLb1IlvLxXk/YMviuPMMXQMEjEsbLT/ZWgpUC +Q0ozloQA8ROv9+4TjMeOhKMDsUNusAn5JY7/9SWyCQ6cs4OI3o/xlzuktppKm0hE +115u0Jq4z7Dgb6cTAkTq+RCMTfty2H3UsJxNy7kbEQ2mDWvx6J+jFl9VSIsZ5+Da +H8vuInrR5K11qYknC2+Ntrr38iID5HcfS2sTaKBhfj/MBtPevtMKLVQu8pI5eYo9 +bMEd1QyqIq2vwt1KudhFrhMzgEa/CR8QBawh5o4orTvr8RhWTYKGi0ECZ574GT3J +W3nfZ+mbbBSIhJM4QGlVTK2gNxhdRo8au9HyJ7NhOQxVVDSyHRDIItgIHR0BRuu0 +r+jQtLFGZZMbDjkIiHtp6/G58LSYf8kL2ePFazbaFNxe1sAS7KD9+uEfNFSuzJjk +AQuOgM1n47eEqizb5t6ud3i8XqzVQsxkTW9cLFNflO1cbCIS/0rEm0jmyxyoVZsh +jmf7swDgRr0r2VFSCLkPSOcb1TVCN9z+Ja1u0dDtGsPcw0lEgVr8uiuf7RzJg6ns +CQYq/BwJwYuZ+/jBLLB0rBW7arXfy+0WjmhyB55Or6h5w7oYiTwwy7sfGNeV1rX7 +z3Fodies6h0atPHZGoypGEAl5I0Em7BLbjDGVrscEfumT0zeKWup1W6eL+UO8bH5 +2h0Hu2P5nGENGaJAN0fPcM+BeQv9O9SlMAHfxKTrjn45JAYIyN94Hvki5PQHAjB1 +cLKabWy1clxYiuiVAx+Whqe+gS2j2U2Mg2jXjZKL6o8IZDTxjZVWmGuywVlxyU1P +l0g+Y3/KhGCsFIRE81IxGcrndxM+ZFy3VRUVnLUTmq1f9cQ7sGlnlfWkP6bai646 +a9y0/IOwt6uMg87skuzjAULsbK7eS8vsI+k5DJwrtyMfpQevCVivMk7yMvgOWdzB +BFwykJydlBK/jHKH0SklePjxKXl6+S2aYRaU+ZTE/oyIT+1K4bJTFCh8KtuwYYjl +U+0+2KjHKS2O1LEpjuMrqiG6UJQ4qgi3P22wxpw18XnguIe9xaUHRnO7jpGAyuCt +90MPuEcC+AmoJpANAwunt/vztMD4W+eFLWtd7i3f6RnsoQX1DDwM/i92ruhgTPQg +DtjWWGp1pSVQ4ZVTiDShHidleCrTSx2qlcWlJ5pMeVQyk8QRRgoFqkVGfLdryN3P +2lY/cK4n5ZKhWfUwr+Qc/DH9lbYjdzP5xLFDsJogZZEFyyNa4r8IfZnQ3hpsbsAZ +79ctpQNAggZXqE/JmtOgM4KsejwSywQ50z0ZblBmT0dNazJwkPQVgKh8L/NdKH8q +dcGPpJY1d2Ibuj29tn1dhIS7L70WhjewXAHtd7xVSVJjH09FTbT+SUmhUk0+Vp+c +9Afml5gQ9MNisB6hA6/4XNr99qMRCjq0xD4eUCYSO3KYeXDM9bV8cU8UcAPP8hQV +MN8xqycvqwdZ97AUNA91UItioehsmxBKZeOsF4l1B/VysahGi1QnA+M/2MqAnOoM +TQte3xXlimU9JditYXD/VJ1Zq1lfVNlilziLwSWxJoPaqDC5cCUQaSHYK0u5RNNe +0Ad29asZchg9qPxRhUNjpr4ZcKiph33cprnq6kOOYypAB1zAgpSRwp6kXfw5EyxP +w1tjcTXSic+AgQICH5pYz4PNxoP6AVzTWWrjf5aWkV+IRrnt5DCHx90KsJaMISeT +tKWknyWmTDF3HB7GVfup9bJ3EkUABZCgFoqQT38EiPrSGMO5qocSdnvuFzD4xwWC +E7s3fcdPii2MzqVkgc7Nkm0hSI2LLwyn5ditki5f1oHmR6gsEXmR6HBqBqAD2tiS +sHXNxsArffuDbDitI8IpDdMIAUyqgXyaUQhQfkMqfUNuJt4Yge1VNRw3EQwfHELT +shatr1rACeWXFcK1BrdfXOqjz/MvHIGytCoVh86mnnvqFQJaWcg0SiMT9vj7C7TH +vs0oiAl5O/9/AttSrK60ljyxfW86R+Daze/ikGTNrzQkV1aPqm5A/8NkcmTo5Vzz +yrvJ8nYlF6vNvQgT+wPuiQ2D6ygBjgUuIlouSO9RBrTYgE9TrfT0depJerNrt0eF +iS7GdOHsLqANbfO+/Zq226Y4QVbnySi5qO/1QV1SbtQWVv4SCoK/OQp+O439FHRW +GncqVIK+VTis6yeun4F/wNE7g9hNvWwuKOXgOQMGxZgkwTFtift0DfVfzOv7pwWU +Um9TgrGZBQp8jdWz5HHtj6QqSJKbIJtJZ5+kO7RflPpUIgk6i7RF6pdDk2ibePCf +fixTxVkUTMNjCQJssXCUqpGtiHukYejk4Qnxbtytzk/RS5aagtLrZAC6ODMg3yWD +XxddS7anivUN8v9/ku/mxee28DUAZ7eCDbj2Jc99f4DzXUrZtAkuIUmLfS0SGBDa +24CXxoeP+6pNhqi7QQK8vze2FmkE2cqpOoZOcsOaf2TjfeBNOaHphfmbhwz7NKFg +qArcCi5ZdyZOoFdcCVDGzKHbZXEThImmIwL5AxvDZFUfdPZzmduY5i1GJ9sjbWBE +zpGhHg2+ubjIHJVDbtYmJ3fzdiVE1lUGZSASvtTEpaAjDm4xHcTCBQYhT9pX3acD +rHhkHoll3CmmrS23b9JVLF4OHx78JYMMZ1agYba/2RPShGFYDEkWIXToVZK1wNjJ +SwSYNClwwRcdmW9AXCBcFBtzkQcAU+JIoC6xj5Wvp8BtRYn4CsK2B+gB4tpdKL+Y +qjtMVD8P3RZSaB+xHVBjpYXDx7otJtUl8q+jQKhdPDrl+VRvnqfZ08KAfgsyo6y0 +e1tV55OqrAjCu86Mq1udBcdbAdknVquEnzqL2Dx7lJ3conThPxae88sRU5y/dYLs +GkRdqhKyFou/c42j+PfJTof+1f6Vw+rzrCtDUusTjwpPo2sIjNXAcmdrihL2Forc +fYgPu5kKtuzIelqls3Nvh86HCawiMfgmtnwWC0rBOY75ls9ZDpS28TKbcFqrqzj1 +6TqhhaJI+OyoMCt+vvZijcaTPNrZCrhNbUg6NHh/W14WkDTUrLHp466Ocq5sb3iB +dg6tuFdK66VkUu8gjbO+aPJBpKBBtO7Pv6qjOVcQtrt5LVk6fWsQ7u7RSk1atymr +U5pltmCpukSjvDNcG32mGAnUzcFaQb99CGoCXYjVerYoQKviSFJWv9tBADLzL5TO +C3b3zGnbbqjx0TypWOEmQHRKC3YMoaE53T4TjlOTLdiOaizBvGC9/GPBuyYtOcBD +XANZezgaWs7xT1t9YpNh482FAUPvAOEoqMeF7oWk2mKA6Ccanqu2BrqYo+0Rss8E +vJKDnqD4bO6Knny1I77gkL9/0FgOTgRPk7FM7ObrxuOumnRfjRvFi5yECmf38iFA +Km1z0KJQtbJp8+gdVi/6KqKi0zUZd29v5GcV80tCatDq/8xFYwRXtMOjLbztDP+d +DgLiRlYzPX3lWcFErcrzcotMh2ovDhJS2XMzmuyD9dGCJcRV2BzVGR4VJATYp7MZ +PS3jbd2BHzx33+qpUUx9hrc7wjmNVhyRc7o6hnXkWOwV8MqIOqwNI0YuJkGcJdJ4 +VcX/vse2WjBN6/516pxFVJlc/KH8Qi6QUs8vB0NIvlxzkLOBoU7DsLLjTN7kZcN0 +OX8LppraRm69jZ/6zwCXK1gWAbeot69d0vxmfmB9/fYdPNydQlUucfi08TXaNO53 +Ne1N8SJHEwoE28yt0qXugG55Q4JaAm2yCKCPgscOOhQrjf1wR9hr980I+ewPpmz3 +qfV/XPpvzuy2ckTX3eg8Ze2r7fqOR8Bm1dXYhlmmdXhcfMwRMpJAwj4JvBxAnmuC +R8+da4JfHbJPlQwUZF9HGjt1YkCBWS9gCDkv08IWU4IzW0C4OLoBog7iHjexPpSi +VaP5zrUE/pKfrlcuLUNeKjbedIksAberImygvFTxOTSB94r7EHLFoo6EHKf4/3c+ +SPXW/q2YgLvbOCD2rx6EWQXdgmjnfRh6brLrWw6NBzWhB4Rn9WgfDJKtBGx3ppYK +gccJbBdy5yFPuY16s8qWCePZgpxtuG7EpinK8dM4BXVZSZxbi1eU4cjn6MnyrF5Y +TkARcmUmrmJVVaf9yeROAnQAiApNtsqGciUeSYShOpxL0Y8lszoIhTPgu9jdlYZj +zSXG2wFei6UV64/37JIkbBumh3xsRoBaoGEmqI9siQBVvQkFrofcVQ5e668BQWNj +fvDlZKbfXoPn0ae5T5Sn4LXZVUYyl80ytn+IgQKEcAafwgH8biXTqZeTLHTYxlAV +QCL9I0jOdLdS328bPUevwXVaKh2PexsNjwqXc3NWlOGQBzo+oH1aWoGcD4GmjEEo +vAOtlmBcLyn1UnR7b7UalBr/GzDBJ9tt/aLStz+yLkCzG4jg0S9fSYe079mYpEJq +Bz4aAANJwz/LDh84bVskHPHT4L9ju/vMePwrsDYK7ScurTopY1gj4kiQrViXeCwz ++3NMezbryWUITBswu9ghDQekABy5fN6Xxd+rzOrjyA90dCVWOhWHXRW3SgdGLDsn +Wp2Y3t0a6V5U8XFfxfhzCboy4cctJw82Quwjup8PYKCpa5aNOT4LALDWN/3zMGv/ +9RduBZsKlqL90jlE2RzE8btH87E589e9UaAPMgo8li4ZAqfs6an2riQ8eWBwVzkP +oQDySWRM4/gHCTW9m4Q6islGGowtyt7Yw23D15nqrFE6gpFw4S20XFMasZhXm9YH +aPGRdwaKv1WOjKZFVEGxkz3PXTdX6hkDzVoibC8Co2xnY2Fys27bgtRfnt2utoVC +wq7Unc6yr4Nf3hXT24SWhjABYCIkwt8gsm7GP5FUQ45suWw6utQ6GYWyYlb93Ggx +zPod9oAQsDNY/2oz/tz4qxRE4Wn44cTSs+kWTke9wH48yl03tjwqj4lwM14l9mp8 +Xbvs6TupHMPcgFA8vfqFTdvz3lf+xh7YiQfu7zs2AulrdH3YZ4JKkysmv1fWLlLY +mgUWq1vOaYxKbaUgQvq+NSEtRFdoci2/v9CnYNtFp7KXszaBcQ/bzvm5bZMRwQfq +Ed4eKbOzY2fVby21lUP7Zco5s+/N6Jl/AtB7/wh96DW2uH1hOeOypIAMyyV5gMUC +yarT4NlKiXXtZj0Bw6eh2+X5lUZl+2DvX54himt4LG+HbR0RN2cRQeMZtxBysGWG +VAy3YZZZs9fsRErEzjFd0ReleJe7s9mRwbVrcRFfrQXeADncgtjOU21OS0fs24xQ +pYDEmyEI6pgVXLZ7G6uOMsbqsNpO3Fuhb8HxJRBZRip1ras90NWrwJUyNp+KXSjO +u+zQlLo/keGN7AwarPVTgPF5J9Xg4Ubrc3eiRXR6mO5JzaAzoZeSYsaB5TdJ5YcP +mghRURUy2nePcTk+rK6yvcmPm4aRSs2TmwmH1sZWPoSABOFTX+RkMTAjzBuo4S9B +BpvbzFlmwEWhZJdajeqf9hbUd6XTu9HAW/lnA5dStKyl9Wz3NRU5VR+iI1OlHu4x +k/4HoZBXIFtYOfMMc6IAxasSXZofb/BbWtKKvXXbrjSEt7sCaHmk3D0b/wux9KMC +zYYJjk5nLLqx2iiRDI5nJHgJ30FAcK9zerdhvhLLJ7uqMvVDEGn3g6vN/bNaY+FD +266X9+b4Hls7osBjJbaJnbG0TJJpjhOSprbhBDGuWENTN6PQN1F7ypjIqOJUxfNA +abs8CmIMLQZfCKVcDeIpVMhWeJDGLwfQh8egru/+WZTZxkfeStcPRFOZZUIcZoyO +ePmQ0n5qxduui82MvqpUrirg+DcVvdMOd3QIAo0uo/xqpelK++EZLsv1W/X2gsr5 +dct0EIKKSg3UrLM5i1N2Doc+05lEjqUKful6EYDrgOtCo/DBIP5/Z57nFsrEv5ub +TenOv9+mY2ydcOJz9GdO7bmwjKbcndreaXzzO/6gAawNEZy/bnz7bxj3Lwg6/4JT +7lV2Is8A3b+Us8ErE+OwiekRavMrBR8A+NVlRUEvQZYpR9OjrVMG7iOqOvsX2TH7 +Mjn/Gcit7aYBpa0ppit6jPfxbBW/GeEEAZdN2QfG7tt5qV1Gpyg5SoBSw6p55WK9 +/9IjFpeBg/QH2T4xgZ2GBIifpqWSJKTxkKFDSyCIHV2FGwd6k/Trrz7cSo1yjHa/ +i7VQ8AVxplirAno8q7ASRKZNUPxG93ZNP0pfZ/td+lQRImcGRgz7lIpzdSG+0rlJ +wJLaE0jqUzJMVEVGHhLY9N32sm0VgSydhaIDZU+2+A70n6Yu0pqOL8j/WZcthq1M +1kP1G3onoyWfTXsiSvSHYH8Zpb2lhTCr6z6rJOgJfVJhP3Dw49ZnXobwF3W0EpQh +F6+otrXSmZCzveaql//JHVWQVDJARbHag7nn/9srwrvWHll+NJf+i0ogFLAejiob +PPabxehAI+/rFhI7bKa6dB+PM9IdjUHRh+ACyCxikRHS5IIom0axFB05j/bT5WDZ +vAOAtzMop2VxV1na7ATw8j5F/MLxeHkbbh2mAT9VrKBUFI2XFHD+UMki6uLOZX67 +n/9UpYHopySm+c5oe4WogoHgLVrxQMsdgkjwfCXErT5KsV6L8aXJfLIDd/TK11dT +TL0XyF++LnqYGX8rzpOvnhSlxXr6RCHvLgoLFn1AhpR1CAa3mBsu5j3lTMBa2qlW +rBFXQEu9uOVJs0CN+tfHNAEq/pwfHAgqyKpGGp40F8JbUeDQLINwl4rPcJxs9dNi +c8+6xYQnL7c11Js7gVAIUFK9sikynWcXvtd6MzFHgQdGC3nXx6tR+m5kHpyOPZtd +JsLjv7n9thVxfio2Z/JGu/SxU4deiJ94jrmRNo6DzTnfu3ozJSI8a7wsqjiTYsEO +RbhDU5leKDkmfWEg5Jfh5x9NOBDrvRg+59aL3O4A0qIYOj4XtK814btFyduj9Yqb +2y3E38bBd4KCi5zyj/MfxdP6D0KA6hHuMqSruPllReL6hMDNGEs+7JWx+U8fJ9Vq +xWpCg6qVzo9BVbK1flz4OgUME1ZCpjhtAwxkOfdCrJHYOdfQsJYbX4JXTiyAdML9 +WuEh+FJ9k65OUWP2mBenis4g8Genu46QhwclhmRJ3r+3yYM4k1VQAyAe+8x14i+A +dpgg8tBRoeD7yxECdXwsX8yN/EW6Be5ahhLdN2Uu793m4yA8sK2bS24hx7SgJgCd +umFQ3gux0Yqv/OiTRyYdetvIBTE8K+FPi0UgslETXiHe/b5iGKMEZpGDyy84c/hq +94GZ7/euql8vvFUC9bKz9RBFng/nPF8Q1FJzUE6e/8oXt9qnBRv3MQ0157VAYK+R +xVl00CN7u4vGAIYbTaRzwGtJKET67ClZnLw/r0BGgD0SROf3sU7bj414ta1omnPY +gMMfpw+LUt5GQ9PNCUM2VgTnFEXhidNl8eogUY+eTugD4VdjiNT7Ky+X0yS8Kvez +Bj8PpuqNUYKJ3fUnAEGYYwW6dn9z69Tsiol0OVnmY6jXrzrQZUzWWhCyx2b4s18H +y5r4o/auT/Khf4OEABB7IESbmnpZ3y13u6wFK/rv8caSy/Qpuc7Cd/EQBLm9LJIj +QU9OcelzY1nPcRtTqAhsZRO3GHFbl4b8HYIugaYYon/ItWc7eXPNpHrCQR8EPfAd +yK6LRMeXKq945r0ZcjreBgcu26Ifg+8AcBcVilF8fli3noBstNNvMyMSnZAymrwm +lXq2omiLN7LhHFbJkwAbJJe46YhKgL9Js+irTighbbLI2Uvwjv4YYar87nw+CTpI +oyZjPfSlraJsUBMP+SSrb0TuxWqsC4yrNxiSqxPaD/pfN6weUCS4/NQt7DyRZMnK +DyVSyKxrDvEjtBar0igoDDbOlCZG53e/d2ZB6D1KxVAZkDGTPbMjR0WsGcsoDTFY +V2VBlZodoiPQ3QMT7mbJHs6zJh2xqRTPDZ/cW4DEakAzy8Hiy2Cq3eAIjlE9wxNE +6lnhB/TfrmXztm2uV8Vss4cAQAuucSjdq4T2xmOLokh7M1Z3p1+D31pQ3kAPcMYN +RT0pCqDAEK3UGJc1EMs+hojesBcV9/McKxKibrlXduwOe7OQ6W2mNb6/I/9wPWco +XdDvfYU+2msiLTYNKllG/pFh1vJ+Mq99D9Bth6dxGC0fl6YSWsFkGi6BgTz5Ltj2 +wjvEQPVQ6oWvgV3jbBATqK+rDmBOdSe6V23uQIfAkLLmxpTmyXanEhAFLbhv+4e/ +HoVPCQ/VmWHcqCGBLjp9tiglvoaRqmy5+NrzcIyU4VsNXIxwJs0SMlvs0yul9epn +cLikKl6yyEJsYH2OV2VbgF8GpE0vPzjUwrMHhLZtKXrgv9B0nvig1OwgzuHOh2el +XpaXEaa/b4Aq8kLxCXCEYUUPCY/eO5icx9FeO9Th+vQkrk3rEQCyi40EDyELYRYs +KCDhNM9G/BpbOaqcs+0p9E9KJsmCyaflwXg+sTV1XDTvZ+D4IKfbncBDj41RnLDc +kyUUokP6XFVYtB4ZNTot6I2Qi3xdG/jaU3jkUVK+QS+yqlRpNyMfOGJ15dK0WZpT +KEAfdt5iksVuj3uy8pSJeQ9gdehHQqrlKDCtwklM4Wu1gkul0CvjV1Ij1xQDdB9H +82njnQWssDzNo4BjbXQIJDg9F8YtoBBWwFq8cU1wiTja05tocDSrtk3nplDSLK/c +6aOhzE2zdROQC+dnuPLNmuSCAtI6ZgFpUHvW/2XRmv/CGRhLEEUFbRsdOnbdJaGe +mrhFzHNRRUTJ/jOFf4q4r+aJ06MYqophYRTwd5PnijoSwaYg+qDpXqKbNHTMKQ1N +/dvDqIkxcYmMQwKynU5bjGEUlFUG52k9rZP5NSOs8jygoufGEiD/FS9zqdLqo5/f +PlGd1qG/Jc360xXfOzqQUvmCX66gMaHaNl6ofYyJ2KQIZqj3wOfqzXZ0UVknTW8j +1M4U5rOfoIb6PCdTddYZQCOQiQWgSvCYMmD9FZcIamKam1vWRb5PyVPVcAl5cqR0 +0AKWzdwl9SRoRXY4Ro4Wiz2iERImXeAyYr06jy8z9o7h5j5npeepihW82HXZh3p6 +F2E4ICgHK+qAm6RTjVgOHJDklZTtdqnKYR7DB1/2HSD6LxkhBdfZQ7Rslccc1T60 +xNDwKTeWl9ga9ckZiuaQgQnGe+Homo1ZDv3B8iS14Ne3s1dR9LAbc9ijyD2WqMda +vAtVeqfsGZMLfyODXWku3D7r87Y/Je0ZlblmISxMkMsczd05xbvCVXchsGFrImKO +W9pNClZQQNUw9VvgN4T0JtYKVyhbYDB689g0/YYVRGBRDiJNbddFkveEXPPxS6us +ASoHJooZOiMyuoM79bb40VROlxPHfXIPR7ESnvl+op6TirzV7JJaPPMMy5X8NgtQ +jI+mWe+122RotsliO8lhgA9GTFCajX0bahAjpF1gZgsyhLSGCC/0fME3qCof8NIs +WXm6rEcbu+qXQYn+CgccNHHJXvliLN/judFJYP+zFXCTaCD1jkndzY5yyivZoTP8 +mboYGEtU5YPt8nDBtCU6cODZJw4eZlgPwnh707zRyrfVN6J3Ve2DlF+LBHHKk8o4 +F+vQQzUydnMh6Spj5n/qi7x1m4n4cih9xxDvTnlXOT/fBhcrmKCI9I81EnqKorZv +a9ogLg9In/RlcRIqvNwLLXk8YP4DmgDMa2IXpL1ikBF/6ZjKbCG41PHiTXMUNGa2 +y4vXoz8yJbwt33cbuww0kvqtwOXNBi19JLRv8k0quIkIxAKZydix91JGIvfYQ3j2 +iIPjCprr7qXQmRMqqjKjASkx/x2AuRc8sDEeHeor5UqXXPc75Lq3tQZM5j5tGkG6 +1dGg4yJTlZBeT3a+9U37z85hJASy2Syyo2dxtEQ7OP67wNkqNj+JOhzKIaSfw5Xj +TQ45RnHU2bYuivjkavP5YF5F83mx4IwlAER3/o3nSTjwqiQvg26gRbwnHuI5MpFl +PueCj7m0m99UAZtA2tJooASOBApIwRuy4OM5huQ+Mg8XFihtDFoZBkq1Icito9w4 +aKaz3eak3k+f1F5pHlp2Vu0cYlJ+dIcP7UgMP9KHbWP/PSAg50vQkHM7S3+bn8EO ++5hjQIJwfHGwulkEWsGoBarzALAKy8rvA4XZ7mzD6qz+id0DEs3Db5kQfLpfH3OS +mUMKLAOMETp0ZfCCkhohSEPXmzzwjExhSPLUhpS3S9oeEtwPDitTjWS+Q0djwdts +Cz+ulXP6ex6Mfkla2U8RKwyCTCk/XhahAvhEV0XUCczfKZ/v2/khlc102f9gFY/A +IVsbBw+itKvuKxe1oZwFeqTgbsCF75AIDohxkUIpCM1rMbwNCM5Ys6tZHpxCw/tp +ZvARgIgBCkXw3SKEuXRCY9HaHNw6+D548l93pPHCwPr733dW45FdeHNaBMMNE9mj +THXPxOSjJ4xGwJlpvnj9IDIeUig1wek1hkrt6X/N9hW1bUUcURpyHApNm24x3DVD +O5Vu7Ib8ZhkHSaONZGglaKR1p/H2VBa6me8HfAOgJNgx2FBy3J4MjtP8UrWOZpDr +AhYN5gahDzMFxAEc3y9E+jcXkzsmqRnJKhASsRoUwDfAGtDW57oFRXB6+k0bZXNC +0eMkdexU/IJIhtSFqUzVFiNKMGeBEdSH605KQaUSXF+BrHFPWWRwZgKK8+ieYJOT +ebtK3y/kyQJi3ULJ+/bSDIqW36JTKeHD79DhwfHoqKHTHfRVA5Es4pvztdkSs8EQ +VMnM3QXXxZ5O0ZyjADQEXZtAOdvCFqZIaKz5vxlZIdEdUk5dqaQNfPW5Kcnswqo/ +yglBGZzwD1YlvzrTzVvIOuf6JPLME+fN3S7P4C1PDHg5Zq6rn271+N2lmeTtpZiT +r49QSwhfaDM33zD42IMlLyID1KIbQWpfszl3OeX9TYQH6BdzPawAz8P30nJ+1UHQ +5aaWfjm1A1yDGnDAdO2BvHHGB6WZzSuqaU4Qit+RRdoeXoB/Qy1rRfo48pk9/7I+ +dtAMofEEx0CqeVspGG1momx+i4endnUFrKDaFBU0l41sIdoyFyJ4oddLs0WzVkAA +l3TrzoY4UY0xiV+3hc4P5EfanY+DJw4uKb1F84bo0x7kZjlyYWMv3ZugtErUQzbW +ntffvqoQpKg2QDaWJ9K5Ydb53TlhZGgM5WHgwsTtIna09NaI/0ydgAiyROxz3HbK +Vy/vFca8dWwUYoQxiRFECLWH2OI1yVuCGbeYNzqpthsw6gsUq2s3UjCNLQ7ud4Ek +ED7legRbmdn414DzVo+zfyMG3LPxEhxoWUQpJfWuSoCBGgciXFCENY62LVwtEOfA +/y0pwkr260LcdSwR3poOgfEz2RAEEBaZNCYSuU3+lBBQg/beZssJ7hG/vw82pmQs +rotz26bRBWeA4/WRwcpVyuVa/Et/8ka0Hytq6DDdJDXNcQB+t3nEmwaigd5sTcvM +WJ82kl9tAl6X8Y1Z8Bmc6aDO7UM81y6Um78iwCjdlsKXEIWDjkadQ0sdL7gVOvE0 +nAMPF5nxvhndBgBm6skCmN9DS0aI2zAeo+8cNUJsMM+x8Sj0rhGHtCZga7FeAJ97 +61I6Cw2836QoKRDuQYohDKMbVJ6wZUsUCmHS8Tdo58//Vx2A0yyLO9LEG4wPqh+c +X7wWbj7VqC/yd3gXtqk8EEJJ6SHBPI7qAOtc836Kxev6iJufmM2y2WQXS7Ks579I +NdW90R7VnxQjRJSCPcGgV+on6vh3qiJBjE4Z6wKxbki/IfoTRMEfMb3U5yjSP87e +GvLCB3nClPDPE6DVJuFpH5opFw/dDOkeqGLOJ+nk+QHXYdhe0Kpwqd7mnr1zrdf+ +Gn1pJADli+4gTCXeeI/cgo0i1Hs+M6rrZslD5YptfVmi9EC8+vRgbLRl3gOmnMBA +QwLsGWpi3PSK4wmW+4NowpDLHHackjH/MUDAh2j3dkU9bJcwBz2lE3HSiDXQlg2c +lENMc2D9lX2vx3p2Vbk6OdcBg+hrxL6784PHG3OWT5SiUKdn0ie90ozpTCHLoa45 +3YeEl4G4vUN9xwUHFS/1h2Y86kQJSFHC0WoXsHGs8Vej84uX6pdsq0/W20mxao79 +OSUKmTZE+vnKfQ+HnV/j2SQlR212b7kEaChJByXhTCC6M+9feSGwqvr00QtEdUsZ +iEX/5e24avmNGAg/528AxSpLDJM5CXQsqv2obcAuj0F2cMMGDRnIEQ8piunKyuMf +ro68Z7fiszZGjd2077zXDPy9PV2Da29E4oXUIxxUsDmaN92r8kXjZWm8yrGLBDXP +AaR+tY6NfAWwrmFrErtYTucyPtQwSlptBXcn4Wysmx7rWzHhweANwFgnOjk9oMzQ +6Lv8HaM32HS4xQ3FRs0nU/zH8RRs0t505BTuvDJeFbJucaFnpjZb9mPi/i4yWPJt +wlXCDk8P4+qx+DJ7XqG9Z1iTo3xlsbYcY1Z0SQMmRq81maTXKv4dhnh9Omw5gOKn +5JXwZ7y0UsCMnO0EvafS/uvH12UBZl2B+iLvQNTP1aKvEGW3ruc7SC0MKifLOxZz +mRaSp/VOUPuedzWpbu8f+2NZP7xbiCkNFKaKrgZW1MpydK2bjFEh7DiRNIi/2Z1B +yO+gABNc8CYlTFKs+JfJgHBC4jnqlbrjaUSVjzR2FXQTVEWo08i/aojOUV1tLK4G +UA3syTyJUsau/3/hwBCC8MaMtr6bvD5NnFxhVXt8/0noZv/czySnhOIzRfBcmfPW +x30b9gXbwsiLB0pTHmJGNBpTaC2MqJiu11LZZHb2/GQJ4cMSSN4Sf3TR8GrJFauc +EkxYSqltQCX86xhyj3fkhIkxYTgX3j6mtCJjyjJoWd4kjnaRRA1vuNdE130Q2C+d +VstiJ3axx/oa2txYFoq5pHf0nX/aXpARewa6CxKEqvLD4ZIdUh8wnS4WEuy3dMzs +Moem77vgkdRKZi3jNrEPQ4TCiUhspzRaI8cBXiduZfBw1A1gx85yH8KHrhv9olHE +p5ZQRa9AbhWfCZrFnxhMjRlzeLwVdjQV5yC3ey2Nw+jbSjYB5u2/dd7Nkqf53GTe +zeNEeRG2JTQhr/XXqRt6pY30CF1lsiObT78nYczcwf/5HzEpYGLkgpoV7H00GgHZ +XdNnGUCbWP5r5en9oLQtcKIcN7GYJ/nUi9Rd7UI358M4P4XbtXHSwIKBXuEzbusM +VRbgIDCkL+5RbvjqW6DUOQUz4cZy9/9XdSg4tEzH12pEVW/ihrYirTMu0nj7bH7C +FR9a98piISykFUE2gEjV1p6KuvwFfC3bVt97MNcRa5DF2EjBA4oQWXPV6nBJLuea +mR7ZvppA2DBBAhgjiIPowuKej48G7Gh5z+R/Z1j/DGPCrb8b1VZtaYGzIV419iol +DBtuL3ESTcpeVaewJ0xbCTAIoLsCFiHLvcmb1IHsPo3uXNL04TeZK+ehZV+HlFW4 +4LNpo48sWhcOfcfDmUC1uPWSgTf1g1Enz4hxJBWAFb24iZohQw7Cc1yIzzXvI4Er +NBTmjmHvrSeVqBTWuhYSJBB6d4WjljMX/6Ght6RGbGr0KYFbmDgwQyPwcD/7N5rV +QYHXrjpNGkLqGKQi8Z1asPrjaHIUN5TJkSXd/IQA2siIA3R78Cl8Xk/sM+QL2OlD +82HR51ZOPxP8HdR5jAilFCupfkge0O54Q05EXjMHs9G8Zoh/ddjUZ7zDservv5BY +gwh8nG20pBm3snF3BXhdnDj9Vv36INl7zbSHiQVh5xrFvn7OEd5fxb9DPcvdmerD +frFN6t7ZJ8NsssJI36Uns7sQpGk/yML/l/AKwK2PixeZasO/gnKbQPePxYHktnbk +CgUpiIGIVSJnRFGmDZatJjzs6UzhORMFxZg/W7jknO5HE/qFo2zoRspuKKk1rBpc +z0D6OA4gok1AEpzFoATvo/KCU8tDU/CN99e4a0hCYL+GQfvFhTW60w3xDc2q55GI +c6P4/alzICMt+jLBTLy+pg8pG6G0idDx1m2ywIDhY0THRTz1p1R7wZ+PSHjc9wDd +1LErgfWn7sLKUubknyYW62HQmQ+wRznPz2bXh6xiKj2Iaznh1iNlN86WJ+EQ/uB2 +AWjcbMAcWrWxIkUBN8fO42m24OU6nopUl27ctD5YMkeJw+tAkuRm/cp8E5a7+tq/ +ShZd95CXLejRlERFau7IfO1gjG/2PiH2O3UfYe0XUaDkmBRN04lCM7LbBRmxmPmf +yops4O0iDSu2LDwwg04a4ZHfZKRUUenjTP02ue+PcHiBx14zwdjAJbJkB7zyC3TQ +8K86nlsN11k+stlzBF13A8GtokyIH93pRRiFsx5aie+nebxwGPqWWmuhdWgYz6qu +qLO2GA3nXkdgDLD2DGm+rX2bW8dJaUucrcuGEl7m80FWNBMSRfphSxRkUoLsgzUn +QlDSiMCcbE3VZ1BZ6hLxRL1h/pbgWnnwnHEliYop5Nl7mUezkp1nHIgEUpquCodv +3ZQQr+YTtj2HQ7h2t7prp6AFd16vJj5sJVYF7vsYIBcfGdQRvOyt6Lax6Aj4ZonP +qAm/V9DilauWkYXsmOswFLmKBZIVNEMrc8nerqcJunUPEEwMZYSMVq3Lnwj77vkm +fTocqdpoWDSn2YWobnC3c07vFuu5oHp3dk+uuFLdOHHh5Fch2z1yhy7mW3zX2Hib +7bl2F0QC9xsS/kJEl8tgDBdQVy8pr8IiKgnMMFvYZp4P73xl3tRNb17UZ4qc8HOf +CNk3JEtB1zlBxjnesYbL26Rh4K6rBHNOjz1IaqyEF5hY+BjL4Qk+nveF4rPHGZ5m +DCiQwUk3SEO4T8NufrCAM7LPQVY3Upyl7wSB0Mgr88u54GsHRbqigEg99oHkntMu +/GoyC8JqMNMivCNbWvVfrNgCf3TLsMBYkcsms7dXWazRAzkL9/8mV0tlVar9DVND +IFluXl4JNUvPS8SgoD8byU0dkhOx84gUYofHHT8UL105oyaV2gwSR868+k4ACGW+ +Z8hBkSNanKZEhSWoYRbuhkqOcaopkjIqhPpEdDHfALQyRpq5a5l+qDRASvnnnR0h +0vbTOUC/0MFqto2rJpM46BQpCtEcf/AcXM1d0XCYSyMDOXdg9ybTRX5sVvx+STV9 +m74D1OMqoIJ+makT5nqlMfiKIeaG5tnskrb63TOepnfSbN/r8ZXYeFh0tMgPMbcL +3FhaA82qXdN/bN7j2WiIqPhAQU7ZOPx/M6AHneMqOf1j8348VgH9AoqwsQYWFeLJ +56knXqNYNIO0UIPPQL18z9SZcGxihCgNSmOzBz9uJTtjW7XI+gc9NeGDCOD5pegI +tHlywuIcRkMd+rRit8D4ou/vWcNiAyU+vohpz3Kvwv59e2paXTIfe7hsRseaPLIM +vSdz0xLHs5xKeQOtPy0QC+gmCrh3M5RGjO/Dii0rYX7WBJgFcGiF/kbkV6UGchnf +v73bqS2PnL5H3KQwPdlRSIuDM7JsS+gIvxh+Jd7+kfihs20V+k+tUMkKBePDwCo6 +gM0tqbqgNFEDTG0Z1HDrzWXK9Zl5b3wTqd/wamNnZ2a7IsNue2/Q497WPD8Lu/4S +rNUfzD1yBZUczRpS78ZxU+wdw5JJS54Vl6D7YFwOVqWn2yPIDqCmJSy2OL5legim +eDew00tzrNa0jFrpUbHQmd9TcmvpqZ9Q9Xo9nxy8Q0C/Mhm/5w1rTMbalbenxM0R +uB9A/QSFMgD42MnUI79GtCKaT19Ph5UQP8KPc+vc11RXNO3xoaAq4Ypzn0NK7G/w +WC3/uUNV3YjwHr6DDPY7FkKy0tzj4MLNzIny9HwkSJcr6MQuh1BfYUaBsPgy6g22 +R4zSLRf40B2I4kB4AqrbNQM33Ihvs8omnpxf1loXn3YukupGoF/m//QNNVJI9Sz0 +gfUzFFYWLcB49UxZ1V2Bl2DOienU3mkv/OVLhodEyskubiI4n7aDzBSRQ1eRR4qN +VT7Qq6gYWIdQLhQwjMqqeBzpy1g56e81ANFS2YN/PNR0EFUvhrYmvRoXHqHuCyYg +vcYOMPPRgoFE9YOINgGIKT7zc0jrf3chLqXjqv85yPPSCbCdOy50Qm93QWfL1PLQ +vL+neAYlr7gZLI9q7D5jzpBLNzmPY0+6chHW5cpdHkkvb8qIRiIsI45kmU0eTH4e +yazW8mDzYCWGw7SE51P3zOUTD8J/2VvoL/vMK/WtQ9KmsnSnM86Ju7ny0ue/m+FX +F2xUBGYmVDYfJjburkZNB41epccT0SJMlEcPK0IvzzQG2RkLEy+F/uCKO3ce/DWf +XXd2AFdOpntAqF2EaY0nA4XdTeJaF/43r2x81EHHZ+LltZhQWKPCfKi8yY6erD8L +PI0Wz1OuGVJxsR4jsppMCNAdQVzhZVe09jVscJwHHScUOB4d0H2pJONa4++AApP8 +AYg2AOKIpRlgIbOsykxjG2bTAYobkcE61BA04MJb+kNkp3Kqse1L9zuOFv9rww+b +n8XRnKKELnmb7g8XXk5lhZcbzkQ4FooCnTUUCaAb8Bjtw7KN0/HFiRKLesSXe4kP +ZFbmXVtbXlXICkN6YWdzHnjl4RRjcuizmUQ3Omrxljft0KyrV5m8/dtavrw0fOpN +Csz7R0MwcO/sxA7zLpRepTS+1abzf6b2aIdPMY9YLZe0Aq6wQ5h1Fvql6l4WlUED +TCHmIjw+Gflk1Iw074/AqxoOwDjxvmtUc6UueX+qgK6DpRQWTDy+fHMewz1Wv5aO +Qufijz8tFRMhdjE/Qp0dRkITqbIH8ZMR+bBdhYE/NSDSyIf36B4SoSyydkseLjHW +3Y0/cz427SobJ9Ge7h7vjJsHZkUEdtITs2hwZtdvXSoqIsqHESsq6s8HKuJfhh8J +f+Bvx5TyzCYIYyuaDNRLurzCL/NCLI9vuYwq2w5EFaE5iH8+GF3hSwJX5NOPmtmo +GBaZxJzG23QML78eaL4H4t6hmq2jLNQRS6OBfh7JK6IgHX2EncpeY5YoaVwmbEHB +/QVpSgDSWRf8fhOzQZaMdQwwEtT8Huumv7zqHVa3XLll29RZnfepq5XFISXzbxpY +2FcTXFD6+MPW51yY5qYJIrNL7qcZ82rhsBrzOIEDyK4ATrww1tT2kzqWPOJ+xnM4 +UX3TY4OnWEXLOIVTWZUZd4HY/hF5vLyxs0huEFhrEgYFg3PCYQ+aghY90zPblgNw +7BvZptM1DTAVxNc31eJnkBi4MNVJupkYYEHl4gefinLV7C/j/J1Nc3gI5lvJT/+Q +rM5Uqir14bhmSe+syRKGotjviQLWve6uGP+vGbLmM/kY98mQgaxijmvGXpK+xDK7 +ztBNOHeY3Y5c+cNFJi8cmlJ5WnuhPJaVw3jVb0++MJ9ZXFi51VN8s6G9xbmprhW+ +6zwBlGEvKmjomeVflLCAGMFk9Eey8B1JVUiI3No/hjA4QHo+QUwzFSL5hbuPD/KK +I1iUG33NnBzkqNnjlKjR7kVgXHc2fmG/c0AnzV8KCePjiuk7fqrD6jdr6okH72VT +obeAV4wCA868VsFJR97biDq0Hgxh0Te2prirzXmNXF+tnM9mf6VkdBTudYjdZfsW +QGj5SmiETkIft3xZ1y1DGYUgPRvM6vevXWdZbWi1r9irmlxwUuX4LfSAd01G7W9K +SwEzPWi0HXPchLHBTM/fIMyWmdfWgMSFibxbDTweuUm3N1fUOAe3P5g4jteusZ/L +wJEWPqIVW8KkbR9VBoNJa/hCtl1NKF6JpntycluqwpyFtG1BX4lCLOJe6iOANB1m +N9Xu1P0rzHMDBOXNjIORB6wM7AIuVyoBsFp6tLXLEDaE9zLpA7aDlpeYRdMx8T/O +NOuBcXDfW695hmEmoPw3LiHNLzbEX5Q1RsPHBKxOnel4pUAFS6ePqz5VmkxZeVUQ +1ErwJ8ZQ/D2lUHYKgJDnIQHegYP4TLQrsk3eTspd7DEWiQ02clQCp1HN3XJ5T4J9 +BH8u0Cu1qulNrFlqFbF+MXnLGE/M/CaG9pQOR2dRnDss+CecJqOWwTnA9L264+Fu +xOp5tOT8l09/u9icXQp27WReAypi+GquTkHZht6cILlId1CF3X4LK8nJBJ5cvH8M +YP9p4JiaJudY6LgWJWBKHWlH97wY431wwhFAsIILQDeH+TfS1cwqY8yCFyUUsEHW +Ozswq4sLH+AQ2CLU1WLA9s2gQrp5NmygT8zr6jcxik/kg+hEKEUzDrg74w0DWdmq +/dAz3eyJii99lgjjmDkwGsQMi/ISQglEbEEE7LJZiONS2uX0ixVgx2qQfa+NcU4g +3qrW7rj16KbzSMELmCS/8zOAXjtB+RUAGbvV/S/a3Ya1+2Jgr9B1GoMX4gYL8O/I +YtG0TknPskMGNVlsJy2vYgc334ZT3ZcQi4yK1Stu02ZYtCdHYM/6nyEC9fqowEi9 +CAqctYp2wM5c/LYFZ5gDauFBHh8HMCozc/AyKkQ8ySQN5aNkSHdGvmmneUf/Em1t +EtYmKzx/R6bjhcAClDMEuNSo7bf6SPr/8WxERsXpcXym8tqhFmRZiVHoLcNWRz8m +T3TJepAXvMZ58OY0Trfy1f9k0k0nsaW2BZsdM81gJXNEn7FoeMmKl54o9Nzhbjhm +fX0eErkr5OFiqs0u4s0Y4lEyCw+uTOMyftWWXtAhNGPqEG9TykWpwwbXVgUgh0GJ +4yXkfZyFqnr4cL3zHgvYtLlYhpxnC7gGwy4lyX1iRe9CNL2vC1cgBJs6OOmzzEeu +9TbTnUgNg9WCjgx2LUwVpBFULmEsz4ghDyYWtPZKMrvHlxkeKiVvZP6JExbYKl0R +w79ckx5nb+7GQERWMA7IqZfHWPv0pHXRFOMs5Fllyt3SvP+U247a5/0aZCsWjW4+ +rHpBw1aZ8F1k34T1gD5VipOq/FWSwXw1j9kXyGFHJEowj70G2pxOx51lGiDaivTw +PG3hywABy/a67o1/dQ6nnE3i+qzjvKUoy8n4G716lFeQKwDovswXzmd4CEqB6ZOf +3l5Uh1VzFV5a1rRVOcQsD3Dx7yZ/W0xzvxX2yoXPUL+/Wjv/odQ+CTTAYbHdB3/r ++CA7DJaNcOW5brC2l/k9EwVqEt4u8cYXdigqQgOKJrN/lMhlhfMnMN6ui/a0E+8P +STuVGlFmoDQU1LQmhO48AZSaJq47MZ7zWUckhY1fJLXQq7Z0RBqE5To5mKz1x5cu +c+K7qkNX2gar89xtZEVTb63usiSXWsFkpOlv3iRQVV66339s/nLfXzGFV+2BRdqL +KLSsnFK9DwVdH/YdKSBDE/8XgwBkzlHEnRSwS5wCXTx3l1ZOOuP+gvI4TaljSSjG +pgcsgNC403Qt7OYqyVcrdfkIIqhBsjM545nxTuo4GU2t6fWpu6PB9f6oDH8pMw5z +L1TLfnWmc7+9kk00x72AS0xh+HEqhRD/HAW4woJHbW6IZIX6FGPJtHJRU4c5Mjq0 +V7QnSH0ftE/Frk52nLXRpUKCzx0XWk8t9t+aOPzMxSFoK4XzDbfvpYUrlwTcC7gH +v6EbU50CPWvN2aSlvY5ZcuU2p+6QrEuEV0QHDkM8UBV9fRQIokDojBCWN72iGlIj +fNfn9Yra0QD5ikPwLuR0NdqVlqACJ9oT1ptZJfeoltTaTp/hOdoXf9cBb8A6kufc +eTBjxJ3AnfmIXI9uDrFAjpYkYSlWUoiQalSuP1jSLmx1H8Rlb9hOliNbAMkvWLbY +r9Pn7PuzSiPt4AFkTKPCxZahqdyik8FY/uu4Xtav3BpaFadvtNHPoah4cTnuOViH +54IyaV4LRO1qLjODuaasYTZ7jwKgM9HD6zdz2x1L2E3mM18tjHDez9WFdj2s50QY +tBJpjBVhWdT+mVxsAt/qx9Z1FlUu89+4BLtn+hhiShq3amKwuNrVuh7HDP40UhtM +rcQDT0xkrakhBDhXIyK//X4nfMddruT0iPk/IYEnOz8uqlFpXyYqNDhRnq1CLB2l +0w3qpC7giPTB+Mak+RXJHUo+AGGl8uQOY6lO2XjG1/V4wwyZzSkAM3Lcb8UqRFH8 +xXLkIGl8oQzpv/3HTvK653xnTpfTvDuWy0eL9cayPXMi0QccZ+pbEn87pzfQmC43 +PsJ2lOmqYr9zhlAE1HR3ubZRIXGkg7nM377nEXY7jildkyu/TqGzVUhXvq/Jtttu +2DTeR8qoqi7GFi2oyoiBA2ZQcrVskh5c9J4buq1tUVc/rd2rPptgyCvnzQ9FWbIg +1066UkdLGOIzNboFEEmrvQqqzMK7z8yaiHv8cgkrIQ/mzY0vTg4XI+jyN9K5Zvak +fdueIKxqo1dAB2xLnStVVYvhRuj/7tTEsOGF5Wu6hNxZFRcrpGR62OIqH3kunIkq +aQQeNYnVW3ZK2VAsZW1JQixCvfQhQJ2svZHGAvycw7w2F8YYEjJy4cbCjEnobcPL +hbxACJddbkvjcegRFXFm6A3alAE4i6KLM47A6v13py4oYkkP2oR5w2WXFBZYRO8H +FG7NFhV/ZfNDWBCpIrrDQjZbmDd16qXH77zE61VlO9bswswR4CW85Fm2G6b/vb6o +EhJyMI9WbhUbAi1pjLzocxOVk35maWgtXfteg2bJJwLSv6VUIZoZs1M5AgmqPO+D +DraKrOiu2L1idM4c3oDAGNBn6C9p71ebLhP7E6oABeYPW1hwl0cQiIdXBqO2GPys +c0KDn8TK35EZDT200Yd28lBewdcVPeNeZ/YRQ98t1en8YaE5ELNhKuuNSY/tVJ0U +hsuVSJuRH/leG0loWyZTiB+p2OUtbS/R2TsjzGpxZ+r+L6/rdHiBe65fyBBD3jrt +NqoAq0bDwPskFitr7YvOUgJBAhDNmR7yOp43Ff+4R9m2QELrTIgxrHL+kPvBGgTg +ld9dY/NI6f41kozlrX9Q9ggmWqAhFFLojqQT8sw/AAfQbtk9X447kSKiToDgT1Ud +uxIaQgoHYCawUa5BJtzJzH9ovblJohvAcwaSEGj6ree9v1LOewp8zS7HM6qrfes5 +EQZHS4wfhFCGcoouz3eiiPOBmwl2nCCZM0N9mVyp4HwL0I2prUyEhssQuoqKPV/9 +oRG/D6UrvcaTthwm4EnDDHlcNCqDULeL6S7FI8so5SQtCCJVUStlcbEZ46s2DfMz +XMYwRxER3QyxlPTp68B7Jbo87lyq6m3SmPDI2M6r/KhkRSc7emPSzN4haHZQT96x +Vt/OlKLfHo764KWXJ7TMgrRxmrhGC2JyOLE+AKW/Isw2KZ44Xtb7bbeE4ALqSVvu +dDSUDqdywNk1XV/NnZ2HXrtXxIXqXgWDE+sepUzZgH6KsIrWtJ4kaHS7/WgtUxfG +mr9A/iuHhQyaUm8ryT3L5cPGajAATbVabNbQSXkvKRu9Vvl6ZxMVHD/hyjl1hkTn +sTsj/MfCUyCpsVsO0PZP7Q29Qonjb/x8KOo1ILryXzy2iz6M284N1IsY8GDHuT49 +0EhS/TgaHmKQh087ZhZ9NiDMm3dhcU/L31IkrO9ip8DMyOz0upevOwaVCZrlYJBr +mPocclYMgGnEHdsBFDcyAcHsR84HHh3c+t4VnMtW4wR2yzRmRWdoMxnTSNB9/uX7 +Uewm5rNVT1hP6igVTL/1WMfC1etkGwYPqGBjd67Bu0Z59/GA77+JOohSDrpfRXlG +/UZHoIBOt6dZ+IWo2/efNUV8d3+NYKbTC3w24cPF+UuzTgtnBBLe13g8bklb35g0 +vNiE0m35Wm5OpSSiuIv7hL2N4hzYkQocroAco0sVknZxPBliDdN+oHX8KbJOW+4d +RfByMsIE2Zqs2RFIN1njjjrYjq9Ufx4gCuevdWOvXwdBUkxvz92/wgJyyQaQmeIP +LNH3T0D44BXGRsf8qPqk2xWZrHzuy/lthnX0IY3jf3PmppNbeq9KZBQ8X5Wl7dRE +w2ED/HOangKRFgbROqN8/xZ2Qfm6N/FbTLpgA2Q9FNQovjhEAy5MDHCHeJ06kc3C +KEEchccAscD+yjBJAexpj/Fna9duj2AWq6sUEMdBGNy4bqxorAwyxp2Go6UZXApw +igBcSqnsMnGMIlfHGwuAXB/++r2jfXh3qcC9Swbtrl0IXW7frrWbtLNT/lIGPqra +swF9JsZ9IBbatK+f07IDTsLTTh+2ykzriiBloAu//sc30lXuTLkaJFxEfUUCQ+Cx +ljJZLfpPvthaqBZspoj12fP/bIauv0HuETGHfzCohIi8aqsPPXo2geDWXhKWQsR1 +fkrsuuRfnzmytvZ5sFIiNrneEsQ68t1rp3NFM4moJ9PUCgVTtRB/fkgja92dUwYn +KbRaaRGqYb8Ifx+2/IQUvoEgSfSp3OfRZX/tqAYy5RAjoMh7i2Il76imDB/LcC4O +FF07F4x6Yb4TPLHWKTyn75P9y/4XjISrBT5+FtpsulRd8BCotW6ukEPB0Wq1dxgE +o9B92leSHO1tLxbNdRtWPRUL5xqBj2gb8ZlSji+nLWtXMIkx3lnCbV4Uv3iURcg6 +0UJSfrehPDYq3d7lS/WmwyIBcB2gugV4MQet8hEnsAiJWjXSOWN2fbIUAlf5vvtX +VSA4Bufkv4Ckv0/N8TAaz1tW7jMBruioDfmF8GqmdU5e2CprnUQAdVxEzKZSALlh +OqHi8K8UYpM++FdUKuYXvZPwI482cgZf3rt9HGCXLgU4e7p8U+uA90Z0qU8G8Mo3 +KDd1EATc9jUIINK9F0w/GywX5N3gUzYDTpmoihvTirXkY+vuX/wYJLagopxSHQOy +CMJlakZNr8PkAj7qpJI9edBZryuhbtNEpan3TLmz4XIBIVvd8WSfDiIiQ/TWOXxM +lV1PBvvyezzpXStmp7pVp/HhuS7cyJvp/Kkz2b1ZfsPQH7exExlObd7OW84XM4Kt +jTWfnI+K1L+QYzqoAsbAs692sg4Ip0MjvQqgGQvBoEsWITDK05xgaoEO0R6iPN4K +ykhAgHVkWpLHit7P7Pe2HdJvJMxRkaeioG4BKl+lQfilSSGh4AvL21HbJYpgGEBd +BEDH7snlCzQkYrrH47IIXEjRgeG3ONK9w8eRa0olriVBuY2V0N3ZacsWUkVqR5zp +V9Ir4TGglQLwipTfRgW8e12IksToyQoa8a7wzK41nVdI1gZ9hF7n8EQh9D5UQNc0 +n/dWSAeb0oTwIslI+mW9y7CvMJGQClpBUHUtwxA8D3ocd8EDGFPpYU5xX034IL/B +fzncY+NZIzh40fMRS7uipMwUPk7yvveaw9P3SbMcxhdTU4RxQhe+iQD3mSsPQ+gS +dGWutYbKOs0xhVNUPWVAr5UYymn2wJBAn1NoXScPKSWkURzCoNqpiezOik5Eq9kh +gver2+tTF87vrlnyvoLhCxiZY008Txsp6dsNLlvcoA+is3CPk7fhBpeN2DlvO5Ua +MiLjjDMhMOed16vv1uM5yjiWfxxgGriN3gdWoB/OZlLzsmLrjn5tPnpIRB3rgSC7 +lkIwyKoPm9/SIJGkjd9715NM3nxZ++LUnA7fXztGNLPZOA+iN4bDvPpxg3+ycc1/ +Z6yPz+EYJwueK+zf56AoVdOG5ho95upq6VKcxYu2rsIfbfMT6AHlG2qz2l/6i6VO +KNprTIncdpq53GdgYmU+JdjltDQvNXlIGcQKP3+kwbT8sESCVg82ITiNB1jdt0kq +fCf0qSejPkX2tVH65hXr6PXl/30zBTcIoqCnSf9pt1MSE2d5nbTgf1b6PsbDwpz0 +7eowSQgstrc2wm+iFaCKEGG53RwvhpIzr9GwqS0zDlt/kg4glqskNvjIFgs0+C2I +I5IaYwf6mm6uaERCp00tN8+xFk73w6UkWuKIbLL8iSr7g3KKgaknKVgLfsZ5u4RY +lEVJISE/ka9YjU0AUotm0H6IA4M9+ZNg7LJj19nlOGRHRW8nokFmM+utlYcyqZfC +jMBjq9aQ7M7OlnM3XNCOojLfraG901dHOO1+Wov9c8PY3+CMMpRdJ5kPGLSyUfox +9LyiHxkI4BaNIp+yAYKXSZfASe5Dd9wR1o/k4FoncnC+NPEL4KnwVD+dAHEIAXEV +0OvV6IkZZJq0HQpth0GXDL8FwyvlIjXhLHZ4P07lzuj3hLEWP4kBz2yam0XTCNoj +J74j+B7YUkVbWN8BR7lPK4wcexwMF9EXxNTMV0TP1wpxJFpKiopFxw2QL1kz7HWi +7LlYdawmoDB5mVLAfSYPpjsE7c4it409VM9DZxpRZe3gRjzNJvu1NOsv9q+fWf1S +dlXS6Pa2oFT2PisJGMKWJ8QdmcsDrnP2NzDgtVpCWoEtYZReartgwtF1JiqBC5Se +CcNi6JmvQC9cGQ5fchm0KGnjZUVrkUejkUMREFN0+rya7qYTOQ4zmpnUvgDxFeaT +c8LoZNHTnTYr4YIdCavrTEWnAsIuOU/Vz1MoKPX2zxxfDj6v2s8qnGMgr+8R3h5c +w0ZErwK0oK/TER/WXFDY71DkeppSI5HTro+vHaDQ4FIEAxPhSRrLtEBMNosX0IIZ +waoXLPpHrcB4JofDBvp5P+iIdE1MdZk4eyLeZZUdWqWmf0BgH4cOfrc4GR1U4yzU +k5MvqzWt5ahzuwommRzd1ZW0pOu1pestcrXeeAPR/XYM5OGlEQsWP3Bd8DyjWH2A +9p2G+b5MjS8/O76n/kZoBnmBToQDspkDB29WLt5Yc0MJlnGQSIbcPEW0QBqEhWOc +LxClw8KlHGn4ANvNG8/iL66MAoyneHscHhHVpgJoR88y6TH4X7bhHbzz6+6vZDmg +9GKCeBR0CGLOCnepEmBQCc5+Y8WAuLVqX6SjldVKUY2WEQGVyQ4S4hH18qalnE3q +Y2ic7AOCwwoF/21ybZppf0zTPC+13cbSuFxvUDqE0gYlQDHxdf4injj+JaZK423I +zvh2kCcLuHItbN0N8XAsWnx+KsvGiYaC+MtZYBrd4vBj+vMA3Id2k4hP+htdb4Pt +NP2JqyinFVTTFeqA9+Der11zQsv5x3h2k7AYAYIC20C2HvZjYmcY3wVJT5xauFuL +oB1Sz6XPw3sVZHDnorAiW8/kV54DFmsAepDTXB6xjSoblHEP+jXLTzrxrKlcWNWI +803hktIyFGKjo1hmZfcW+MJ/Nb2/cf7RvEAa//IC9b1dyKAGxJkjthLeZ/InBYez +NTSGc9QNQPExNRzP/1/hkBAssF9kHp0TKfg3IZdyCN6M2Qh1HkjmRu/Yur8mkkiC +2I0Ydu6rALgAHsQ4Luf3HjddXRCO69w0Vz4k0QmH6A732cm94cd1vBD34QZ2/X1X +Ok7OcHRyFfxDqPDBe3o5ezS9OBy8XnQvb0PpNnbLEM7EficIK9kIlKrD0HpKRncU +uKlEYp0nJyXXC2XmOwRYoDu54HliZa1Yg5TqRyk4uJ2GB/Av9dFJmikgP3nCS2qR +V0GET2UVzMiILIcek41IgTtfvq2W0Bxsct2wH6ctizsbXDytsDtLHaSIK1waXgMd +qyMKHig60ke6Ek+rtD1xuCHxAFdQgamUhjqgBaYyICtQsrxwpnAwGI7zPT4ST0w/ +O36CZ+wmW2iqBbCIzuSKxRxlXgB33zlGM/n9Oi+iDt/PiMxEXaEe+Hn4jMGzoTCR +oH6+/zdQ2MTRn5Iug1ovtZko9HH4RIesGV5fII0RLIQPfjZky/aukKE9YVoXMeMy +Rw/R58I+GJ+Rmj+AGK+Z+UMfQFc9AnkZejuKIb8epeT4K5XuARYNhL/rKEK2WSBM +09VvioB6BdtzFhjuOnDAmLis2eqmqaFLVrUw5qsO0E3jJMjhEuEncyJqNwx95F9/ +JolP0is0uP2a5SXgbN91SzthPYWkm4LSWzybL9lZddzuiQ/leoMjBA2Rwj1JQK4H +l2HamVY4sRFzhuIbSEafmOF/FAeJDl00TliXccTlktHdkT4vHZ4ynQZelUsjlBNK +RBBj9yuSpxt/BIq8XqvNxaEXYFsb80Qkna/DkbofDFXF6O5Cz9lObYMOm+Q3VtJj +tr1GpiyZFi6+BUb9K/7qYoHSXAgdzt/dq0Wnz4Aq8UMY9Rlk5E/tLmnzaqUd5ZW8 +KMyBdVhj0lkpMYBBThb7S4Cec4iULVRIsZjiNghgIICfn8Ojd0WTnSXx14OjasKA +daeGyudwszCHy7/kDDCH63Vr4UN08SGP/o1ZVV69UrfThs/RW7kPlT5KpbwvQDsX +NMjcyAVX7EvpTiU+5Drp9W7EdRSUQBkdgeMNMKrSi0o0Yx4bpp6K1CD/EzxzTYVz +oXoyYiy0DXcl7rRWj09qIjuM1DdxffbxcfUdntUA3rRbpvMFNV+Ba7NPiTFjzBNI +RMOB8eG0SX9esoucXahK65HKhvA3DcMKyO0LOOa/uGuy5ivSzPPaer/fevg9Uf2o +VRdw8jbvH63LhE7LQO1GQDGRIKYNn53PbF5iw7w6gOmu+tfjtXrZz3+m7e2+Kcph +rhQ1Iw8sHDLOjWs+Lou267/tAWCQZ0Fvg6Yyx2uYr2piYN0CRq63IPj0DZp0IPNG +4RDyHmNkDxj0glGbm8BtNi6dS9lp4b7KgHhepyMEfXLPYFRy2wT1xZBIha3ASFuv +yOs4E1UacGPEYyITg8WDIzYSvh2pKIZT5VKFsJ+tU2KFRXz0+q0bxpVF/0eKQtAV +dYcj6WXZh7goZGsrlwA4JDz59F7AV48XTi/j02Gv/WNwp0KCgzBnagpT4yt7CRUA +gTI+GGfDZRicZzdJV85bDBS/dBDtDIP1nwGTepDh2M5f2WtngEhjx+viLAdvCQXe +nVxyK/xc2PE9ON/heai0N2EWQ3fMfwo0DVht5cLqaP60xrGTWPvehhNOUCMxiy9N +2AmefFK1qmiYogoV+WobeHnyeNfJJ5FMB8cXte1DD2xYhbwE2JD18xB1jjLHyO5o +YZMlt1ghadn1Uh+X2+etSfHegKb0HiGaoRsRjjN6v0Tj56kzpVq5kvCzgDglne+h +JISmus7f+z9NWG1N2QLvJKa9kYA5JexMcRVZXnjWTjA0qXVZbJ5iiM53T7XD1sDd +O+nWIbxAcunwv0THXodsD+mX/o03sCdE6AzDhML7Dm1T3U1ToDRGC56Sy5jMqAb+ +H7/QCxDQ5N/ifiuFCQiIQRl4pY6U1i0dNcNm9C18hkqLiPsx380wPkKW0JbxIebk +HWI1VmQ0TsW9YYy/ukdRRrpFmwrYGSrhfvb8OrtenK/azz67UP0yXiYS2tBPOuHs +IFG2atmICHXzyGhv1HINUTxavycdDOobTK+7Rympnmh80vWfejvvXCSafnKSHdty ++1VBu4KRSZNK+WP9jV2Wb6Jk4bdFv/GDCuVVb3KnDSn2TtlV8/zINiLTtGBofTBx +rD9CyxDpsiji6qLTP5N/8Wvjuod9iiTuDRdPUtIs5FQpczwftAxo1/OjgO42pnjQ +Mzd0mDajwwZVplyOAz2o9Y1zxVEJZFq3d2kK3WIYZUzDd8GI2xkt8GjcY/r77VyN +GE/tmvl/2Zm/EIbftpmi7a19ggJAf71BrAbZVFLDZ31dBxAwOdWdhewzVxvGO9Ub +2ndQ8ujuBLwXNwkazB3Jxy2prvcQaUahvI+Wo7W0fkn+DEaTfppX9Ch8diiVUrCZ +I6cnQWJgK1/2MQmXsFL9DCMJjpChtxbZYoAzjdqCbg267aezh7BP87WQ00PlJkGk +C9VcqdzbIAa9jLEs0F9QatkjDOg6nR6uvFZG+7xbCb69fpKQ5us1T7+VqGGbgAH/ +zHhRQuGioaPZIJXz8YNJI+BMAWA9VjQM5zapAex9yUGJQHhA/8dB1B05P3dqYbng +PmCISnh2nIjXiuKybUouS0AFRQJ4AcCSSMDYVD7vrNIYieQ50v7XWJ/h0Jzb03em +6UUUIcZU8qvBpap4w62AlzBIQPOvc6Ps/iiqJv0tmvA00cGelTXNKrcmqZH9WVKv +3q7MQSn0stfy8yFlm8MZ6knk+qGMxNzWOMSFuGMXhgAx+hYTs2Qxf8GkzFHXYznH +DIbq5uf/lSitazkRjRIe3TpsT1ItsfawlV/Aonfi1zKOue8LejS14mPmdGgwhP0P +pvUV4G49Q9KLm5jnu5y6ASeUlf7+XQsZ9VcIG2jVaJClQGXscMmu0gMa7Kg470Cf +Uzi435RTNNr1oJ5YcBhyEIwSirXExTNA3LSywSGZ9Hm5znVi/xduwcGwpxgdK7m+ +JgJI4anmtWXQHhhgXHjsKfroRIdNVOThW5sYMTHQgYHyTsGAgrUeXVjVgJKLamkt +rK2KhO6o6MeWmzqKaWqnghSN7yiW+1TnFoDgwzjlum5CkeMsDUoP0YVkBDO6OewV +pZ1+c0u8/qvhzL/FZinbC5MCzmeERaHPysalAdNsoS9MPlRJ/yPebtDZvX/ZA+q6 +IJ7vUASLssByzeUuJa0JL/SpTyyiAOSHi46VCzK1wnhZmXEUBOVFe6EaEy6lkzoh +JKNHRLel+xbwfPKSkb/Vlw5FpkTpQXf4MssD0FXi4LnxLyeOP5J/bL4i9UPIQkTQ +hNkkahr3MLdwBWMxWrQ8H3Zipmee8mK3gKogXnO9b5kJliHvdeqSf6WhJMz/vDvC +LYZZ5U5PmchGOw+zcnwp4w+9aru5yMlYIbzcatx3n9EH+C6LFPX9laR6S07MQ0Ae +NCe6kqQVP1ho0ZGWWtfeQlkC6vuc7Zj8atJV+tCHLd3UtMTQgIcDFSm2luxvZklz +NUzpYVeba6W8tASIAI96YcC3vkk7PgSc2ZIuwVC9k2pMDYO2B/yGLzWs8hx/DwWO +RGNq2QSLXcAuUQd93U/TpV+XkMFgZU3TRKFmPgEpWBIiUGGAJir8+nfqoTtCVR7w +Rb2PSXMn2m+VJlDWhU4xwgKsjnelWDU/5fMijywm39HsovWhRhavNoTKsBOQbGWi +j8d9uzrFRlHXu8TM+mz68bv66ubG+ZPkKB5XChmts2EDg/auULV941vgJgI+f2LG +6Iy38tEyqxEaJtvqfAVAibaN1EpAxbA/B7T256P/91cxzQK2DJSZKmtRMk5Zn8nO +I9syC35iPU4hfpd6d2rod/yjcKYVa0qGoKrFsmJjOtDFHVsl4Cfrg0s0XMFvNilg +yoVRy7TFo9+jJnh8iQkBhbjW+0He9YsoEuEPfFUtDpBsJlDvJUlHAVVC3AEiSh27 +pbnq0hBOgeHmMGopdoUe7u5Z8R2tNDADQiYAZ0gQlE4b5Er1/QYvQoq/fkfHunbB +c9a/iERCiLq8ahY2B+Tz/tOsREKJX3d+vaCTSUL1AJFOvVEPQ4UA7lyXHE/hKu0x +zTLK2RZwK9HUVk3aWsSKW8MdMYZgKpZA62bh/tnm99+rfCwmY7aMjsCsKKfKj2/N +IWjcKUOXmGzlCv/TEUDUjKQcOqgGLa0x+FrOYEhxZ5YvBeoIHmEMQDuveOjqJonK +q/8J2K/YdcjpXOa45aIZM81RuxwLntVepQ9oMPuhQybiSMQcvOSkfbxqyjPryOD3 ++M6sncUamCiYEf1zz7+nFzJMznxIvOHtt2gZ65sz4ahEwXWKYGV1wGbBv0jwE6pI +6qgTAif+rOnkia6cRtJEMQ+yi3AbWXhL6jX9ApZCw8MSQlcozrBaURYhQ1atTXK3 +4OuyPmYBjGo3fshZooiYB9HQZFlIQNM8srTDcIOtSzfjOySCA6wtAv5hpJiNwSUk +Bog5dYyU8Q2pKqvMUKqo2w6MNWG/ev8W7I2PeDLRWEwAdFLj+SBMR/r9x+Qxcw8t +MGymp/5vaJH03NQbWaVgVY8TnPg0e5lqKg9JiA9vET4Ks7O/YjqSyO47FFAI8rtX +fu1l3hvMUw1AHB+kjFF0jAaqze6Drci82zQkimzasYYFbkxOTMYxFPhfYW2pOQr/ +KLNuAiRvRiFZwJcitLkAGWOBRbmbj0TplFMKExqdQb/ZcapSePQO4vsOIMFtAQNN +WmFskFem0f8APWxwj0xIKst8lDhtUXJdB6LcZ+aaxXBpYnwdE/9PJz5SqZezw1AY +8gYH4aXGbwpjHZ1+ml8N1Pe6ntV04SCK6XMT3LwFpp2wHFYaAK9Jz/M/frTejH6w +Y1cCiAJ7mf5tVByoNrhsvpCqrzOh1w5Xm0qABxvy9soJtPhBYr//LAzfB6yRSN0N +l0w8C6/imFp6wor/PnHYBt3wunLxFmv9vk/u1X9oixQtI/+QfxWCDO9cuSLLDYz4 +D3EU2RcqpWhtSebuQQToZKY38UBdhxLPxaLnEsJ89ImQZspfmQagW5SWTyPB0hbX +9rHr8IJBZjhe8gYoCCZfK1qek5mvXg5HKzovLcBA+JpQjL8nPJV/zdD12bTB50RO +rHFQIoCl6B1mGG/+jFNQTj5VTSvWLD5teE6h1CtYM2uJmpXEv56qH4QU2Ca6PiMZ +mwZ/6I9cw37pWcoTjyZkSeXa8nxbKSAx+XxjjSSBURl5xJPbSOzS1qm1sYhLbbir +AuYkBaB1yWMifyCFDNs1bY4D2kiRXWR4dUywCrPBvwbk9hQ3ehFouzQnGg1XcyC5 +F9cGisk9Hk/veBv3aM3+m0GUh2vAF8/bx3ulM38aK8b/SNTnCXM9JtRFJ68omds2 +x/RCBzFULlU2fuZuOfKFca5HqyGOhaXwXHia/BzRh82Lad4RW7YodPFQON/1HW82 +QruADvd7AHZoOT2xvXpkBAGmfsaLeFeCqFuJdApwpIxkaciVgmh2VmTcOPhhuZLC +nFLzSiZzFxGUPDXkM+lSOWfnwGhFjJaHVh+hK1ImnV6oliW1fIriMLZ/34J7xPdU +YZuXleHFBCQbwn8Pud16gDKZW7ofcjNth1YSc8glz8lC4JYmtpDc8ArHwCevIOh/ +C5wYXcoQUbV03kOz871AJtlHddxrMFbU1qaEApke6l9dT76VeCRfObwuKD8iC4Ge +1baETMv3u/gx6+GwLZintBRhZ4jbM1TNtZZAxF17AieQHLI35VdjZ7giWIpkJ9Z8 +m7Kuo3jxCBBTqNntJrLgCaGicJmzSg3/3U7JJqtX4UWCZz2Xb9aixa7q0Kbv0eoP +ohIdteVbeEB34iFtPD4Hu8HQTQ6nVdcyd641P8/Unn/Sh82oIBnbAupE+rqGQDlP +1x2XSFVLzAOpJMNZrT+fA5l5/8p7ZcnwMVvOm1zRO6plkJb03UNP+xTI+aiZCfrC +tfDITCi7XuTFeG4pjubeXDJaWsKVoSwgmdUVmjD9anR1FsbDOF/B/KogzCnmf5CS +M5aWYYJCJ/Y7B1b53z+u1Akx2cQMY2RuZbTNyoTYlbmEjZOgs1YrKIeKumPBfDyM +4anU9R0pZ8+MLqHd/Ib4Ho9X3JXmSqbDFmmrkx6r1Tjv1PujN5G2KQ4AZNPylZTG +Yuu1RHMpLdMnBjt0wZMsKYO5RpylHg84uyAGRfAq7PvwfA72KARAgl3IZyASI7IX +/1XvVsGp5k9NzWgf01ljd2F8fsZJsicu4klyIFuO1TfLD9WV+07CSDBgqpSV5ime +ka9JXcl38P/k1pKua7FOlPGxDm7KramOIcVb6Oh1I1H1U3HT6gVsIfMOemaFOPAu +D2pQg0YYmHdwZuJx5BsnNvO2vdW8U9MyN40VnfGC+aaLRgyX+4OG55w777A99/v1 +Ljxg/E5DR+ucT+hsfshBkYI0d8wU+LgcuViFVN8WPOk3+/OlkmG/5iDEqSjnR9Z4 +c2VD7gf20Qc0CsI3AYGYi+2tsEQTLIlS4/LcNWCwRgDakNQhjYucAVAhvD2a42Ha +40OMOdT4fsTxtR20TrtbsD1WfUXJFqRnF1iobdHo1m3aHKzmoJlimToiCxIg1k3J +mp+uBh2IafXPKpjRajDq8Cz/sJIbETGQ0IsMk6fbPfskP6XtCtt2fxvmwg0Ndiu4 +OKlTzKxy7g/CfmYRLzHYBzkfccNM8K3+QbYI/CPAyit04KctGnGbxA3+r0Qfyl8c +ZD+1dK5zRIpMKudnkYVo23S5e6NTj8y/2L0h0AeOxYvOf4eyqKVZqZ2BwEHDmga1 +iamrU4+Zf883I3tYC/BcoCdEPrC2tSCLsEQl+xVWFY358QMq2aTGuuSlFfoZtK/S +vunw9kQaBk2n6u4cJ8AHPri2rqaC+dTfcLk8VwsPFJteVg7I9f9EoynTkE2JsMtV +6fitSU/8B0ioDlySNpLE+u6o6cJHDosbXQCUFp6ksBE+pca2+1CaD4RHP4NlB48j +gKjPLnljfAaRURacRUc68zUJMAB/zCAGmYKecNb59fojYKj+gJWr1Ds9gUb4APh4 +emqwAnMAz6gdx9q8+/Yn1hnzvY5fJS8w9aYJs/uUKya24GgjNMG7x5GToDjpg5wy +V4gg/rZCiVi6cBxkuXF57mZDQACUDH39QhbnJZ3Ka10cNJHhzUbWt5xNILM7bIGi +bQPMjz4h6BJ9LgFPaLLeVfbgHl0w/NHwmVlq/yrzORb++mjg216n+BKjSaClAzmu +YuYJCCUh9XMCbiX+fsqwKAuHX4QOM22sWFlyMWY5zWzlsHaDb8RTy13+p/okcV6a +zuxRHll9VutvDgPoks+XoToYHdhjO0K/JQGLo5Y3Zx698R1PRSkQTjwBORyq3LZc +EBdJdIXF56x3clOmgs1OGBhDx20fin8Xiz1UVJRkk/nPPxZzHgi7UP0X09xVYmyG +2R3thhS5fo1RI0YdT9r/RH6BhfMZZEJt5MRfDwdxJ6ETOA8oFuTvgKI8pncF0lGg +trXfuFWLVCZlDEIlgXiQiOkkj10DKzrRMY9720TNf37xXgGXqOK5VMG+2O8D4pbX +dJZL9Pi95KfLQ+N7Lnxor8wmq/tJw/o1MBl4t5L+VV+/WEZOeFZieZF9rJttXrVZ +uRvDnzgpw8QkCdhaptbtlL0GW/GBNQrVJhfblGPghyZ7HOuF8TewvM4a6kMX6gsx +95Qx3sA5rgwbu9WI5t5pkj9Uy4YCmNq2rPjFFGatOswbseoZeww0i37iWlu0ohy7 +lrPcLTMRZYLEPxkPSIPu0yXf1XuX5HCGRh8J6mImt4E7b74s+XHx3r0A8L3RScz3 +eosaUSVmKqig3kUm8ikrjmqR/WcSiXdT/zLo+vToK8Z1H9t6WUDtwp/2crCPWzFv +ShGMbrfcs9sHAEzIP4vTfDh8+NcHD8C4y2eCpJmu1Ois8JaXxdnhtyN3oehzno2K +wBlyDA4ZCzUjFA/sDnqNTTU3LM6zATdEjEkaT+bgx6zOG1JUT6LGfHr1e9oAJzoG +KU8fktiRUKmU2RMLf03pDjjudHY+9bXLuCznEsbDSI8O09Clxlz3B7OGUUilcn+u +x8DTj1pG25eMUrU+3DviF3tmZaOpiLD/gdZ2P1/r2hmaXm7Y3m3z+fXkJ1BiuG3v +hrKsobfnVuCiQxKSIbv7X3ahSvhXLy8TgGI0nZrDixF+IZ/e1BHpz9rBEc9tBX70 +rWEod0yymlfnJS1bLeFnLKCHDjNY4iH/7roBK/TPy7UIAKhSgJmrps+iFEdQL0L4 +/YF4Nq1kfIEDJLMTQ3y+U9f1v2dkr51YPzOfUpqwE1dpdnGHKIrj/Tbfk7ipzLfD +gk6CaXEoZOMSc3OkCLp3ciLpQtQiyX2du+8C8n5+T8ikDK6XYLTAjEkuPw5j5Eo3 +yh0fXP6OekwKRHiqi4zTEUY7X2bXfU/i+mCZnH5/ZfdggcW2ovs05nsHOjIwPczR +HjDG30cFjIwTIFhOn4GPPv6aMxweHb/sK1ixf5SxnE2LZy2zVPMM9nNN1ySq13eH +kdrgYituRBV6uVKh3TQb8ycMzvYA1UinObUWXK8uPg0wI/hKV/T7CZDdGyONDY0P +/WHgPXySwW86DkbQwTqnXbc5kyd01pWzysXrE9qadZnP2MXHGJCWgcnvpQ4IWLKR +3Wif8n+x5FwORfqjgYHG2xKjDpgg3DVtCXsQn2B9YKx2paoxKWK9wnZvhfsAKUo0 +suENSIs8pWkdEaQFq/9h0Sc9bYkcR5jdKOx7DbwuEvf4l6wSN4+jfJOqvNivw2Vn +oszUyTjKHwMnwPNGQHc0WHUzByP2V4WVwUYB7F0EUNRxnKZN3DHpgRHgggN8uKxY +9EIvYqD6/fettvDuWOfJXxuRHDDFmBnasuZb2CdWSW1w73sFtbbMIT8L4t5eq361 +AUFOIszVnYkyBXdPQNUCI+HRyK5C5zfuknbpUzuS6MASL7F03hzZODRbw6vnqpyM +Asxi+5hduu3LhT3HTAHDvbvUETj+8gWhVvC93+pDuMF1+sIl6tWncGvjt/nL4lkH +QUBstf3isJ/Et4LovNo4x/ZJ5+EFR3tJAd8DQgHPEHbEvzpsJaMSDns8LX/+I9c0 +yWQeq0M6sDe+fLF362Axad16BFQCKQCGuAcPzGrkREOjkC1P6C635+/pMBRv6WZd +LArPrcalRu+z7HQ//qJnyYt0QwPS6ursxLj9AWuiF5CfZss3GG0LWPUFhwBtNu7l +U3O9QctIMSubGdMr/J85zA36Ci+QNgZQr77Gj1JwpZDbeV1mYZuppgRZUOT3Gc9J +BvCHj220maslGt8rAD/5qmkGy6GoEjeKNzSqFlvaJg8IOyNwrPpH4znnTGPRjpqb +QjvSJ8pMI2V3kVPuRS9TKPuxyFRd7eMWlwAdkY7uerkP23C7kZeCJihdldQqtsud +dMYPKFbGDhkw6yDEBR3lYRZhLlyxOP8vgnL9H8irmNGelW0tnArwKEh8d9mKSepL +xUZ+wQgtmhWhQmfR83OLUESdUJvdn1zw/LGlHCDShgy7EKzf93dKK0AujxSEz+Wr +sDOhkO3rvco0qJf5s2DfK+8fSxjF381zo2f/fe0fgallpfvDzOqU8K0g0WQRVwSx +t7myfsAeb0cRo9Qz6rioUu1IFya6xtUh+j8ePLYm9wBj03SIc0TCWOdjxhEQJ7Ku +gbQMwaHai6BLiCMI+BsbUQKeDejs8epape3Nxz2SWMgJ+DgRornPbnqLgkNf4EOf +im+Br94uoQchL8wCt/ykh4s8Oahpdh0jBffBPss3WNfKZUeYgVg2ghCtj+bL/hT2 +nyURRqGZdw9kHiEH6Xnk5uthN1XVxcMCW/jWbnSP4sburwzmNf50o+/yip5TpX61 +YyvquN1AJqMF+IrkTmnmPjZ4Fp3S2GZexg5hl+eIGGKFT+ucpBvUzeuiMuHCbmoy +IS+Q0mh/RP30VDuLoqk7taXui9RM6CHmevx2bF2p68oTliQBTd9eRibv2JeLwxEW +d8h8dvHYW9NMsvP/JTcwtqWJJva1n6gAeDBEN48Hl3YrtqT3+Lt1bC0KuwfHYYmc +Qp75WP6uko9dYBinv3lnP9/VpW91lyer1bJr8/NBfu+fu/22BntnLmVhJbV8HEfh +N1jjcHAYULypMCs/EKT3xXKFK8HGq56yCR4WkSutuAQEAkXHD87Wkg+UtgYWC//g +qWPw2ki9oohpFhMAtFOIYHkTrno1RCStBciU6OSdoo92Zp/0qCg1k2SJa7kXEF0B +d3IDxWz3AzYRenaU8B0LIqWpOOLCux3Zg6gqy2LeQvSuc7x6FJxFz4gibyxk3Qii ++ZFxfKkeIBdtyDAiGdBdcCZ3burDCVJzRWHeKibjL7c9FAVhND7azURPpRGAmuPq +4B8BP05H5k9Iv0tNfP8PenqHoBAliLJlk2UxZQ4d5y5++03n4yNOkkSTPvP/pGcZ +9KUWbAuw7ZL55bAzDzwM33MZR+ZaB1JkvTzmxG1a1kwEfsnXPDpawbAc54tpDrQG +1pHfwKgSawh/ZSp5FK42iwzk/cy7A6VH+oOjQm+otOlMLDo+4DY2G5QBPfGZq22d +A7FvXiV6XCDIoCc/N2OkzwDea3xcBXWx5qRh4i37su/tijTZWi5ShTpHoDjgUnCK +6TZBHtcbGSj8uSAFnhf6mCU9v3nk3OsdJyykM7x+AuS5uv+2I5cypMw7gyDFhQn8 +WrkXgObzX3A9Hw8y3kJOSsWaZxAs+GoNoUSepi2ktOUqcJoeNFORgh5s8QeeQHC1 +c9G2rUEQ0dSgsEuXOvpbkZdrTDSceZp9o9RKo88RogKMQGQNsNOUNPFbT5/b6mQS +uu4an/k2M1yYq6VvDpnxSsxb7Hd5022K4dUpSSvpQxSlIma4lPgCKUeK4f7P+C2/ +gEYo2N0sybqOOoRd/WDgxF/5KSlJilrVXDid1Oh9QDFxrww+5NpeXbeF7u07BhWo +bU1k5QlBifn20fLkJrDiLscYXm6UemHOtIHpkXOtJGVqg20ETm5gMfGvFq+Ezf9u +0Hb2658p5H5MU+FTHnjFMj97hkmEVGrpy15ki8lYdKtOQH+cy0utTBLUvH6jYD6n +k7cuy2LiO75x8NAeuPxpXPWIUsV3AHIvSlOaVPeC2CAksnpGtsIrjSr5rxaTFjBn +Umf1CRN4KQIwew+250ubcJutkB/27x3n0ZfYIRa5oa3bE70lTRtn3ySu9l+zOsmx +glHrGQv8bgDY41aWsO70jLXkeBKE6W9tr5RBukvwnYH5j72CsvBMi2da8d0TlIFV +7yl31pI2cXGed8NjPU5M+xNVFmXnUFYk7EVdk2XfD3FcQbUTP6xxO/sjXwC2q5eH +WWdXCRW9YM4152G6jd/mBe0iNoCzj/PH2iDfoaYAd370aDKVyXqLLt6C79ZnVx93 +30xhBuvagvzSaxefMRK0vSsLRu73WIjkFSTqAY9Qgw3XqBXcIIUjnnu9q35kGXRe +cXpwpVPz/eM57hGCb6xLIeRTuS9PLiTZXZqIlfbY+OzTFiJeJqzUIyfB42t+nPxa +WOzt2trdomc3URCjf9a56QNGjJXi6ouywc36daQ1pC0HR9aUdsOYxA4Io9D1fKt0 +eVpJyI1vfPOJyuIjGHb4gwnwiKzPl7Nv6apjybPf5DzD83JrHpjHPhvo0lgp+kUz +1bwxANtTMwSk0J2/T+ruMCgA7JXFGvXUVfvUo6PikcfI9wTbIptZkRMXpv2wWUKX +p7WqooEn8kcZS1WDFDoZS90CHChWkTEIZaMzm7oe4R02njkq0h7i4oWnD4/nEXc8 +Fygv75PcpHs1JR6sd8DBmFHpo4V4keju1SMQvLWJ0P/VrzJGNtgCyi/iW0RD81JG +80vc2Ow2cqiIPvKMfSOSRII1jb645NSRJVPeKe4HtSVGk4Tj2EFfhF7m9dstsNQY +mPaciKiSyeS9s56M5bg7DR1mM4syKjHIZODnJVkIMzsqCVo6XyRohV37lQlifi+e +ZMpuiitj5p0YVao0U703aZfVzXHSfwqrXpf+5aaA2DOZ+wJSxQYa7roe5T/QV1AH +rDV+YhtwDBnC3oiN31mfU5mJwMw321Grr7SccQ8KJrHcFTkCFgsoERhIki/5JHKL +00vWPiIehAZPfbauslBIwPBhn5VquLe4RuwyvdgjZivFIQPMw2fGFELi78BfU65Q +mYJFbU1hc/CspCkwYT1DoCmHoA/yywmK/N9dQaPB+GpsUT0TlZCOrz2WmJ+JwKp4 +sPPDHA761igIDtfEoah9ZomwmCn0u/oIKzdzTsiQYswyJDfLsVCDcnI/1MEJkGvj +E2CAz++TrweSm5iJhNqKGZtYfafufUKqrFM3axMygJYTqewL/EHxJuhNkawcKO1W +5czPNPEJbjysgviRAhX/XG9NV/leNhsfyHCgQLSmmpHpEn+Pp7V4lwMiiL5bQo3Q +FC1foZ5NG1fo7hssvjhk21gmbm9/MksLVN9b+6tCi8jLac2kCmaklJe7ouTcNcQE +0AoyZnkr4vy9QFNSnjdpTRqGl+tZp34dK7POWc8aozIChRLh5vhXCyuyJOLEhpAo +ijMqauD2xxppWGkfMMsDD18cs6pzLc5X4U64bfzoVs9m1S+nDGUI78tSyKlSvw+R +grJKNNgFvePQDr4rzP+XOk8lcDwyyrbyW4A4yc2B3F2jwIMv4XXFApqVuoA6khKg +CVPzuKySDWN8D0q2i06ItC9shfSDNlMI5lsfWg4VpmgU9YXnjzO+QDbOmi4vXniY +TnWd6rNEWcR1oNASfAVMhq1vCSOn6KlxTYlgFRZHejVExONP3rlvcm0j0/tLU5F1 +LPBYlsQH2E8NTra9GwdDpSqTEhkmg3eCXq4s1m5yCcd94nuomFHzbxG5IOIcVEIq +1QrahVgHu/jXf11CEI3szuUu/X221nfgmOls3tX8YSp5PjrHGDaCao/MhNl0/R5X +uSuXPY2Vdn5hSwxpekYUmD76TRYtP0Q5rIfZTkPZXFI/B+cT+VbCVIoeCDMaPqmZ +P51XL0gtMxdhsMGQJHzXiuE2FXwL/2LEvTUVFaewGDU/s2l3yqHM3llRn4MP3MDC +4/w1jFTEMsHmAwG/9bKOaK2wgqXJEFyM3iS7GJn73d4LU+WuA7yHbzc9/nZlk/QX +s9hytZgnjJMkSupSD0sqGgf5sUEUyCPDOLl8frmM3FjaMVP24fHYOpmIch27sSKV +CMigIMGmKak/Vvy5H35rpuv/2Upy+3eGA1bt6PCliFDHULYk2cqrM8vfshuledtI +sZBFKiO6SUfrHlaUNP6eF4gsTMg7K2NWtovy5/n8WLAiD9nHO6RHAMKsdwAGWJBd +Ya9uiz39MWQczK6t1QPOcmwgY/0PtAaoZDZrn9ewdWHomTUBn4jc+y6RR6Lm0PMz +3M08g7JePzpE7WUrYTVVgEnvWr9LNkQn+OAFLlhArmEK9KzQIOWUKPzANi7rxWuB +hIS2Sec5tLV1kdaZwzonXGJPY7puwlhJebs7PpNPBM7ERL6MnT+0L34bSLNrgkr8 +/R9Wa9bA91Q4mDcYFVFLvcIsrH0mKTZhmYKIoGG877YX35kBXOvRnUeam/6m3+3Q +0T408xQOo/aXGBqTIPbf9mUUj21TNu1gC6OG23of5CiFvFt3bxIoi4mxtQ9fbqZ/ +J6l9tfMwIai83lG/Ii16kFDyTu0AOwwSZcZWMv4/XbL+AjZCO8He/iQ1QR1Q4JQD +azOg5PW6Qax1ojO0XxqCQ3dcNSb5cyJDLnHon1PX+ZQcCshur7EPKP2FRmX+EWc/ +h06Tc8uE2mapTSLEbpU2dIj6WO130TsI4lQFf7SZchHFdeNYCSNUWYTtNSuAefwX +HduPcCYjtQGVrMKiWFrPSkfyG89OQII0OanbbhOzVFFtPLZX0vBj9LPDjXrujurI +7fCVVv5SF+jS1uKlWnKZrWDx0CyVMBhXxZeURi1EFfXSJ+R8ZwaQ+/iZThhJy/Ba +Fhjdq3mH1A2Eb9SKitUPqlTeymT981ZosZ4dAULn/K0A667OeYualc2KrVobHwc4 +oGAXzJcu3MPRokITvUIwjRaQWaXzWPJ4+fVmRIIr8C1kEona1+oFMF+T1AjbHy4P +eu/34nI0qTFQvV6k4fu0HNfu6CumOwbADeAXPK3r7/VStMIvMn7BspQfFqejhSnM +aaK6whoq51bZWOC6AC9ogsEubtSeY1fLP8XnfSjgpcqs2XPxvNJNllrojTcRC/y+ +49boG/b6vQKF5TJ+hr6yxSGgQ5jj9jurBwLi+tchYyN/d6r3PpcZaWqmB7FdBhpg +QXbH4iQCUbpAa1zpeFHgF+HjM6Co/BzvXBuLCoFeUGF+vVKI/rfBbZmiuKVFXe55 +9mTvFaw2/Ln+Tpwz50+xEH72oHe4EyvoVeOO2vbfQuBHpIBb21r/tZQ8X/g1ZI0I +SsPfwuAuG0L1VOJ7+1jRXAlODdyJpuO7ubGkFpzAD4On6a+tuIMhFHPVNZOkiLr1 +qvSe7GI/DDAzGqXJrCjwXJR0PIP0/qYYNUVzIQ3UOGAw1f5zBK0vekKIhZpS/BjZ +JlCFT/9UTCTInadKrrOO1zvAq7f+THzw4y8O56eMJ764Y9hD6qO6j8T4digLtWcA +J4ft6+wEkGJH/ZdnTjKsQWwL8X4SFLRoxS2I6MAPW8efl+f0yNDg4JfJX+R6doK7 +wPyyFZk6fghG/wGo3NkhOo1vSMX2kkhXx2CPbra88aTiPpWqQXOb2Vi1OJVkyCKg +Zu1f003VsMDcOW9xj4cEtujL6U33ZpDtMpbxg0TM2ZP8SzYq9cnn7vraBlXbugf3 +w5Tbq/DX4BwzDLF8pbx4PmXYbyKG2Cfyqy59U7x/p5N30XecP29m54zB3ESReTVw +uLnpCFDXq1wuJPvOswSgntSZ1kk194BvaOHgXsow7MaHr6cf3LvLHn6RxlOfB25g +6JPFh8Cer85LUuTWrpdMJ6BiCj3hA5H1zIMVFLX/MNm1461Y0sTXtDXHi0HBwhfB +LF96eoRnThp3AIhivxDgbdrAviwr6oPtxaBY+VTJyItbOYPH2vzcFvuxjWyJxcyQ +OUoCYmt03OijwL2hCE7pUobeQstD59koBERY5Xz5Ut0tW8wb53hpxOhfEDhN3kns +vsKCE452VFN87jqHZEZsH8ihzMn3FtbMHKXCdcVH7q3+kb5wMdBhHWvKXTLozMHe +TvhhyKrWuaNPr9jYrdAHmftg4DMrNakHdoVBiPivRwVZs3lfl+pNBFAR+cJWjtKZ +SXRbK7pz0ZClH3XjFMsWBklz7aONhnR9bUcCKBbkr+EBOhqU2/RryWx2oIwi3zaT +UcBgHK/YbjVVnqGArHsgUeYvThLIulixdlssVtmAcBd6Ioz6H2YY6pC7ts08xqOk +OzeZmgrOo7kWcCac+ku676mhjFkMyMYQzyLikYixSCtLEGIDVAY+WkMOQGJkudkY +CIZ0m+w2PIVo4BXMQxRMOvpde4VYc+2BsjvgfIe6yf1RojAqfrOfEJ3bLXgMxJbL +F04WqkL29tVcRwwXj4clsUUA7+rdr/NrKZ0/CfJ/I1z4ZOvqMwvyqtDqBFUm87sv +3fyJw8PD3z0mZgzaIBDtqJDbzSzqMreAsJJ8f0sW36FscEf7EhlWDhL43JGq0/RE +dcq5rhLXHKB1gPj0F8t50eH5wewL8G9vSu/cCSmrAq6blZlW/VS84so8N7MLFLH2 +VxMpf0ga8+5Zi+0cTdgmMkuxj07LUsJunGF2gWWosNWz1XfrNMo5pzcHL3dJreLN +0q+MZpJ7mcB8RTandM8/bxO31S3V0B9vyxXsk/8JkOabvsp7mOn9dnrhSt6TLRsi +16REjIAnx+Iu78eYHa+X+u6w3ds5P43QLXDlUdq5i3O2WComTWGEcgbQIL6oN2ZP +8LTyVm1Sb4Vs0OLP5qQS5DTAD1kMqGDhymq5tbO2iEKMSkmrGAe5z8gzzk3uwvWt +a0buTHk+XaPZP5vuyW7LzUTKRZN5sOOKtCgJ+Cscar2tB19RvxPL9IjcQdf5rDVe +gmmk/b3Xk0La26hS7UNekFn5j0XTZH5qxvceb/kijvSpGlTsWWZP1g27bcEEwI/W +hJakY9Kqh4PMnOYKvYzMf+LmjRx3F/5kueRC4xX5w6yQK4kOsgFeDmPEPZM5N0jN +fVaWcEMLOowjrmL6vmcKXt4p75xmtmdqLOclUy2NwERdyFwBDaRd7M0ONYxKZ5yL +36sPRqXLj3w6JExlFG9ZzCFoKDr7hEfwtIMnc9PEf0KsNzBIaUBuR4gNsVtDd6lg +1r+dCYOgobTw1VPt6l/SYxeLBsyDdPAZ2uTTRj2K/RYMGXQ1W12etJjWsvyAsglq +bqZe9AOh/eLrsw/hn5eZRBPBn5kVyulKGtuq4ft+Mx2SN75/Q9w/tKzO3vMdwUBr +fDhdwiHCYsTYzyA8uEPVpZLPxejxP4aPFRMZJ4Dk3qUrsRdBUft7EEb6sbUTXZCe +gPMIpEGZSpQRa4HnOrz8L+F0H8LPxN5qTGX+V7oQx2oSrq0UnHgZOM5X8hDmv+af +TduIQX4301H8EzDDCQBL5jxOsaWLkIKCOh9UBZ4MPI+xJMVHPL8M6frMGeRfrCrI +j6No+qLe7XDSn3L0Sm2aGS6G3R0dcA8EuJNzqfcS8zwpw3/LZjxiYbnTF1i4N/pE +74gqA7kYAipl2QtlVEYObX1if0ioUpdfNz0rhhha23LM0lBZKh5h3KTVLbvD2lZ7 +1IFg44kkI6+bl+x67wKRTavIkveHs6KiBoE5c+RXjR6RSLkpzCdhyJAfBhDOPxEN +qyOKv1sfXjdP/5AxbVa0doZt15PuuwZI+Ykav6lnbwDQI73NsUezUlFF9ANTTRS8 +v7IShQN7xgm5p4LFcSxeqx5ui0k4pwUgo8/PBcrGwqVfdSulnfdlpzzwTuOADccz +vXGZJV90Ltk5+Q1OExCGQMGAILRnGfYfbMEKNCcgeeyLAHvIYCUv4X4zRlSBm8nX ++8bBw9aL+Pi5ozKdYkHMbF9AQfzRnVmovD2bzFTQ4VqgVdPEjE0M/4TEQCJCG4XG +Jv2Eq8YkWhNc53O5Fuf4n/hyvFjJr0P/LYdxrcNbKuRFUG2fhSNeEXRXsmJfCmki +YafwI8zftF0OeEuV1X+SofaHE6pMFHAvM0F0sLfIJgL2t2smISxvgU7cyYrQVo9R +9fQDfXT0UVfiE+eZ6gksQR5eroOaSIVHWQWsW1UThseLC5JeC9+LUc/UM/sIxKnr +wn7MJlBCF59ydk9+sn22kWAsqKFGdit6y50LuOqB2+y9FJB6xvD2TBVZ2Be2MTRw +DbOM30klJM7SNOxAkNSRBI0FSxJlofVaO8AmiRjuWxF0x3JGu22CQuDSXciEuGFj +9MzYWwv+DtYqqprXMMX6BEwJFKzzPldVzkZpQVr5B+HBcmuDFlqvY4OgUsEw/PwN +rslpFf1Yf2Ip5FQ2HdmOBi0zqA3R1WFDSzY2ZQK0p3t3UFUqN+xlMJ5dd0RzzK6w +IbWdGdMOe6jc3f8beHP2PgyDrl2dCTbgBtFjSi3Pyx1a3ILGY4VkddphcNOKRB1h +triHieUjLJbXlqYFQfUZAlAZRP4oezbmMoJV4zoO+Al35C2131GXYaVlnGqbnmgW +JJP1lEWPPZzeByG9TgjPcdo1kMr4PUfE87d2Je8RxhnWHpQqfTqidm9armq4UhZc +UWtTnYE6ix0nVCyvse9LPI2OTUNH/PW3/VgdZeB7vGKBJQyub1loovTcbdL04G7O +X+dVm0Ywbim5q8srWZww7t2/26dcCzx+QodV0yfjw/OJBi/q3ygCac2IstOWDYOB +82I/me+JuM+Gu+PBsNbCr4PS+QclPz2GIhK6UiDIaLCzlLO8yRdmgOsPN7/OI4d/ +4Zu7UuT7+PTgyxgL/dl/P+QkBlTfzwj8hjqT1Rx0T1ETeZ1YyHt3UOsewSDZA8jf +eXHDmtHDrg78oizza7qO0oHuJfGehNeQybQrfsA6lVY/Uz9C2A9nkYJ19XfNasOJ +I1iQaYvLf6ghi7Axi/6zLCEw6WJOVyFuGaSHwfvTL9QfmCvc6QixzaBl24Chcc8k +ZYYjQrQnsCWgZEO9SrMtZTYrDj9vPYqxFzuTiOCheeoPAUBVnhfwnQWABncTWeNy +S6H84ccnfXfVh8M40LSC6ZGGn3MAWPHYOIww3Y5n2c69vw9AtpDOWyK6IFiG03K9 +RC8xDcI0XZyFB0Pio/pDMGkB3KoZCdqaUmGCXiqCvujD/nWjl6Nld5F74Gp9COzQ +IMbsHaRxJpg4XMjeygqIK0Ysmf9Jz+yzdrsf6j4voytUZoVKOnIx+hDSQGm1fld8 +CNp65eKsMQ3iJT/K9Ez6s6Q8TDNV+AnEC1MjY0VMrbKl4sEsIMN9q//1w8dKo4lo +NWGWBTKRveAPlMFj0CtDkFb/E1rj/pRlpuUGmDfuozXsUCKpPT9sq6CF5hoKKOz4 +TKMnAIbcVJ1g6p4UDkRJicGobJBXotdBJX9TWnAmpvBL8H/z3SZdxeen7m+zcO5u +LkBJgvIaHLPzK3A4hPDkYzjRxxi1LqE8Npgs1n0fvL8Z47VGPDPLmzT9nOdDl9o2 +dqt4cgR2XiACvt/Dgo5ayXhVQF0INFPjT4rg0yWgiTlEuV7OUAD6ECzTQEwYAXKj +12RocuE5A176y5cdMgfRGwIccAJxpJ0E+962e9TicRWabTinnWbY11sXEeMBbJAV +qlUuDlwSuHJxhCdXHFImY1+fGr9JsWyfjBi+kGy5f+UkzEJxQTPaZXqRbhRDb98h +bEFHd8DjZQahZE+1MsNNCwkeHMcZeSx7We6QI4WTfFpJUM7tueiBoHHuie6l6k5y +neXTbhGJDWefio/WYtG8aQ4eZtXhXT3A5HjoRea1SPBmN5LLyvwUsMtpH950D/YQ +VnTg5uplGt0fqoVXhB+R876IyXZspUrRTx/CBiadrujPJm0BdarXPBtWwBJOX9L0 +nDFYGQ71cNjy6apzG0/8ZQ0WehWFxdfPoGFmi7IsovBdBATV8hs8+jGMWUqq6U6Z +E2oZMaN8PEffMrAsSOvAGR4i+i7XitAO6t+b+Kq7jIsmGqul7u6C3f+RICvYMoK1 ++lQp6lt54kcMGe6qqANqdgEXIsFRorqod1meplKKI/3udBauk4bEVfq9nHPhE8Oz +EJuU7mQIx8hCayliAQNx8eeENxfYhsyjjYsie2fPNjs1JY8CplKAJE2d7XGT8tZs +cKVmyBVJAYP6ye7Sek2pOEnXrv2FXaj6VcSX+8VzZYKIKmx9I1KSu+mXrsdWqv5l +Vf0Do1QHX1jIX8K17GwLfVFdlADaD5HSqZAci3SHKK43N6urgYWCHELWSMyEgS/6 +asqCMr801OcDC3SVfEpj2s3iKh3nAXi6MunWhaIFEFlLIqPPLORshvu23fJZ4UAR +ehb1SP0oUamoa63VyXmsTQPSOlmYqFhkbRkisAJp4Yzyscy+PcHAHz/Sl9jYUmyH +4R2gEHN3I26ae1Lsx0310gLopxn0uOyllAiS0u8cAJi7NI+I4S+VWmhUFWMTTjBS +PltLISjCMZoK1gsJtDXjxjECpETuKzZJ7N+vbpE9WUyG032OM86y8l5TO5bLCAZ/ +GMf3izOTmChMobegGgOKYIdB3u6g2svBw10dgNtXqWElljMAhmToCRAZ6uzu7jv9 +pIel6gHsA76r8c7iIUeYz/DXk1UvNLH33Cn5MpW9V7dgpBaBzB8KtKyE3WGcvWXu +wD2X5HSZeAiAlD78eDn+UVLpAOZnU8MjudE2fWDnTN2zsJ/AwVAsbEKME4oSKm7B +PV3j28mJHlAAOiH2ehop+yfuQ5/EeQc6TbnaPOq0YKIgEzb3qk9bo9knGvojU80/ +ou+6IXtrnhmNdXgz1E2JUS1rg4XAUkIB9aDw64J0h0BB6WhT0LUjDC+/n7mdJt7q +u7K7Yg2xbZPhOXpCgu03Iw4Q1WMXysKomGdALfg7npQeSEp4ZdUtydL1pSXusiY9 +8TCxMLJKd8+uW/1Ks8+Otju3e6IEn3ESeMH6RSV1uRmsUW6sBVjQdU7xGEzkiiX3 +9FT3Mpca4Z8OZF0LkV9TR7VT9ZTXnkfI7XYJQb07mS+1M+fFzzgVauKmWMUmksix +48+a7VlWrM5jQU6LuVdjRqsnDoN20l64+UDfI/Grkl9M823qckwNz6uDo98tE59H +29r2fuB091BJ6LYswFD1HLu0bQCHOaheWo5Wx3KXQv5Sz09B4nMb+wuw/vZ26Z5J +bQBNU3I9jL4i9cA9Ae5wo/4XKnsTC/QrGL6xBvsL6Nj7Wv+TDGEB4Yu9ZKLoIPRm +38K5IDOSWsew10E/8OrKueIA8iwSg+h1p1bcq37YiZZVO0cTNp4ncalLK+heSr+a +ww7v0RrOLcaO/NgSeRPClMoTyyZmTzh4tkAfGh6u/CxtK1Oy65Wuta0L9v7EaVFF +KCPExd2+iEqT6DVlkN45EHdz+4A9e1mh10uTUHTktYwLzeGHz8CAs+53VOMHRjjB +IjRwRvh7707TqEsuvxMO6/oN0bYPcLdDPsCb9EFVzgHZj4tfzIr8/be6zHr5SSLG +4WRy7QS1JBeo4MHgth2+7KoXTYTgciNiBdGqjmIMdZv6XsHnLoEB65QSsCMAXfxy +Cc8l3BLGyM4SfA+ubMGgMrXQCPFUX9rii8ZlVKKSc47pqS9dspmHLdYYtHBngaIL +q3UuxrtJdaS8LF+039l4shlEmOyI06+F9R81aKUpvSVmsNd16z2NwLjxvQ/KJ43v +RD1XEhqnUTM0uqzOvq2ISGr4bkI60vR73yum/gK7PEwcfVoSjU2P1QM/ABs7Gxkv +inX0AkZenK2YhaJx7wbjSdFP4mSHf/iXh2f9E2SIoA29UHQhJUT6JZmrbGS31rjR +Yd5GS+WzdUUerdlvqQ3XPOEgmDeWmIZScR/shB1Ytdp88FGADql1YXbRqgOIq9gx +slYXqgFAp4YIL4PnaZQVM+39RTi+UHIKm/T+hx/K5LrvufYg8+xtS0kOw408fsYx +38Jr2glqgkog7LypV90nr8IKi6MmJsuZVr8sv7Nim5U0UmDdXstPuc/GdgwndOcq +nezguTM/5fEUtPwoTkyfnqm8ebHMH+ckXYaIACoiVSwWhjiqhgr2+rF7IAesxa/p +LOyZrCCgfCBaizPJvE67TKdjnctiWrZVMHOBSG96oCJtQFYgf28gfXiX0F8ajp3g +w8Bzytyxw62FoGkd08U0xDsLkFZ0kTRW09CtRnXPpqxC3mWU5ksIwyZXbsqh+DJz +6HKvR7h/16wwp4sH16k6ezmsKZQw5lk3rhGgVPdBny8o81/omHKMtgJVbwupUUy5 +cE19Ygn03IuqczaAmFfAxqlMwp8sXKGNKTkYTKZDTn3bauGKIUTXfxK9M2yqcJLM +qJLVKIV7h+AiDQin3NB5L3xsNiBNwiT2sEZFCEq0Loq1i962u2Dlnh51Tzhy856w +Tcd7hmkWtbTGJeBSHqE17KQtV1wMIA2M8xwvkaUEmjc8DKZf0vzavHsDa0b/gqOL +tijWScFl9iggjTv0ZQOeLHrok5lZorejOe3/WUdFnLk1wx0Fv/tf0oAkzSz0yA5O +M/CNpYfIxWb0jxlKG3t3w3RP876ZBWB3O3qHXBxKRu4fITpaGnINL3UUu0n2BqYt +lh2ydweitRbKeuuuCD4nwVcOXYy6uP/NhQTdQODLTtJBzFlVOLXQorOzHnbW9OCr +wBZ6CuCXMJ2LTzCkyjVFwQ4bXlaVbvOC8Kk63h4DN7cxcAnxkewj3NdTAECHKLrh +hviXtJuQbP4gVYPueHEhN3CDT0wrzNhR+Xx68cJNl782r1spQ7Xk9hm2tqrDe9+8 +CHnJxsRDRa2Und145FFuAnnwUg/4jJEjJdnkqkUPLX/EfUxL7iXF94bMBTsqQl04 +YX04VJrTOh00Co2M3AXjiP7Dy/werKG7tjA6jG5RnWTOwWKS/SYd73XAHEj4J9ko +BOI8BGZOUj8XK+AI54w4/RKkz0Aue2LlBAhEB/RJiwp9Ta6xACQAeDxtEItkasGi +vvsx55JbzqbafqFcEveR0apqc41Y5IRx6/ejkULk1oDgLhCnWy2shsQxkkZjlDr2 +UG/NI72dpGH/NWme1mRy1M039ivsHtMuE7v3owLJMgs5cyCJbLH7RqWFqiVTcSsS +h7MXz90dVJKDTQ+nDBiSIwCJgvQ5+IkRtI3m50jVb6a8AbEfiHF2taANiziCU2vg +tj8XnzlZXzPcIB7mVpWzkK3rhPJ5X+rHlro/yzNNHsoJcnVJeZicbxGO/M8lmOFc +J5316G5ytGehFbl57rcWSIKirEE4ZXzbplO5EvF7LIdTJnfNoom8H4pZRhejOYdy +hWQs48oeZnx4z58fuKw51VZ9MFr5aAYDpZX9kGJaEuJOzM6nQvRiGyIt7TAKtyCW +cfoYKj8IHqQGyqRWXIyPNRvHYLC/dGUcj+qVBIzooavoRhoNsTGja7EyWtKA7N86 +BoytK5+U+lI2MR+0+q1D/+GaifriPOZmbDQqbcPEpbjlOfWbknSdyjgzFrvbzV3J +gpwJ5Kp6vHp0y2R3S74ZLUcjqWcJWoWivLvPGkox6/dnlWad+CrOe3RSoMg6BlgE +Ll9oTcDPtzhAMTXRXnq6nhhCDe1/siadKbdPonGrVYGfi4guMeMohDGHumWIbMPo +jxl1129s//4xO73cCtXl/EATQ5AuiDLOotBazD4mJhVlHVgZfFu5ugWDriWAVe+y +kaQvinCv3iNA02go5esF7F4IEY1GL4BY/7Ma4b9YA5weVy3Z9GvxA4bjzzojZG8N +VXYRx8NDFz1KJYwCjVkrodCcO+MguRRy7Pxf+36/AvAjZvkhjDRY9u41zWjVWwxn +KvYNloPwL1cLKccuagpeuPYIzlSMrM7SNomvVJM3yYTX1O1ELCZ0NHngsg0S1oUi +tpUyM17F4N9ayW10c1N88HhcCXpCAlXgLQ25OD79VtgtDhVsZIrVtsoUyKidrwJq +91ayRVTTUGowcUdt5sMfcqG3UQcnZ2x9dSK7ZYRN+8Rjyl01EIXh/dNY+dp82rbx +VrhGDzfcq67zYuMOVkJIklfctqIvMBLNPdrepfscOz0NgNx+YHFgRlxlZhI8HwZy +r9LxgPOzOae/O2ORaGVYanUMSSyzwOu1sNNa7G8/6u+2cnkyCmKVPf8vGBISGp6l +hMAlMN1Pudi9qWuYUYV1QtqmoaC/ZeEk6zq2QTH6AtxyOkD7MVFIWs0EQz/p9NG9 +dYCQnSopB+NMjjuBCXBj4xUXw0g0jDOdzg5n5rC4N7XqK4e7EujeoU4NPs3hhbkm +DbFumXJAYjscI2NtXYhSe/l4mW+ZdLCC+lbD5JdGfUhoDkRKRsd5KfjGM3VIfGvb +x/b9Y88yscB5Mx6TcsirPXXS9lnRt3DUhGSV94g+feSIPmsE2HRDgowJJW9oU89f +8wGrDjnYuQTwkimZxKNNR0SBEzHvsxFAhb1nHi/0f05H8gj83wFXbFgC17NSmid8 +ofIarJ3Cbe1qZX74N5DbP4A8HfsAvNFxXAE4r/nLQ1tizZ5fA5dhlnJXcOTsyQ+O +PPGphI91oSptSGJBfk53H/k40nTk9/UM2QKLNysneA4XYTsbiexfMiaDJfMkxrVr +8Vd6ME4URY3+k8UJfVQo4Hm3eo+rcaOrcu9PKiBEu98m+muYwnke2g4gAj6A+KQV +P86CEWBE+aeXEQz/tyCVE3qfyGq/1kMLShRYSr1EPXcfv3xWnAZcJlUp3qdHNoox +RRzT2w5asyoUHFxIn/EXcBW5aR4tmvFUiS3wkDUt5cpV8f7ajbWBlulufuRdO14S +Ie6qJuNu/L6aVRBjCIvAUjh7QvEw78gOQ0lEfbKD2nijGn5EU+Yvj01OK5+ztVVJ +fHYVcTOoClX4Fj48AWXEh3xEVp0HSUXdUlROvdLmMwYRlRbkEQGyXJYa8CuK3Hbs +UZqyi9XjfiavBw7a/EY2hEF+hG91ahcHI2hXdou9RGjljOZQvUR4F8la082PI+6I +lRlo4LhjYvbTTq3KmlAkz4OUd+OKUMLTs4r6PEr3euK2iIECTl4qCAYq2ch+t2ke +eaCe+ANpIbUj+DNSlQ/MRF4FdgLQiuOf/jKrpyfw14tr20FUgkXMWuvvW9DYIIj4 +Eb2sBheaOakoE0uvMx7pgEhX9BDryHjXpZsAws/sf/T29fKDlJewWL2Yl4oOoZSR +Z8TA/RHxT0wuSeyaEW6HhDY/sW70ZUSJNPFk26Bp7DYocIYiN70oIpZ6Z3DmfSim +zGeyVc6//bJxWmaymEwTpG8JVZizZQkCeZ3L3T0j7pWnxUZWBq0o3rVyVRhlhKJC +6GVnasbmer3MksHFYv7J6KoE1z1bWJ8wISbDU7+xlEcse+LA8qc3JStlCMULaVv+ +o6zA3rCLhGIs05EIpRw8E9N/slkdluk1Rv6Pz0c3Zc9mu/ESW0cBldVNRt9+hFX/ +xQ5AskqjXLugS4+0ZIyuVBcVbW7G+Y7PehyHo2m99poYTKfBwuyivi73BH2Y7rzI +0/V98GR7W8kSUK/TlBZCzTatFobrjC1PYVolc+bC8Vjp+Don7nCVos5/81sVJ14T ++e/seDHvkp7wkaf4PsWDgvZ6Q0bsiEuM42e8Qd70GFuFeCMidsgXN6EZF561jHcV +hyFrO9StKyzXLefklgflAG6tirue6mlikLo/P33fA+tV+7jU4HZYFGWgYZFrQqf6 +rJl84U9KzBRqSAc9JHnZO1sDiXXYb0JhUeXVF833+ctQ3D61j1cJktboNSpkrG8J +lo7TB36I/pv+qiQF3t3mPHhPf473UOaVSFqPtNrk3CL882agHGphWA9CaRI3CCAR +BD2ZGFJhgM+aPRr+whfsh5zXPr7AMwYiGh3yG+LzZdzlJznzjHnTFj7VVryp8vTH +hppyzWdAlr5TMqkL6yiweA3jWhixnzxP4WeAz4XWX77sHx+1snPgA4SgfKAtn4VB +BygcXmbWYaUr30G4jWfwzetS3IGWK/jRkew/mREQZtv3y1NUM93zLS4S5OnAfDNH +trrCFcMDh0jTCyd171sv/7TCuTrwODVvzX1bUm9eR3e1kvGsF+LDVnfcJfRpFt7f +xwQSXRh8SvHZ+aKEmUDGs4kFu7s/Eh+qxN36bbZTvQS3IeZCcSxKU/q8FjKoDPN+ +OVxDedrNQ8uGmRRyg2oWCDC6oUsBUONWybjeKGh2o6PmGF0TrvqOFVN2iTZvT5EA +osJ5p5q6qhOLY+9BjmSAucK9/s7bE+KJrD54YI1pWx28fazvKW4GEg/Wfc9bP8UT +qFPBtxy7hX5SOb7XPeyFlFRlJ/pBdB8NOqf8fdXRgb5eNUEGzHhUga0Uk8cKta4X +J7Ft28yL117aFTwj68jtJs0UDxdeoSs+P+sYzaVyZJjpxHgzZddmcMAHp2IMiQGC +olBynuqwbb6i2WRnmJSaPWSYFFALHOUHBtaNuvF6HAEqAsp2F2hR0HHbVukLrNLB +G+bo5hZOe1jbkL4YEdJq0kZJTHckMobMA+GkZbR71dqVlXBv2VNaUY6AUCYv4dP0 +EF+nK7Z7DPbg1CE4WLJMX0D+Z1K2wuE7CqNySribaJhwVOZpFDL1SbLVPdBdEurr +PhQSctDWk/vNMOmvUhymf/ZouyUJ/lHiDkkw7PSFVH/6QNFxQ7BooOuKwZ/aSlGQ +Cj8l1LbM3nzZGTUlZYP7KmAnTyPWJzhZ8WPyOVJM60sVxOdnMhth8DNmqIOQ4hbg +aRKfxrtDQWDyOMrQ5oXLABFAviuC/A8jU/NX9f+wzD6fAK64RzQCQYNv1DHjXNAk +0JMrb2TqAWMEbIdq2DM484mKaJYaG0QA0qdfZ/3EgAH9HsBZxBkAzVhmgHLJ8/kp +GeDQ7Hn1ImRH1d45SrHJyQSFYIxKVm9a5xRPUfFWk9yEYEhzRLXJYTeft63h2XeW +eYBq93XJTpmcOcAhZk0xQGZTJDmQuXCx2cWA/e/6GOBl/ONxclM7dY14MqJsK9Oo +UI2ArCyVtJOescfyjVhr/qabhVmxQP/vWs+YJf+lFSrozO+4/VjleVY1XhZ61vjE +fl+WZ/ntiW+wqWI4lCF05iEew7acr9imY+psBYRxo0u4whoAx0k+MmYhhcaA0Q0i +as3F7d4vM2OW/VMLmrLNSnpoFHK6o+vBa4PMs7MhlVlsMoBDAMu2oiCBIrULfAqB +OzKA7iZb3EGKQHKI9KiS+6WPHWQP1YEcK+7rG/CZ2q2ZnFwvkRPP4GToK9mrbNrh +BRQ5rzCijo6xGgFygdk507ZDeR6o06yXA9zm78oTtd01+CcH8eNdZByu6Ti9kDZc +SGF/5kD+PrUaCLq/RfLxVslOzr/7X9y56KdGxLIn1wKYCkDGJ6wrHVOMZ5OdSsot +nhYwRVMSK/IpA9Ud2ZfPEhRrkXjviv2rENeqsQKvWJ5QZs4xBgkpZU/HNgO9Aq99 +Ln6PwGIwl4GmB33EFks15ucbCcdQwKE7K9z5YLXfQHZKBLXVvooj5amm8Zr65p8W +me6eutgiERGOQ7gnqs94ZDEUHW2yf/4IQOKBgRizKSy2tkmEgyMN/2iKevc5yeev +6WN1lvFL0Z6WhdL4ZM1KFu/lugsObol6NkrfVbG8hpcxaAATxFkmHl4Rdkpfm4iI +3ruICjjY6MM1TbZyp1SdPcRlybeYQDErEQEyad1lA8W/on9On4L89AJTnnfrWpAg +gh6sM9qa5xQe36Dfa1ZJWSsKMeSvlTDjMp/iGBog0oE02A5/QBq+EoFWrBrWsyHi +AmuyfoTf6207wIseG5xlKXIlOZRiCQ0D+0NUMtshRJ5YDNmmf9oUWrrZqYv2Sixc +GHd1zAeWJig4LMdKCIn5D0HH1w4FKho9KlN3ZrLBQsZVFSvXOYefqgg8tWPbUDU/ +wdatSETIvJDiBoFUca+aEjdieo35jQL8PVymuXGEJSh5azuB4zlBB+G/qkeJ/Tmi +pzLrohYymDIMt5fWYmqgfBn4/l9RbfptN/QbT7Tn7PJTzwbSjtYeX1r0eADDjSEH +BQdGcb51lqdarGgjzm1tGJ5YZ5MzyKTcFIqKUNj7lz+rnQLLMtm2GnjKHO8VjH95 +VbOFgyeCRJQhqxBoe/1gTBjhIxwt3A+i1s3h2Tt/zVh5cVqNf+/qHb4Cl9Gcu8uu +YI/8IWjF2YBA4h4BIOe2/CU4WXqI0BUOGZev5UAWBnIw5ffZGkcT2V6bXpXu4Al9 +223DpAMdExJLBgmgBoQACn9NvFJAZ4ziNPGf9XcDIdicG8FvG0ts40qXKiD9yE0M +eR2CGlXRXPK4QoHJYcJtqFWzfS2Ujfjz2g3lnL4qG6wPRBa+3AZFyChS9ey/WfTo +t6MkTPniQS2jWtu8gx+DSshhT+XPepUAHXUQSNdq0eMM7VrlQjk38+LoYHq/GrIT +e1YvSFVNxToOzOSJqms0pEVTvztXhaG6BTgmA+Z8hGDIslp4hM3QcCRhrxQrwW8r +QEdaZBUHF8ySQ5zlEOYNLF8UMPDQzIzYZLfzI+Cr8bRJMKuD6ynZouNEkomBb98u +QHYDGQNT2gt939fb9GQuMSaZfBxGzQEFN9tpu39A1BuifohgEhOz3I9g9aBw0FsC +gCTNeCCs8ZPqhU8sSIZT/HoMhdyz3FTfZiN1BS+XUqKEku3Euqg2rXiIbjbnlARF +90SprmZupqtKIbE8C8oMfvnmqC+scokN+Q25LzE4C5QLqZsMKLVni7yiFHqN3zkO +0/wOi5gepebYuLRcq/h8E6NRwIYIrjX2GS3bHrFWcYFm8I8eJxkQJHptaX82q5dw +AMYP9tA5pAM1tXoaiauoJJu7QLtdC8ZJEacMHcHdbm0H1OqT1L3lJl0NRA7sk1He +qNiTKIVVfq8fWObym4R1ZbQ6Co4PmzVss0f9+FZ4BmBkhkIUQDfKaAF6EHqe/uK/ +GEMwAWE6mcaQuKP88M0gsE6H/oTXB2JNp+68l79SgteWrU9aSnQIV7yNXOvyemYk +nKjX/IXhZ+hxjozE0YvWshmXLlqyZflaVXz6xaso/YDbQHVYqaAIsdS6PG9bqBbY +xvsifvEeCJl1EG5snoHN7LXrAM/gmzCXhJr20j+Y3J8qbRtyxz31fH8kKB6TYdRZ +5Ug0OfMGPbBZbwmd7OD12NVrcBUO4OudqBnVyBSAgpCVhq/d8K9/HoDcCrp0rxHS +QVkAbAsK/m5q5lt74UWVwG1V9QICk40eJflVFvTxrBZ0Yd1ncN4WKaLq5klTLPXZ +EyF8RR5unmTQ3YitT9Ju3osv2Ku6Q5xXMziF/UJQ1qqULlNB+TpQtnxi1kkv2RVO +dYFUiOWxgS9crfH3PeTlPlljcBh6wHBvGa9QQFyEOWoMlmNwJxh7fpb8UkgtkpF/ +iV9NJC4+LNsCHk0whdAmRlyDjOuY496gy39ZQEcC+DsMD/9mYSS0kA7fXhxyae7D +3dETdhki4UQmz9/LMTese+qsuIrrAgpka7uUxm/+OLhWC6SgFyfzinSE0Dte7Bbg +ZlezAXv5XlSWyG72zP4COkTIzT8Dsdkd1DXq+9Ub/uERByVpIFYyTj/SeJjW4fYg +kIYdRWawdFnMYVYuuuzFVMjvPQq1KOR1JVnEHhCTVR4qj94SUNE6eVmYDiSQaG5m +G1UVFmpY/ak5jU3viSp3SrKBSo9T98/B3WRcMrZz0/o8JIAKBcEX3wETqCmJdEfQ +7BEoQkAfcJL4HNUzyZ+xffo+2uEddDopUIP4BqgX2+DVuwhYTLCaL9JnaS6mOQ7m +a1Q+UsKKi5MNromZux1AxjBr7QvwHJ9eSUFp9NBOcy9yeaveoz/BtWswHFozjr8e +vgoF2c/KkgHN7q/q+2LkquUzQvZ8EgZLAws2w6dk6uY3zuobQ5q/KwjiWg5QepLs +8Zbg9kpPBcktzvGPpxrBFTH0ZHmizPC09UnpZhJENmjXa0NSr03sX1EwFcxr7huq +BOjKhSZh1Lc7mJ/14UTFg0z2xMupyScNvVHtdZF/7U9tCDPydDsf4q1gtoFGX3Bw ++BINFln/Lo62LtpW9DNcu5F18TjvKMSTMrYg6tkBKrIekuR1patJCL0K3/ENZUBx +xvajG5sglLJbZXkD/pvbUmS1BxGkRMuk/45W9O28pZmxBfGXl3vPq9syVMVYLP15 +JvcSyrbvIxEsJPrfJo3BYe/QQPoJfcF6fq1OvU2VVCbE1t6+fjHfefVVusrZBzow +fL2dUWyqshv1H3LnEtMWK26kt6hkjMPKFbQo6oEBAh8kym3Ogd+5X3EnJ2uLHvv1 +AjLxR92JXd3V1seJrJy4K46JYnKuuPnX3B8O+gQLuKgYeYVZySKHmVKQQQkITO+O +r/qeU5Fb1zADFwADxw5uZG1y36JGMzCVpDjUoXStbBr2IrSd17LZq/Fcgi5WKA7q +6lQgo/ePZZnuT9oFl1xLeojGr3Y3oxmagsKV0+88nC9KWO0hKxacm+B2aS4TfhoU +CIhwpWWOMF5K/CkdMMtw2lW1zkfzcG/5idg2Q+ZTio7umN6fBpVxq6Sz4ZdQmeFP +8ovyxz85qUuKJz5wuk/fCkccce1vZw0mDUcMYBNoWu51jsBsovCl4/z/Pn6nnhFb +znYWwu594QGT/bj134a9qkRhpopeFQUBrDOAj/+gsAN0Q4witrc39a0nnzBRwQgG +GLVGDEzSP5nRH59ik+5e2OlZM7EN/Pc0FKo9VWEuHLEzFXTSua4qe4T/UKam9CUT +3XMY3vgjiyBecFCfgqQWDjaC1TgCqIhBZqtMTYyMVBRibvMdGmU54EwzlyA3XAgw +5KMZXunCWPO7j2CXhEW3kxRhHUpkmpyiaw9FYSY9ei5fbsAIDs2QaXrhyjqDm2BJ +vk9/H/MTLFVNXBk872CqZWslhJ34qzHcMbZ5FZrK7twrdyqIru0uaZtqxHBlBaKk +T2Dr10A6ZmCoGt1sP1smEo9Ep7Aauk5uP3ukAYDQ/Cux3BeNkQqjle76DAztOsY2 +Yx4LmQRHip5fluimAyYOUnO8E1SicVvp5RT8L6S5VLTLupenP4obNPWxf4l4p3cD +0lAWe4N7mvZu+dw/qT+VRHWnXnCxmweCUiy+x8tsidlu0ON8DAzRVoF2Xs8HWPNu ++wioEMVAaHiWCJAUATvJeXtG5Ay2W0Lyn81jOF6ffnSUoNh/+3JXr8jFKQTb+le/ +ekfK3I+oI4tVMAu7aRWZxM30kOqatGbcdF5tVMKxzQC0tLv3Rl0aVuLeaqmgn+V3 +tp86J9a72vwg3BYFQIVX/ORihMzymxFDV8EusCb/xfqDuHdSzSZLC0fMLhnQuyEY +aMWfw0+K3K2/uQ6nO6QemQIFHwTRgU3EZtFOV6gT7RMfdaV5KMYFeaf5w5TfMiRo +xswAG+NuoMOREtRyaC4U2kCG0LCIAAwnsyISqsaGNxNyZoJ2dPVSE16eEj+ZccsI ++GwQymI8I7kXEu/CwKvTSLPJIIwENbjVB1bB0dDFy6wC+C3g7Xro5/VNpBU08vHj +9nXVe9yYTtzlmmg7uG8vMeTgw+Wlgwen/ILqH6Yqpo8HcI30OzWQZ8+ft1VJiENr +YjOXymXGLAXn7IvwfvMIGkplPlzAPOfZqLOKhYWuTrROyeH4llCE8LFvOL+xK+45 +41NGS2tai74XEc20PPlMy+0aVU9aZUIz45ZrIPL3a9mzAOf702IGOXOh8A3K8YSW +1yK3p6mm3SDT+ZAbdI8m+ibkXD/18iPH8zmY0yJrmb8irJN5dYk1pEchxVvMkyne +eEjX0ysy632eJvA618sZk0kONS1/gdOXApnu5hYznILbtLy8sS/acOylVIuhalDv +hzvmZuFKeM2V203VfuYQ/9dzELDZisKeM9ONLC4F4Q95b5RreFSaBGB+3qnhJC3l +RTY2IJ6Hr++96gX+W3waQCByYMD5/jPA/allmCTA9wK09y7yBtGx4ea8o2tG4Izv +4o9Jpnsivple+LFoHlyZ3odRSkLlhqipS+rb2Y+r3FQubPs2Q+lTEvR3Mu2Yzdp8 +R+QmLXg9LwGrHdWb4ZKCWMyIT2LTcDBYrjRFWf/ZGK10/eyO54NRh0AamHLNbS3B +Zzw1nE6usw4rTB11kREx4LRoMAzLpCu3VjGdPRRvra/lDC+jA7UgvVxa5qNziwSh +T6Vd1sWMCv4j7ohiaIarR+tKSBFsLBnnzf2kC/m2bxdRMW+31QRBSoEH1/XnWIT8 +4CEX46OGqztDODVQ/DOjJiJ4I1tWJyx6sEZZWLDknmmn0ZS3pe4Kn/G5aHeSD25E +AyWsUx7AGNNkmETafy0ZBEF2UvDhJ57Tag6+X34NIV/iEmagC4sXNVknV4tqykrk +ncrl07979dIyILGDHOBloJcM8YdhcE18j4ECdm005x/j9krtfUIFtCI/eSvlTXkZ +CCXaltuAo1HPs/M42J7mJuALjlbRhl12gt4Vgt+9asf6i+7mAdrI1XFTHsjpDwSz +OS01NaevcQmhsFEAknkNFgiDH01VsEhQ3YjtPXPiP7h858CGFvKHp5oPpXFjc5no +HENwrsg6AxabmESz677NGc19Fud2jcX1+Ea69GxAf8ycM8iuBej1JUKSW9VcQmN2 +ZK5cM0IjgkvNgg40K8vqezH5u8GkuPhcZSCyqNpvOJ5uAE3Y/0LI3S/5BYUvhaj1 +xby1W5/xar6J5r+CjnbAz8wq/QwXHhU8woH5waolQjSd0uvNzp4YtcQ9kkwzo7Rg +QElPkUYxMETntYO85+K9s+a4Sf5Muhhw3VCBnLaodcaohfNAYP1QkFpjKz5guzxm +o75RUMyicJrR8YGptBIDfDTfqgYOuwWDfJ1waIVsDt3UHt7a9zBgWCiUHUHryO3j +3xzTSxhXz/XsQoTA6LVT1jb9wk77QYcsrCRqbJiEKP88VY/THpdwiUinkjS9KoNg +ssuc2wuDi2z3FBHZrBlyehb+n3dJ7Ki9i22gk1vSv2kBuz7gxRXyKXqgXf0p6r75 +UhD571aZV0RSKpIds7jRA9fSVYbTTBOrx8u3KNjblHok6ZEOjAqz4XTQWmISteWm +xLmmZhaIc7tmtOD/2eH5ULQMFeOVwxTbvuqB8eANauNVWjsF/yNmneXkY8UFhtOX +ncSEMkP2l8eenidvrjimd/pnJVs1mF7r/qZzrdcZJLehWrhwESQVkhLRJjIs36cJ +T2NIjqxg6/ll7b5mvErVqxiYbbYe5yYL6ZGEWZ1XmZt2QRGQy4KYDlyl1/eDE3hu +dyqrwqU127MBfVzDSxtGptCQ0qmmgGQwGd2lbgfDYNtFpMdK6lai7ldgJXNvlU62 +7zNcej78yD5RRkqTbOkY0l1y7N2GW67Y0mrA1/4E9oZf5QKiC2zRMaL3Y886I9pb +Bv3AeAPQm5kLadqAGlG4WXPyB1ojNkKHrnQ97W/O1VXhNA39HL1UG3FloOfFEayu +ectNFIBFrLMqXM478HtkpHVe/TQJCYxdH14qKF3hD2jp5svFce+O6QDZ9XWrNtrc +lswtPBi1rAK7WQP2TAciHt4h9gomUVlZVjPaLY/QWTFUkwf+lfg/1d9jjAm3dhLi +6PgLTRsok8gE/CJQwagQMc7qY2iybeTcpCH7+I9nb2vhr8ne9Vw9kYvt81uj/jvl +SXWoG4pWZWYh0YBc0peZRNPjMIySBdUEcF1OjdUsvNxy9DDiHdvsY+Cu58qWeLTA +nyOR5KiyImbuh6i81BqtCloMOCyBC+fQFvSZnTYD01kxhXyfqQ72pd9fsW50Xb+G +pp19xUqRPF4KAHj24lqs4wXb0uNI1k5Wa40LFLhLNqUUSxBnwlE7gjEYBcFb0YI4 +upRETsRmQ7BLw61mvyYbTO0PsyysVRZYbG8hSB5PmYjzhbTAagxwBd1F+kIAGQLD +v0cWIqSI6UWi79MTgSCg99TyqGwpzROayBjD3bh8BA3q26Kg32YINYgVw+OCjVjy +SkUsM8hNjMuIdjbo26QG3TVwehyzj04kg7MZoBafr7oQPBB7XOQ4LVjudtzKuBfj +GC5C7U+YxvbQyWVOnOE1WFCLKBSNk44/mo7YRTvlHQtSKvP42UlnqnlCHoqrfn8B +UcwUB1KjN4tiseRPdohtnu9R8cv+mDXY0uOI0fyKtNFldDOAKW44N4ijHkKvPjMQ +v8w9j5rbEyJsr1MhGxRemALYM4eMfCsfcf56llcURMl3ElqsufaUX2b+kc7KWh5s +njTwpuqWQHxWHaPna/BD5B27JgVs+rpTNyTul6DOGZugLfAklkAyLxy67T+jBHri +BBPiraH+ZWMtTy62L/MB/kkRLldkQxePj75oMMV8vQOERDPd/JbXq4lBMxoWOzYX +E2WfNzopOKvlMnJLW/EhgJ/8p5CBrUn6GCJxPcRJ4Imins3VPX7t4Oug3YCPcdN5 +OO86/7NTRzc8ZebN8MSHhyQYc2Woxvo8zY/5z1iq3Xoea4W0JcDrLYQ7xRNq0/FP +FgDm66/0bv9boTxOGRQ1w4vSGXJxKY4Aj1mpSFPAtS3QYxiVqL9bO5zWJlETLjlP +/rLwU63xS90yjblv0u27ZB/ueWrpoYhKzpyghA47F7btsUD32EL+8dnrx/X8iGBn +6FaCEqlyvP5CoYHJoNw3QTbjwDSv0Da8WqVj+44g9OPtf2c0pXBZV+/baHBQ4MmD +rKL2JClN83H8HRYoMZfTyHENDNSZOvmDE6wt4JPEwhwb1ckRGOCrdbl4P4v8pUj6 +gxglTSYCMLW7N8TtBo1QrAqHDlkZdFDx6SFX1Rkprj/AjvBs4T7nikhqEodFF31W +SZAQjUZXWbsnM0yOyOeiZvUHrggmUHvbnl+NfFPsY2XDcuZqi7ugIur4dG7E+C6n +D4GfpqHl1Uci1JUu7Pd0wCSIgWfN29SKQcIAbe9gO3AiafSaKJ6LtY28PWyZ1dT5 +K4xUOxPTEap+yIcaw7Er33T8Xv/xpq6DhkODH+pv1h3henB0Zm6MS0nEev4smeR9 +u+J0PvJ51DNvSbdFdFNBQbVy82p8W6gi16sQt9T7z9CCLGFnF5jpndR9BXmXFXB4 +OQY9g3yCn4r6e/XMzwUJrzvyVvP1sOzZkkuZ/XFCWKoDM2OJ0RtRquI1gT6BPbCS +veP86hm5hRXy9+yxA95iRkbxsqKNCdl2S0DyTfKYK9svCPUB7XhVPUCqSdmOZH2v +MywOAk0K+UbRou5S32/268h5R14bHBFAjwf0Rq1RQke0sNJ2/luyLzxMmLyUVsU7 +Btw6CZNPEe7oMiLYYvEgb1NWFF3X9E0tzDbZ+mUeLPXkZEGcr36gub47R3tfC206 +i+AquviERlF1wn97DLBdW92M5ZAjd94jabS8FFF8hNkTwp/QHYQosYHL/mzi9ajL +dEA5sDXy1lVz8hpmozMZIx0FFAE2GDYVwhF3ChuYy1ztZN1acz69UzVS4ZrGMWEX +Dec4p9BwMLVAXCAKnJkVu8PCESqtuTmvEyJZEmx43MXSippFSZos0Y8KDSxVwZ+O +EgngKGpKBMil/6SIdkXSbOxYE5L4GbpCMOBHx3edjoyMqu3u8yVjtdM760umgfEs +FoB0E4ZtliuPZ/cu9HJkFCY5KTnVP9Mx0EnFe0J41VZnhHcgJm/D8fgDAXq5hbdq +nNYrLpY+x6/OuyfKMW81MctcNyqutHDGXcMtiAqjH76sJYkmWG9xlS6Zg282sOET +oV/ZXkh7He1Hvl9TBFtHR16Jp6PunW4r8JHQG+yhaR7g7dj23bBCuzJwCVlbFW33 +6GTiTVmzpD4HPXm0zm8xztIm+1JPlgl8+ACQcAdJP7o2U+xs1aMmM2vWhnowpGui +5CZRk58sAdfsM8OUL2eg8ZxT6aAERkPSaFerB5E68z6aEBH7xp5OeJgB64ckhz0u +832kuWTJ2Bp7dp6yIILBSpmk15ZQetOXaqRHoVZ4Z98hgoGcB0MYY79skVQr/2sx +yaZu3B/miumflU4KOkkNMLNwyKOiU4c7qp+yBx1zX72aMWifzDDXPcwY7oOY4yzZ +teeaAvQVrNPXHrQMMYEazmOy01Yg2wbJhJ/f8qxiMVHxyKOHq6LsO/5A7Y80+d3o +jjA8SbLmIA8Ifgb2BfLoZ2TeYp+cGuNM561dcq/gwzuWkA0PCBUltk/OP8tu9dIT +IGHORJ9dUCIichlo22XL+RgmOLNfAqPYPIhU1OiaXYCW6j2qSgU1mShuc1ZXunQA +tGTj5T5cq2wtO9LVw6RsS19puL/YyvmB/qFyVXBfRmuunp3ZAAFHU5xsE3Wf8zM9 +7HwUT7VHyxZFH67KXSd2ll8R8mNxgI2471MOeq8baCn9EWp4nJQycKHL82DL9pfo +TbqLTkCWE8Ax+n422Bmd6q0IBAM2iMm2t5ZeQ5mc5WA8iEKwwyKjuRjqRiYqY4Ew +GxluuopazPlEKOfB9Az537dNHHHmgpwAKVtPvHLxKw0uQ58E77O6BbWyZtkjDYdr +22eMMORdTIkYK0BDOX+iCVf9RhyQa2X49iDQMjFWQdrw1u6SDgVvD+Z6pOUo4MDw +wLpeQKTHt7560rxqPiGVdK/z8xGT8MSaN8+c3ozeQkNHVCVJLNeAuGfzcOPtaH1V +0KOFOaN2jRMSwyInRMxJIUdQCpR1Gd+7Xb93d3M5W29nzLbLUHhS1tUcgP5lm2Ut +5Ngc7sGvndD5j07BNjpBOttvWcTREHVl3R7a4vwcpo/GA7Az6P94tnWMY9yqPXsc +zmQmzbKnlh99Pg1zeJRmk90fMNGQJE1CuPYwq/M0yN0i3VSii1/PQqYHsqn5nCWV +Y+7ILRscQlF0medPf94QVzoYBCYBlJ/bdZesqIOrV8iZ1fbqH+QkndB9mSkv2IUa +OX5VDXfbtwQGHgO6/JeO1MtIfzObVWp9FUM4B9MEStwC9SGnHsQFs1qK4xYi7Z/9 +wupRPHUmR/DHs8mLtIP2684K56jGSRGKokN43WaQoC7DioVJPJN1sCX5Yb6h6DYS +ftDO+rdHyPKkO2HxJq7J6xZlX99INQAMnmqOFZMTuxGVcofFWfWg24CMXAWpEyaZ +FY0QF04BynVcL2Vm8sEVrJUAo2RpazdVi5pBHgtUjmgVYzSyorYRbKeBj6VapzPE +GUMsdqjp6Laf/VZyO8wbphj9oO9T78RRQSrh1wmPz9RNsWpfrT9b+mE5U3X7CHcn +fvg5s5ZG/lX/9JKlXAGsa4O4/upQ+4QbwkUPp4hOtdA1EpNY+r0j4O6GVnx5j0DS +esvc29+J1186vKVyGrEgUHu8AEuxVm6A+aey/27L9OrIWjPD2lMLdLUnVDYRhj4d +1tU9gVXktNS0bxCm8DE5j12X2rZsplPQtg2tlSyCv7d7WBgehGsdPAlSPfv5+4ec +ahsCO+BuaPG3IVtggslnaVLns4TR7cf+PEadPAVzhQK7AnepxSN7OHCK5IoV2dZ8 +66pedcwuGSo+oAqYI5lSOe/wQaGDsJ5sVPTKVJzxMYBWn62lp44v9S61FA7IvZay +busnL1eF/9RqT0HKeVtzGx6l4epjCcFqe5oWzWn7F5K86knBr2O/bTJUGqyYeAvm +CrG4AfVXea8vJn6pjmFhdEikdaY/JL+vwPiUmnf/D/yAYlgIKxiQfN7ZQRjvpbSE +kkQhLY2xewUHJyjnMd860orbtGPU4Dz3B5vhzp21d9DiArqbSLRJHP7YZchY01Id +lDkjEeF4tbBPROKDBF6UvsVTLLCH4EH3NSvbnTNU5PIE6G4VtkH8Ur6vs92eOP2N +MDnxMXIeRdS17znCn6aVRw/At6HNxos9iawjifwPLBsLSj1XvYPIDRDZk8+ulk8S +PnwEDb9/9jjgoL2RvrGPPMN7GplnkcpUBedJHTvLDnwfjfyx+mmP9r9UrA3TPWCA +gQtnID9jFTZ3vqTyI1gtO+UyozFMW1IQd3J1zJlBrUHM5UZWwVVjW3omAUQOfZSD +oMnzQCYgiNiVX+/sf5irS3GJNUe1K9PEaalvQcAZkKPzn/bxr4degrCgiMFZqTbM +ZRQGOw6cVPC1CKru4mqfiaSuzfv6+KwpaEU+KpzA8WSnuVE8atrZJW2rOxyNqLwf +ZidJwIJ0Nu09XpzesStrNmnVRngsksMVrP0ITLvdYQgPzLE2aDvBBSQ3nFL0Ns2z +kuklTRDIKFYkBgFAc9m77mAS/47RQUKpl3udCmdJo0NJBeZ/T2yUpULJX/7uJ2bD +9QDsAXcX9PvqMfjXAh3DCrcF/7CkcUlbwNVLLWZtb4ePPMo4NSA2v0HFw8G1DnHo +DF5Ez+XT8ey0MNQohfUW0lm0RfutWL0XNxCg9Qq8Q22uty0FTks9oxdkjcKkHV7q +KY2ZIiv8LUvx4ReHIhmJiVD/EHORvoqNBNAlb21wH4BBMGQ88biGXBNRx7MjAn0W +lVrTcqUWP4c51VlwHoQs2+7Ib7FFw+K3TST7Hr8UAzshKepjrFc/52AFsaFdXOqr +uRJ4Z8IlCjusmCBAh3rAMMv/PN5uf4udSi5P2wrkZqDFvz/Mu34YQ1QVYhiDGPqJ +U47y9iKUCX7DRqcRJmX2apz+icUbgWGGzu/u7BMrovhkQFMwQIXSO2REK7MYKzjT +34rTsusuIqIfCQu+5Gr5J1IXBeje4YNbLmphytMNL3L/r2cRKDnPxLWACV9eJfeY +14LGnLfZmm0ytynsFvjIiXzq722RNMWPE9XujwuNGIkefbsiJN61p13GXnWYjZ1m +VOraFqN5iGBIVwlekv2wa595a9245uX07PJrzLfKqsqQjCqyLhhiQW6lUiZsoiK+ +nb3sUUe/FwH8v7C6KNoJBAI/XAkYBgNDy5P/9IAYZlzBFIeJWC6ffzgtmvYq2Msm +T92fMjP9JIjWWIkQt0/8uJX2Egdu1owl+I+Uiw3A0ep3T60jRqjTC5bzSCL0s8Sw +aLvmLU1l7Mi/LFmOupo8TRQrwPRWO8L8uNHOSA2aq3rAnZUZA/e7ITs4rB/jHAxz +FF5y5XAS8E+yCzPOknob8LhLnt11H0NFoN156np2nhkl4F/XY4O05dnaNhATVv6j +uoYCKYtwwdsljlH7gJVrhcUQqjB9m1IlQtXTpFcV1BkA2NLMbixZHRcdeD2omEQ4 +ZGiSot4I28tAVrEYppjnSmxUCSrcziF7gB4YK8jW9/nZDMSnL8XyFMc7gXsYjTNb +xpVf8dSQIcRGiK3D10spSqW3VQZHQsUzfMLiwhqUIi8SIcjpWYb6hsrslyXY6mzm +7u9myKVAUOIn9zvzTKadQNytTENMbOdRIx25VpVIXdtVchtBOyB5F9tBTp4AjSZe +0mm32GnWc2LhcR2zM2zXKta65tCZHBJCyPNQSOJDzqb+Pa9QI02rn6kIOf466Sbo +lTozq0UI2wf6FcGPAEg+eooP/5aOuLl9MkuLflqytDx8FJAFEKDb/QYgkTAiof9v +h5OyBkl5sgrIaW4uADWP0v55GSgUu3RP1f6JRNCJO1sQ/eafkTwuCuv1xgqmFFm2 +ga7XRKKIazzei/QgHlLJCPLm3Z5J18PsL3cRrN3SjidWWykX8rmEP/ju3q9VC0H6 +jQif1vZZqxo6IjnM48znsK/3eSubahd800yl/eArazJlmV9Y7yyod736ySJD6rPY +L2G8dxoYF70BRxwBdva8q2M7eQXtQDa9Jva4Qt3Z/uo9F/MPVPc9r57b5nkDambx +XhdC0c+7zSx7wtpMk+IAQsqa7RnwKBpegmqbjY1qLRqORDl6OIIrKH9HTeV+f7XA +wmAo0rH/XVyBIt2JpNdZtz3AWfgN7OS4fijmvQn67XvpiZizMohcTRhtvrAoF5+g +cKrmuyN0+mw2aviZEjpwXfPxsLuyXmHC1r5WWr15E3wuMe5D1Wjvgm9HCADoSRd2 +Eaxlv97TP4d1DqgmUzWANVNdJcS5rjltV1u5/9f/n0rxzLP/djW4V7dZr/S03tsF +RIqpEEguFz8KP2piObmMm8X0raa65WPnTPbiBev8kFIAKVu3iZNqVX2NHE/bbbTt +zXF9NfiZV/wft9I06dO7Uzjkawuas5X8RCtelLkPhmLNPS2NKHFLxReZ8abipRQb +bwlvEqZ/FVC748D3/1CCnEae07Utn8SNda4JGVPVhMAHddIq0pHy1XnDmZP8j+g5 +vsPVZOMszWiCE3a62TexNiiDr44nDtu92JDaOf8NFPysKXyZ7MMXadAtNB6HCQoR +MRFCQcV2nNzxkTf73Ddzug4vehOGk4xVYho/UHLSKQyS8RnBsTEu2U8CVKu812md ++Qv9nHNniPt6GaVlefm2OyrPHafkAKBEXhbDxT8LStYLx6A7huWGmc3E24ojYnuR +8DLuI5qgqMNS/7mcu5quIgrKiSsa0oaKgd1ciUrvNQYeM3GhieTlW2u41co0STgg +1MOLoFyfFrubafKT/kQtHfuGHN+jvf2KOWS5tZhPDxfoFgpwNXk2cAf3GyFjq3TL +6LsJ+UKHE5ToYJ7hiC8apQlmw4JSSWQ1sqK8hQ74OdLxejb8g3B7VBe0N4Tc+fll +YbTpOeqtWbFh6ET5LE9wl78Trc3BgFZgXbt7a9R4/WYrFgl6z2VqqgzMaCg1wWyu +7+//UdVdOx+d4m9QdZoDpnnoTX1IhwvBMbFrcA4Fl7Kxd4QOlursW7XWWTot1Rpa +lh/2YcaNdJhy5mjJsdu+7iWOJdsRf/Iue4MordM7tr2CFd/PVusJQKpuQxH86gYj +oluFWSzKStkv+wjPR35I5qiy07RCleRQvE9vZDHCFYCFefWcU419yFX6yLSjl+NM +2Gx13j+CERkeRwHFp9pMq3heQ5rHy8jMDnhk+DKdhOnU7yz5xXX/J84YM1zOQ7Ft ++DVdkDLMcGnEjdIedIOaZYVQlO2JP6y/zJ0yqVIE6kKVF05ZKTy+f6vRicImPIfT +Fmm/C2YBp3n1PYqTR04bBprr6s4PyGioP2lDzEwJOqZOZZinHXio/r77mCXX1JNT +Dn2ZlI/DyPpsMaJleBU8n037X08eTN8DHk3XaLEwVckOl5U01oDpfrs1kgZTbtmp +XMvrrmJgz8Ro8u5SF7CAY9j+66t98tga9LqS58azDqg2zBFEtQeu4aNdVE3/lFzR +Afsnbbn9uhjnqjzN304sxembHYAzQ0Sk2TjZC+1lpZxmKbMoz1NvjHJDn8SOFXHr +xt4S9UgQMUyLNkjONZw/kKTnGwJLajm329wDMVMTpwQQB+WA0YwIPhd15hfAmG4Q +yxwCOVdnimTyMhqoT+NJVzyI5I39QJE31fxEkyxmj/kXV9w5QMKRmjDobXkcn+ch +GbCv3PYVP+kY80FoZCCaBDi09rnzuu8TW92SlZXzmODqO7f6AL/GFbgs0ZXiqgYP +wcOXB4gPfAXzCdNDfIOGkYE+FkLwgh1ze/kHK3hMzIopwdSVCwj7+xq/kp+qsWWW +Di1ItKgsTYqmLqp2AsXklPPqvdahXc0EhxWShvh+Pf+28ZXHJ4G3Fl7HXA0OWQEI ++32JCGVgrKBjisMaoRv1CyjXc8ZRyodII+Qs/asAb65bvOVSU7tuYYkvVCm1qUZB +m0zjgF4b8Ags6EW4cceDTO+3qixs1e7Nt7t+mv/57lTnDxxcQRZ9shLs/Wna3N+9 +2E1yYSj4nIb2nZ9iwYMFJD0680hijOtAYcsn7IphVcKVxu+2Dnl4qqwwjXYLABxG +9aAQ6EatB7ibHv0nDegyh2y+voVlDNT8kB3GUXuibWVeDgE4Tfh475B7RVP8Pgam +9SdG2+G/C5aQymi8l66tz/cBYXCpo9qKAx7G0x1TuBfEYYHR10pVrCLNerkdHQOK +mv+apt+i6jUyGm79DpTw5a22M0IVBnglPGGgv8PsjYPHv8r4XXSJw4/Mnle5202s +SH7AVhuP+XifYDFWU33wPtocJD0q517jsvH6SzS68YQX7PfueczXoIqRA+d0K/PX +8uIDVQ/Xujwg5lCKjGtt227ImCDxHCepBU6nZ8whM1IPYc1KGeTRXZ4a5KQwoFyH +EqnpXTmNuFYJk2JNIWiKA56/VINJxC2k+Y8TdB7Gnq1Dx2L6jBUBwKuDvnpwO6sp +z0w6Eb+8ItbFWHTPCQpZmf8dHOuVpI37DiLwXW2FvwGsij380tER+i9mgVsx39cF +9frll0dysVYirE1giX2IdSHYAyOBSqt0a+Q08KEHGlDWKMdXRcKEXJvDTzRKAUaE +GdbjtBzRRNyAfr7kAR5QNrCGZSN0Jo6TohI+San7o66sNp4w3RtFXYm8dXJ+0A9M +1dkt0Ipm9BblH+1ZnYYXj0Yp+kEWrnxPQ6hBjc8+hn7aXgvtdQ9TwTiDHpM1YTa5 +cdH2rYn6iU/kQGXYvhI08c1RSlgn7wTwLOHaA0GH5v02SJfwpIOJ3WFbUL6dRqsz +40xhz3iuwhvSx/uCnMzRtIY/T0hZiKhwIecTC/2QgRAAZlNylW8bXrzllShnB6oM +wafh3TJzPYtx5xdy+cfu7bWzfio7V28DZsc8szZw2Uvvb57so2P4a7NTjSfzf+CJ +nd8D82JCOLMC1ZDkkdyW/WsyFDzUo0+TWHXuLpxzDaBA5bmlfWwgRS2uu5RRYCJp +FcthPYw7M808MTu/1598BixOlvzimRwe8zryMbKkSAKwTdFedfeT65nSYjluW2Hu +Q8WJglfrRD95LqZ6C2snybAZIPDIM3FKEK+5C3nMMsHsAWI1+2ZOu6Pyo70T/sdZ +BE8kdp2MAIv2feoXMuqgpPgfNCZ54fQt822XhBosr9Z65w0ivTMuIz4V4IQoLSdx +NHWDhObhTZ4EX+f27e41XTt8jwa1KZhvlCovCsVILFl6hUGQ7RJqb1t10jzrbtaV +h5SNKqK5244aldhTCLWY7siuSPNvX0rQuIgNzaVdDlUi/tVhmlRexjCVK+5kdSFR +C+swRv8XrWPLHzgQwLht84aS7IBBx4mzSvBj6c/TlsGQ/dvg8DNi5O+b7/P3FNf9 +8SK6VXPF/s6eDr75z6Ps0h64XXxJxxDq40ocbzTFdEPaFf9S0qt1AKTNxg28Th8l +5l0aQc5+R2KC5vCEMkKHC/sTh70XKwcbGYKvwR2bhba4jQcmesj3Iu3Cx9fxiDFe +k62XlNMR6sUBml16oi5B/9DvyOmoHdw+H0XcKc5CBgIupO7LZ/21KQ8dC7gdoLOh +dnHSLKal2iGm67SOYItR0tQW2cgq7W4Xm8Tp7BdnLA9mZoWM8oVKCUvA4hPWgF79 +BBuyeCgBoq+JchQZPqLr281Te09hAYLw7cSjeFVDSt4JEd4H5D427T+R6E4gqcLq +3givMV6809CWIT2mTKclVTDD0VbNxlaDYG+kg8ExH9Sul8B/5xweExfC8+MbUxwc +OVEVT1/m9G6GkhjqacVz6eBHdlYXrGVz95PVBaRyG2ICfO+ctGqIbc6csbJxDgg9 +79hZoxo2yEEU8mhrvwpO79G+qMN4+eev73jasdrfpCPkNJnTfVn/hCcWL34/FiwO +RKFN2TNEWOpvzYaulH11yEQy8ISPy6OCpyaqIbJI6LrHv5MSGgPvpWHYWiWhmiFU +Ybb98VCLusnxPoEmhXpyoGSba1ClzKgiRKkCfWKMINPGH68QZ1Wjinaoz3cDWACL +J39pkm4/Bmv4s1TFd1dlJFzMp9+E12sAmOB3gbHVNQeuvPxQWebI/jFvntAhyyCz +onwXBvcOee46bhIKpQQPQ5hkldP97ekdjjgZiiwyVgqlm9lTwIX4MRPwd966QN2f +opcv6Lv0kH3Ga32SDLQCv9awNZH7MH5c/33No7cu62VuvbbhXLwDjMwdFsCGkIbq +/o/SItoyD1xohobgsOP58csfeMkliYIZvRn0LJ6cK3Ee0/pUDZ6XlaJpRTXjU4NW +iuOe2resYwdSG6Lbx6kLu2dGw9ZeMcwJw114qs9cD7CvrwUhXHCLs9XtJs5mJhDY +1am8XC53Mf274LRvAcyNL+xOz7IB+1ZOXwbY+mFlhLEFpaxSbUv9XSW2aoTVdWUe +QLFp1acwpxrgBiTRgC06jJtaEmGsppjw6EDeZcP91eF9W1JKiQTdqUTa0CBvH06g +SnYMMffmYrJBqZrVpxzKl08oPJL7kEXfir51AtNJqV8WQT2hqY0nSs1ve9GjwLFK +F+UXdxdsQIHkz1Z/NH2olboF4jtZqC2FOpcDFkLTN9O9tCJFAYjl+yRKCwC8OxRf +4fhzlrKR/4Ls0XdFeV8ucsLsXWngWVoYUeUdMvy9w27QYydjMjgHRC+AiNKVDdEa +Z0Lxh5j3Bwy7z1auKKMGwZ9cw5hzW4U6Q4vhevS2lnPeDUfuV60l/lADyi7+fx6o +OmEOmA1D2KzmL+AF9jK5sl477CHf6fihtTUZoUiFcwwwL6t48nG381gi5WJsQ6OI +6BDfWAjNyVvi+ah+6SkF6n3Dxma4bZZPRqWFXa56TvSqY3fuHmk4HJqZbOSRpVXO +WL/u8npcknpd+NOtkYPyL3cRUkTK0COEmxi119PB+Frutfd4CpkZ46xby47wjGRd +1R6itrqZ5D16XCzLAqaQ2/IN6huupH7/KkqIzjrhjz7IYdJHUVRq8M1Itby8rF4V +8Zr8S61fIA4hRg6Gz/BsaVcYqWlQjBEMrkBmkNBCBtDge73Uhc8sjowLMIlCWqWv +JCS+3WP86csYrJD5C8tZNmn/V/J6yRgJ8ymrcM+6H0G3SKBdd2LD3MwN3/3Ee+yp +trj61Ord5IZOEOISqKRR2x6Ji+nwzX16yBirEdSbgDhBJ+3zN39Vx/OmlEYBa76H +YKazLqTRT7fk8P/1yRwEr+BDtPT3bXoGrxxuI1mKohkNqf6kErbSxlOnR4BLix3K +059RA4BhWlXvUNdbUPepdgMjVbsfGMKdRG1g0InpuMZzhqpHllQ74Vk271pmo+dB +uVgvVTWLr2nqbCmPZ0hyRAY4jn+kPEjL/s9K2wxA9dB9RZdJ0nwNrb/2FBeQw1lR +9TpMoshlB8/EWmzNmgI38Qxzb3ryWiZ4gWcBiPC4jdxeeKUSCyXZwnlsohZFqh7e +TnMBvfxwufTezy07uMDnaQh4uEN7lsTFqKFMIst5tJcTmV6n4R7ngjMn05di7ANi +Kv4CH0ZbMrBJddfEWUxVDpEWCd5XrEQlC5Il+0/B5yu3UxjwMBL+i6tPIHRkxGP3 +ND9Ii+wwqh0/BAhVLAuZ9pODtkW4IMkK6/Sdfwjvo6mkBvtMhB2O6EzE/y93zs+Z +jwvZrOFMab2x1RmqxS9snDZDYu78WGz91WKdKPYhUWK2nAVng6UELIbDj0FXEOr7 +6eycD6Zc588F4NGDE6SAlgNmAwrmC/pwPWrNnj3uXP8nw/eyJbM3q20e9LGz5Dba +aY+Dz8uGLgJP0gwqGJozWD1bknfuFhhMQ/ivGWgSKgrfQRMk9YwDtm7Wcx1r07rW +2jPzOrSaPeean4dctyM7fvpsEKXpi79WzeaZV+u2wrr6L/N5YSjCu/b9O1Qy2Z+3 +IEO0Qcoh1IX3+Re/wBQ5uQ6vvXzb3n1LS1cMNWG42hsETToWWwsT2x97Jv30k8zQ +Nj2dBAnt9oppIOEMUYzpF/HWtYmAZdiqWA3h7HPZpbGcYxWX6yaSDjaLUs8wuBsS +9id1zt8mvYDfcwHQ95UdpgOg9eYwiRXuu+1++AxhjvnBhW3vjICCcbnBHhUtopOH +WOfx4KuFBsTf/kYcM8P+iyoNgPxBjjqzA/sScTVd0i25nD0GmBiOIwIfMIFPNcou +QwpiaGdk0WwCHrYAqAx96L/lyOTDl0bqiYfNuFUSlAwP0Lfi7LHKwOU5Kx2S7xZz +WlF0mKzGwa2TipUWD4DeNpo3nTfYI0+kUJhYOUNB1RUPFz4gAO2OFMS91NS9C+li +pOrGsBJRVjs+JNNog5ObXl0Fx8SikuXzcJJCJZD2R6y72ZoLnmNxceU0Jt/68qgb +liCzv/7uV6cvRyg3m1NMAT1s/bvGEaIUTC9w6zSG/1J5l9lOGo3zIimLwtnXTr7z +07Xj+9bvPRp/oGBfRjpE1nWPTKX2r15Q/rYGPYEolxeP+b6bVzG1o4HUxkbDw4fP +lHAqN2o/FIL0iB76xmU6hDhNr03SS5Du1tfZ4YFO3sCToMz4qSThfh6X1Rb1ZG6v +2uRbPpikbyv/zyz2fF7kqJR+pYMT8ulS8ERbt52kn4FMwYMPqq/4Lz5eSofXWc7G +xiFcn/IXP2hdexqi1Z0i7OqPBFWgRY2vSRyYucPVn6d9YU/WRO7wsUcfQJ72jPZl +G6T4zzvPiWY5P/pl+qcOCm0DzBdE7fc78ia4Fbl2VNJgzXO0fkz4uJ4iCDD1wQha +grQFhRiQmk0/eTi/KKY/4D2/4H9HWCdLFnB+qS8TqbD2xZhRdKYp0V07FxJi8t1G +JBrvCt87IMxL/XuwGOxWn6zFYfplKnKeC94At83URtuakXdOe74RsjzC4Pj7pF/l +2lW06PK/PgPZVfAGocftop5pUdTmTNkK0jMjMHIiiJk9KhmXOwnoFKvGreL1LM2k +gBj/VGJgnNvnnzSoEtgkXwcQ8R8FNaajxQf45jqZTbEen3Ar2/cIHfJxhK8KFN9Q +WtKyfh0Bh87S6XFKluwRBqNgTAiprbxv2PAduINgW+C+qZIfAVz742NE1Lg3QWwI +PD+9w7W2Qu2BXCG3RblEqY7fCVHBCkxP729XxahuU9BGHPSatGp/lvW72lVT/hHn +2i0P8jLEv0aKdosXokf3QKdI2U3VZexGwQ0o49ESy/s2iIhgHo1ZnUcRe9sT5MjV +5M5rua/Zz1pbSvslTE/VTDCFFjDMG/J0CayhI2c+olWSqW/vrX+Kn2dBzjgrlMXs +jGYkPBTwaG6lXa4xEOzlCWSRD7ZuqYI2q/pZbgZhAojSCbi0rvh0vovcFJGD0zkA +vt4tDjVKQFOV1OBBHcRz14NgyiHvpmz50AYpCrqF8jcA+xJJtBfBZRIS3YBazaEE +JkzdSon5Jopf5d63ZCkK9hDt7hmoYXYEemhBrB14d7iOiw3nlDQrys5DosT/6ZOW +BPVt7p+/atZO6CKg5KHcim2nSFAdRGNncUNyoSGC8oLNHO7BqbmuL41TrtavGmGx +N7rpfDbFFYy4EL3IF0JSfUqlENEsTM4DnMccQKEnONCNae7d0sd7MkM8Gb+Wvttd +E7jGdq5CjtjxU4C8fKzh9Z42Cj3bxXwOBpLwt0eFAM3V23Fv/gqOOGAt10ggtFin +D1F0O2eeJy8LHvHICKy1tnmCHrDjq+mboSbWJRoXKZM53Z+wjBSzGhygthTfVYFT +b9KOLOKchpACJQd47pI+IphsI+Lz7Ja2YEPkp3NV0wN4ilHnC8ZbFx2XQN/XxnLx +h1mWFtRULcWKZMVjgz/z8iRJCfV/1PQa3lA1ye+f4PzASQZKKyIYPrd5hVu2wfj4 +spnGylqUefTGygeJVgUKQC/Vpve5ghgQRV/tHnDV3bUE79bAql2jdu4zjja99hUu +kamrHuVHz9KwJBauFZ99vKmM6bQAe7ufBbbtv3PzRc3RZScwvvftyAijzebh+Yef +6EabsSHsLPcXgPvyYAZYkEecyfqDvGbyFwsNd2G9bjM9ryzIlepPSsSp5Exm9oeM +Ph/RsZ8Jpn2uEzsm/lkNkDYFCqFLm8aOU7Xgz3lVsYmiyAawlxS8hOICg5ExydX2 +XM9Oka1d8IvtIYik+gFnm7PbrTVNAtyrfmmOIqtKAgSjRHqXT4qR61foVgdjZ1Nu +ilf3kMkawWpKWZ5qzrelRDIJaTpzLitMuQZZNGnAcQXQ2pSjHxLAeAp7N7qnwcEe +03ZPehueePQTRQlC+LN7pUq0S8OfP/hkOTmv5+b30lgSqtnRb8IVEHvr4UKwT+S+ +6S3ETMpMRRekanzSDIY2uYM3E2mBtCAqTTPHea6jKlaKm7xOvoBPq55lurfnu/Lr +6m2HU7wfDac9XY0HHu8HkHfWXp8KFivujy4G+mXuj8AWn2IWc/89/xWIoow8jbG4 +hHxKFXB3hqn8tlc6GvN4Rbl0+MWUSAuyegHiPNyEgy3fYFuo7zkePup66YQurDFC +YyPf+uh7oFYLiUAcIsxd1OutKHA05UL6D5sCusetwm2EU/JYQr9qIfs6tPxZQbF+ +wVyNRK6sbqownjTMo+ySSm9puveMNZidSEae4TTYLUTGtQJw+g1bJH5T39ZVdL9V +OAmHpSOS6yImyrYnhvBUmT82EMDoAGhhM2Jr59di5HRuXUyjVIOzu0k2uwXccsKd +Edj2XK1vTLN4nmYo8fcWu6+Y3R74KnjAVuuPA/K3jw2BuCNlEVeSUPBZc/MIYHpG +yYfC+HYTcgXqjrCqX7LyGKLod5VY7zA187l1Er/35frIL8NWW098kWI/c3r0zkkF +iNjUG1GESdLrMtiq7d8Ukxlky0V2i30zh69YtOXDtfVFmMfMa2zlfvz/a8S8XxYZ +KL+BYOuSE/N/I7BJoT77dIh2c28ISNgMNK93OyTyHbg82tHQwItyaUR2ofBRG222 +4jMks7pZJt/43CgJBKQKbK+mN300iV47DPD87C7KzrrMRXrd4BiAkiuG94KdB0pg +B2x/oqhNfO0inYO2C49yOKeFzSwdT1Ua2HHQsSbCzP9PAc/E/SZluX+jJSpiw4Ff +nDzG+WdGggKA98OGGp+aMKvXNmnYGQfiXlKc6iAro+sodGhW5Z3AuO0or4LTEK1J +sTGSY2QNZTqfnthNGyFp8xKLSwezLUMTledkYLeMdqFA39ndA7ZB8g0GAXHoenO0 +1VUiiRFArTqEzQPGB8bZCEB85iIj7EurX9iI5sMwMweSp/fqafEqYRbnzrjfeGSv +9s0mSEQYFq+8fCsaVelYbwGzj/Dtz5ipmACv9XMBQ96W/AN7s32Dy/6uWyTEFwE+ +4wy5U8AYY1/mruT9SaJfs2zoYFrNyDkDKjs3GkK8byWIz0FJv6Bgu3goDKZPxhMC +OauQP61e+16KMDnU2QMtoAFssc0KbsW1oe9cp0EoBbcgnoXHKLqISMij8qOKbHbk +Wjnln0nvD0JbcPSk+JCj5twWRxpeh0RzGwTDTl1FGR4mQ1W2Anfg0AznV8Rog+Kq +Kr12Kve8CUFHLGcFfZoduqSCf8An9dGFIAimjNwD3Ay1Q/uskWyqR1tYEI21zwBu +5BVcOZITHh0j034STpL2JXWE+8n0JrludahHtnm3srxqrSkblTHbb/V6LXzSK8Kx +eDznzTD5oQj1aIJodPVoAkci1VsvtpGXnvUEAri4LNjI9JNMG40F/KK21ZNcV08c +R/p164d9fSwdQqHtHWQCqorP8jdv9xVl3MNW9B0mgP0cggg7S42NECzJ3phg8fJl +I8nIiRNZrCeK1a53chd5Yn392pI3vEieNkbrL4gczgVSkypo6vl8gFVym5KlAwu0 +V36kX+EDl7ksls0qjRdLLEhQbI7ZcbgebWGWQwbbGEW1YCHSSeZefe/LrMkVe8HM +vwfYy9iPQT1ZT5c9DSq5J3rFDg/JpO2o7zQBdd+yLeOzRo0CFqbmdaJSsbXEx9OC +Q973P48vTqbsGwETNHVuuz/igGAwLX65LayM+/bye8Bf3AbyM9kcksgEg6wuWXA1 +rfDhGhRt1X/6Rv1NSY2jHJ8s0ZIAs089UMYVPouyE/S2fDGN3CY0+lvUk7j1k/H7 +v4Pje5G+CDofxIT2IgKL86T66OQy6idKAg9omyKGtG/6I6nNA6T4RQbLST5Qqozc +pOwXDKGtbf13GfMQPfBt70XI2uwSGDAT6ZKqGg6kHlaXb1LipQ8mmg/zBdbKKV8P +KcfqvHYPsM7gPMsYmHJ9ZeQ4eBW32C0qFNOEXdRlb47UbfDSF0kDynbMZl8ZB0Vp +KDfpk55JWmoc8R9pkGnIzJ/b7LWI1tyxMjLuK84fOfMmZb+nUTrPp8SAffRRWpXI +MoP4xuT3l4uDIrM5law36MrIfnWF8COOBRHYGG3R9hBD4HLyo8p4nsSYurTUOw0c +GJ3ADATYEAgjBJKrfWdvo5o9vvwP1W5aysJhvugm9AdADYHNdVeuVJrLUXCs9k3I +pWn+D/k3agL/nPMbh/vxs1og2orW5bIdXn62uZDumuKBkDjKTWECSRtFxnKoITgB +6wV9xixLZ9YQRSAbmzT2j3pL9brY8DFhYoXK8w+YIo86q49xYDNsvc+Df8NjlLp4 +vAzaRJaKGXRAHxAoYi/sL28NZC/LofQmZqVtzaMjF+ldBHxo5ImzdJmBKool6mpL +yIS/IoDpvg8p5vB/Qh3DeC4+bs2SpM8ULJRM/1o78ZRO7quGd2MmiVUKwYmETDmR +pA+mLONMJbCRbNcuq3y1e0CkaGxYgCPF9HIs7AiRoE7ITgVmi1D8E0v+Fllo6t7E +71brlXrDIgb8ugv8O6YCd1cixMzwIAaQyWRnDastiZQm8occAwgZ7zp0c9yh0ovj +F9M1rUVj5Sx9EOaQC/p7O3TStiG4/+jKJXMe+3Am5A8DntUY7oN64qZnQ6CEZOrA +FE8+ViOhXQAJ0KGtPp0i6e1GeBuG6Id414gswpzMgEMQVKKyHCJ6TfSjDRcXGdDK +0scIaNVQWDKNyCACZnTtHYvSZDVumN9McqmbDBOVQA298O3NyNekaoeKA4qG3URE +tOiAkDylWz19hA0uRm1Cy1TBX6eMjzbbgB0dexL8IkLv1AakiSApqfO9spv8y4it +1dlOkFa6o6MazqrizzkxkEDYXlhlLLZk5OxE1eCnB+96i896N7WTMCst4vtFVPvv +BQnXx0bbKU7NZTzgsd654R+mEyDubufxGEDREYM0cM2mUtALqSvVa8f9vMYZ9qjL +Dn4jmbHGdi9pdzoSuEvocUgA0vhki6mLbwmRwEXs2lmrEiXtZZVWbebuMZb9XbcI +42hz01PyEF8FnH1rkYL0/DtrlnqJhm6iqlfLRDPwD+IMdCnKyGwDPdIPKjeG9DZy +udCUTZGFIy5v1bWuMkm4QbVLF36N2X9G45Gw6dIHpy9REjsgynrCctXck5EPscvt +0t/uh4B4Db2+LtkQ3kHEgeFtq88NA1WCYv35hvf708xd3jRiEj+ZukgbbMKdH4ZV +sdwCfkIhvE4Hsj60UlnPqBrlxOperso1lDVlr1Q6tMaU2bysV19BEGRzi3/fvdUX +881LUBiF4Lv5b0hfVO2KoXR5HCN1QBFPKFSkn96a3Y/k3+6bP34hNNZI5ZpN1oDc +0p+KR7mqx6tnQa4Bq/xX140JL7Yzx/jPVTWswPeZw7qV1GvUfEfifT7q6WMKo1uB +YlP9QiIkj40a05p52pthT/BB7T22UsDOmqHRSInKKgpR1wPEdGv8iJFEHpG+QleV +/qTY7S+5B1obXSz8/X/53OetguhPkKqTEeGWkaw+W4Z69zAI2mFn83W5b1SCn1Ji +7h2kIuYUXnJ4qARrg/GlLgPJbfb93QKIi0/5leEnRFAxkHfeX6oW2NfKiV27AwHg +lJFQMrgFw78Cy1CNr6u9vYvO0jMi++ruGHHzA6Mf4hIv/txiGv/SZzl+7raNsMNs +yC+fojXagfyxdVP+ZnXql6dkypI2laeHAcRxFgKFUwLUBLSLFTjTRZVfqxJKMlEc +L7qAptbdrwL2UbC9+nXLfqEZmKr6Bab2DeFP9gKfIOQw97Sz5x3z+tdX6VzXeJ8x +qByaY+/7ta3DEhwCme++heCHzFDeihwFyoyF24vzzTE9IdSzPDKoGrvE6xJX7l8e +fGeFse+yLnY1hpso8I6Qwx1xle0NJXpHCf0Z88oqIgrkP0rmQzb1lq7YjVBubvZg +gdpup3Uw2ihXIDj+XbWA6hxiq1uGzDhO4A66Fxe9hy3qcJEKwmiy1MMymhMeeUGO +zBX2B1iQ9FoWKQqEzRug8kukMonFpiZeLZYw8GUWBKl5BonhyzqGdDZNR69bz8HG +oXXbUlu940bkFfEFK+My21EkDD6yqK7g1cflKrJhh6Q7CuhkbBJCbFNnFbqxe/6x +i2wrRwpZJlNjHy7siO9+rv563Ba3tlYKNKLPk9ULN1OFPMCEwvunyTqtxZajr+z8 +WBELep78QdGgwa//hLdD+OIWRP7k85hGgQCe8Y2nnSaQWzIbjOIm48Xo5dk9R26p +ugKFdRQGr4EmurjOqJX5PnUdmZyH3RohnuKA2jDgEaoHn/LQBZalfGLeNlsPbxOr +mqR+Bc8Q0wrBviBmaIklfPRQ2NdPZYZY3FigcDlUvpr2IuPCG/XVqvB2ThZ5THyM +EPV8vAp2fjd4Bhhb/ylXZK5P8tHPYAq8IkRXmU/T+n9KBNNAppCoHMsfjQmHLC46 +tTd188EEQyiZrKUlapdstkSLkZEQGfDSXJjI6WEuWsPqbjZWyf0WELLE8k1OjYfW +mE5d1atuZMf4oiV39pPzkjOCWUUnTZMLHPU2uVWzNTpJfzqFRrQt6lgAoMwfFMlc +SF3B5IOgIR++pMAiZ5C4kSI4UFwLh9ecwk5NX3K7vQpbJJvSt6LxZjAo0RK3WjW5 +ZuX6O6I+UK6knyp9kI8jDuBb0b3UvFCwvmNT7SqYboSa3BBIgSQEJIgZZAS7AoQx +GkDb2n5HsYshrJIzhZ1OAeYiKMS7gmNRpbDibEX+FNy2n1FzH0cvgjhKqON0n+VQ +nc8CEvLttSec6VPCf/XZiN7bYENTyanzbIvNVFeKC26fTd0JoGr5hJM9UiUYfZbh +Zu5hTjIspmsQNZ7H2niTnGDt3L6WUcdf8+zrPduA1qp3ntnL4skFe5Fe2ivgfeG+ +nZIf486JsOUlhmZ6itzoOoZfR0sSmLabcYun8zBHJweoarHBalcv+K+teV3bjhnV +0XA0ehIodhtq+fwppe+xQCZsHt5vi/fGHeW4EEZKQWpIpwHE7OK9aUDmZH8LSoyf +DnjckMMzcwiqxpGULruSC7UrF6y4CzKuHtNSBqYEIzIz7C1SxGI0YTnW3tL8KZNv +zliAlL60MZxsUj2dJcY7b9J/q4ZzOo0s5XkJyBNk+Y4FUoCG5r98OerNEnYpTXkn +CXSFuBmmYHvck8tyrLX6sfVFcbDVAG7ftCmYz5MMFcAIuJPnhAHWvfw9Zr/vdPiE +2Im7YfYcHwcVJofr5LP/Y5M4QuglOeZIOC6Q3qqbneQHvuJfSsmZzBAUeg7FtZ9m +a6/XCvjUQiN0MynYge/qKLj+++Nr3TA0fHRHVW85noqvr0q2IWevkyZhDoTtYfbZ +l8x25IZ7PT5Fkyh19grg8AI557LntxKi++GV7Jv496kmpEOH3wevxPfqzjLc5dHs +TJ47MQODQ2TCZY6big/77Lm55JJ5b5JoIDQAQZK48jge87pfghWHDvZO05/MUyC3 +aRkuihMv3SyBB2D/pcmSeRjxtJVi21kG63Zdllnyr1R+oO5shH4YC9jh/YVbu3aB +01JabFou1LllnCvv4CzuHTFWzKXG3+7A1HG88/M3FTv1qdLhCIyGXkiJKAQ+raIF +K+ekvfNvXkmhfGoY1VyRP3+b9FUBIl/pmq6wiDq1YUjYVa3AbLajLcf9YUNCpBSn +77oFGDj3G6sv9BjHscJOW8JsyXTA1GMHBJAPeyO1sZEtBTkqt0xG+nJNHBJTGf90 +If154IH/sEXcU/jOjkWH1QTpwHvPZ/N/8zqoTZ60f10Y1jzV1rrNsbO1SRFNDW+E +0TAYpvQkLafJxE9s0Uvd0K5o18WGoYRSXww/mICKyjEvo0NOhq9thRXb0QwVjVE8 +U/VChpAL+uzr/tKz+MEjnDuGSw2DTO9jQULSLfwKd1lXUIkJfADlMFVg2uxK8Px5 +IMoZmuzoUHPyAEpKox8T7YBTl2o/HHnoGmrDjY3ftnIVUdFkXPul1oR7/O8WRUX7 +2Nef4Sa0jMBNGJDKX53I36dVerRqf82wa300PHH5Q/wTa5kNx96CQh1QbdwcYcUe +fCYUT7HwRGOgEOor1rmJlKM84rI8fSldl3m2BED+PxwXIqji0+z2p3BAayAuleOi +afBGqk7vLObmORNE1MlYaMq5i7pHs2sDnUuOK3J1IMv1TuViNkKNArZmbtL5h/sY +cNZKeKv/ulS6mhkXpZh65vtI4Z+KhEBULbqBGodpADwbH+u9PWzdGVGJyMgtIK0Y +FdvDOCwqbXeJB0jmVZz5xbjC/AAB9xpKcS5QP4Kn3A3Hge1cCuwiEhYxHApMXRQF +Hbigkx6hM9VIeaUTl4KNmF6eVpQ2NRPZG79dBThOtvYCFvLAF0e8G/5ganZ6iPdn +hVLkTyRvkLkiPtZkAqYzvbFYg8tMcDiH8QnLlTL7+47PLVZeVfxZt0hqW3QU2MWD +MOHzPnLJzdEeWjeWHjaBt6vGAZpETf8CeB8cCEM4to73pVOT9NxE1O+feN04kxI1 +mSN1zgFvsO1yc9JbBifflYBTfM2GG3BKbHdBA5RqochSj9q/n+aB7ixo1gIW2zZL +vEgpYMpTsjFffN+yxioMx3kLLjq+x3A8fikniD+eTG99gc/W1K//tFwx38/GmVzB +oBdTMY6N+s1c2sTijNyB+4bfeuc/fl0mIgpJJ+RGamhfm3Us4w5zeWf7d6ZKV6Ls +mdqYTSeyvLD9rk8f/y9iTiz93a936rdo77/ntIwX8WYJgT5RF59yxWcfTy4jbxDk +cqntljrMDyX9gHNvFBwTLSv+kto7L8uVt2MEgzQrXGK9JoZcq799ZeUBm4I3rGD9 +FMuC6QWpgFsJCJUVPoke4GUxQZSWr4U9cbzaOSqJPulQOoAVQK9yTFP5f2f9TlyH +wmdH+Q0oLXi9P/w8q6yAnu9dSi7nbrk9MASQhThuZuw4+kxIBC0WwVCoTx5BcifK +2tTegZBvO7aIalE00pe0IFhBIkvhjrmcDKWxX3naR3jOp36gOKeGo5jMzw6Yiz6+ +f2nGkGJSH86t7/SUyyzVs6F5VZN4yoGaPKfJQoDUkB16L3h4E1AlK7WgrnTCbmLD +OsoCsELqN8pLpTvVx6XITEigjY7wGQGkdAnSBTlTm1SwU9+oIe1QY+YzwHjC5Mrd +FGFyqdivcoCS06BEWCnPILFQCkgGbK3D0O1EwfGO8JYncC/U8NnI8rH3OrG5svv/ +GhrYE7y0o1FB/IquWTH9ml559MeHLJ8Irz5dCqTE7dLF7F73R3ToPv75K97PuyFw +GMv0+Nn1ObzcRXpLf+CYozIZjr2oI+y1btptJuEs2aNo6c7Owk0nIJeARcUMfL0c +AhgLmZfqvET2kg1Z2fgqhycVmwvwU75EFFY9d04d50g5vWENWzNvEydhh19ERbXk +n+U+W/KMlXVnq8/rtqIpmpkBOMGZwt8aKUlf8HCcEqzX3rDmCTMnTZtEUI7kRiAu +vlxSH3wL1Wh4RO+JJceqWp9rHw9F6kSgVSldSdsu+O2UE4cFmj1e/jPv3d2g+p2s +KK9ocRckZYO+XGfaK+kkA7NlciYnOYcRMzTkLaUxyHYshKzNDrmV72H5mawAXwpb +IbiMZkqbM7IH7qF7Gfa5qToGma0rlV+G34fJXhFbLJMWV7ghCtTJZTvyxXcQc/w0 +qyyYRmT+GVi6vE30FgwBs3Cf/IiF/WQ3BZk5edMBHOh0eT/LIHSbvr2ZR9IB1zoB +bUxZCmDIxRI7QiUsOpqHDQPPZ+rTOQrbFTI1MoVxdn5NIRjvJSmoZn36SrhCDhQE +JEIGaM+b5D+apBMXvZVPqbmiNQhDlgxDTAzVsnYxHy4Kj/fXkoJ1iYmMkb070Krj +KIjgvZ8Y72r4/HvKwXPIGvCPAJvGDnxMm9ekx3CBawYwIMDiLETQEedmUKSFop1Z +4Fu6PFFi0qOZFGC1VKfIagQQh2eBYoHlY6xTDlUnQc4khwup+VD6uE5Twox9OLFR +vI1XOFz39tY38/IC1C2Iy6H4Gwd6JYZzVgSUiZW4CaHd5iOc0/INmmv88PBo/vmV +KXEPwi9cDdg3Vbpab6B2i9XvgsovZOVeUhabHNLVZu8ujM7XmeHwIiMUAoDGYn9y +MpG1qdZ9v9KpetQeev9DeqhEjHUHWRyi87RzLnUww0H9IXv71RGcaYaBV/fTvYz9 +FX+3jg2jVZ2FyjGhw7ywuD40NYdWqMdJTPwe3WmVET+SgfFQ+SEBB7CZ2OflPuYS +vL24Np0I3Db+6zf//wGmjxnTrhGMVs0EsPsrPpEj1GU7qRx0ydk9VNHozaJo7/k3 +IicpASeoSrpJJFkqhXEvwxJyM3t97JJDtPjR4iGASjn2AFlG8Th4aIPgmiUYQLDl +EJgKceAarmuIwTDRHiM6Z9eVpDaEDtA2rQpPQt5RZKj6b3QKrabTxR95CQgHBYo5 +pJN1rP6KJcChmeHb/JktVpP00GcOVCWetMzP9mBG09XyyV83PbDYeDsDiwLy1GBN +HWOjCp+uHhxmtJ9ZkG8hbjaDvqxoEW7H/pUjw8PkTgGz0b1U93eqjtJl5TcMy4Yr +bTTshcToyPfx8IpD1ASx7jasWI5uwXY1vmARzAWDJ/8YG0iHsVfj95KxOxHgPosu +bx4fjf/P5triajjDwO7fmuldeQZt9SajTt7wPU9uyz0W400/Ut+UWO3NfZpd2Ads +puKNDuSfmq3w0KoHmT2M0fg28zuwo5u4GABnhea+cCIFDOGZdikdXTSBJD3P5gkG +JRDZdq76+tawAz3+CA454kLKZ/4KWnA/WyrXEgJi06QDk66Vc/ONn5Xn8AXg/4HM +aaWymOcgmZTztUxRXDnd3PhYjiwybTvgexvBFUpbQBLP6huLS5BgED7t3WeivY60 +DKxsaS9yTxMQ3eytlieH/ALopf8O1y+SoNIssiN4KkQENyOtShQu7vwCv3YGk9hv +PljlbCHlYzXmho77QBAjqC++RksRS1034CDRZ/P0QQdJQkyWaCVc0Ww44zm7xQsV +B1arFU+KlB25/We0CbwUmHErc6NHZapJdPZcvAGfOLzXyK92T8PQ8bXTnCPheXjM +R/FD1Y5FO7Hk17ftMOLR5mfQsmv/Bi+RleFLqeGI4Saqb2teoMUiCz8F5Z4t05ou ++Vx7XaQaP1VolC33s82ieXbvaNGRakN3QAByHDjvWCov8fnUI1/k3mIqbbJW/lGn +6cFS4d00RNsRilAJlyGVk5TTbxkF9kT0aSP4hf+bk1MDDfpda0geKjjtyiy8GVJ4 +vj/fulw/eOas+fa/ZeoSyIPcSsBQQ+RigBZbDgIRjgtVRys+DB8Nju59cp+v/Gu+ +gkWtZx2yCVKckAEXFxyzXg2c3+AjOPapRTiK9yX5f0OffXa+Cqx8IAWF7bRhekW6 +jFZn29lmx2HLrsIrx+aMOplNSHAZ6F1dMq3OY5PA4qYvK31gQ4BVPMQb+rF+ZBj0 +wApbdq/I065xMuXwSbJ/iiP4FrfkB32Gy0nwT6ANn5yHilf8d5PshLWUU1dJUGE2 +TZV+u6absItaoHEBhXzWW0rPcyfYwgektenmJNefIU8tB1MlbP9mMb2L9FsZmrT3 +m3sUx36mtlT00aOrA1sdasc4cvhUQa6tuwEn6+dUypG+x5XDJJVhEWSXShTyJ/x5 +rfEl67yJA5WzD7nDxrTWG1iJuxMUCpEOd6TXb7RVOzg23WpaEzo9OJKwRt55jhkR +YrG5R1YNiw3tWZW2skQiXdd1byTIEvXhcACYi9at/Ii+jGq6JobyRKwXNDlE3+XW +HIfOI3jsRllFWRWaP5wLQ9VqFHYcBqFJhl8YIB5Bbcc07ELHraFGQzP9dCQpg3zp +dXKWCNLpjLWVztSIUrIDeiDIlO1SJFrpKJbx6hqxdPU01Poid2Hf/hTzRKPX/hsr +JbMbDaWUU1sKU1i3ezmKSzqtqI/yboNRowmKgjwQYceeXpZyavqx82BkNU1gCcJh +SWxRIvX3GxPUFwD5IILU4Uv5VaJHQ6P0JChLpEj2E7U0+BqSyoQCnAkJ7j9DNbkb +ORBqyDiMALxbpDzYINIQD0HaPARZBoRAsb8nUh+JlXwJLKfk2W8pxBDuE8sShNNd +sBn6Dxp9hiqgxPMhXF59FuoulpmI+H018qxDExp7hOK1khvNSFMvSvhLyj0fz5bc +4cdDtXNdFmGeGrPLvrfEVTZWvGR3DFeKuyd/cZMHTQADCvxKT+ty8VnHAxlS/IG4 +F18uM/ZCWybV9FlKYMo1mP6bEy7IlobzflmhkAkskVI+sYyQ7zIdNUi2ueGgI55r +10thbT+u/94rveVj69B2s/qm1I5FyMm8WhXkDu9PV+Em23z6higywhVbbVW21Wt7 +xH4QspbSnSeFEgXwgpRVYW5Lnlm25NNNctuSQGI/dz8RdO3AX+nTR8xkwPIhVwai +8Jo/ujS5GKI9eUoUVeFnDXWpqakKiVD3UTtIqeUsbYUp7+ShFZOP1YzwYbFrbah0 +ZIsIlttDTSufTTNN4//xLBYyF6nz2FS8JbHwBGUI4Tz8MiqvjxHrOpTIka+bK7tb +o9KxK8T7dRJjXq0u8Bc47opuXWPr++eSc9G6TkKrAg8CKPwkwrrjiBAzYeRVCP8v +yeNjgPScgZFkp2tPk8JogNLImIOue4nkNdiULSJ8CIv7r8RmmbqMwvBG33kLbPaG +7cBYZXELTe9ngFEGIrSqfIpqZmu5roxWubaP69gecCry+HJk3b3igG8yyBTMSyae +q35J3WdtK0xfVmWS2vhW5JYvcBp/yuP0QuM2IK/OhC33ZPrxIfqpWqqG8c95KZgg +RbxnJSPXehfEw9eB6NYHtK/HEa9kohLICRdhJZRircXXxWPbgccWNjpWQeyVx8Pu +42ZSjdyYAtBmjCRb93k1eE7gJyLq84YvD2tc1gMGwIVq4ljXm1g+tAGO8NmTbb/Y +lZgKkbMrLrNdEPSFKbUky8CGcxrW2tUuDuKRtKxZjMtXHnWX9WWeVC091DOLN6NW +k+/0tWwSCqG8DT6c2ajVLGYCfCizb7QXdprg9UIlwHReRA4juBikgcAS9zHFKGSC +BdwtrvDxJlH9KMce7eF8e2ajSkka4haLZqTOqUSJzZp3fOWphnQMQwkchaorsVGr +zXTOGAB4Pp31q5b8nrmnB7M20lDeZyfTjTYaTOFd9r6j5DW+4FwMAHvJvLPORKvs +uC4GdMShumVFknOVYIYnf/ujxGeawFdlRuOXKotQ5JBqClJUdY1shxOnJ9eqgc65 +oE2bUthPdvQUM/oPt4a+L1ZqTd6fNvfj1cTYbLXNytk/Phtne1IVEmcgUeqKrGh5 +QygUHLFZNogibYYkX3WuDci64IrBgIoGDKfOMtp8opR8QoCAaSkMGBcj4KIKuiN9 +2Bat82m6Y3dAjOjqTlhMgf+x+cyK9klxOpcECpFcFg+MFBj59UhVWoZzYCbYnd4K +jcz10EwJcScH74/8Kx3oC5v6v8p67IQDVV18+5pML3T3hGY/6bK23PXaEaaIOCsF +ky17czwvRTFRL3qP24Ud7SyoElPAhLMNvOac6vnRWYO5jHY7D7ypUkhnEXEyeZri +35X/LFtzqcmZMALIem+p+RBU8wZKkxBlgmwqQzWCdqbpmcQxwrUkHPS+7xSjqP+I +KRwfytr0RN6RxYsY0W5IiDUhZNKFCb4zSFthJPBlEQv2fI7RoEiSC9YDB2tHASs/ +tihLmJXPufkTTWfIw+cbhO7UksQE4K9jui8aK34CIoGXTMCahx4qQf3dlsUdZim8 +eGjEvE3l53rbcHNS4F3r12v6SkGnevtQaE6Izg7sxJ0gjcJ4oMfP5V4qdU9ouWtU +K0t5DQfzLp34jjoK05vGjswAgV0A/LoRdwc3iFjwSjuxkDY4EYtxrzz6LWMecpjm +VO9UdReMgxfX+5YpZNjM8myM5cBDHznzWmgU4xliUN7PxuVEbaKZluKiG+nBP74T +cp91a81wfmYusgx1tVZTlHjycdNbdMRMrKTKOpXfl4x826urSf/D+etb5SqAANN+ +K/pUhBRRonbtqVrapQPYdHe+FWM9F2Kwet2QBduuqu6o88OmnzmvnhbcaphHO6JJ +ZIWGBZSHlBO79xRbpwgc89xREJ7Mxt3QtKPjnjopZwxgY6fhCZWo1BpMUKk35JGr +BCH8ZonEU8nFE5tqtueUm9NJjAMe5bFYZZq3vFMcK7SXv6yjTkYaYx5sHBmT5ZYi +9JqmWD+SdWN34ByJqSNJyyPJqdY36Woue7jSO31cWi+IpHgfuoF5wsz9dWh0oMwX +mLibNekMkI0DURmc1cczT6/KugMXIBR/eYpHu9ZCGtPv4ZZHKEuREfiSpCKeNPZa +FAR2T9ZF4ZYXN15dbbxUoMYUl8LUa68AsUyvrkaD7OJi/n5gGV0y67uL4WiHKM1X +lpymPx07uZDaifGsQ2k9/pL2fzVyJsRgTKfyAzK6wZV5EYPdUCS/lkLX6uTWjAjg +8fZzxKg4EEdwPIw7pi0ADsQNUyLKrFX3QUlUnGREUbdi+mhOrjWCZuVPymESVbNu +Up1nJjOBgHPC81D4SZUsoa5kTQj1w5rJGXcwlT6c3KXIyMy8vXa9lmEhABKIC/RZ +8T7uLlq9RdIqllkPms9bdPVOrLu69RkZU1xQ6kSt2Z5wL/2wxz0UcFqmzzMN9PG6 +emaKw8bZNHcLrZ2X+4hp/P5Wazt7kTkpVz7liC2YSQ2n9dLAfvYUDVA/wOwVKU0v +REoJ1bM1KeDzdbbfxiMozj09u4CPaR206LPcJZvmLyaBYLUYycBC890nqVwk+vdq +rCPAai7TwBz6bNtU3BblEkufh7AdX2dhPvyT45aEkvnErXWbcORoFRps6jI6GevD +QVYC3J+oXONRqL89pcNvp/GOQ8LYUogxMaJkiaeUwyW0NmZnexoREZGNys6aHWwp +hfuI2p40FKZ3wT6MVgtbfldvu2e+Wtifke2Ll7cJzkySbRkI06g3BJ+QZShVHtEk +XlVx/oh3p/CIcb5NMim0bcRgNyWyg59uFJVgBpQmqx/L/JjbuVhsGVnVwfr440eQ +IgyAYe4mVljl0DPUmHulyccrpfwXN7BNhJk0/AMfvLOFlWD+A03T0aYWHy1amk/r +UsdbB8W0p2axoYmm2Wt1E7GaqcFSFmSOLZQpiuoAedyiXs+9kFaTqcEx053qxqqF +x7bpECy7YOGDgk/gC8EJr3SQFMgoUK4l4UxbDhwZR6yeDeP5qEDK81hnMxhcGTR0 +S07pqHHqtJP+rH9lLTGda6tZNM4RfbDj+/9xerk7K8v2pwVgRySSJlz5YUQwdUDQ +SRDKwW6bH/pG9kru9ohBaRnQfZxqv4u42Cieec3uhEPFVOmXUMy/+IotuXWcKzgn +Q0opJt4hzIVdu1HSBLUvqdTiTotMb3RTQ1smNxQ2r/hRfzTzE2qnC1Q10iLH34mG +bqNMLzWf0eypGpfWM1lStL8PKcAXubVlmv+NK/Dk3FO7QFWd7aRlYKzNx+0E9kCs +LUXqRdvf1yDUr0TXXWR9KRzchsLON/OF8DtJQSbfHbzh2i1cB40WDacfGMELzBuB +v/X+RB7a00GMWrJuH3tdvDeAEz9X6B1UugukKWm7dQq4klXtnkHBk1FGO43pAQYE +o2dRR4GAPYNuJvhEnfyB1mV/bxgl7Z0bA3cblO3irQwQWG7TUG6jzFwNxFpyZ5zA +bLzZDm/1ZkN8lZxxUFaY0IXjK8ENPwSL1UOvuK8TjNF18roGtIfsB6Mwoqm39iA5 +BSBFSHTnBPwbHcvJFJA6YTA/MiabC3SJXYtVqbUQ3uWK/JjY6JFF3lGQSmqoyitw +WcOUawhIqjNIN34DQWhNLa66yoh5A0EvMfyPsFqPScnvR0oREDZU2uiuWrxGDUUj +bC0fYgnnPsrCMwlmmhOe4OgZvXYelui+dKLfpO9b+ruVRH1pQinZnoR3ccNWjAtx +wD15kE1YS3601MaRrBHkm9n4VafEJCpv43UENIvEP4d724x0xcrgtvVqBTDP7TSo +tsUDLEyMBNfxcEPIQQelcmS2IRomSUMOYcMazIwnVIbUWpYsuTy8t8O3UkvAPIOO +qLmoS2UgLvW8FGob7SwevDcTJdGIPbfAL4Z7U5X6GIL4UE5fn46vODPxSJHw3fRj +l+JEbchWA/35WMfpe+chL3qd972sro0NFkAlXdGB61tj65piphCeoJxS8Mln6woC +NhOQMvmlx3YcgGF6axnwoRozgeVCpU2Ye2Rqp9E5hGCT7b15fzEXRfIVPY9q/T6h +mc2LldzlMzd9FVOnF4BepC3P0o7n7vngQwEjjNIE4vjFYNaJHLb3wqfMIN5pNiE9 +vg5pGXgO28q764pZUp0xhT4F8oVJpXoAFvrzwY+RB3VREyr6NWq4DsdOZ7LRGCWC +lbCuqU4+f7A2g/Mlhg/BL5uo6zk653InB1e5bUD+y6ZG9LcJGYQwgN1GVV9izQQM +493SxJPiPLvsaof53oHwQo9sQxISekHTSTwucQY2P83bESMiDzMdVLAFSpLD35p0 +S+2wpPOCFQrCfqDtHO5d815Zm6M1pSjj3qUwUqrAExEznu+1UTea9qyKX7Q3ACTR +Cso3KfzWnwtkbN9QmxsHotYpczEhYGvGE89G25GvVnN8z+iXafMbmEaayu8E9QZz +pF/PHPPciFS/Rm7l9Jkvgi4/uMwpdhx21R87thA3vDg8I+FvElNM1lRtvNzApTuU +ob3tIAcK4FMJ/u2d6EwJa+v7nYi4Vs07GZnkVFtrLX5GNdZNEJnTmgSSvQkOcRPH +HtFgOr4uLe0l6ed0YkLyQx7Uj0cG4uoL96t50cEb46lSAyEjTvv9iOHcW1qeFl2n +X0Qg60zHehlpd6is/7G0xIxPXi+qFdQVSrFEukhr87MZGm/ZBVv1DwhWCg0uM1sD +anfG0418qNta17wHFBSEMyalHyDoyQk2h6hxt1yupPpqGib3t+U99mSU1Jv6oE6I +SkWojqEujzsJ/loUASHme6clQfX//a+94NI6P/OCMnureg5EJnUG26fopIvM10pN +w1/e11lLiItEmxNBaCApOLdbXf4J50/VBAP2VsZPJqq1W1PRMU1DlZOXNPfWe6qN +L+oKT8GFLrDXk2C9pPeLZzQXzZGlyqsBNr1lBtD2jX7oDTe8PoQjT+7SX9QicQK+ +AYQXD6OgO5jaLnqrNQ5hTPsWXBr3zXLSknmeWvtjVBv9CKB43YJKMWCWqS546ekZ +KKFLGErhe8QQS72zGNt4xbNFVmP1FfyuLu3o9XPdVVPMF3C7DpygfEv8A0nXl2nd +sUcRyJedxXU4daAGLmZ8wK9cvqXK1Ag37ka39Dj/rWKx//+5jBl3eq/AXsSii7WT +o5l561J5U9LpE8vA22BNqnK7k7/UMAMT5tHpIfyxgu8UDN2U3fE2vkgE3UHAOPV4 +bwIP4xcCf+H9shUBcUW4Cx4D4tlgPqEgfIkEov+suReDjm79ujZwIIR3ccLhPqu0 +wR0YnYRvA75XUIgXKfD5T4PoXWISmPH17jBzyoktQb97HOUhKpQYI2uu3Yn2W+LZ +a8B38tk5jqAmjvWwVQvWEXtWTK1Tpgx4CKOvLY1OLtQrplTLxPJztYv8SbGuzekt +pfEjYGd/Q5ckldos9MpYe/xrfDr/AEg01vko6oyKUdfwIC5C6GIG8nbKjAIF+L/D +wRZizASU0L0dxhWAhLrN8+OKNe5Le2j7oDPrS6nx7wmrPPx3TflDI26ufNFSGN2L +8AniPw1hyyu2gOJ86sq2oP3dVEmysr4KRjpyzIGUpiG6lIRWI8ugsBs/JTnjsGNG +kjRMbR3vthK7ri52MiYOi28gSsK+iRbzYrhmq/Apv2KmyKGTbG9OgmYEgyZnQsmi +WXdl4ZHbrqHIQ9ecbQ9FNxht2w+Biz51icrq5qiE6Cp94jbQVR+uz9FXFwIHf/gf +zZD2yew5FZn1jFbUWG8WAMjWRTFA3v3RR00gTv9BT8zjNM5HHPz2sJk2ztmM2lSC +WZzbZcBtC64nnvwuBiR29faA9VbUrS+MLPC34lCWV1StnM4HTMb1cyjhtDpw3u4s +pCpmZnCIRbfral8oE+po8WHROOAGh4n1Qw528yL15g9q4nuex7899KaqiNJq6TX2 +6GS6nqepXpoD4+FtyISyrTkmENTpa23SLQn0rGdkGkspkd05lRRvUvICuaKL9yd4 +7FxaJszTWHFbS8ZurTQgaKDifFIvWdzFskqYPE2KqXWJI40D2IPQxgoGv3C/RzQ2 +l1oLrgN/UX6Zek7tlBrpkEq9cOhzRsEvY50WQwcOY+58GP9aAkrePzP6QAe/GyC5 +jsEjhO55/ubBtBhqBmUZAyPmyqwzIuf8+f/0R41+kD4rLSkXbAiErvzwXQv/sPye +yVUmWXxD+YBGkS1/EDdCC9CopH9kJk98jhEtYU8sLROWmsp8XQeaKEXTNQwqa/ku +xwRghdBYqf7Zt9Mhmv/YuzP8pbZnBfvd7vSKyLKpsQ/MSqxjet/64W7n96g96wu5 +rdqGgy3Bw77YNKkl356ve7idzAq+6nXIz43Ue5X/39LGLyOR8DJ4rkyz4Taq8hwu +ePkuLpry56V/n6w3BhCOHxJ0wzS4Q73p73rZPn3AogWWEaBNRC/uKdxKwlMJaVXA +Ml9tivfhXyCluszAjmyetRjXoHoPqJGZec5Ic8U+pYV8nFxG5cZyAlAQ5BoiD0Cp +NBB5Kx1aCwa5G23089w3UTIsyXnzqS3NZyMQbiym3YMsdeQwklV1+wJUK/xIZEAZ +mMZ+tcaZUVnmWExVXJULTuuN0zAhvgMEJLEyr770b9b6cwaL9p0u1S8dbV0NNRQa +zyHJV8D8W3+fKCJwkOXDiREW/cxSdVz/OIHY5n3eT32WXmlabT3Nk3op/m9EvI/W +04n5Q/ZtlcOCL8SFphFuZz0ACAzVU78J97EKwZltEFymT4ZpnqW90owoT+BB7l/w +ibQf4B/+x3EInJ1PI2bHe+xOBCIpzwW5i6s2I21ASjL9QALrR74gLV7V33vuwlPA +LjmgurC/PcBnE8Pxh4TFofoZIMVn8MLP2brv17S8g9cqh9H4qg0QrFRH2DUjL+c7 +I0GNrbCFyVX03Zk8sCfOydZPmGD56gnMqztrkyyfW2t2/exqggiA+XcAD3uBcohL +/m/mbm0QwCYS0R/wLDVqn//2uEGN4sVUAifgoXG52ASXwAlWrZOiHt9SubyfDUBy +No4sBuxAqMqplDZKa+S2LUKKU893X84/WTZTDL+zWzG27QpM+kisNIEXfl39ofAe +eXtuklJ1vL94LVUIszE5516C3bS3G6HPfpZrLFvMVBTHvZ32TgzQnoTDeDQfXXZ9 +5jAN2ABC7ao3eRsIAK1AHIKlk7QfKu8pZeJR8zA5Vfs7VhWRzOoM8USXcNYf9RKJ +1Z82O2oVGN3geP77of4cbe3BL7c7nw5WkQnJYsP/Bx9AjVdwerr5jz3M9P6uLsUk +SQnOXdGMTyNu0Jpl38O/1xvyBQT5VjKvpcDr/IVnV1l1BaPGdiO6P9j+A/L82FCl +UkOB0/spOf11ne8DSyHhaU5yzCU3sa/bwgrr191cyS8CgAqXJkCEn/iu4R7O4m7s +tmbg97KPgc1oE4e7qb0RZ6sv7v8YmegS27drhXII86BEp6DX4icmdIKpxagX883m +28872NK4QmdsKuyU9EChX6hrSBpY3T4UXKp3JPofffqUARs2eIwZAeUEBljJCKqT +IyHoIQZX4rQHS05+ra1N8Rhz3bpiBw202bnQ1XoxPchvr6ftFviHs0/pGEuVjY6s +M+LdCBg32OyDCf66kX+Z3dblEwuB08luBn7eGJtY2AaQAaHNvoSATFaKTH1Nu4jw +3hUdMQJ7X8sekqYR8DBU21yFsXuYJOcKkrl5siXm61yQYg2xOxL5sa7LK20MGMN8 +fCanq0br2wa6mqjQOIC9ZEU1/hnyYCj7BBFUXD9Aav4e8cXR8LV7QlF+cDePoaWl +eLaG5lyZKSAXezO5UZ4t0F/SAS8pFz4blzRg/ny7cV6bWpO9L82qegNiqCePWNSB +T7T4KHqkki7jUs6dInNBAXjmP491JdC6hFpAHSkdRI31/yTI0+nedkaz31eoyOmK +2FM99M4DzVMwga4kvrCx1TR+6oi5Hbq6whiYNYjnRj9xG607RK/Yc5Lmg2f3IYGc +g6JmaLXEeOAP72ZFPW6Y75i9KY4SSeENTxAqtobT/hhvO7t559m2/gEClLHPl0I+ +qk6NjlBHXg5/KHV05Ba454kWNagTpIg/gHUAqouQNcz2LY0QjS08ycg5wTUBz3MC +k0/pUD+QFtB1KTUr5Z/RTfNBTQSyUcjtKV3noqQJ+TnDriGdOCN+PiExbvrSLXJF +nN5NY4Iu/wGuu2F0nohzwf5AdF276YCiNn/5UNQxRuioSp6rx2umwpsVtXkH8WuB +MHIOzkzfa3tip1gKmu6wDGw3SG94SSL3rb7C5K4El81s+La1XgBNHrC1K9fuXjtw +Tnf2YiTfz8udjhOIeLiCOpbBZn1V4FUmvznyNoWercQ5WNj189qrcXLdwQUzloqd +iBxDpuUaUMFXoVl6v7m7qBuApplL2UceG7qbxlg9wcpqrD3QGA5+calzGCUmOdWD +zi3mk9ruToiwGLzs8YN6AprlJOkisNr4wO3n1rIuOup11GyJ6MvfKEQqMgkvx7Ia +wWHHxpafMNkPV6+tugGm7l90SMUN5A9MLvfgjxnwv9tJqs1Pj/gmocPKawhRbe2N +LybswCsSY7D6PpRlR5FgYHACCWXcZyPPk25zvv5vb+YMLE4PEsYYrEcLC6QO61P7 +uzSVgo+QEBbKgtqElQ2e0/1R4JqK/uMGwre2sPFABk8bfGveMGXy0X7m4oFUP4Se +FWOLdOjn7vQl5LSXESr6JHuAu7ZUCGgPIJ4imlW7yyAIi1l8nuGYt/4R4Y3gYkPs +CvWvXQXTALyctXvKjz03kb5io+aDrj5iF9MjA4kKmhClDu3JfklQ0SZ4+FBu26qx +N/AdpgtTMACMtSWToJ96YY01SoPROeum5Ee1hSwD6bayE2GvPKM6nxA/+57O2MIB +hqWmzN4U+nVpi8A/H7w+lMfmVi43X88aUcjXsHJDwwUmEumi9p6AnTCin6Cz0z/S +fsKUU3M4vO+cYIsr3MYBQ6S3I4sbYEmpSdEKu56p953KE09iWBck7GvXA+tOH+NY +yGJotWyUwq5dDW2fm+GbcHySZDewFY3wk1hp3DmXUka8mH0Kj92ORwcYnuKMwc7s +fT4T6Sq9L0H744kK4kdeU08JF4WJnKhMJMBKO09NudPKPFcBCkgfHwOi8O1kxFqm +hva8RfzbAOL7UjmzW7If8OJOmACKhEPkDGRdKfJ2LxIlVUYiM//qRcXIeFQRhXpj +ea4hWjlrYbCFdQ9CGo5Y6W5odsEnp5c3rUJSXpZRUxuxlzUNyIKsxEFVl4O2TfU5 +q81GmDIg8ni85y6/tkal7bYyxvZj3BQaHUT1kE7kyg1rLlqIB1HWhLopIHr3fDKc +v9eSyHGnCsZF2nhuERjVUxXavugA86VkERpmpB6/8Kz1KJnKJ1c8pFk3O4ovu+Kv +xWe8M7goURUgD9kQJvfk6ePTxrDbtE3Ksk4sO7Bn8zg5u4NkprqHCfROCsJ0+fW0 +0OuPxp5HCGL7UvW2Qt88JAdSUAr0BZfckz+Li2liTCYiBEQptSLzJyVmtcD86psd +A4wouXDxhHCs64gtDaFOPcdOLp6DuVpST5f/9w8RNFxjbZgz6FdARtwAWiT5eEhw +DIS8Ni+sTJL3ovtQNnyYMPh9DpdY35BreMYeueN/oyY+MUMZ/H8AKCdCSoDM+477 +qwzf2Fs7bIGKjUeEhb0iWqyGsS0MH2L0b2iKSJ4uGVEgwYY1U+t02gAgy7gxJUmo +ROcZyfGTJnnMkXPnsZh4pRoYr3SmPTntKh4mcJWuMPmYCxcFIeJwx1Oq5timJ2S4 +ACup0JIUhZ/ZTgYdv1us5VoqO0oVupRCzJpPWMQmgsXJEv0W3I4t0Muf+kMvxVR6 +/FJnMsuN+1BhjI7kPTua8X4Lf8TR8fhkYRwa0DtQZ4JX1GtlXNxN+pVsFXhls80a +n+lRyenJ3RjU4pm0PgMOitDdHoXsJBB4R+iylbawybM+0BcxnsciEN7bkTP20AQ6 +iIePkYzISbBwcq/un2Zv58rcRqNMAh+vROaJ8S3sSg4GhMG4hB3mjlOPwfI4N1R/ +s0wpAbgcKTMuucry19ODH9IVSa1Rj8tYuQwAd8p6VfN/A0Ljh1ZxweX3axRNeZXK +4/+LeRWZH9HSnjvqT+h4RzMXhkbikEZpuZzz4Sx/Xn64ks12crHRIGUf6iutvfV/ +VV6980eMVN0TPu+pDw0Cwt6Q5Dsd8+s55J4QDC4/H/abA5njBjMpM+FBeC1hF2cX +BpqA3KEzkPfKFJhcaQwsRRVsF3IhU3eh6wqYs5vVQUgljg4NNzit0T7JgO7XLRRH +gXjxxdF8PEuEX4OY3Z9+b7jAC8bXQXRjuALMXdTulA405HfMHrR2VLonUbyccSVx +xl8lqgjr02cri9bswVo/wUbw46hEMbTQTJW2IUpE8LV016DoXfwAEkeTckkBWvhn +n2GCCcOwbaw99ytQe+eae3b+DVqjm3mwXjTn7KX87OC3W+4ZHsVj03z1e5K2X9fu +feEXkNxHuNbsPzOSdufyxjMGfMG/piZ3RsBXUyFqGoTdadtaMtUW5mdPvRdh3xtN +MEAMSWm7dK+FgN71C7y0YonkJZQhJGx3CFskHuECNpdyl5zN/Q+owa4h6KtLSXP0 +Ol7V3ssfT08Sy+i8xAe3tKt6LnsVrmL7iDdW31eA1WiwudgxEYuDz+sy6qj7LJGu +SjMy5DYAtaI9Xmou+E7PWNxA8XaCEUYy68mm3dZN304L6wmuM49bgKozDz0die+F +FsWB+pnFJhwUCbIzHbuUcopSaQhf/xmIcdsi+pJKjR7uBLkXtwgCPEv8TpejICKo +v9x3uW+M9xJN2wNFndY/gKnK8NwZhDX7Qb9vNTzq+vabY+SpCXA2/EiuaVqUFLsX +oGF2OdxiZyl6cGMecpgHj3cYOJnzLqfQHgu9pJHLO9QYnBaU2og5w3cOuXa4sRl2 +TUGS8xmp0uCjoC/d4V8+J7+DLTVP3fUxQPu25F1c4uHY19OHqugde2qxZ2q81pFc +t5ShyGF1MKCSPFVhvNMaXEHPfTSpf9dV7AVjjz/cd27Xvk6G3qMAznJmgbj6EE9c +mvOll9vAu/b1MHFUpbP7bmdqPie6sk5C+gf7X6Q9fr0WJ4wQCebil67B0FUgwQ1O +AuPIANgv3hRAPItWQRDGVVJuV6dyMyS75ThA7Boq3Qz6Kjuthkx0Mz7TCn5qtFXL +fVSDWINSpvddJausJuz+nMQiAR63xJmDcfEKF0clT1hiAKot+FZHi9n+AGtHUH49 +KfoRkXiJxeFf5jK2+1rsRxDrlMpekMhB5mN+gdX2kV1m2WteBBbbrxtciyjP47dg +/3ARSj7gED7Sdr/A4xLFmK7xsQtizHGkbOpeOtVTc1ezrtJfMY2RuOwuy9c4SL4X +Pio8vWywmb+mCws9IRhTDeSeoMsaZgElDN5LDZIeaUBKrDIZoSWFZ9ML7bOZRmbV +9Od/QW0JFbsMtEpkbBeLNHocAhBMu15D6OKXSjTUFZT5F4Gqkk5dCajH5sokv/pE +s5ywKBCw2cjw+SzbKspYsTYlsZYs+2UfxieROlp4dlxAeothDXMkmKSTntl+KYcp +fLASpxnTlx2rZVtnc6t5YxwAB7DmHLkIzd9C4q4yLSQieXoU0xw4fXzpI+iszXfz +i7etfEcbeCUdUGTsN7L7e2BmujyLV/BKZstzixW/9nmFGPvosgUsm0D8SExvz3dC +UYPvVfhEm5vOGBh3Dpxl9LUThBZHCPnBpF3nr5sEuUtvJYWiXJQjUwGytr8O0L/A +MUDN2yK7k068EY6w31il5vem32XXQ36G1k30c5zgqaAiyGD44DPTF3mXZkydoaev +nc5wykoDEE6S+IQDyHDat6ShWzEgRB/M+nuZnvOyx8HHhpRpfJSR/xAlLH68lGpd +oC5/CICKzG2bDPPl7uo5CE4WpXLs9pPz/pIOjTIcRYWTxYLEjjVrxNn2HhfWPx/G +ja8X6CkrAU+6MgMns1aeVJkxtfNT7QV7S5lb2bY7tNgId7UVLcSE66f6U228rqlg +dV/Drqqd8Ss3tyMgPt7+Gia4/LWBISSeXYKoU5PG4Tl9BJC0Faaw4bjI+8OZjnSK +1oWpYJCdvaSJyrepROYUfTDswOvXaz9ze3c0E5Q0+5rvo0+/zQn0lpWD7uU3L4Dh +YuqlV3URCjwgyZd/AwjqS+wgsmax+MRFpfDXz8dHbGQdtaqs6qORmoUSrJ4vFn9i +Hbq9Xcs5iR15AzLto3JKolXP37fRGLWevIq57xKmpDwzRHKXY97NjJAH/m0QHljL +xrFc7qKGeUwKPa7nYl2mnL/2NGVTMiFH0Ke7bntfydu9FGN9n+97W31E9UYN0H16 +4CRUp0707MpkycR+2jyURHgLsdFu7I1AZJovtS7Nxs0KObwBmAcljFjtBebck0+y +VlhNuBgIZ0wER1SahjD1tI50WZV8h5ijPZYw1QpOZ7x1jQinHgR80TXFEyne3EwB +wUt7uav3nHezRDKOVtwKJ/auAVkUDQTVU2DZUY8FhX3GtGQRC9D5p10nJ0j4gU6o +Q4V7XNdDJn9RBOuFeD+wXAuC69SfrCO2eErN9kMdS7j4bNQXfJ+7kGtojDQf4YH7 +M8uQufYiux9ckpSmZUWRuaCoxCRiA6AvBPeycG7h6cgdnzsFDBUo+FI42N4MaJ8W +hL8Cv5QzJTKEM395hki0DyKHB9qB0tziGrrFdSzntB3dSwEANveKavo0O5j+/5C9 +idR/yHT6dGwOOV9g3vyf6z6PrVmYiGOY/+UKLt6vH6JR4eIFR8EoK7QzCELMTvzh +kA5VtZ9jfwNZwVtiaTYzyg5pCJdtSxtbyBMMeXqnqa4tlss5ehCp2QEXsp2oO2AE +YnGElzlCvBOp73Y8nqjCIwWVSc2ILvuV5or+uQOg+9wBCYxhpwVUqJbWffMSFe55 +fUIxtpO/4hVVtVUVnwo/yiQpnJIHagCdoQFKn7eUN5ZsxpEr9mS0qTmLvZMcF7Z2 +uxZNnAtRrIyZ0+CGs+MNmhhKrAhZGtMJ/Xl+3qRQleWNBxADiB5f5kniMo/VSLcj +iiV/891PIShfT8mEr2wKxwPK5QuyYOD46x4WBp3eTNx9+mM0SlpvluE9dVcnsenS +hqrrlaPjHHmqlfmclwz2pBnRVzC6VyzcNESYbmFk8yxpC9QsCmh+C6yLcAVtLbFk +exO/a6CHUxMaYd8IIihIgIYoLilGkBmavQiEH4ytbXFoIzf9tPT8gI+i82pugfuh +tVIm6x0swrojQkg1ewrsZh8868ZbVLiyrIuEpFVdcWM/IdQybb+Q4PD14XUIlgVS +1SjPbVJxT+3s9v3YjaP/swyVIn85rXD+dRKyoGHSCvlHyr11tlnpqwGcjj9mtGIX +GHwBxt2o9/yApv4favLBzer+d7VULicwmyO9sPy4jJGrjk4u/F8P7F7+HVBwgPG1 +YCLvlIm98OH3/eZKXOuBB5oppj1GWx+ayFdDDzJIm/7+mXFaJXf8RtVmardrVIAV +6+gXnAsJmGWCcG8EWj3EaNgA2hPUy5BW3P8d746S6JIuYxyKKTppuCSpyhnk6+SG +x2G8EnMcGS6+WWFrvtEDXcz20PLfKaibdBO24Fy4cmeqR78V2L5wZ6OZNZuTBdqD +dFMTqIftrsVtNwTwVZnUpo+OtIgh40pEKe91TsHvr31+vV2SrwUKkQ5/7PYd4a7r +ZDwTUyuRYGLvKAnlp7EVGnnoYG3Uj2ScP7fukEn3UpUaKt9AuuaCNzY0qHjULVat +Uh21Vif1QZHdospQo7D/9zMFtdu1uxFQEikudXqtY+wsHhfiAw+uH+nsSk5+yDNV +VmXa9QsM5AixN5o9LZA1QQnSL8rTjYXbal5xHj3hDG2TLkhABjdA2oVGDEWUwwpM +Kw1tVUjP8lNRbDHB2lLmIWu01xfX1Gx9FquGEmY1z9Lh/oA3dQR6v0tUrsggDC+V +/Tsd6FMcS2PnehWuc5ABD5oxt2iQZeS0bFI9dVNt3x1GVQLfx5Vl7WE8aWNkiHj7 +VhX3kcxO/q7VelpxDtjbMthw/Vs91rxNlVm112+vSrvKttinh3lwiVNGq5Aplp49 +QykY1pPn+vHtFotlOCY/UVrxN7NUghWi4wS6D51K/Ccf4RXq5LqVnXXqoW95yFNG +dZQRYLHYXNKy3v9jpA7xkZ+M1KfUZrm/gSe7ez5s5MHAeNw252toQTRvCnEw5Pd4 +EjTbs8jhQyTCvfnqWFvQl+31vDqYjawwlH+K1mYOc7KlIgoajoY8c7KdU2v3Ccof +rHA5MaZhD8NwPFlfIJzrZFQL0nR5ZSEgpNJXXmCKBJlSfxdyZq2AszFn6itP55wQ +cpOLQ7aaZa1gouOr4LHT0IEt2c0sGyP12QKEjNtNZov3ezetXzsp4vuHIjjZBJck +qEdpss2ggdK+mJ56/exEDyGneZ/l1KsnecJu7Wi21/DliAW1+Ygf4+CAZJIG5cbI +3w0DvNeWCEKtV+SWfJewx3zjZ8jytgn0IKEbCTqbrcMxPXcbiCXrrEPmodmlGIE2 +5nVx6uLWp5xpKlqDOfsl4HQumSXRF37YdYDlR7uEdBXrSNGu5UMQWbkqgL/OmLei +6SGtBA4rwpl689DuRmUnuwFi3PRiYrjBx78+2b6/JpprDq2M0whokk4ZvCVyg3Ob +KjJgx3egcFykj7O35vVBKiXTJOPCAcAMZ3rol8gTVfK8aOsI2h8emx9YjQXSVH2G ++0pRkvYcI9wSRzkABs2vNVgw+kp7rGm+c+CidLWFvl61eOT3rmoWJSFLt+jmQAdG +3tc055I+gcvpX2Da89lo9P4tNteQQQm/hCKSzdqrSKH9qvjJ//GgcY7I4YIWNFtX +IVkOaQaXNmIO7fvBUfX+DzvEd47IQ0Kx34KGQmgPfAPixqr8mUpqEOTtwmqskQs/ +hLBrzYslCU5DimeZKlMjErs+TsY/qapm5xivSH8RuL0cuQUGV4t+0eYWGR/AnV3V +RS8H8m3O0X82k7bgFOsToa+Qevcfati3CAc8qKBj0Klw7tPszGYGZy3dAvuMZYF3 +xyUtLc7N3HqrWqtxWJvaQWDbfG2VIheSsA8B/Mu1zSqU5HBbKwdsNw26NQtcFf0V +7o84PZkFW3KDa6QTnDo7IwVCtfJ/riy0s1N0DQX5/Yq7310lRDNOydDvHK6Nu0CS +NJ1fMfBHFKt5rZemei4OfLqaQxxKHyE4k6NcBJuw0hlvi3ef3auzcbzVJMgOGRWX +cIF6G1FtD0d0teBkH82IBgOaicaI+Hi/KC5xmR9/gFuBpWlnFOrOoNb154g6vIUq +s+aybGWgEYKSYdpmolZ4iDXccyGaBH2B39bXXx6Zlbh1Xp1L7ePVniuatr8bWOl2 +TSCeSmLBB0rCqSxaWX2nRaHrwpuoaCBYm28ozbCMH4vEQWnwV/p0LCIJENLgV6Dw +KdQkQcvxrz4InkDIfuXLVqVzUDp0KFTvTydtkgEo5nZ9bT1AI4EMy1GJ5npwobjV +eSOGqlAggObc4o+kt8YLvu4Ph8iCvFzxPZtg327IaLbl4ei4EqYoA+C5qgWknnV9 +oJGy6mjvWjNfasfp6/KeTRCYls3EuW8Mfc33OW13MbrLbfIXOpOCmRmxttx97SQS +8347POGkkp8pOVrYD9ZO1veOnOCJDKacItmpEbToC8LE8mVWDAUcbYjzRyPOGqL6 +VGYIRbd/G6Wm0BOm1hD1x20cp4x89bBajCTe4sLLNjskwbO7vdXA3PNwkeoMNjuS +2d28x0eclteuwmdv89aFBs4qAT/CtCVFVxhG+jL5G79EacmBNFai0hPoAm7saZRO +yz6/Zfu4GiMxkWVcttiDDWrv4Kg2MSoK5DkhriUDamVXsOkO9rGe8XoAL09A29NK +oEQfQq4i1OsnjDoAEaJ/NdhKupwXFOAbubHVe5jHKzh6kGcZVxZtl8t62Uym9Upf +++H/xmhrNxLQ0HgKK2y7CVxOfhlocgCwTN7wF1S6pidJNWcr7le+MXJQtFaWf2AV +hI4FWP7dE0XCabqVCJRvJHePnb1lLx07jIQMgSoWepVEo2tE6W1iN/VBGtlJx4AA +UC3b5fs/EvWsezNE8qVi7N8S04JdfcquKj+GzlWbxabwWNSHV7uIwTI0itDOoJne +NBL0eNV2HWTa+Zrc5N+v6sudciliM1SNqZHGuEbiD0GZBzvIffMtsdsepjSFAAQD +sXbduOxXbE9L4QM7dzDbs5giYtetll7Z5XhyVMw0zXOgCR6pwR6TsPEHmb4/0XCQ +Pf1w2QSc7kUwtg3KLQG83SMPymjGL0T9igH2hJqTaSHnTNkcSAtxXuOr6Twnxw1J +Vu8RIJ/4q6nQ2nX9NDA7A5I2g0cuCOGWreTdMl5FP1yE8BrOKf2ZiWe7wFCqJ73N +QoodScZbfpc2mO4aPPJXrYc3BmR2FfEab3dEOFlhJSBPQb2j/8WnTkg+hAydY6tc +7WKM6BOgvP3TsxGBSl1Q8hkeUWE477A//CmuduLSdRCEYVCTxiH7lGfePAwrs3vE +1pbhkMYQs7o2vbcotN/8zhQi0GtkInKQ1YKyyAqaq8Oce5QUatcIlOlPNhKcDWeC +F9aDFLJOYVnr1d/as9G6n98+fhnGp01D7vFj8/eqDkcwmJ8SWiFsuVflsBqKOQyE +dMsVl25cnuNYUV4kd8pWkKKM0e11WIPxD20AL9aHPjEbK0wp9SaooB7jN9ubG3on +bzA85dMF2logZ2wN2sxSYw0h8TSYB6K1WU/HLo1UURYsyxM0NDkIvUqYp8vZju+v +8BbCM8baxcdr7iQn/ujPtdrA5+2b/yQX/mZeFXAxa8SWw9WACweHVAU92KW+vadd +Nyla7+/4w3NhyL20PoCK+drEtIm7e1DlxUuAHBO28YDozT2cJ4waoWYcE2F3GlEn +zYg9Gd6z0gStgkBAhrMxb+c4lUDp0+3lSEixyZxAwUWi9uls3UjmZOHHJYWJv7CU +T3rNfh5WBk8BOFYYszk8rMQnkWMiZHFaj/72M0u7MNwq59A93/ALqfgbGsN+wUPb +GPEEwF0jzVV4J90QXbrgOn+skD6ijRj6cKFh+ZgZDckwdDONwXFcQYmFIkaG6Hc+ +jhFPQeYIXtxVR9VgM5oyzliKi0U4Ltp8px2UYRXEI1F92TCbzhRPKw0qDaVfQUHd +S0mN96BqdG5SzqSrMQ7IvJPOmQRP/4cN2A7brDEremWGO80XteHN/IkXM3jdj3vc +9IUBjo3iMDydgIIRVBbo1bO8fheOJWxe+AsHs5ncu36c/PCQwkoDLf8BMAPsPPvy +adMPhXJ/8Wl+JvSM4Qpr6mRfpNkKMEJaeUW81EuhIb0r6wg+vEjdbq97Pwn4kiEE +c8lyOg5lIxCD5jNR0iLyaXX/0lKsVxT5lIrgSZWyfUkMy6u5z9cVUetyjtLYO5jw +accZSkjAnGg+TuLeTxZJfV8wCeafLpgMEMsVAcP6onxu9yBFi26SZd2nt8r3YZaE +m6MwphzPEOphOn1YwO2W9hYSlGIqpNJJ3XlYjgFoP/65pRSiUHU9wlZHLOvbYfI8 +PpeJaERUQLcm4Sfteosv7Q6xtS8NcXDpMePhOQUXu8hXdTM+TChu/m0bbWM1KHmg +OnjtPveau46+geM1AJiujVBvP5UjreRj2z5w73zpNYjpukEAG6h4vSRHDJELpLWR +4ovWAQ+YADHWI0A23sS12/MU3gUcUNwRoRWV4eTI68JZtyPuV1+CDeTHIF/4M64M +YjJ6WCgx2ms2D7C/J70hQTHkbIf9Xitug7PT5cY1gc0NVYsesQW42DgkA5S5YS9f +JZmzbBlIMWyE/RCRmUov5ZW5u6b/oAOItfQPxXx9qxJlXpvcG8Ww9ASjpYyCb124 +WF/HZEmjxQLub2ziKtinimHZtiUpG2vWtWEY1v7T7yE8NxMtqNgL2+bSTXq+Lqhm +tOGDBgcFFW75njXHn91u00z63wNd88ROAUuwOaEYrOUr2LB1HyM7IpvxeR8AR01w +ELr8jBeVPsqIVD06UrUzaFFUBcaUNXtM2kZRRVecqHMZjL3HRqcPtRknua7bqOHI +45YUh/06zvI4IGPBrNr3VA/EojTcCiXgK+t751GpmTNuC80MGaVH/gofkbZ8zNs7 +cca1LmCzKKMqeYpca1uVvMpHBXyiEq5hyn7RFgAdJdJ5qIjnqssy25WJJsyJcQoc +sdBWdaEyEy3DxkRI6dZyQlaacSbv0vmgV4b7KpunF+hNpsr1ytejo1mEChtksWwb +uZTo3puJh13NOCRgibD06HeyWiDeucLxqHG/dMrHVe2qJYflKjkYGqunPQItSwKK +gOPI3550KN4+rwhpSlGR21KpHON3FdvcCcRiXUq+qzQl/w5f9UlDz29VpVhlsvBI +Sp4AyAwgkFxl35hBMUtSSUzmByV8qsKhF1J0RcpnLBgZbpYooa5ZlqLnA5Qres7R +ykXoXv1qhI1/qLAFqNprtj+o3bQ6RtKLmb4uV+Lh8M+lwBhQGMgH0MRIFYwzTIY7 +p6Ro2uJDKpebOW0QFpiWBine9Fqv5VHzrEc1ehqEniSPAOgAQ0TVUDQ68YnUJ48A +WvQkBcQjxmKwXkV2e2EBllGh/etzM6G1vagirStWw3xnyqAOUcZxaxUXagDr3w31 +x7uOewF3xeQm/gS47pCXUq/WNZ4c3w5JJFrbDHlXNUwMaXL4s8RHDj4Ewi3mG43W +/6tsHcpLT2HtQ7WJYD64zB1GW5MRfp4nqadlLEEnnwAUA6bn0CBvi1ki51zV5HQk +HjSfl7fuNA7x8ztJNp9VDW9NZddzLvaBiBy4ZktB8osR2rleA+cgi5ueJI8MbD+y +8acAEr/opddIS9oVZUY/7vc0uilQqS1sEyoFzyM8g23/Q6vS0CLwnQxzW5HbFGla +JdxNQav3XFO7Dg17EmOEUZJ1Gi4/nGKN7om2kpwG0eodxQn90CTrhJ9TAeDsf6RB +TNZ+nXAf2K+cE5QtxDqo+75fpPLkEp0Cv+kGRV1EP244ymkUSBKEkQb9SaDnxEP5 +aa8inRK7/rY7RnagchWm4l1IH6TdbPK9gwlJAVx0xV5ztsr0/tSe2QvaT3SUCAs3 +oItj2ZR1xS5ueuPf3Qsz871UkP2+r57nS07xF04ctQMdulqyMMhYJSf6shrmrteK +GPGceONxFxs7JwrvZzFmzZBl3rM3CeBxpdv5pWFkJlo8T+Owg6WNpLSgs5ilpHWU +ObXIdM2TXbg2RLg3va8nbpKD5HgBGzjFrdL9Jg296Gmggoe2unlc2QAr3urGIrE5 +1/E6nBp3UvfcLTqb9rcbvJmrSIWNEp31oFuYf4AiFn6yDSH9ZJt68LnjOUxpR58r +2/SKXmbU3R/wQsluFamrpCHZXFxtQ7SMi2cdxBQYXsz0SmeCDbrW3FvQdxnnpA+M +tnyT4geeUa69eG+nYbDGCKA2IFOCNyWWKJRs/CA2vWb3sfGpEr22F8W25rSxtn0A +MwBpx+Z176WTF6yTnmaCm+MAArn6u0VVgukZ3GiG9Oq6+/n2y1eIVHGs4wUIBEe8 +P6e27WyORAMJ0+6Msq4q6N+CIQfaNPEwZhpbuhMmeKPTjgbyZt66+pG3PRQ/7D4L +CCX4Uyh0SXmHPVSZYoqH371rE8wvOjlTJ+mHiSL/Vmfl8Sg2ly+AFojSDW/4gRcM +Ec8RSojpqrzyxSjvFyTO6+o1H9QxkUpG2UXoz+QNAGMPSuPI4ux5VMUI+C94Oieg +lNlY+/vaaCezQCRWAQ+NNUuT3894gRCiZF8A+qPtWHX7oIRf/JpIIokQy16oUsL6 +JacWg8AyS7FnWhx1BEAlhbllM2sPlnbqGD5Rfki20Gz+Th+wMrvWHnalljumu6lZ +Uqe37VNLg7X6ksNPzRvw3fRhVsbVjcutBLnTJN6DYYHR9p46owFJ/mE+pdHg3Hg1 +KUwRDhZ6zQvVy0wI1eEqy7KWbw/I04ZLdCwMiXycWR1cYSjhjJzy4uyBXtiQKmRF +1E6aVw4pi4IiAQSpxXBul6me/Sh6fZbQEwOE9ZMIViw5yF1gzvmweS4+FwLDFuJp +FrAl1DItDgxLC3+TRqQebk196C4896kNgl/9DVGQ32BE2Ygw2Vm1wyKm3yO1Zv1l +zn2A3j9i0637Ts1qlFjja6dTwg6uGXw7J5byFQJ0YBN88QRQ9DPCwpPVxn3GHkgU +NQk/KeMHbcaZVYqrDr8vu7lPKQKOuLCilNiA/fq1LkiqXHbllM8oBSjLt9HdUlEH +1yCldLhkk5QuZeq8oI6fZ4N/gu3WFcn1mauy0Daw30AS7yJqfkyzMGMdhFXgsMyL +nzNyrMeD/d1WUE6Jhk5khCY0Que21TsuVgMyhUFVfadXodjpYl7ZSN5WETjml+OV +s30aKW3q6ApmsgIXu91Ql0Jfshf3Nolb66xxjas4hVLASPOz2u7LByfxfo28KaV9 +YpmbuXNSyBWyM2v1xD/f1vr644ooGWzQXWBIbARQQ9WObDtu3fBdeIg+UUTsow4i +tMrMzIc2cuYK7VzLoREn6ZSuPNgXWlwANOFC1CUvvtlG9PEawJnhyLaDpED/T7KC +zudT5R+3fJAZk6kIlUDXM52VhQHeKoXy4g9sF6P0AmF2CEVZ4vnZN0f6dbSaRHYD +8zIb7edkgCT+wlKYH1u7PDe1nNpsfbFaVvk+txpSh3Xg9xUYNEcgxjhSGGxbEq4P +28TXe2txJqCLrAnV5NnMrAO2qHdGxI2mBSFuk1d2rzVvAGh8RqSnTzR3wX59s5z5 +jP5dtddHfcsQJdFLRTzdMk3NbYoYn3haUR/l1HJ2SfXlOSJFZizrg/juOocm6l/V +srmHasqgD3ah1dMzOFkmyOKwxY9u4586YxYFNQDOwjZf3bwEI00xVwQ48lARpbkE +1ybCDq9iOTPGny7PGtzGuFt2Vd/PnKV3Um8irEFaiFVsjJjNkfg3KRKei3l3hPix +hFKDJeux66M2L+fJwrwS5Ru3T2rj7BgyudtaJ2QWw+ZLWxB5HqC7PUY9oai4v4ZD +wxfIwQA/l2toqrLYiHExNI64MHeOQ3IwgegH4N8K9WGjiUCk7m2jU5CF+YOWBuMF +c7KnGJD1GE0W5WyZAd7gypDmzm6OOlXAi86O6aK97T3n25mrBmEVx5ESWLst3YfT +i+ZJ8mnZD0nzgJcPEEYs1DlOHP82Fw8/JmyCIcXS4MYADQlabA5kTVbivrywKjN4 +H1XsKtD53TneVLIhk7KHtNgFoGyDS9x0/6LV5ws1poSa1zUnxsDJBwcwK95/fX8a +0w6UU/Y/k6w6NI5BhxXdoVd5SmteXxvHEA9CNoa5qlT9LXjvdZo5zXrXBJX1GbDr +T50PlCLPORANmkWHWALXJLZNw7Etv0ekkaxo3fN2+6PKt9MR9W16D1S3YH40vzAG +HrcPardbMHJ8ec1oiFJKuZEuCEbxQ+VwZ8iAuTsyUx8cDzfLPuJKRpcLh3L9XobO +DnTYb06haxYMFfMLKemt3kaqP66jUgFkfAP4te2jmTvFgTAgDQJp7IgclkkX0fq/ +2/aGrNdvcM4+TS6tbmgDTp72qJuubKyjpkDJY6LKeXaFDBjftXnDWg61jJFeK+fB +yY+yydKpy7wldzdndMANYN0jKICXSqFMK4ULCjlaAsRJnTZAP0AqadO27vOuRbte +WQejxSNnTh2WmILZ6f1oppgSfEnHYSzFnHN3Wcov2rnSFCMrsgCMCGIHyxOVBa+Q +I0Z/3GeJMbJFmxTMrEnhsrfpHID7pkCbN/o/RtHqg+t/G5GJ1k3F29tvq43sGCy3 +ecNgcBKP9xak/3qT/6rpp47qxLsYFeO6LWeEpjWuzLkGDxMwkHFWJSlXaNuVRbEQ +PllsI/uUJMiIyf8Yuo3QdTtwtPYXXntnvgQXH5GgHWHr/9pbAWYuu3T1VJgjFhZo +MM9cHp5+g7BE0rWI6KeIk7OggMw+uxixxTUWaxtfeUJcpewHy9z9myEnsazu884z +N+9vsPxs/hlm+IhXoNFfqenzdd/K4FNaPwza0biMbqBMw6usUZs615v1WTb82+2K +3G8bMJIW1JWW1hETfJtBrDuACIaCwPkTgA7Dcik8EwvOVcl02WWO1M3zZfoD5v5k +dkBAAdXCd2rSgpufyiNnNkahYxAE+huE1EIyERIPixqYo4Koltzh5z0OqXgWMuYP +uakTp7unomYTWBD6OMmuOmkDqU2NOKN82trdqtMNw/qjkmOFAOC8HQLX5fg/IfjJ +CSWG0oPvoC0ZaLujxxFQwVSPGQ+I0mUfj0NSXWblV79Psb2milnkXcekwBC2KzoT +Y7EwoSvLQ/IyuzaHJ0lcs31h7yWfrxxfNssDeOqe73zX6gqtEb+ZlpBafo72D/Ni +DiBSYEoCDAZn+eBCTt/cmB0RDw8w9V6y/inMWgb9xSAVtrWEzg1ZrhWx9GTC2s6e ++HtBcOiX1FUDjGhEoXX/ahQVgzRFhaPrz5CIMdf7YdkWOX9IBKM+OWhQ8H6zkXRk +RUWLO+Tudq4tKeeWhclnRqE4xAh4cPOdAYb7/dl6llWroFmjly7mSFOShnuwl4yc +gItYdDdNQjJoBKAXzzmo6ZsB8O8RBAp1/QuBsY3GJGXUJZDfAz8CBts9t+vPhla/ +3McO/++FJzenLiYApIgpzmle1lS+8i9URq9144UJ4ofzo1eVYJ+pb0fi1YvJzSjO +puCAOenFMpcqGXe8wQbP8ckTcngqsIU4vd8XT6fVuU6ir/TWAYtoS6g1BHSn+YhL +khZ+fQxBsM7R4q1thd2qX9hWebpm6BvuzK4uFl9s3COr2nCDYBalIkfj0TmCEy3s +rtlz0QBUA0wE9r+cWWT4SYgrNgefOXrxrYbh2pX07oAUU/2rskhf80OblNeKs8Zz +yFuvMlcW2WOO80MnbR+dk6ETOfYnZd3lqhakzw3fXyqnyoUnckLZU3IYj0p13HWI +kQARpT2ERSrOk9qDWHJ6UNVAmNVxBE4VpRZmc74tT+x9/TW5hMncNWp3YF3qQqQF +QPFMJhl9XLucrAM6msRCOcccvvr6xIe7mZNEGUIboNLHYv1s6D/UqxdK0aEE64mh +ui0Uld3GAno9RCmgpkCrZekyRx+j1RTu1E02wr+Kv7lKyCILWp8dbsiKzYMcCbLz +B5MPo3IR5VGSoBP9y94c6aP38x8vxfPcSqW/jJ8OBrVZWEp6bGr37Qhzx4QaH4tc +sokKFngBTdXuD39PQCYJ2BYg2p25X6KLkGb4K6EFhk8hFO4qzpMV3sRo6+fcMms1 +TndKdBORPM5ArM4z/jCZoR+HrBlCu9/Ycor98kGDPMUVtgjB+NuvEJp/T2GqYR50 +L0qlR0tcoomgG0l0Dm3hvIwxRbMn0ZA89sBvPwTPfYvUD0nDSK9xrtLnl2Y7yvqT +ZxVQA+35xwIy1DMq6sVFogPWM7/sVCP8B/oFkPf0IkYSEnAhR9U9NbP+Eiv4VAEg +1b1D0Oj82uj3cCJz787FtiEtZrvvYDItEa9aNBOGKESqX2wFQoLpM/3RA4sJuYMX +3otKBv7fqMF6WzwCtwYl6lzaUtZMYXWr6VjmsZ4MRjR1zngjewWP2D3xlQpGyBup +tk8GX0PrARH9l5rMXMmwaAK+uiSdTplXFeqLrthEA0MkdVAk1fOn+hZABNKMbw7n +OGybvWS1PI6qtUNhcvJKPqfoQq8NvX5PxB6VkIx2OBnVVpsvDeeeJs4lep3MdqqJ +MeLzv8euLdlnIPoPO9wB7GhLrLJSzgaV2Qtz3ZQrp0Kr2z5Joq4JHxTlIwL49KXk +H3OTI9RgvpcXzi5xRsoKkBjNWXTgR4Zzk/KNd/3J2SKXhAKWjlm9e6bJZIQY06Gq +KRO3mCg3tcNT53YkhmTATQzcRZuer40sT8R/qFdkXchr1UUkoGI2QZp1h7eLy0jq +lbq1AE56K3TV7txFHF5AJLN3WseiubXSvS6IqtfK9UxnTBCi5rfBc0YksLDxmek4 +eSFHJiFkX1iiS2uX4gXJyaI9CyrM+cYewfm1BEBClmjuhZoWOIujnjVkdTs0L8JE +V5Wjcp7Z9GgyrXGFBqoopXod7w25YqPYhtJ4mINiQaIPYEbaFrvev4xiDHQOmcYB +NWhjaeCvBFi/Dy4v+PcyhudBq9oxYV8gyXTwKmuFRJN6FU8LQ1WG8+rI+RnAqQRY +yu2NazDIc4EmILBXYUWGZ0d3ne47t8xhdVvdqA8ZDRiaBC+xlFCeI+Na8lNkQ6dc +QQaGIi6cJoRGM6/Gsj/1C1WtwMry6yhrZ3qJMuYIHCa5wkzKfxAb2Qx3Mvrttsr7 +x2A0tpVXvladdX1DUwciWudQx0ZB094lJNV3wxqbwALVvrFRdfiQp0aJ/JeSHhH5 +Z3rtcBjwmjy7aZzjM6rzlxAT7NjaWs7orAPOKSPecN9Q+7c1jRO6KeW62XHSRu2D +VlcilJIS46bhSDRaaFM1gP6UucJ7gtaqieabOz1EiUE0zkLQPEPCPCQ62S0C54AD +Q//uwoXzpcAXnVGP/pYJKwuVO5rnon0OHC+JUtBiTIGzh1JBtNC9zMGbgZrFTfrk +iav5I6eejaG2UwwNWXTvOcYCdb2UoArnTHO5qk9UcN2E6F8UcWSjzdwd4TglGnC9 +rr2Z4d7YdHTUqMQ5VgMgwHKql9/1Da15Kw4M0PHEY6wWQS3BbUirQ7cepgqISKSL +rETpJzebPbvgmynqjxEcXgAEpdcIg25Y5wNYDH+jWvCczodqxd+FoF7jPsaOOqJN +wvomkz/0lYArJdABJaGFcHqTMZIxQA7f2H89JCyJ527N8G8Cp7wTzRIt2HkeANW5 +Fx/DIsPOqw5w7kuLB2S8eF1tUiJPX0ZXp32uV2Czuasmr/aG4Yw5/qgAMU6sem6t +TYWHmKHA1zS5htGkSPxJkz4pOcv/QL6xgRg80/dT6x3+0u50NOCDpgv7qmkpmegE +rA8MyIfcl8+sF1FT2hqh11858eqfL9gPaboW7T29FBSVJFuEaLFotf44OWUMmsEA +0ZJzROaERNznZYHT7AIIYDY0V4UeU1Xz/vrQj8rooxVQzlJ54mVylfTh1wnS8zp7 +p3pnJiJSw/Lo/e6k+S74rA/EzNBl0yqUiQl4QVwOjS5YqRdrQc8OJCCXoEPtxDUE +8S7jWjyzasUjm2Y4zzvf2k1AZ92CVPXS3HLuAAM4uzic4zpKSl6NiNPqDge2td8e +PRgUYdqBEkG8y40MZEqtNbpx1J71zTfm9DQF+RosFM1QceGNaHn5B25mkWX2r3X/ +7PdEiE1evz28hNqjJ1jw7JTwSG0EWjo/6KBIWJnNEnu6b8Wl0EMxEpjSo1DYl3d6 +wiP+eaf5ct+Z9FIhxJ31ijn9iWI32AvbD1RvZlnD19o+Kn76miTUsrZTG77EmpR5 +G9gxXV3Zo7QKxptuyNjPOq2uTpQIaOLL0kD4Ko7RHO8enR8Cb7uaqdSr+whVc7lt +j+QwmqAPSzCe5T0All38NZnG2zW1kzlprQbNlG9Zh+IgvZ5F9CPoQHSkMNlcYCzP +aAAib0r4aOIoeADce3hF4YShKAaiJuoeT6wBdfentE9Z6nOVKmvBq6LBpMZ6K0jn +uPcNAi5uoPQS7TNjdEWwMAX1CWYWCiWDfCgrEs4e3BCOWRIxElvi//jLmN2g3rZ9 +IiRspvvaCiARuM3pP0mCezkeIuNbwg4h+5WPbCC8ooDe1VLXRXJbyu86HcfLFlG/ +QuQ/o+SuJxW0QoP3JTokDoqkcwgVm6MXRk9mJvTaPAg2ALQKGgw5Cp5s2NGimqn6 +QH15j0FbSbxg77IpILXieHfez27V9BqqH0xTvq7ywpfzY/K5u865wEm5AxCxFdQm +yFIC0dGe4lbLctutD3a7G0yoE/l0E+R/lQ9sU75c7lPnFPsTQEFt5hikJr8AWVUu +yP/JcagT16KtcOsPGXU3cTvU0huos9nxmrXqh1L6M+JRu8IKMjxeZmx3bE3dklDy +UylGRxZzErXprRuzDWzyKJgWYmJ+YU9hNikDyUbWolXCSdxDwHIgB1fTqQhq7Whh +Q4LUTkWogm7+YSs72UBpTl2jsyEHUNc9z4vB+lXKybJ7RATx1ksLBiVOZ3BisqX+ +QtmPQlF/yLkem9od5DDuYY7DelSiCnXw7ZQrEaOB0aLOXigplGlsnVR99CDcNsV8 +4TYm+21rIjEKOBh7Z7c+oTXuB8ibka90EORfhSzh/qtYbnJ/oKg4YKZ9WprzdmXQ +x5zrEHv2QHojwlJsC1/o3DGRVOvw8YKG7LDQOEXCtZKQnwVLBglkXgk8TQCxaHSD +0fz8a32OnIm8clKaeQdIMIU16RT6zyo6Q0K79PjLVBuoh6PkR9oFJ6U5XFkYwynv +sw9rw8i4TfAlCssVwhBh28E8Szd01Z7tcNUDxFNTO6vCDkR+rmOkDGO+rTa4DbiL +ZorjrhhKlR7/Kiig/Y+sGoC+EweiXraVRTd6wEUYpIXVQ2x/HSnwmCLRUQrqJ3EN +IkvYKi/0/6ZY9WrTQMdtsoaW/xkzZlDz/PIfYbkWBdH+ii1x0hi/tdN8ckqBbAFa +m3QzcsAqmXA4EutVzA2fC4N0USZhTuul0DvRKJybIBmfwzh0f2BKxBI1tQl8Fx1U +Zm7J0ImBPO7IZpKZDXs8d2vqLnwTm6jLZXafd0o6L3lBiRh2q+mviBm7u3Obwg4P +rsIrNsozlPLWk2zQD0j60TGYa8V7CnZ98TTPxwFPxaEe5wffY0qBfZHbWCmpbLaa +aRTk7d0hhhn7KeJ/tnUpEwkny5mVXPtZ7mfySPI5PICw6qjL5UcF6S4uZtpdUpJc +BGqWnOh0lup9379Ag88PO/OGTaOSnjT/Rv34Hbp+GQwP9TMOl9fOsqESmkB0Fxwa +5KfHP6JRiAOwkzk5wFGgNioPJWN7s1vmve8ahi+I4MA7cC3jYO8PnNLCvP/ncDok +csnIyHX//+yJ/X6UKyhqFNMXHRX/ni8NDoqTkA3zjRnxfiXCH6lgUZGu96uSpZEm +dBdHF9XCgdhuyF7quxf/8pgljjU0/WDARismsxAm83qy7Zup0oblKW9h/v2mz+Rl +xWajniTuRf9I17HvKw8uc01bzMYZX64dXz6GTGKCPSgGBHwM/Y52PXy0M7MdrPV6 +tK/UH8hyH/hWP8y45e0Lt0bTXjBe5UfwoB9n+Y7KvLRTCkVac/skxx3kdJgnzNKl +K6WU2RnWqmsG5nf56o5tVc8bNKfbTJsfEqsxaLpqFWzSGFYSTQsBP1I3Da6LgGjO +JdYd0csWdwvEiOGUCpaKExXrefefYZoteQlG6HEe1TzMfaQ+er+5R8gsjBAZW8jm +9LSqoFLZMIcx383j9BZf08+KHMJ8LTx03OmfA4yZuJdBjrC/FUaVjjkuk7Ynxd5Q +1VhoPKiF6BCE3ScWymHkFoRXbItcn93AijB+xli39nMqD0AstELUgeqEm9AUYXHG +NLAtHmREFchohgR0hOcE+6qGMmqE42sQQ8P0rFUIhvvQ3pp/fCR43zvXCkkrrss0 +ihTScVanQOz+p9/eCOQbyhqJZXQbntisRZHBitao9hWRH043ET64gzzqrp1TeQFS +nnDE7AicSRqZtLcEcKffmiWxBR2YTUshq4s/K23TZpWhQHmdHNwN2rS4+qTwBvr0 +OnOuuOe+r/Vws5xufxhRvzUUv8VEEQMDgUUizCiWvR8rhQAGdgd/cXM7sDMbcp8m +Y4c7yXkcK4Ov772PnH0co4F+AZTaOz94Y3Lg/vWoO0SGWOT3CWI40kFKn7fJnTfI +RMExMcm43n/+Ed+jC2JtV7WM5yDROK4CbzdMwkRhe9CFOjVr3uj/OOep5zC41SjL +aCidkBqPPHC1V6mkXrDX56/OCLVc51pjt3sQ29yHHKpXL9MWXOI6hRD3mbeJkng7 +QEiIVDJebMMVbXd0fXJiqWGHoWzTthxNcYDMAL3AyEBsJeYl+db1diM92tQABS20 +dMMn+MDJjdgBHPUvQjkvBkIpn6/RU0Am6QnHjRPJnqvR5V5iMHOfHWz/+9iJpIJD +dB0YswlnsMz8kl4ao1SsY20ya5Sl1S/fVgoUnGt3YGmoOvPVNSP9fzO8LazzGKEb +xAHMXNWeJq+ZIysht7ZO9RTP9sZczyZ9VDxc4PNN4XxkVBkLGd7xhD5XgIdZhals +OaL7IwuIeMXjO7T6IcbOO/hz/nNJXQf16kNEt0wS/gK3jH4f2/OvyIwkU+6hUyTV +rTlAIoIps3jJxMx4JMC/7IMldrIDHrZd2KbytVg62vCnwbLJ0uuzy3vgU7b/y1lZ +Qv3o5+hLlndsJ/j68ZqWv1Ethi/FwtOKo/swRxKCvigQQtxfA6VVsrPCCy+zAMrP +VffIiy26m7CGXuDmwix/GjZbW+XGxFNBRKE79goM1pZ8kJaS7qggZJb5P8VhihJK +PP+juW5cbPTjiwz39vH1vGAJJkQYNouNqAFs1TqNj2WeqNtNPQZ6b/mHx1tc7hta +PXOTM25ISL4UZSTDU3XHbm7YZcHN3t7dis7AGxd7C2oeGRowdNgCxH8oWZYDuf1u +T/UGwa72W1f6MG4Jjf8NAA8xK8WLmsz9aPZDoHvRmq7yHxcQApU4U8/LQmkd6skn +c1OB5eWYZSfa0iRaGV7ssM74W3z3frKKOudeGbrsWK6GXQjhyxD3aWWTrKbPKYUW +4V7wYxG/7PCsWwZkm0NAtxbLmc19ag23HMe5EftzM1yfQ3VV1c0w5CmVdDc8/Qsa +Oa6UZ4GyVUMTF5hAVAChzIsxkfG2j0evBFnltil6jZOyIXnpd9hS1oocDAvOcmFX +Pm9CczgEjsMZ27vyGg97NWTR/k6Nniqqo2RNSg4krpoOmXKIVosy/WNLC8p0dyV0 +hVESqKK+2Ljc04tCfHsi3aU5rpfWgrQz5nQ3V/A8pnPdeV6+x3yEf1HaaR1wuv40 +sr44BdUSyukPD/5dwS696KoR+gXB3xlGxiPo7HGZwQKGpPSC0M/coJJOD2vey2Yz +Pw/aYJIZWbFJl3rTMD1Mx8iuZ+rlV6YQIYMmnOkmrQ5xXpkSyP6Tu1Q8aVbu+1Ox +AxbwnFMYrJyyu4cprRHWp1SkBRm+tMZg0kX6sxfS1vdKDAGrdNOC03IQ1EdMzCDw +P8lAplPl9nsMQHA2QPQHRBsTskjk/iquZ5Px1OMlPP1qc7RGK84GJdRkq7Tu9rNF +Q6bwCxTgdX1KLL7xAXyaHnuRel1Ie9xp9SxJET353K31tFvqgOjaeGd0VUVZb1cp +xMCDKUF2qD7EtEXRBoAE9VIQgcfEv3fR2cO/aCvoUL3THHAzJKUdZ8gbLj8328ZA +8iDQ9UI2RsSKwLfqtY2bfYl8ohs9aksEB2kZHpNl3ib9WLifZziODxi2tBAfuW9u +mfOlNLp6rbmtkiV2MpVWgg/3C6C8h5/rADwGA16m25+L0PkqCVqo0POtwdwCyLv2 +32Pz+tikzfjglNDPUbyZlrgmgF0pkPdQgMOetevMhWXlvfQfseqzwEb4t5mcIZK9 +G+elJUlACfYJaFVto/Aj1iyG7usC1A5MfYqM/6yH9pDh+EyKGZGQfxVRWH1sExik +E1kOdZ/hcugZMJDZJSQu2oNArRpkfgpPmk78ciZquAtGsqydOvWZCmrsakj4yhbw +13OmuPtb8B+IVj7yBFIZEJDvm+dTifyQS4wkpJlIW1TyA8XBFx1ZojHN07PoFo1M +cvaNbOuEbNFubDaap4T2zzbGNnXjD6r88AHjE67WlZdcZXi++HKpa/nTaJv+BcgC +TgclXpJKxUo1eJ92HpbyHcoeFtgvFE4nwn77oAy6EZyJAb9EwCuKRgJI6VDchDcH +EOskUdBiwjrTcV7doSNbLtHMYZX4ftpw6do9CZKr+1iXAUsB2w403E79LPdQ6sKt +wGCXsAldvLajX0QPUadazM0CmXJkISGtIbEWX0qqPe7um5v7hyUHMHk0mIHUBjdG +VV5szCdgxz+yIt1JVS9l83g/qTWCXyFec1+1kpSR3Q/JLSDYLsYJjBhpFeyB0ELM +EjFpY7X6DfLwKEzzeg/PpOvjy9gkgXYr2ik57IgiAT0MbYFOBmrk6Lrwtl9x7rOJ +eAX8ExXaehRYLmI1LxrSQfcWhmRZ2HcM6SqXL8FIquRJ+0KboQg3wA8d9mp3dqP6 +Vpuu7KpSZk0tXfuSjreofR+ofoVVX1SJ/33Yt1coXwG1wI7ev9mG/J9HqFNVjLUY +vxv1E5p8eD/6FJ9XkAsG41Q5r6XOpe3IUgmdG8VCuxllpU7OIgMfmHJCX8TPgErI +0Nk7yETarOHT5amLrcTuep183amOeogZ2R5U4fc+vHncCUv7GxWqz0oXaSkdgL6i +qvJlYD7zlSfpF8r/9pBL4O37WhDCR6H3hy5+uDLiQ5voF+LbjIAs6mRf7JvZYL/C +Shi0H1w51yOsFNmSxa5Jfxm9sFUefVGtBwosMWft8AokxwtkTRiePj/qqPpgaeYs +bg/Ui1qcdtY9/+RloXN1UyIvCTjOpxVUkeHoDZuLGznXLddjcjmhPl5VvqFfujPF +NaV4W07hKklGxN3P9znrvi72kZ/42YS1C2MnN637AdQX8DlND5RB5by3ShKBBE6n +Jqo2drEqlDk0KGp6UQNblx7mOx6GNwTAI+CsQjlpiFTxFKgKmNn/2QCYLH1yn2hX +t9nEpfgg3iTs3QSCKlSRcWgli6Er87fkzAPrUyTGeicMsaLVGLOByqYbi+PoAIuI +/8r7/WiwPzYiNgAx+KFOVKpUO/pf4jseX4B18xfu/J0ju+L/t8G6eu/uqWo+xn7N +QMYI5YcwnD5t3/nQISkmk5FSOzVauCKACWU4QgtFMKxs5ePu1kPBELFukn4h8AyU +a5sGApIFXOW5IDx0gz7AqKFlnFMIzUbnUJFZ5Lm+UZlpCvBu4wXRZKZcBCBnJ3TK +35hikwKU/Q/ufOi4QVFQQYfVGjwf362Wb9/3ZiBOUoojs/mwVUDRytc7QOrEhfG3 +wWPrTJcE55xjgMitOcSJcsTUtw6uVrfs1nd0QCHJKZuoChjabKUsdR2kQ4Jf/pAW +CQ2kTd2fa/QmoFMShTprLee6ZEu3hQuuzL9dKZ+Vj8FjSDUADC51HgJdfqSpBuop +n1BTvITT8nEipmfmFNMzXWeb2/vyfqWZvgSe8s5Sv+P4Do4AWwdooTgOKRrCpjDp +dx1LQrbeO80PknNJ3DuyE9gA94w0RStCf6voNhxBhRa1nc0HHjmQ6JXXrO/7ca0J +vd3E3CE4GUBhonW3Aj7sT/sqZS3Qt/5oOfWGqDxaD5vvWmVLFoNxP/zN4YdFKwVp ++r7IQ0LgU8bYIG5AuFtHnJRqWXFXwD0F79EHOUzwRtNu5BY7pg1HcaToY7StJwjn +xJIm1xHFKylhjPAPMOHWIk3XZk9z8aLwhNP1uy4PicZzWpPRSP23vqzUshGr9GTo +xnurF12/bN7arluFFFFUSIIPv3IBlyCTlTfMBk3rNV9pxoWAeZm/jJFztjyfXqCV +B1GlBpV1XswD659Y0KnDNVZVomdXgcTNQnpt5sPFgtNgrDNGaI3P2d26YjfuSeT1 +kN766olid0pfiaoWpEX1incm8e6pxLtiG+cbfT8PKcRRPhLwCQzFCEov9wU5Qi7k +e5oVO9smoFenPiE/8VXmzLGPZZbFIqiEAxfR+XMAji7KGD7wgYbBHs6m7vB8QCak +qlQWRIq2DSWt7THep2/KyvV7weZkE24u1AOvoGHgunkXDkpCMYriOsW3s0CyX8dz +W5sz/kRmGNEK8aWZumvXKeDQTCXqgm2an7WmqSYcl/OPekPL2sv3DxMci9s4H77O +6jI3pcdIBwYM+c2r/ubK57D3HFqlePmh+CLnhWvEhTM3njBdUutbEIyxlDwfShWf +2VLkwCBW8triASA409coUMPqbOgoI5Fw/koUm9roaOwKOjoQvCI7NUz3L3vCRjYF ++/MbHXLo6Loy2plVlUa5wrrJ12bNcKoXe7xeEm7n+zzqSPRxoN/lksa9Wy/1Dusn +FLlwlQjbtlg6GeAyyQWjzZXSwZZcOaj63j0dM3/HxVgOdQiBeK1iSRqBQa39pAeg +E7DqWgCr5R0D4J5T2xn9Ac7eZnOpx4B1cmrvKl1bmYg7BybBVJol+t3mtrfF+4Wr +gI0IjNdr5dgA63faUiYhUQGPVVegrRCcpYqiyH8/A0w4dztlt9onSR9ZceUSA4AI +PjsQdrrjhT36gIoMiGcBCnTFooZdPYW1xGDzzzm/Oeh92vM8YAJEim5jF6P3MmMD +aA9ushd3dKVBeN4YlnH3AJ5dmcsd7Q85E9k30jKAT98xW++Bpx4BZv8Uw/7Q0qpz +741+YEjXxY9gE6yLhfTvJNCNUAE6iwG1AzQMgIfDVG/pLfVRvabpgHk/KxD+jtWj +VSFrdRyUScl/clRhwLbMyrRf5t0Z8QZb3n9JnkDL27zNdH+98UepJf3rGX1euysa +3bT91d7NPcQ+Iv7v8ZIHCtZD03KP1SiLSNtIlyLMgyJfOjFNx1u6sjWK7FifIYyF ++/WSTJ9teQZo1slvcC52y29ikd07v3Btp1HwrUB68XTPthoCWYaX0jJe7W193q2e +zL8XXEalyqV9y/n1cH1Eq9R7JsfmlfT91JfdCJbM6I5UvwxXw4Equ8ZJ71qWSA6N +Hswdk/vCGidH/5fu6RiFWxnjxzubkN2yfROUPqQpfkn7iCO9c5qHuTMwD/tA9yjV +xyvXanCv6lJwHmCc9toNTc1B0yfhXC3MDMz10Mxm6UfO3BM5k7uKTuTId76LlZqt +Q+jwFf6yZvzRC0tx/CZRSsV/kuPBRLoJoPCZTII6gbApwm/Pak0ffnTmGgeGfCvF +GZHjH4thLIQt8B9UuF3Tf/btxiIgmgrVGYjfylO3si2UXumgTGiUVbTU9wpNQT+d +SV94Ek5ysbiLv+l0YZZ1cxUTa7tQFnAptq6TFoB7rzLoYq/jljNtyF83orANkQ/M +mGRTC/xZGB5wh3D60hBZ88bMhFfhlgoa4AU7aWlbu1jDm6agckUhG8IF1VXeGo0C +YAq7Sn5fWZ883vtbv1gDN3DB4u3tj8KIgV/P7Csh6DzGbO8jSq6QkMYUUu6qxZ2K +Gp0Bgaiy+oD+PhkaIqhHaw1ShCGP9Wy+UgI6ksG8hVQ8GPjXD1/OrjjV/C+fY+cW +ih0Ws3fqg6HQe+KlqK69yzjEFc/C0bWzEspid0GfvoXdfq/wJI9p/x7rwq6K18Au +S+fxnHh0agK3594E5+e6WgnaFuSCVIJW20yDll3zLXsjmWkl3CJvTUR84wifUKQQ +Aed0B9RtFcpqKXNqpl54Z4Oin3fUinwXP3eDD/EWNyaXh9SbegzEvodIwCm+dD6R +/5huEpSpxZNn4jKVC4zhVTqiiUfo+xOvxANlRcJflqE97YytdNJuvf+jkDUhhYu+ +6cR4gZizI3T9G0l4nsGhICOUVkwVCGO2rrcvgvs9et11bsl2fMaFCh4Mabf/zi0R +5XSj6YrxrQ18bEWmpQ/IeAlJzxLQ1aiOHgzS0EhxK7NbtWa8CmSm2IQ1sw3qYjEj +smL0gPKkl6zs5oRzFPBPpuJjtW/g5wzTvHxa4YBhcMAGmGDoovYkq6d57L/sFof0 +Mw0BC3ZRIWuHAd0tDko7wzfKqdDS78RX7gi0dszY0T+339Nx/5ZKViU3W5tY0FFi +QmixKev1b033wXIi8Vl7Er2SdQ1S5d5zlZBpkYG7/v1xM5BL86cahXhVbUt5TIKs +NYut2l9dNgpxJUGScF5d1onXSUZSqgt5EBeXjn3XzmDoeRiwOPFS49XhwzoBC1rr +b3LzhJnXWaC3r265q8xri5Cv8oVMwN1AWDltk/tup5eB2yP/NJVW5fh9eeSzl2rM +eWG4FnQgbOnq0tjPFwSCOGn5nUFIveRaDazC9gcqdJtyz0ugMe+y5r3egEXkSq+n +Om12XVOxNWpdfp1yt7QK/odIBN00OCAMt2Pp4chMxd4FOuVRcfpj760lsa8ZLDfS +2//J8xEwa9huG4YLTmumRO5vdZ1K+asarthBAsvzVL5RmMS6QAsOjnvieULWNqD7 +ZCbpoVj4yr/QuizqzsFXL4P7X78WYyUIzDyD8s6xQLBDh30K7AuyBNaf/loDVbjf +Oro+vq+5gszSZXc7ZKTeGwZLBh/OJEAP+96ra/hjGBfBcOSaLWW8KvV53nx3EC0/ +oiLhW1O0FKVlZ6pmOI0CPTFzKClMUT1Y4tVo0GJG8limrZ05MV4HOVD+7HU6Ehhi +gfmVvn3xR3NxlLpnksQPGaxwU9EYx+BcnhC3CU6e3Pw9OkFRPYIndakKXZWx1WlS +kc6vYmkg+ctLXWHAN+H2JFtUVYKoqzHhYHSqLXFmhRzykOnOLarJsPAXuxcG8Fw1 +w855oDICsZFSfR1o/eHstfH2u3I2DSyB1LsHFh9pUU26eG9tcqHbQwxTy6PhgNUS +LDkRR6Q4cLrw/AkSKvWaVBo9krbmQYefiSvXqMybFstFbRKgpMYwfnsDnxqBtB2v +nmSK3EOH12sqGswjEmX4Aqf9b3ZQX7soCaMVgzGaUWasfZvvvHsy2/S0F8U13lQQ +iZUqN07zOun+gS/31RJt9osjWkx13cWJgOHDriKEgpepq65cLna5aqL/ss0qSPlh +h/BK8N+HVZXOc7Ii7E9jZWyb+IadAQKHQdsdasV9ZVaBAoIDXUwAic6oCCdStn5D +s66UjdcAtLreFkhOPspWW6z2AtQ/uA7VfKmDhUKp1WAkTnXiByggzSD3mQ5mxmRS +6W5UCq6UvLngz8gmkT87lXFec4j1isrOtff4cvDsnGF6EJ6kmh91ov6fqTiyt5ij +115uujT4N6jq44rm23CgRQnSEBIwV10L8PjFHB0fOrQkTziYn+wmq98TG00JjczB +NMWPlGb0j6H1/T8PYSytOb5cjiXYWjS4ioejjZgxkXIDiq+6wfcCYqgoqB3F/QFY +vw0Iq1mooVkpqCwfiMnnLDldZ01etUXH5/g36Mo/TMOoWKg8XmNFYBu1vl4/SIE2 +fkgwaMK0xmYpYZc8CFK8R4OU5mYkZmish8+uh88jrflLD+UtHsfBgVp3d6e9nphZ +NJk+a8YkJfpstQyXLLXXCEiECDXADHh52yQf+91xFDdvkkmMmujydBHCyUmZM2UO +wRLXR2h0obguYRNjlxWPHIliRPzArn49MGv35VpKyG9SUztuj7qMq3Jd4j3+ei74 +S+/nmDTVbweLehszQ+usIV5XoKzEhjKufrZQ9RkDq/Xx5lU14SIVhmxbZ3lGJCOL +yPEoemxjHTQkvkzf/bwQ6IomX3CXIuUFpNfnzg11FUZh1aX8vZjiagN1UisRiY3v +G/Iar9YbQxLMJwHvCaJ/TGjCFbA690nXbdjFoyP5MVvYaCqYSFpnPS1H3AQlSgTr +q5j3o/fM7UzsBRBFlZQrzpburbp2IAQira6MR7pWWMjxEUSPEt3sVgqT9oUIvgy0 +92U9ps6HRMikwT0oumAIHCmjeYkQ6QcDLySV2rxaXG2oFqdrRCB+MmTFY+/emU8S +b2Y9MUCB9wlJJmYhK/3xasZaAhCiz1zN55879FRoXCpWP+iQQ/1GXN9NjnjR3eb2 +lXU3rC1p/Uq9AkQG+jwPpWDrA4Q2u2E6tONxU7FxXqmnVVZlp7Gzzdk4y/2CtSrs +NXZ7jHa14l7M2LFXsBO1V6+BfJl1jIhLgOjZfhJhvhb80LKQW8sMxYj6uXsebMxE +QDaiAL6ZZxa26x1UZyeUwR5ZpFAf8T1KkOaTfJxQZp1j7y/UZ5YTmMYxZpqsZlTx +VeCTs91hqfZLDiY2dtLWtqfVeNtPzv0E6uDfZ1tGa3SJdzob6/Ednf9G2rwfcJ0g +f74g+JtrbwhSFrJ1vSQnzhKjnpwG2fJ8w1t1Pgt41rCRNZvWYP2N4y0unMz6eQuG +ezz+iEq8hokX4Cf8xnkQppcJATMNABcrlSW+X3zZSX2kgUtf0EK6DqvBjMFtu9T+ +o7KR3FtBqleGZVbVTgIYpwmR7mO8I/Lm2zG/wIb0rTC2j0Zh8XbZ474xaM6tWvm8 +cYSQ7lkvh8goEVYP1E/mygCkx87AKE/AABOQB06RfVKsjn20J+XxuV4ykIXLBBew +MhhVaG8kaz1ZeiGplEcd6LkpK+6qFaa0SU/Wchr8ODFKsYrYeFFewTnfnXkFI6R2 +GZIoQBQKjgAZJq28wOvy95q4IvBGALbsG58QntZqS9V/U+YWKgVzYSpOtD3Ck6qe +ReATm2oe5I2LXMRVkneLQgyf7w9tTJKTOv1PG1HOWFH7LHQ85SJDXj7jUbNieZZU +O8/3gFkHghY4r76Aku2m5gUf23IJKGq3XNVrt4LC3/hzZhkmXjUKjt4nMDsIbeJo +zqdf3/Q9VlQHe1oWrh96AA5vAxAluPZZzC0qSNdJrUhpAOo87LeZ/9mA7+zO2rB4 +4d4APO72+j8ACHkYsmG4bhIxNqsgy8ICEwebMRfLiORxUlF0rBXIoQQmKbTJ1i/U +83ZFjhVY0Dyo97MAyUKt8BBK2Jm8VtLwXB+mREuj4u4QuowqAv9NeQ8/xp1DYe9N +2ShsZaM4zkoGpsRrIl9q2+Oey/FhKzxqtYndZY2gH3aPaDb6st6mn0rIUUP+362U +wEG/3HhoabdvoXiKKk6UJu8atd2u0spIYUORxRip0P7vqCi8A+aJiZwRYJ5KZeP9 +TykOW3EBEIqTqTOW8K1sr6DiWHlg1OT4a0iuEzRLPQtOpD/gCZ2/NsqA+BwgAVqd +s+PKR8axg/2bUQV0tXnauTjZop46S2Vv+O0Cmu+u9x3yaAO5i/Gyx/l6lGeSZMRx +FcD1gmCb/wcVACTK3MvCFkJppElGDo8EeX2uiAqm8kcJf0MDGR5mkeCUD1QYcGOi +Ke1zhGJCPdWZjGkct6/2WNaAs0xWhzbF7kObOLR+J8nLyEDL6E72CUilCDVMi4D2 +sOeRwndoqNy7ULtD/KsjdyPy/a69g02YDeEWcF06GXBWJ8e0dmLFd/0ZrhCtIIEa +E5tidhOiledyge7uskym+a7/51NWcHbfsaVxweE+zzZHE/K2ZuDHtbFr5K/fVNxq +FAXDqXsUFCImGxAT03Xy6K4o4QywHsoRRd0HV/KTc7d6458+OyFKHVkW/A3vPTpH +ZBfSZSvXSg6qiGHqsUEdBntKUHBCgwKDo2PZ8nWqC3avTlGKxIRFEQ7qrD0DeKQH +h8WnH3gOzPcANt0hb1nJQ+bKU7+8WLPzSeparYeTi1YBMf+2NmM93gSPcAnTp6CK +Fa8ZLddo+ubTfMhEwMGHQI7RYtXlxkZeIFxlcJLvRaHoZeV3hQ0iYRkOtUQBsy30 +8wHLtTVuFNF6cYzsL/tPjTXMAJ1WVjz7e+r+QkCIVIH6gHSHQCnSQyEOCN9chtCq +vwDvnMEnBb9nASm9zGpS+pzqh2FRO60tIe/C/+HPKAWcsOmxAxJHHqVSUB54CgdF +BQAsNgGj7uTaNhGsLIKRxqESqqut+Yk9hqZsrTqXFTVoL6SOgpvZXwtQwxbV8kfX +CeNuMrPwoT9sRhThAG72QUWHQgIbYvO4IJN8H89j7FqfYpUeOeYuJeWxLHvJh1DV +iQhQzz6WVG3FLCxbDRpenETSDQk7R/0JAzXk6IK0TWT4lJfZmAA/hmkCimm7GlRQ +HDFjgVRGJWAaRWmPqPxtUd1nVYM4+7UHehY5TfWCtXaC+JmCtxGHz3Xfm9aIQtpq +P6VMt10Bw4RtVUj9cKFKIqPKjyjV+SaYowYhAaVPVdzoNvRGDm/y4fhKYwGd02q+ +EIcESRYm0XbUUtA/UDCI6K5RX+mXgkvXh+Zx7AedISjCvfFNxRCizlTD9u2+qFAX +Onlr7vTED2xgPSbgsLNwCiPNA6eKFhJGUxIaMjHigAE3xTPFny/xqw+yLbNgC9Mi +n7c2uWKXW2Hu4ana5HdtsdwLHRvqFsk3oA9cN5wlbauwrqNgCaL4LhVdZujxU7Fj +0tbe4hntWcLhCllpLGCpH66PA+Nv1xOZVv5IzETKLKaFGaGek+Wr6Y0OFbeFfcqw +0XpjnWtTrGe9PRKwA+pWiKhxAKUjpGQbCBgTzXGCmlb8gV48MeOq9hWlPJ8TqBSZ +GZD8yk+ej7KixTNVB3eFyYX+rHBn7G8wxoBIDeuXlE51IjcLwL3/XU4PyspUIIhB +7iNTaNJr/UaV8UFgQ0G+3O7NW24HRUw9ApXxjefIpeEob3UIr2+/1hg92WUmMHLO +5Gf8vOYxg54OfWq82c76gpm6Gmz/8B+pHCiEjydMf6mLFxeY/AbAkITkCPQnkUt0 +DL37bGj5mrnbr6yscnCoxnkmiVHF9pS2+q4TMOuLa31sltrue8S566LZUP8+C6yj +xgoQVajM7zhpbhsszrtLLj9mdDpml2N7sy5YjICi39UZQ6cC2TKYNwQIRCHQ2uQc +f7eq9r2psNBYgOZiBh2sXEbCtRD5Rp1KfISSOiLYxW/cNUBckbB/uaLXz2tweg8K +Rz5NAz0POSw/emkBA/ubg3apQcEGthuF44VTmSpFkmzBgcK71je2+GOA7T2CEO68 +ZlceQM9WZ35ikccQVzyFK48fJ3Jmn0GTCBh2RqM4GfaxhlOsLGOxv2T90zdztlhx +H0bdkXqI18GZEmf4kDvQjRzUBTxL7UvhpeX1BfQA9bPYQLUIcCTYZXtCdh0oDw2m +jJxgLSXujW8gjaKDQRNUAILYBpsfdUSW9KXsKkMzZEg1DdCimLsWzM8HYiVwPt/Q +BzO1lO0xXF8KLN8Ldp+nPF/zIMXyLIA896DLBNPJ72quZPH9+OcaIyTByWUNneIq +pYry1zkTUNpN1n1D879VJ3HWRen9yMBoqTINyZLWK0/f8ev/qD5wex2UOE1Ymoko +iU3cyWXTYN9DRY3aIj37Wjv3hCZwNg9PwdqskRk93MlvP/6A9EhBb5dway+pGnOu +sGs7/yknwyEMrnAwJ/P+82Ucp33NGgZ7JEOdouejnWX3H2ZvrL1l50sZluuYxvjM +DDwhD9yMn/uuHhQjLK6n3nNt5GqHAFGDES3i/ygh3vCgJlyKZMQ4+HTb4omEhKjq +Sdnq8/j6sIHdhjZH8CKXnttiUPCbtK+r5auF6b+SeRg1nUkxKEQfm80J3RYbZQvP +lF1q+5znVXBKhoMz8abvi/mHaZCnEuosurPwXRLzEBpwutmCUX7nWkCpAKkbIziJ +4lX5D6gBumogIG8jhuusZUnGyc8C9s6NL1wFY4MArxV/ah1N1g/CCEIBtn+f2CFm +X2JuRYOJPn5mRogfl7DNdbxLeJ+qB6bKFxl2cPemiJRGFrE4GqRogD9iyV++zegG +lEqAXy6wxzFio0SiDrGqUpZ+v15tExcSFD58aSPxy4XcDvdfPrXk9pJRFtoZxA0+ +ybSGkNcD80L0mK8ckY1N5gf7yjcBbqBQgcwUCV4Hri4dCKUBfy2ODTQyJzJpStYV +sEry24HXXgZfz2bweKC9kh83jHgrsXNquY2A8UAdR6f5G76NNRW5MW3DYqBCInQP +C3dZz/4zlSaysL1sJhB/M9YU6mwWMYvtpLtBoVN7k3eVJE1u/fIIcobQoHKKruKp +ZsIvvJpc+Z0vncZLeXGWy0KAE0X7otd8ktbZgyZb3VYJoBsyixKUDBdZWXwPi/v8 +jvnxTDiNWtJHO7F18f535YXl6HqWiDaZGzk2p6eG+6c4dSNbv6YN2UPtAKetl6Cs +48OTHGN/IVe8/RTnlNlO4mZXzARI0I6X9snRZgMGDmO3tlpxZp+tnoVGTyqmU+ZN +itGN8MQKg7F8vwLu84solILNBurC90FslM1tHcigRBHPuQDtSdFuimBzQ6MBkCFU +ndnVRyXVU/HPB2qLNQwusWSBZRXO1jVGuFrdguDBOTcuCmC/GOy8GfZOj+sO6+Bn +xrvQ0R72HzaOWtJO6NameDaii5ATC/bf81Vz4NtoJnKL8BMK7J8A6t22+g/UbttD +aLC2Hi0vkntkJNz3YnHH9aserhHzbF6QsgwfZsxbQpJcYBVI0exLU+52KZ2xg7wL +ZgUJ77QyuaRn/M3DpyCfPWzmu8vciQxDewkLy0iRALGtoe64dIS0aBl5UE+gn1uY +TVDdzyXwJvbTqGAEmd7ywrz0lshp4Gjl1tx11GmtxVzI8PcSydP8uUJARndIUJba +Sk/jtqMA+WhTf9hDjYYCQmxFzPBsmW7FmusZWemffspXlAf0QzKMfZSHOECw97lb +j+THxEVom+VLs0AKLdt1DnfAFDMGbmzjkf37lPSqJnhRo9UokY9XGSanJdTaLXIu +vKrNs3dX0CjyamCcOfTyVLv6Z8kCqbp4kuyBLgSbB3RB1EIz+71kfIubyioErknw +Z5UsKlhjR4WUuY2w0GRZHTSlrs4U4kvWNH6dCj5FN9P7PkbiJ9ac0YyQNfeE2gon +GYQiyL6bRMaSXZj1vJKDkuHVk1W4KWSShUbOnLZhCPlQdI3lFLFDbE84rDcbuAWB +HmJoilpnMYBFpxgHS7LITWaBnMDCsx90KMysBD4TDqzZ7IDGpYX/r5+KP1XZilLk +GbpPjjOtOLXY8eRMRPh0cdRfj70PuZMeqkx/6a069W6Q9gMRr74/gu+cfDnaPtTS +6dcpfQoyCuVPyXSTHJT894MKyn20E9ge8Nnx09O/k1RR5Je+u1M3k5aOytuQBzau +cYu8/VWFrHsL1w2ZPS/+qMsyYKd2RR9MgFuZ/ctbjQkVXXYKFSay2B3MUGGw7194 +Psm41PUcJCZoKoJU7vg6ohc2qEcjVtb6P0th+PCxWljYbe7idEe32tlaYHcNs3Lb +QH4QbB9HcuoegzUlfTMbn/w3hIL1cpA7Z2K66yzeUgP4vzFr7+cR+AdrdfJksJyc +5sihIT0Kz4RdTmTnVwFiUy2zNf4vD5qHrt0nqU6npqTg+iMpyeGzZt8mLFlKsJ/c +xDDLQZxEPnjcGFDsHv+ZlrjIwJwIz1lBLZoIdijn6QSqa+Gysd2ZfdVbCiFJofIu +6XO2/JdWh8EUIJyiV5VEG8OiliQD2rdxgOCNjmh2Y+5pybAPrnYS9yNCWDz7oRnx +E9b+L8IR7Qs+/9GLM9xquPkFOVE/LSmLICCYEl1ZSXbJphaizHmXflxL7EWa6roI +zPK11Zx3K0JEkZ2sSkRVL5Zkqlg5ncFZSbmPIqq2qWdUKQROtzZQNAszyYVXZkKh +/YdTRKe+dz3yz7i7vbQjbzyj3Np40oOV1zd6PJRes3GjNMHCFda1C7Na1pLHalhZ +chhLSg0t0Ab4tURibqhfZgWs6OG5hGM6lgcM6PYXRsQuGsLRHOEbvcpwNFuQaFa7 +ismQLFP+7NHoKHVoyX5yidIZ9WlyhwO9nsLrqJQcWKhWi8aV+qyIyIZ53DoWThQw +TZJTIbdFqiUzlbmmFVlIssan1bbZFXI0xVbWO3yzscaA5Qtagke2PHq9F/wKZJNx +hoMNo0T9XjiZXw/1U6x0PMkz5V1/a3alkEsD3evMhkxZAtG1fwUnfESgO7P0gwjP +Wj4d3khkBuN1qN8KbjRH+YO2zd3yl4qXoxI7UXr1oMWHGYAgwxmdakqqa58vx3L7 +Z9jIXpsKnrZzsv+BMlvTI48rxcLoP+SwwRmjnT7dYwZARCu9Gp6MdPYaZBYnYBkl +D6LfmmHyKTHvA4GUabraoixegW1CI+xa9sz41H+W13K7gQA1x/5wd2BtWM1b1kfd +PtjRuLVwCv6Ua2Gtnr1wHZb824toRj0nBIS7xBVm+pq5dTUXcIRMHUZFKLicXFD4 +cO6Jm75KswLTfiOGmc4xSBdG1csbM7Q4yvzuP1bAO7YDScSyt6wP5DyY+iNyIxsh +iCzNtRxlzERiGLiV5Z4Xzk7L3slkdIVVQEchCjUmPEySvKcVFQXS64RQu5OAUvRW +q1FdvjBqwQ7fSCNPfvYlmdRaJCSz3PxtitYuqjnMepmViZhDPgFi/vwrBroyzq4F +F18yZbouFQSoFAzEvTMIFRk/hoAk+8KxqTgZgQdatnnuySNzmQ1HRP0kfjS4fCF2 +v0qYAY45BsD+tQJ8BWPS4sjp9Va15kY2RmqWDVxmKK/TjgUUsnk241vmx0hrL7s0 +B2nyy+Jg3yb713h/H2KIcfI/pdu+OmpAXlNUGc+L+7L4PhVHi6EVrmI45QZc7e0E ++QKZbN7oGfkqXlHwpTOp0XHsXotRrA3Y/5QGWd1RajxPlWE09xAuc6AdeBYDIDo5 +wjXKWrlAsi2dTsPoYmxNU6xEO1kXnLfV+aJ3azSNnslwTR/SXOJHpq7VD0ApYOdc +R9b53BQYr9+jsVYi2P1pvyPnPxQq7A9k44SWzRvei/DBC4sYPW7vvJc/ls3iqYO7 +3u/izYmehVzSZ0/opXxiFbrOc1dM0QCyf5jUVWx9renKmGi75HIVC14jmw1PV5LT +vrT3jzoXAOhruRr4D4Z4EtRt+M2HrvJIIl+EC5YT1Cx+89Q2koD9xVGaVHlI5Ynq +5LcY8kB//undTaVQG//6kPwVc/0ijS/3mGCBxgDft7/lEwiafgoYZEc16VFTgrF3 +lxaOo9xfwG9M4sTLe9IJYKGpv4Xsj4cUNj7r6a8QvvYjIEPLg5XUZv5ZEAYZHVGy +Fzzby8CPjx4OPOUxoPajO2UKOwY3VeNNQpGBjqKEhJDPRiduaBpXOgOIjg+pzoyt +AOdhSmslJwUrlSD+r4iJ+og5JFOQ1saQT7XKLH+7QTKA3NpXSalB6e8pdDSIgBgg +6znoku//j6tjTqZTQdk5UWc519iYGxeqIeYuW7Y8iTMkXxIL2XSsgvNBYNnQgroL +71WVzzd54x6Vvw+vMt+uxeCeXgRBc4PvTLtsZQDQ08tQcy5s9Ke4QOn8vqPr2rug +MDNSmDXXSkRlbx6D/8B+IJCPtu5g5xK+CW0rcrrdO3NLcO/okl1ZCczaRiEQdMML +IcmBRMBNgjXsfo7/It1m2WQNgAHfry3uZJslDg+yGJ70hYh3KrY99be4BuX1B1vn +m1fX0YOzq1GYQG/MP2s0GxY7u5X8Gmz/qP8EskamXAPt81totSJMTiKENbD/3kv5 +1PPGQCNhxE9PDrF178EcIV0DfKFbTB+ZAwZ5M9B/X6zpdkwSQ7wgnzWLQS//BVPA +GKDJg50sI+mOY/ZXjesvuadnyfGVU1hJD4lF0rUMfF2DwWfgiUHCNNkVdOTiUcZ+ +qCC1k+ff4D9Fmy4Bq3kXVFs4x8x1d8R676zUZH/lOZJgQoVsslHrmRwW4141D+sa +9oi5NJR9XfrWGGkU4AIInJgjoc0oaJa0Dkz1mZD/tqHv1DvYhys+c0hK1RQgDeCa +EuGV2rhKXEs0xeBlTpJ4fiIG5aYWh6RHEwaQEtimMpWVBfSmqKGWpKDnHouLX98t +LkEETs4uL4KECBE2JxyQzF27qA8cslwaQ/wzVKJpnPdifsowiyKovarXFFivjLIA +NH+weWIBghD08Jknk0LvBe6yfdWiMe7Ci+YOJTUlD76vhyuRcF2P2Pg1GMK2agf3 +1jx/U+Ws4vt++MMjKkoHUbWKzEELJmoncCsP9csu2QI+YrMgEBMmc+bp3FO/XiHU +69p7y8yqZe8Q3V3t4WyMl+Q8IHJ3qnu1TE03uHWFgtadZfE4DjycvQ/1aD7NwKYQ +GQt7v1qn6l0zFVzEuexf3DMMUU2+IMErCBvkiOHEf028u7Vo+pZ45gb+QPGp9jA8 +3xag7f4LNZkj8JSDUWMWEe6a4jUVy+5xT+XHYvcVr8IxiXnzgprHybJS8FPAmnwM +910iEa700wKQRx3pHi3GNzbQQoPIm9NCX9K3xpuvA9NIG0BtMCJBrVc3yGM6AqL1 +U0XQLbMGlBgW1VYfDpHG0zGHtQCHaw8KbnGR5BxEJqd+Ie4gZS6tTx8qqGsbC4+p +GZr87v+lFigYVpctxWwdRx0Zk51R+Y8irYpFKVeehJ5ad9EZHxElzSSSfVuway7z +LnaoWvHAIb178QP6KQUb8Xxl/MIoV4FlzwsEsfdwleoJboM3gspJxz5b3Jp4tiwN +SEprLslMPPz81r2FRb3l2bh/6JZIqfqjo4JYMG5eS2IclHlXw+RiGdLfeYhAgvIT +hh3tV3XOOn0DXsu2F9pKcnU26uYDrIC/OqGpnU+ZW/QU1V8xP4aN2bfrCzhENGeW +7ngg99oLeDKo2YexOXmjtlqeBI9zH/ip6Fyf7ISKiE+yO3f5rFVPXsU92tOtgESk +DuHxsSyU19JWuRaq9kvEorJnTRvBn3jQeSPEZyDUjreJl9tUEoYB9fJSDeaPieQi +qz4SBn+vb91Ckf/yMpYUAYZh+8yL45EbqARVHijEGU60pZsGRotjjyfNyfPv12C1 +uxkQzHp853glcYOJ6f7VsDkwSQil6KcH0rBXdhHfbAniL7FmlQND/3Qr0Nv9kq8G +ydg8JXXMH0dQw0hy5nlWflf1pPwrp89bGR7Dcty1uGk1yTQfjvK1kcPQLgGt7G63 +ZoOGP0iZZX79GAWyyUThY3Eyh/o3XX+Yg0ybLqB9QkShr6+DbWXjxVqXFLB1P7F5 +usV7TRN75S36Sl8r64zl7ekNy4JPQOT0F2VGJcnoXiVOid8ExKYnVrVEia+rUF5C +KgEMQEUVew5HqEom/OJAf+5xhmzUf98RjwJxr05N+tCxjZ2uOc9IFEheCjxw7BQq +DOsQl15wp7vZJ8OJjujf3kD3JjT8oNZBbWn+z0BxwwqqUWg82Hnz0+dj/+TOoOWm +XuzwpkCA/0LFY5SYb0qDZvawk+vjBhCBKkthIH0w6UJ3R/P+U6tixoGnQOqnmlIO +pQWiMklrrXTyHfjfLMPvgppDwZwyPY2XJu8XZoYQAJLnyosDfiqACIh5/JM1X2S6 +30XjPnqV2cxXBcQbfMl79vuMlrLatkcQRm1hsScPkXnCvqSmiDvaZgpUKlMfxqBU +JW/UsTW/FAoiWMlz/MnsiWzzHlj5SLyQwsBaH3UInSQTRfcCEhwDVRlFOmJan7d5 +Y9ld5crcqa0iSd988CiJd66pHQ/jWK3USys4pp6BVcIY34jg0Tgpwppuv60//MEa +Q2ED65pfflGCG/1QuxM3xvEVebAzkBRkedGdNHx1JYJ0lt5+8wD6cgjLG9weE7d5 +KE7QlIH3/ZV/RlVS/Ty4LJB+wYwq3rh78hUwOgolvrSyJNeAh9G+KoKH88KeMJOd +XYW8Pys5o4vpTy0Gfo8tfVdL/S9z5+GJAOo9le+lzNJIVhH51WuyZN5GKXg/+Yc8 +sF7+FB6B7M/RvFK5UPLqOzP4CNqvplCWVOJ2JItAy432+lm7bS66LcT8CHxqk6qW +8xTB4Fchihi93D6yn3GceiKNXoWRmxtiN3xXx/mY3r/QntKJzwHeGUdmDOY9kPCJ +YWsS4OeLSkZLJKQS0Od9Gwq1OT0nqZID8t60B0vjcr+iUV3yE/VtsC5DsoSZ8vr6 ++IuLXzLxWucuNHat28FPcJgu8zVsyc8+bKPBcwZeVauqkqI2mzhjZ0kLlTSiQZtU +01dv+6pOjA6s48xy2/FAhtWNpfhB6CsRcGPif0ggb4z6iVrW9rLBMc/0bwsbulzu +xWc5r+rjtxRsaFOi2x8F3a+p1t1wap7iNS0GODWiYORxC8xXAZ87KDrwZCc47HRE +uBSMiPFV5zNHsmg0WxguG8v0G8VTRsukyFGV0eSLWmDg76azFwnbkqF8smEN/fiR +dfdNyckjiIJ2ya3ITm/1c3dhzcHodD2TFBmU6siHCayqRyH+cMlnglOug5cVuZ66 +0RO10hU4KXo/Kp8EWBMgxMhGkMIlvAcsdRJq93YQN0M97+eT6YBic9bpLH+tgRMM +/Bm7NzMbqZrt1CpYEuo2rmebYi/loOc1Cbbwu7GAX+h74rpncVzEd7eFehqefxU7 +mA2q4YrE6RpOJtTIbCeyzrp7Gvz16PoV2djdE0TgHPKSEpTdCPyV8sUQzu9t18pL +gutkC8JHWCqPGBe8XoL3ykDmC//yMYZnJnk0l+ObPvxQTvQvHxMmVN+rLUYz0dXe +Rz7fanPRzfxNyJRxYCjgNdbJB4d9MdpTzmV/rd1AMPFL8VSJqmZll5abSjf8FKEK +XGY5WD6VKq70Xhqs3Vuvyf+1rP2r19B/ERoS2WYpduKEdWj6vw9wf9DtK27kW0Jj +4gns4MyBkexhcjMMbMA4d8bBAVOgkiV3AQHx9JvEiiNx6PSZ8RbT/jDmRHhowrUc +Ursl7K0lKb0VPb3U64aIEgRU+Uz/L7BuMkO1ipYSkQR/rOZAEPz6F5nLx5L9PTT4 +Qst8SE+vDyZaqjp/gtBUSmN6z93US9z0oAYUAImmJOyIZUiBxcxaOrzXLg13Zb0V +7uormtvBYDOtMA/wUB7f3xExrLRawZBnSYzL2UzrwtVzGMC+OpwF1Z2WNUeCCpN9 +O5jBK9Rp/ctNu/IkpU5ZDIa7TsAXI3dTzjmc/e72WJJsiADIfBAAct9gqnsAsSTL +zgP/+tPugCsyarJo/8nNwx8NmMbRv1UGmdxZqkAzhVOlaI/KEsRaqh7cQq7cbPjd +83C+/iwdLE9YR/eiQ5fDYQX/jUOG/FV3tqTwB0LBsjUYNoHOQaWNBQMT166s0KVk +AqVUtCD5nmPkR1zdg/EPpclGVjtpTn1DQmbmaEMXfd0XSosHqkpTT2dK0s6615cL +N/U7qOSIdD+XjfX2KyUMRFZVmSTeJvGdhOK+PIRPeQw1yA/D0Qu74RiTkJVyHreb +wHV9x5HvF0UJXYFkUcZLVhlTRKsYogXr0Edg2aGiBWl+xjcm8ja1Tupwzz5OecZ6 +65mRH/y7tEPISTON7mENxNB1lJWpocTRS+MS69U/iVMV9+w7zWQRvsPOKXSvEP6M +3wFH+nhQqtOHJbdySVA9b+0uU2lkIunJ9MdRYUHnEb1jDP+Dz1qddePDgOwrd7C8 +MpOzBpBkxOe2SIWdsbZCLvqdqEX5Ys1a+7olMvsZAGtvQFnaGUrKZQIDzPVvLYna +ZPcJKGGhpSReoIRqfof0gFSRvOGtvzJSl9YCBz26k0JeHh/7iVI72XIdv7AWd4oZ +Lao6wk1bE37eV5UJKaAlHpHaZ3xMyP4abTi8jmXqD8JqCC7cZvABwU7wgXBVJLak +WzqKHNVlDxQfO2S0ghS2wB3W05pPRlOAK/wCqSsELorxbisZQtugsc/y3moD1dez +wJ+j2aLQreqghW8cbXucYUHfcyDuuBzjlGBhejllRP0PEGMYtg71nG9TNtQmV2C4 +N7KX8uy3Nz8BQLFPN7zEwVuvGr+FzAuPreXmoSiFqJ9nlDL3xaaUeqUdECUFNhAB +1TPEWGQa7VDBHw7TRsIdY4peUhHDS2kbHTWBVIBA4vpyTmMwpvC1Qe4MfCDT7/SR +JyZoQbEZp7pu7Vyw/PKsH54uYu5KRCfa9dOuMdvIByEgMc32kR3bYT5pPXdIs+UU +tPEwDZp0Csg+raS0Z6B6cB9xGanfBofkMsCUjFnDIDCbakvaV+S1KobX3R48PJ17 +xX7d7gPRW8BHfw+fcjOXwJJlvDBGbYzld69Uzc4HB4JfejIXsfTV34Ou0DJfvBEG +5OpMMhRnFf/QkoAvbEaJv5oniVE15/VbdanGUYEFdGXXPqVp6ys/cO24ofhUbWgc +w84iJ0PvIYaUqwolQcVuX+yxYwUulVprV1VHgKJvdZwj75mzQdmg6CjpCwakUgnP +Y84c/F756JN4yEg65mZzc7Fkycdli+cm5OGYt1PIEO9btOxXo7seZsoy/Etlmq3R +SNo6OQcxBuVylfIpP6nGM/purGBpeYB40fdP0kGcC8M8caePQ0qtxXJQ95AB5Cz4 +7k/Pi6y6ofxMKUDO84LW9iqcrduaBe+WHEynYZaJokY1mavkslYAIaHEhO7w6gl1 +YTbiSO2+boNgoWJl/OGM9I+YZOqVvVi6TDIVYcziVNiOdkMJJ4Lgp+Xp0FdmW50w +MFrhtM8nh6xoVa3lFR41UVAvQlEgjMUaZZLcskaG8xkhzlNA25qUY6cEf+uJjFyL +ramkINQAo4etAMvi6OuLCb1VU44tvMSbTwm6CCvEcouATffW09X42o1wb2cKSTN8 +YmR2Mp8+vf4rSkv/yd+TN89g13jfyQbfVqsYfH1SSPVRxpgKthpxLMDK/M13zA6I +znK//+KJp6P9+g24P1NcoItlz3cogA3ZZOSAt3TCUdToOmevxUygp3l9MYp9NpzD +4RBgdD9KqNU8WiqOXg2wuZaHMSNR7wBuVTZr15j5QsbHJ+ExOVwiL9Ogb+ISzKLr +bFL3piV8BpiHRwr950KsxGqTJLfwO9/9193fgrjlfYaBMdwJjSKl0mpxcD7LVOpy +JW3zgvDfFWfQhlrXXPPv68jrpctR7W7+sOfxs+FgLBMZ2X+5VKOUmfwkip93dooR +F+Y+PyXKRFiJcHJfIpP5F47q/LDa25Azax59OVypqe67ncTPH50VjeNmgTfLGe38 +gjD179cN8stfItuiXC1nrXi0gVkVnyUJGB04Qg2iVJsKGktVhJixic7npoi2Hiw/ +0U/7oNeZahJOiOXRZWlXefUaAqt9WTW9hV6mYj2u/X922ovvz/TwePEDH0rVuyg3 +aPbNY3q4GUmSdhrEJtfPyWYWVsK1aX3XZhqNIkZIoVEGRuRls2FyA+DV8vXo59aX +kGElxMJwfkCp4SOON72jEL2B6D0dq+x1cMLdxA/xwIqVpHgitnRp6jAn72Bh96Sc +HvWonGVg0XjsqmWMBieaQOxuQRAH7AYcCLJBDF/lWMPE7+MGDrq19d5ttucc+cuZ +HQD6m+s3Wk9tq6CBOj+ycs2rpjsaEmyjLNEim+rmkIW5LB4N9ED4oozUfggtdaJ1 ++lObMnA10wNHyQpIRoCB5rHSOGUQ1Z80O8OoY1JprYXEZb1HGdofFXKlkPy8GWqH +F/Fwe6Mt4RHpxoRPI7eIVXQKj78SR5dKiNWTmVMR/HT65k5klRhAA7kRQO5tD67i +5gnVcp3JkKwhB06PlPoAPqfXJCBl4SpgK5wLO7lzi6Offc+Tp8PJCGLehe5yFQFT +NVDBVzva+OI8PGJtJzHLHW5KzgJnfB+23Izd28EGqHbOb+KQJKMmPGqKFiXjbxQu +TVk6DeHtW6o4zTn9FuBW8qJYpYoN00I+l0+s4P2khS9hoHtS11dvg4OJkUt90vV9 +y4KoM+nJv3uoXcHJTv98izyWUdLjyC6i5bobX8IvBwI1j1pd6gKEyNbzevvJ9JG4 +4tu0hlTPWoltGky1kx8PYcl1dcmAy16g7SEuRxzezFGsdUEuAScok82W4kxqeTba +dMhriKBiij3G7wzK2H/WabgmTquzfQNCwZ1PTJGTyEdmpJ2ilyF7dNrPs/5v/O49 +Z86BBX2oW/0HXpoH0zqMUgkFPGzpzRmfDiRfXS3aYx9HgI9FMabapAbzS3qljgZj +PNBV5cbU+PJD/Cchi0d2EwH/j4kAN9VtP6V/RbrocdjfDDXpiOgf7k+qc77BRHIB +Z3A9VYIHfjDWPd0/JjmvsxYjI4YWZM/3/225VcoI3r+HF+sPEsQOBt5OBW0DMLx1 +3BzCTg9zTSWhZugsAjCYdKetSalwOT9XV3VWEhZdHqzblVAMspULRggMjktCGKlT +ZNt5sR2MlGAMXdiXVNucaYczW/tYug3V/QrcOZtckj7JNOPVPbjXOPr8rFzMVqOP +YMlSR3gY+HmbvngMwRMdgurTdUXwC9ggRW1iu2QwUFRfmnRYoaKGy3QSd1tYUuq8 +Wo1N/0Ig2WwQYcgrWPlJHsPwEOqCaXXmW6fif6+KrOPnN33U9baqpFmwmivX5pdF +iE589gHHUY0HWxZeNCPHHlgrOlmvEAinqEUgXuRDb+X/MX/xOpKI6tg/inZKZTyu +qc2hrIhg+9xZgYwXxYz3xFskxVDFXp12luO+k1227swIhOLzGXZLw4KhiixC9UsZ +epi1pHBkXeRsuWDRHsx8lG1oLiBJ0AktZkvKrGXWg0SlN03d6OPiK6wLfiVDmCoN +LaxmM44qrt6NXhvbK5iZ5LrJ3kq+sx6K0cP3Z8vRZQCHHS0OQURw/8YoCD6xb5qr +Yw+6ncQT+BttdRtKZJQ0bshwQPqAa93xb+h/hv0TeK43DpysD5z6QWmtJlkESGUp +LRGMu0OIAWc6tESIo/hr6Y2ujk2xeHEmQEuvMdExTc00ulJsq/G9gAEGIO2yKbth +TA/six58EEhKECiJzmJ1ScUQDasgRPIy4A74XfESWrldgvtaui7aiHfZixjHgehd +HTqvNKoWx5A5mYMxiLdOkNUZoPwteTe4/GpOAMLUBOF6FLI/F9cOKjczUIHyFdEv +TvJ5wYR7Mw66cB2ZYhaAj7ayKD6cuJssgeA+kQtxyrfoilj/z1PH4G4nkNXl9+X9 +Blya8KxyW5TOBvXgfqhPVCU5yMRr1Dzdw1tssn9PdWYvQADDxSrGepc/GLEsPBfo +Tce4MdvmlXqfNt/1ZOGge7h8lLkhxIswETYAfkk5NYp58TF08iC+NrS7lc9wtlGN +dL+z5lyDY977GwumDGRHcHsAnSo4gdig34TkJNQyfezUY2BIMJnO0rVsPeeaDXtR +74i0AB/FBKstNal+VonqWozZBywHmFA/Mhj2fsosN6IwxROE1mEZ/eR80iFnaiLD +R8nYJPjKxSnIHT7ikfVqNf+QfJQU4wBgqmjwlhdz6NAhojc44bFgKjRbY4drlyUo +UY+9V98/+HCQoxuHgUue3MDBIgRL97qW8u/ailwO2odisK5aRJS3l1Rb6Tb+EBFe +bfBPn4yG+tawM2LGWYP/cwVH2UyHPfhXFe8p46n6Ko5SrzP6VpRy5lgQGNuft7Ho +h3so86IbCXNKGIBzbrXD9pWUAu3G5T7zZXYRS8BYom1uT7aazmHwZ67IDNkH2MIb +aMCp9i4vISNb+qmh6awx85zj6vrahfjhxHFg2nckoWtoBhWLySQ17AqKZS0hTzZO +7tLeugFLlaFl2mZrxUGu9OpM/XqPfzIE0OVYrXzBRHAfNltukLsASfMkTJNNG/kO +v97xwXDAHFfmfrwTs9JeZG8WS0o9gUrn3q5hRDQ8Dh3ad5jLE/Jq389qjUYRbkru +cmlLdAAsqkx7VD4u3ttqAlcD8uTd/cykE7EJm4NlS5emuW7qK+vAEfBUAYmQKZb/ +HNZQBWLLsyUVgyoS7fWXiDlOInJlKyQC9j6k8mFroosTIVTapdsmfIsU7HbasEL/ +2HrYKjZXp6o1RzcvuH9d/pdC/HQpcaXcPFTE3enn6mdmbj49pIaFo2J92nVW6rW/ +S2PWSO11tD0LWw6tBLxPx+tjrDdM8Wx0M0/hhiQ97ABvcYfHMFm95fkdZ54guKEN +QPMn6b040P4ivotV3wWMfkTkMQngfDzOrWXpzkO1vvcdGFz8EOoOputvtf3r9Sqf +FZrJSQOBOExsXoyZW21a47NVQ9I1TwMTsrVdHCXYtH0re0Mwq9lYYSp2cBRkmPR5 +58h83OELvLEeG9xCRQnfqX6CmQOtyo5lWqQ7ebh1xvwEzOwnBcxho9v9n+k0bZng +U/MIo8gom8d7svgbJt/uwLCBihH5aPzqid3i2VrsK8fQCBUJ7sLvVGHxZNyymL5/ +2i2W2NydbLwkkYGLtJeFq7FEUI6O3qWbfwxNAn9KfWMgm6gL6JwKyc8yT13wAedy +sTeGBbPZqF8Q9ykAmh25LfJseK39baZHV6qvi4xBJ/rugCDmvKp6qbDKgUm5iHaM +VU32MkIQTTZBPGQJPWf9LxVe5LSPQTqXAN7zE/wYBNHfnccn+/kyxt4OUPtDcPkB +EAz28NzBR25sD8j+yJ54idHkGP7tePg6e7YoLoSzZhg1SXMu56O/Nsn1K7rt+P46 +omj7DXCgKJclGtdU2LIOP9gBi+T34PxxCfeC9Z6Zz/A9qSCZ4lEpoLDWFLjzWMef +uWH8I9oCs7Q2djP86HMCwxv/u5cvgt7j/vdjpCJGRuq3MhZzku/PxT7BLRlyLfil +TBsGj95yzcWq7FmhgwrVUyN/Upb8ZAa9P+lh2ZbhdVvqazh+UeRkgVtyZ+JaW3RV +1bQ5ZP3g2GOaQMn4ostQtirR0ye3FitgLlh3FaMlVkHuEEBmXnSfVj84b7/OxbLw +oBBqldA9dvMUNVTIHVw87T2cMOMsr0KM9/2/r0UeT4oIsC4lM70nUxMhyJd/n1LT +2hE6IgSaRgk2y81QpGhkA85r6VQChR/OpVVRhLwWvtHQE042m50huZErZi1v2XxM +voLbfs7bY+kUfrOP7iKhUOVpGdb3chZjzb8Pjg2hq7wHJHRlcD7R4YWtBNdXXBki +cH+HA/X1vnGNhm2hAdly1cOITTQx2AYUy8RiH0KPW2qXC0rzq+pOFJwhEOpW8e5N +nRfA1tsXRhaKG8iog/Fdq4BxUaTQyPj25BoLqptha3Q5XGzKrDCPhuGOyqaRk45n +/uoHQJI6GZBRZY0YjeTtiiS/TMVEXiKHNuDZ/UBoUU/0B9hSiopUXKB/Mu6DP+Nj +rsowI/1uZG8YgW4R9Na/GJW6UJF5IL1NeVQPLcCOzZ0BhzCGQow8VJccu8dY7GbN +nLfW53yi4GWwfXNLDFKg1f+bnRwr4jcpyRxa3WDKMq7x5zActd03ikJUg3ktTxQN +crwd/O/jTxGbxDLwhZb2hsMrKn0rDvLxTU6b23EvRbAbYVFVu/K/eWB2dpkFODiJ +akK+POLyKHRcdiDd+/WbGQVq5JxO8Ih7/HKqD9C1Y7a6vj3N3yufKGbXlVI7M0aL +iYzD9L0LOz6pV6PQbknnxncmDEbFwN8zceQy38EXibNGzoWRnHhfPmLxCrBhR6Un +B+Mz/fFewORp34yK5P5/Xy1yyvokWa3RG/ShAeIurZ9FwMouGVBxB6RS/RjZzKpW +1Uchn8ltqLtgGTfMLo6E3XRjiuvp5ZuHxf8A2bgx3lCEDpj5bnxLY7AinQjJ2aQi +qN59r+xK9eRY2fLZJKhdXBrkOg0es0soo7busmt9O1PTkO3SRtR7AkkKl0ytEdOf +DXSRWh1t9NqAGmL6r0fwf6cnwgl/Sj1x24nBH2LIDpj0WmtvYLPUSOr8htKxh7DP +hj2SLxxiUu2jnfX4rIyGVCl2G5YlqZE5mL6Z9oi3ntUvWP06I0rSmiHPml5rS1JA +1Av3k9DTybc6PoaL3/VRpK3Xe1EyEeshBC7sOYHWWYVepAKdVAz+ySqQ1l7/hr5G +G8z9CXeqY3XLldmuR5AwAuqQKlfyThIdVnDeT9hCDWsNnQkKz91+EgEy+n6YVThS +Sh4hs1u3/HZycmH34ESpMDrxKOgZsNAkuov+8YlL1erm7BxhsYNwva7/RVNC8CxU +HboC/aSiJEurOojztUS9jk8sHtAa+LnODkVi9YpgLOHp7FxNyBI2E15spsYVrAGH +yidUqS+g4CMsCVJYbMgnrmBuztAsR8bNc4LFjGIpITPHk+V6gRmIwOstlVVBOiBD +5W7gbN7KAVEim1uE71qgNvVfJMeBF6OSLCMA6vGC35QGDQbEUC34wauA7CYtMOv/ +4IJ3aEGQ/2G/3TmWtusTCXgF9cVYj+NBaEuKmRQLnpcOR8aAZp5l+lfoGIv23AMz +15VCO0GgwXR7o0x5E7YOo4JxGjyorBTLeFQUSgz1PUjtR2xXONHNtjW2M3iZFPcV +a110+2eysA1Q1E0A7hdGqRCmrK3qPUFZgn3JZbHMaI006xCIDAgqMbRtja47iUle +u4s/knT0YFjyGSusH4qKgy7LwyoUT0PCTgyVoxCN+AWljp/x1Qc+OR3NCTQ4RvUH +IXdSmaUBZre3LIOa1LydRolBNYu0WhrbEPPpeL9MY4Lu0g+0jU+eQUqrBrtr9ovM +EU3fxSF2I+JSUK3CoSFBqRq+a4+uEDpCvMS3EkJhT4LQuTKgGC6hrmaSf5NBcdES +7kyT+r1WLa/aa3JT3o3v9tnMdwc2P8n7FUDSBxoIH/lM7e7VlOcZKw6URzCwm0ab +DTgxcqWjfuZi3xW2B79L2uz9CJBM/mfPvldFyV9u8Jj4R700CLqYzBjciik1R66u +LwbxP7scfz54VNBcCKgdc/Fh9be23s4wJS9s+fhiZarv6oISZiBvKZ8htNWKcZTo +yMsEnMSZNm9fzB8nWd093/7dS8UdJdPoxu7Sa16z0xvImRJBr//G2rVEcVmKhmLn +2/bywoBT8ZDlP2PxYNxQvYrA0PbPr8eaaxNboSmh3sFi5xg+1KbOZW+quYm6H7wi +GLBDf+6ZtwporpiyOdWKtvZ40YwktK/UvqZ8onQb9IjDjcYqNcKMUQ7AmfafbQle +3M5D7VerLAjjAh79F6UEx57SZORbCKjid18Wgi7H8z4R0/KxliuX+fD2igqmBS4b +bfn0ukyO0opHO3Kk19cqOucYwk2J1UqKcJQFdt+o1sJJkgthCqtkbwga+KhIgMeh +JBx7j8fYvyUTLma5luWLHXkTmQQBvfx20G83kuLGMrlEND2jRVn+6SFltis1guud +U3xJ7F4+niI3xqhtsIXvvdxjlM0se6Ino9jsvb/xx1MqF8s5uiF0ox56N0+vUcaE +kPGWGPm7uejg8cA1jc8Rav9Uk+gjk3sf288Amc5R74KB6w0JRAGCId3xjMxRYWyt +gZ8dehj/Wz+rJJzABUUQZrFDZxzdcjHZgOXYgLKQSyJZ4J9pQMigwYLgoS4SPPcw +H90tUTNsLMAknAmJKyoguRFlQUVaP49c/FRXkbMh+olW3+F0Fz6cmmMHCmfAB8qd +uNpxmMBkzupdHjjA5SemdnTkv5ODaK73krNdu8ZYZpaZWT/Ov6IbNyXwgNcd9UbP +j33QAlxGsh/i3lzF8TibMLbba//nF5DatBNQXKQvfWtK11nNqNlJ9pKGmTXuYiCt +yUKfy1/fn9xgpj8ExhI3jt6oYF/G/FjJFm46F5qq3C0xXGRcdpySn9+IIn4RN1tz ++I21F5F9qbWeDD2A4wZlmlgSH/eSsqQKrJ3meN+4JrAXXq4FkBsiu51tNMcFiEA6 +hmy3rVuFeEqf7wSklVBVzsoQ0x4tfyJ69dip+/ZYE7nnpsoPd8a5d49UqLtIDjtG +mTNB9HmxMjM00cntg1eR7rMvPszoX+OGAcH+gT29w/E/DpXZNvIMkTSz4wiYwYFa +3iYtOH84CFzpG2LqEXLQTetQv65QRAj/HwYGHDwh11snJwwq1ZBCjwcXF2GhJoMl +sEOcXOQZ+IBTtNkLjXFnavfS6sNsaS2fp9ozoEQaIh3zle/HCRYDREI8ZF2ilZb3 +wupfDdLokHeZyUXQ0lx1+6o6ndtnrBMuprw8KZQpMtZ80BuFLm0+kyodHtqfu+rK +ghVk2hMg+eSXeVEFaFm3QJNBQ7YFYnPq1BpBoRE6w78RvDph/emuWMNduFMe6cY1 +DvuY8VCpTlG359Q1ISrZAkv6qdfbFZGnLra+vhUB9Lzll+EJAy7Aake/C9ZSFd5m +eBY0XrMxSNJH93UWRTjDlu7vjLE4/A7v4+x9Y+dRVHa+H0wy+3RXKql/ZkBRUTUw +m/pHHu+p/r88OdYYMHfwT7NVubiLbj/Ba9CZusszEj+R4TJgu46SufY1zH66mKBV +2ZKBVDvUW7JRLKAnb+vTtShsBkcIJaa56rGJMeI96unNsw2A22bMLcTOUkItO5QV +6n5LLm48GnpS6vVaSi0uqzkfl70n+m5Atka3joKn/1mJbTRB+HTD+WCWLQKi74G9 +3+SGk+zkm7J/FrqVLG8n0FDCupHCLbMjYBdP1wgWfWXJvbfR9vYIjQhJsuiXD9Hc +80ZRPZ29vcfZL7mUc06mV8vL0mPa/a8KJJWP0QUqWuQ+9EYVodBeIGq0GWBa2f/w +ed8GJY2TSX9IN/0rHtkMHiG+vGgtVTqqu1fjRKw5rb5tnrwz0siGXlQOe+iY0jbn +LlmH7oG/kwGlM4+KGDjT5z1L878YgEaoE0ikuSVYtwbH55Xc/i0Nz9ZEnUJwH152 +Yy88ICj31HoIVGCK9Q4VRayFLCfHGdxB3Mb86Sp3W920YPHF8uU8ljKPd5gVU66y +ERLJiR6dDpdve4m7dh3csmNpl+8vVyzG4H8S32xDwUjMGW/ihME7M1I4LSolRn70 +rt/6NbEf5tiXn+pw77pIwCjzRMlk1BvHJDtc5btj7QTvkWCk4VivQAjUTMQDZlmw +xe4BBmeQhX3N/uZXPn8ckjercUHcPlkEe4P/r93wm8yAZueYOBY3eWwmZiy6+G1B +fyMTAPa8BrijccjF/C9q54HfGu8Enf5j5KDZe8t6p0s7qku/71JD+XgLQc/Vkuy4 +1DAiz1J0cVTglQC8udW9PhXHZnWKB0MWi8lzcXmhVdSH5cGXodp3+/RiFWK2ZKL8 +92JuIzzwAqeB6vyeou5vNZeFbHQireFxw6XLMeTH8hh27pZfDHdCwwdcRh/1DwJt +xfP5+WNE2CflcvtwaihEf3OH6vjPp+LfyUx+pmI/gR6HBJ14yYZ83lyZfXoyVJpI +sSrlH+SyXwLcptISvuvEl7DrHKklOdyFj6TJmSbJL2ifw879x/AQe+jJO4hR6qEv +wBjICx6uWkXOC66sySED7Ea2e4GABeq1vzLFKI2pQ0wSZ+Iu6HKpI6NrJxjEvfo6 +4QpiV39d/5aKb4tBoEp3u0BjlNWKqwCCU2nG5v/vzcxiqwcGwyO/MW3BVFblqFvb +j5gFwe8AEbc14fndoDbwy19P4lhDHDuA5SC3wWygUGkmeg17wXLNAR3ygZ2wOOjV +RKpN7fqTPg+1spJ+G9ZWhmLR5fzci/0UiZrgzYhy+DHWRQ+NAVoeBs6/6HgrGRP7 +7LK4oAq4y7CYWEQ1ue/eUZgCE+KR8zQnotUNWQmNgDbsPZfdxOOQbmODSzMFz3OQ +lLOHIj+7gtBJUwvrXTZc6ZP+Y6zxkgtK1KCTzi01CE3rYIer5kxSn1/61v+Be9d2 +dZh6Zs08AABqgciibNz9FEWNez+eIkVfwNui3k9a3mmXn4NA+CRz4GUX8Vlb+mzp +mLymOo9MY1zFd4rhuPW8k+WJOI5BpRGba6isAOLRhYajb/CGA0kMrmsaC1EZORa0 +wvjS+uCMVxC0VwtDaxtp9AhkerYqbuXdCGEuca9xEyLmOm/fV7isGaFwDcCISfZ5 +x4qksCW5cg01oBUR1OCmRqfTkAK9ufGAwnSSMBzBpX+WfutBX3PjukiWZorEh2n+ +Dt/KbWzNMjfJR/EQCQjhs87QyKWdaLrU55uMVMqQSIDXCoutnRBovaKWlYVmGlc+ +B6TsMHIgvJ+OQm1yXIb5DTcyKyA7DhFAZrnQBaGXK5dg+b02Y88In6jimXG/N8U8 +/Zk6B59YWLwVnYfMNarlBoSLbWS1hyAbEJZi78AUCilJkM3BpcF2E+hO7B6OVxPa +GwoOUcQZDUbCZXtgvcbRWjPkc/TH5+KtN0TQ1XQocsZZN5gyYub/PHFLxAP3l+/U +v2W0umbE6b/LWJaO4Ul9IBSbr53GEibb2ClItx4yJjz1G9H84TJcp/kG+YFEgWSn +ni1P0w5WWEipwwo4FLzGA+LemRKv+vuk/n+AGtDu6zL0tfmNxyGz5HWWtcHsycTd +hApjZYKjNCeCrRXMGt2H2SGWsz02TVP5W+/70p9AuyHwwkL9W0S3OHbaJu/0SA9o +kzLbWq1IUrROLYEvu+j93ZRikqkl8bXePSKjn/Nz2j6N3c3+h5jpSuZ3DjyhRRij +IQHNfv1bA7jxvqR5s+ApJskoR9mwidJn9hOgbV5rQsZA+Kdb9T3aPzx9T/7HTjJB +AghkhU6+GTJ9npZC39sUtpYOk7Ohhxu5uqEVU/wuNdQP22Ux5+K756TEA/kt5E4s +1DbyDAMBooHd/4yySn3I0yTUUUBb2GN0PbysVTIYb27KiGt9wtz5+sHUqamoEqk4 +mgoCL09uhRWBUDMv04feoXzWWfoTNCPO02rBmxntJjvE8q/5Hqm/d6dU8QJ+Xm7D +mCW6qnSMdOg50Rebvlpm1/4+I6u/EnTPCsZDX0jePtV/Z36HmMXaWkQd4ifSo+Zl +7qzfk1cimqn833YfgDV1ODwqlL7SI87s9nlcongVQRKNBSzdARfL5obgeNIsM1G0 +k1hdyPgWFwa1LtRL4BFq4XsgChk67oXYG1DL3Zb4qfCENcS31PzQQx/U6MhsD82L +UzmoBpAh2FwizzuWzhTJnZ3MVIu4AMXfj6ghQxUYF2LDhdVLAOaOPWUjzsRUVfjH +OmCj1I8nWE7p5KqWt62UgpJPFlyxtdYFvLagrpSM31799h1/0vq3Br4c2X0l2+hO +yJokLpH2lO0VBWa1rtkXOSapp2HtaUAF8GJxcg8gubQUSK94/uJHRLAtTXyqm8Qk +M675hU1cCYse/281PqKxdLkLociklV3cS2a3REo35YrBKtl2U+MD4m1nifivXuWF +SmLwwMN9560fxX6RMTf9arCdMfFXQM1RngFqixK5IQ92G/nBfGwRftocGnub+wsH +PeLMOq4Lnfl0+tUQUEvJNcVdU0DkfZZBDtn96lUMyLhs0wAfQ5i3L67j7BWke+dG +e7J0S93khXGE7UzEdn8zLUcfWXOaj04eU2GNqqdHtNleCYkV4O6JyeyexNHetiA2 +9eVNvIC5/2BFu8gLOcssClkgvlbboUD6/61H6VBHZlJL3wsZ6vbiJokB5Ruz40Aw +ySauTaG0YPtOCy+ak0yu2ixIHCUTtw9TUtAVFGOkjv8MCawKn5dNf5+PJDOZYB1K +QxBT0NzfgRRlKH5SVTvE50q3PgmK+n9t2XjyDOWiRRgMFmbtL9umSAufy7efjm2W +NXe98elZN2I2roMU2wEBNA/agSaqkGpI7Y1x8vFiJu1/X9eV4R61gqnN+VSdAGNh +TjhBJdac1K35V0fDvRi69eC+zcSB3GV9x8+42bjPlNnYXWKdp0V4w/pFiQxrtfMw +WhdQovILVfWotTXqaZDOBRgDwgY7aTClgjudqmQWO5ZNeydGEZmnSojBU+PwO6a4 +dWeU9p+PFZ/ltcFG3s+erX5T5QuSCqzLXn8jz4BPUM/Zv2sgFhpyozUV8HY1mHV8 +5ay7SS8LByXng8O8JIiAxkdd4+v2I0ncMM3L57+DKeibhGwZYuR10wxJ9fhwZ7ps +X6ULsMF9juK8V+ZqJoQ4sGu50cs93sp5uCQ3O93kfdb/e8f94Qp0ty6QUbejKAyd +XleZu0rbLdnCbvrwUfVIf2QRPoEVlCouwsFlbpQwgm+qFSheAdx8v+fiiJVG8g7b +838RjUQtDkL/MXn5D6KJlpGoy7G/ymKrNTAMXGUgl4o+MXfz/LKTpxOZtX4Ji6YS +lFlhczcRXmCtpsck3zPpR2JkRY3kBVxXlNPX+6Zovji5FhvA1n/auQpncnH+4UMR +sPbyZDjxpFd9kaz3AYLeG6MnaV4gmBqxmxiJactjzvBp8BwCQElnYOIrMM9D+0h3 +IxUr/c8720vATVvf9dwcWrKfCuCFh2G0JktajQYwEBVzxCkTQ07rrOmDrVsAwdrg +3gwIZgTMsAIIoqIdFrCJgACg7ap6nPbTrKk+dcs7N/MZgJLO5zqMgAeaGc6yPRKL +IIbnQ4oXY2m73Um2GXpZDQ+Ya4nFKta1Vp8Ev+mTFPgy1HIZehNS9wDfVnHcfb4S +dKat2gFb46yozilz/WFYSBjFFCxK3vAHhLT9DMc5KBeG3XXDbdfOJiSX5zmknGaj +p/PIKQqdwP2dxr/2U6xft8BcaIzymvAdRedA8CmSfFLLAH9YPzx3jc4tHLtvB98i +aB865fBCYmbwopCDFXMgzFhJgR31AqA4JkyGz9oJKq6usJacY/5o4zCH/VdwymZQ +kxV7WY0AGNCPFhyEHRmtfNd6IpmJglH6NqIHG+7ZYpAcGeKFExPvXBtSIUo2yEVd +LVI8V/QRt4C1QBSQJcazMbHYAjM+KnSTHA3C2IGgEzoRbJUGi5Nro2uVKJ4TtFUq +1tiHiEyWobttoRPrHlGGaUiTfaA3m3648/BCztx0jytXJv0SxzB3FgGGIw5RrYt0 +H6jhRFw+UJgEIKOIBYth4lACQu5w1YOWoRieb+jg9tKCNn+Teyruo6rZBgtk45/q +zaUOci2UdpnO2auZLyryg8wVgzq9AoEw+flZL7xdBkbrjeZlUGeJxN/FYKWGvEK7 +qDx2p90LiXNtHU8DwMiv8+iY3DI2995Kc9tkDOJOChUD9angTtbkOrPm2miS3Ym/ +XvHpvF7dxIKWV0pMXDq7HOrzEwTDDU/TPmghE5xupWYTyr/Apm8H/Rlvg6hHjpbT +X+W4vCYi1tHY57SuEbxSpCn97SbighkTsOitXDP8NnZAmjd54K75dPVrBJpa26Tj +5rf4BHddrkk/bVa+mfEZS3w+zD+Ifa+YVIRgR4I2xDh6nZXXfM8aWMlaL3Fx3Mjb +IWeZ8utP50UQN2yfiYYXbqS59POCx0MrbKT0+yjd20/s84zQQsCdsIdkpwS0LQ0j +U7krpm0syUpQnPy0FJFuWhIvKSp85/zMT3VynJ4qqCwygg6RJqu1t6oOYm94k7kS +5OQWK6zT6B9pXcQ748Z9pLPvBpqzs4hLR4k+tBFUCLhHNOP3q+ZxTnXYiK4u6yiQ +IJCQ9LteRKVsi5qQa4jPY6kPTyY2c9nnHuwVUfTAHHC6Ih1j9xVcjMr/Stl2F6AO +iorAWOVkAmYUA1XUgP6xEcyWn95y4W+X1D3vWMX1G8qIQipSTbDKVBUnwfPdlAhG +yz5W2vCALJD8cIKzEeJpM1Cyvfg/JEnR/GzOZzYzg4a+S+vkptJaQVOG21pr/S6m +VD8k0or6LDx689IzjkrouKP7XP2g4qtX6Zpqdj1yRaAHo/K7TzCIrJRhcrXEklaT +x5H3i+MzootXuJpog52HbJ0iFdUXZbaVeYJZq5ZxFs5lOeLFlyLXKaYuRifsqn5F +dH1/kE33QUkvY+ZMjUOKtvpokvDhOieM4EINw/q+e9JuqVNcEyav3A37WmJzytUO +MqsAH3TFs2XSXIPZ1gOeW3RnET8yXFWZRY9JHUsrOAodcQ9CIV9PZqpsyY8rh6dP +gRePalsKrT5iuv7kRN27DcYsOr6uaUUhu7VrUCgeWOmg8Zu1J/TQypSXYW0C20CE +q78r2aJQLIY+w/Du0tTHVqFBOOdsr5U/SSh4yCu2nfFSwx3FqnMD/OlhiuCT9L2d +s3TmFgjvQC2o02qDfLI95MDCPaJ/nFciCgFL4UB1et8QTOPfVCJQV2XQKgRRUVZN +0/j6m8kbHcuGqe0d8QRlhCKgC8mBQNEF+RS1J1iQiDWO6wDx933acPj+CpL8lbiu +Vks9+YDeaWjr5/frH3DLw0xKqIOcydGEHVacGpUpzG6Ei0VzGFPZ86AXPbqavcZ+ +QFJoljWcYVrYEoanFuDGLBL4XonnB7b8tFnav7MQY2pmin4UlB8/m5dyhVNIpe86 +WCSNKkLrO88LPDgfFnl8dRuIbmVKBSs6XrqFMdjlZCWa/GZ8MMRAgAcqyt733PCP +w5uVxWqd8HhoqSfwEBoRXj7og5F4PrliG66EEeTAdpZar7XH8wyzN0U/tXMwpnna +wqcdtRCaWXpO2Ds58kR9yPX1hBJIFnWZmaNyEaxcXOAnPKBhAJ+8Vo7pRIMC97v1 +WKZt1z+2Ce9qWH6ZtpXavEx9ydqbIQ77IX1pxIh8jbSYu2DQ/XMGcYMn5+8svsAT +vH5PsXF3YDsh8VQI9TiWgjasmMY0a3co4BwyPntg42Zx2o4+sUCDQ8nfjpiV0bpz +3JoJl5Odu+V30KdB8dslfGJksmdO9M7mP6FEwDd17ZRrvVkDNe5IPcGFe7vj9UBW +puBcQrX1tEKtIquKEijMJBjtTEjgKNaJ7YK35OLXL8/AjrCBifEAqAO6dkV4HfGL +87cZX0c9JguWNDsb1+je33IJUWIFl6WRLHWtbIkP0/J1Equxk/RAolG6tHVz8kmC +9ySyLDQyD0Khc73EINUoGwapgOJ/8DWTLepMcK9cGZdopTfMXwnlonyJlbQ5pVAa +IOrd3NeAN9v/bO8r70maT4dSH8xgybroiZppH72en0gmWOF6TZyfWh1s/Qu6jOJ3 +3QRNt3VCT2/0Xcot/iBeUuePVXrPjaD1Gv196OiSyGuiBjKpaKRGbnL2Hkcl+gT5 +P/UBXE9W+5ANbWhasGxT9LrjBn5HrWUlGRFeuVsD8gRMzxc3h5TZMUNdMCbyh8u2 +9YV+MlRqH10QlZIIoe2Eef8jV7v9ui6KmJke1H2ZVHGuW0thzOlz2uZoLYbW9W8K +ipRH8fchWn+KgeZsXcTX73nncoPIinXeQb5KM/gWwXPjU4kGlqNa8prAdOjnhjh2 +UvbIiMvhfjORIVhDZYA9L45tdUXaHWFfEe6gRYXl3Is7hNT2okuHqKCGoOqVo1Uf +uybcaJIgVSmJkNIKj6mRqHqax5uTS+wXiESlHMRyHHjaWJUhGdJDcfP6TdKuYasW +GHmpuBUb6xTLVXHLa9O7IzVD9JZGdFx23hhLuWk5DwLm8AucC0DbWPyEnXahlvjc +MdmEKgNFc34hHDYPighXY0tmxWsFfJwpv6NcRghFRNeNaR2cc50VzsoDAtnV9h7H +ZmUaIFSwU8ozoF8pu+dLO9R70vxe6UsxPgsiNqT6wY8jjZYhXhBsQ9n3E8VQZWKE +dBrR/Ylb4C6jIV0L3MA1cTE0oXQbagx409Y2r6uRUbxFwOfcshDVZBtrUNxrVe7f +Nt4mYgCp2ch7gL/Oi9FemrozhAJVBw7OqhM5vbQWP1n9++AYDoMnR3+9Pce8wIqW +TQ65u3o0Mp1srexpIrhvCo+QYjrplCLaNElrHN14o6vJh7NTGzyjLpEsEq2OMci0 +OGuX7n5KSAUZLZAkc+KUuUttbsVru3f+f2LcXSNz5qEqz4nWWzGx3gHYnahgvpVV +vUdwz48YMju/tzhCJU0vKe6Bo4jz+xLAkdEtgX+6t/M3dCysNIzYsRgLCVTI71Ob +ueNzgv8qgxYB3knI1lTHxsmNUAaK8PPBYgZZFUJv9HMVh5N1aU8X4BDTl5ep7J0r +9lC2ZT4z3kx8CoB5svCRtx3B1In6815dswHWaP7x3bT49Bt01UuUt25af34kGCSW ++1eBQGFgqM0vJwSunwktXX6xadPOHOW55894w5RLjEHlLS95PLuHBJU7M/x9QHvK +fHKsMT4Hqcu0w1QU9PxmjXvmBFIMHVrHanQ6DRmrwMCk+8kM7oLTo+NhXdHH/aeQ +Ctss11RorwK5yUcIHuCTID866WR/hUi0u4/ebe2NV3v7jcijc4Qx/gONrnGouj1W +DSk0/GGUfriY8BB9T0QhIUifawCIJuMSZ9Qeaa+vwWSdM6jvsgbty42PY7jcIidn +Da+ox1i1+MRF8wIct5nXXn+4ldjiRji/G5GVIu+2pdZqGdtcx1t5/vzVeQyp4aiT +BUu8Wa7ieSn+w3o0sn7Eh38hQ9AzHRxvI/rBWU4TyqNPrNL+h7J1Mrqj5WR1U7DK +AFr2QdBJO9Qb87kPfJrBDyy6YHcZpL/VQuwpkj1YDpUVkFTOlNoJf+aS//6Gc2A8 +JnYiMLHOMEyz4cvqdbOI2M2X1LxmR98TU3jVEmSruHkSxtnYRe0v0FHeXGkT43XQ +wb7TE1XaCqULQxkk/tqTQx4JJoz1s6eBzHSXAaglQXa7ah01gP8JyCod9FddX/4Q +PHhTULkSem5R7FFaJRi2PNomeFL1DAOWoog5RESm7VeXJRAXWZIQ3v6j7RkZ70Y3 +0jTKFdAjUSS3bdqbFt8aCzIG1QDeZo3cAYjQXz0AMScSNWLEukk+rGKNHBd9S891 +kvtfU7lCbzjmbP7i+ixjrWB+ZYK9vFwyVtJj5GBPiiHXGA0zxW5yVvfcidSXpSMD +x6by+HjnAhGDeMorKjr/3ITWUn5/uHERTwTfb7XHsEVFEP8R5BTEtLzcJm6Wy7C8 +FCgzcCgGAcGDSNTQjTUEjS6wAu1rDuHPVJrdCSm6I+XcjiWhCz42VTD/bWN/DXw5 +Zwwf3S2FHicjrxiCnUDumatyaGSLKY3rDYTVJGQ+s/Ndy/+jltrGLQ5/KXILgxqE +YqMfX1dv5+ZuSr8acQA/lyIWweDoRPz0mMgeMUXO8tb7t86b2jAYCaHVIR9Ub8PE +A2ppx2ImFiK2eaUyhfq2Y9Q54CEEL80Q/hZgIENzZsQmZ8YOhwS+SJQW7gyUcIFE +Qh1H8zn70CGKmn7uPQPCA22c8SXHFlmmgnVfTmQcbe4hvHS0io4qOQvIAwo5fpHn +w0iTzKasjgVJM6xE+bNAXt3FPsVfTg/qE3he2HnDNLmJKwY+bnPcOeCX0O+7VUSv +5Idgdxfn32EusC7UI38ZkmHDZ2Sggky7+n2bREU+TCgEW3Q1iTcoeVCNvq1Mcbt4 +MApjbUysRVQJdfc+D+zcVdMlGqwmb1DqhV7DgEkPnGTXR5y0EmRfE5XsK+wKAdLn +4X0w8WdLN1W2qUN1pdzOPKTMUCRXNr9WGdDab6xvk61kK70WoRmZqpJQCPcwrFif +WOF4vscvq1Eo7Lpn3IihOAvJL/uKxAM6qUrbukNsnTrnTQ8Sz3ye1dmw3j77ap/e +xwuboWdIVAij3B3Ki6Mc2f7b89jSdONju6LQ+RHQXjuQIrYOhliDWifmeqMz6F85 +g+TWMQgSl803NKL85jMHgYHH984FIWzCY1nEkeRz7xJ2mIfUyBgjDcT78PivzDHz +7kn/GV65S5mvbwuDbeOjzCewj9WO4QpwqQlRoSEbNS0FtzdSHz5ezYb9C1MBf/5d +gB42PqbeccY1A6B7Z1h3F2BYWkmAepbqQwy8eH6G8bg/SRGbe50EVwEF3HDfTXpM +/TC0YwfjilNygIxqX1TNwB9yZsEgBZu/sf02oQUF/UiQBPoOE2mPqihW1cs/idV0 +o46xtdXu4E8cIyfHmcT3g4fQw7FTiSgpkC3zDHSHbhXdlsXD99VbGfie/afJXJMN +2gZ2LlrnJEDSDkZlKIetvZpdm1djqSrcQAaIQi/ekfiMxQxskelK1l4avlP7WofF +muLDL40SE8A04CTtdPsph069aI59n44fmHABf8cqsYLDoq4gIqGdhDqIUlJm1VcH +ntP7v2sSvTJfm9izlmjyzPKaAvxzlVtrGpNbDHdf6KjaeHUoBCNQGSWIkFSy7zOI +5sgzOf/H8gxAdxwM3BlFSSyNtHjzndYK5RmGJz6MR38g+n+J8+y5tDz1VwX3+1iB +kvSKjNOapnPApBzvOR4br22mIV+xUKfTKY2HLifz9mdD1YmZNSXV4OzMt/sBsFTK +9Iulfs33RMnDk7SCBGZw1fPhOh9y74QHhdpm1esrmoPjd5dxFBg8fzPpMW0NPUuj +61RTJJhGtDqku7budZdaUHnj/zbu50D2Zg3Kf52bMlcqDsgYAP/ALzedHWSWTTB+ +keMK08ldPrjsnrLjc/2gAK7/pNyLUD/1jWaMElI66aoJrYQ05uhyX7MxwkvSVe3G +qygnje5kku9vSnk5H9l6ddbeYvO7QIXDcdGNm3DPjEu8HzbbzLdSfdvFSLwASneB +3MeB6PA2yl2IecO9Lu3BPpy5SqWbgCdsGuxNzxHzvMJa0Kg1zO7OoAlZ1pOh5ugZ +bbDrnw/G+YBW7ZDb0cFLA4j3W1t5dK0LAwZmlzV/eVJ2xyIbDtWlJpgp/imc+yrs +kgl8MRcOeeoB3/af31m+p/1rOQ9jCresAQKRTDZtIwW8CDGpIjh4n+lxwTTuPhSo +vI7TgrdKtOOQqVPELxsQ4I7yXPJXW4o6kmPzaxOtG29EKrV3jo2BM1mXZ2LT6Q8I +dUoLoMrFdqnJs30QGBzrhtSx+Rx10UYe5xKFhtxYZyBWXHprMkOyCFntJS/N6SWM +ndtNmiHcHedkZLZ8lPZByNAmeVnDa3MXuo/goWpnx0ZPXYa0XZkX4C1lu+e3R+Bs +Q2/PrzVBwVn7uSBrpazmPagBurVqz1rujLPK5pEYfYBv5kldQ/XJY0yz/Iki1ta7 +rGSufLk75RrxgclXJyt1kjtIZMzUxj6MjouSc8DMS5L12lHdGe/m/JuCSuyk/tSC +je7pnuwrcAA5mFKV6o/wdfzk+p7QH0c0tW9okb+nyJ/or8aWYWFoY+Ghb17+Gunf +MMqKLOrv/3Fn9bE7mLtpKf5stI2HBIfMBcw0bx35I/kNoYlMnSWmE6WVBWL6WICG +8ZlRXq0jnPM7nCZiLnItp+Bd+xkmYcCp7VZIPYyu1uq4Hlomwp1Z4EMbq8gPIOT8 +W96nG+fQAKwdKqOLlPq3YNq4jFKNkF4lV7ZaX8u/kDjWxpAwopkS/S11yiNoaBxa +utGkOcer/aTwOi3CVIHGD7jmrGmJww1P21HZFIqw17x3iSDNhHhIjNQmjg4lXjx5 +eFBDfrhb2T1Sc3rhuU8yFsXJxMU06GZrW5ARTu1nfAnUX0ROGg8PGO1zHFc+6Bfj +AQGrcGnidfW83Zm9XN5RuXNKyzmRZKOdK35u6lNb3v7tqSTF0EgODLrHrGpK1ZZH +gfFKxPsZs+yz4Kg4VAKF5JY5OF9ypB5RgFwHjgQ6PNYWuaV9+Shnq2BeimoLWzQA +MD+hI3C0cZZMERMM8nKzLRm0UPH+zqsuSTvV6r/ZN3uVSdNhKECleyrhOEwy022k +8h0X46efnNaYvoP5iHUdVR2Vx1IqQbKH2n3bgcAvaBHpRVhehetHrjxCwZRXAMPL +wr9kEBnNHefY/i4AC6D+dp4lPX8l25oF7RLDqOFi4Opokb2QQsqgGjf/zIQVJ9eT +46Xwo+PwvNSyAPrtqjEo5GZviBgpz2TlfzHekzEXHM4MKAvtYjeq5y6QKRIYqr4I +KlU520CpLmFbYJRUIeadZeaYmSJxa/a2SKrE6lOng24B7r/QeNLRkITGVPwRE6vB +4mHcTVK/j/u2Ph5b224MN9S7CyJSVyU0mh/hX1MHn2/7cP1a2zJtbzKv33UO2/nS +HEN1wWIxIj8Zk0ERD1jjQ0aEod8N3gNgaptLvxfwV5eBR5ZrWuB7W3JEJzkdGGcg +pMcj8rQ4vysoz0khTv5c0QqfFruvRjLerCcCjuxk+3Y0dNcLvvV2mo16Nj7nBxDG +a4wEDn96An175umW9J6pp1CjKxacjh0UIohXP+xETi0pAU9MXVV873+ri4ACjLUW +YlDVFrZ/XWB6YsK12pgIxQaiRHyJIBDYQVJ8vElPQhV8B4jlSWdpsDbMJa7SPFuX +Rc63QmTWUAqQjLJqQrIDA9Bh01W+rC9SeMMpxAH+svz6wEZGYYGGoR5iHR2n9WmT +mdigMV+VwMBbdZsda7ew5dpUlZ1NewPBnnJ3MqQizNrW5GFV+HO1VJVOqZmoj2PI +5aPa85Wz3I6NUAcxsbZEnlk/m/Lv5R9VnCs9Pb4goAya9EikhKLdEZ22gnC+lofQ +IpbjTk/rkwoTg6gexHIfUi6pSVkmDoVYraS+R4t0LplzYtrPtaeRMjNpiwDsrz8D +SU66gT+lDCaIYPbiy18ffP9iuCM8qCNtdEFQSj5xKq5bu4WKazJ3X+sFL1UxKebp ++9doJcx2w8jQ4fmiXBcOHQrM4QCiPVuisI5L1iv7z1+6XGIhvxsdvLEQmnOSRCnZ +EV4ZtqXvRdm6VqSKuttInVTWw8yAdY4tn8NxdiUWfrpiw/GdQ/OmMc4Ayc5ETIo/ +i3Drxe/ghYv5QVJ4kBTM1A7ebZwHt5m6D57AneH8j2wZ8nQC84tPPsy8NLqoWCY3 ++vCskR3JN20adjccVzTp3Ctj/EXXRXEe5tOWAZge0kIgkh/9JU/1UT6RZ+9o5tb+ +sFlcIARJ7yFrMaaSlfFV63Rl2+jYOSVwM/POOykyxdDOHgNVWeI5xZvZ27zqnHp/ +XpsKGUM2+iTDUdtb/XpQdtBjOesaMQuIm4l1yY94mTyOTMK5AXr7uy/Whz2Azt9Q +ipngIHCt6dNH6/2Tsh4U1+YlqaZe5xibSn8H2WkTZ19jxmHWcJ9RyNU6k+tshWQB +3CnuIG27efcex4jy/K8dbb2+h5Ntxn0gmVrXSea5PK8rNz+mDlkSCVyhXdz/MiRx +1SGoOw5rQyu/ZKZjL9JiBUdsPIt8jrqOd0GvEt841DJ5TnSrqhSsS96HOBE10yy7 +M0YzoVlsyEvwyxcFsun+vbwG8A2CeM2Hffoucpo5kuNTp2tiMtSxErM8EoLVmdRH +UFS4mofloWtd0dIBANqYIfFMs+IXNL+1HkTr3RfRplHmUtE8E39ehhBf/TAX2kM0 +5Z8He1D5oM5XAZDSzYn3z8kPOswOL7SBLb0/R8sfRDu4FjXTkrlL2ANhnP0pLt/l +Kc+RtyVNzjghrkWOXfZwW4Toq7YDXG/3m53j78PVWVezAT0OdHB0cUio2e7nsLar +XIlQMrvjfHf8sRy4curhuNoHIXbLYUMcUT1AFXlx8tt5ZtGVngGdVfQm13QoI/B8 +0XG15B8nokmTXTMmqI7ZL2nJZ5zOCGd7oht2ia9VjGYlLmxPjeriNTwb/3mRCK/K +Kg0PlQeySd5IxJSIl9+AZYqq5iYDSYfX9gU971HumEBUSW+0uS/n9pkVJYvVjH2r +bFEQ7ByPpm0xz2QMeNfvzN8OdXCcH/sCcOuKV4PgqB/O457WADVyDNjXHJMw3NV6 +okd+o18h/T2dr3zRSxSVtBrRcADSd5RCw9LrLjJmEH6C1RzbRXTFbFhRGbFM1yHE +PL2tCZSAs2kqF3PG1aYkxWoI6k323C3ZDXOwwEIw7grBAUrYFNUFUQGrtk9TKh9y +yo+ITsXbCjTmsEyRBlm67qsIlXKLHpXEbVeypb6RxuE44DwzmOzIeUtDVRqHssLC +3HJkd8puvNQKDXnzBg3Q/pLfBqak3J4duw83u1mb9FIH1/X14PlR2wHP6CNyeelO +wcZ6AIykqANKQKqS7rc+FfMbfwi1D+Ato9p+QngJK1dlRMu21w5siV/ucYcVjMfi +1hCJnPBcv5Z40rV19A0ybPDtsIRw0N5VtMdgBp8vOnhePB85LcxqYbuW4nW8uU50 +8yBL7+3xPCzAzPxg67P5hYt8XnjVdD4giPmwmTff0EdWAfAlGHqbB6inW0uA6rrJ +rHjeYEEyVlQgZq/OCACXWNAvfHK0hrinkwbkJVrXdaSZV8RLUcs5wxiQoxQymZev +4v+FZ0Elxma+hGDmBPs9NdNb+ghCXu7zk1RNyI0lbzXdEh+7+5omi0Oq9fY9QxiY +LoCERhUpu856a6o7JF/5D+Wbo7pO3XP2QGeGWxcn+mjsqSTj6xNnhrd/4ACMObAX +J/QJb7xStFE2KkS1sc8qXqJoIrgArdWO1mbKy8uDhObAHnZ2Wj/pD1HbBHbBU+yB +cX3/WYQPwi/f/riGT00WTSfgibrK5XHSXkNaaZe4J/xlTYvyu98VBvuKXLOpcZm9 +dE2/TEbHYRJsLqehoHSZdaNBHlpEHKo9qDC0Zv6DkZjvrXO+g+Zu6LcAt10ps0EU +a1YHuoYT+itn08Yz00MVmJUzwdFTpm29jT4IxBPdXPcn/sCzMaeexpBs8jCnNuCe +e9xiMx9praCZDJf4OWCHY4A+H1jPdFFsC+9/W6PEO+Kz2bcRCTG2f+LJL/uyMjDS +7ZQEfgl1orMgPGZc1VPJbh831O6iAvl4k1tLk/dvx8WLOFhjDM90/pLz1rJzGrSP +l99wnWEvyiBwo4EpYKmEiT3N6Z7XLUsrwFmd4WYoniiJx0KCA04Z+bQj+RnYjrzF +SJ+YyYiaOpJYIWMt5T5iCtDiO7WQeqpddckozEepAv+V9mUSrRViSJL7GBWjHqcr +Jeg0+RkC1f0jB0TXSQ8eqzErxXyVYoHhEvdAk7d/kD5Mgk+yXZpYQrlIKUjUlWrF +vYWor5nw88b0HPzbu5WBiTnzxJJ45quovvBu714hXLzwbsADm7GO816QUCebv1ic +xN7KJPyZ9OeDoMtYy8qn+FKaNc+FY2ohiARjx8uSkJPcDKujd28CAxYGefq6ghIk +PlstC6LMMPqTS9wr52dR8iU9QPSUPfbD/arRGg8NkQn1cUwF5nMZw8qWUfAF2VZq +zZhMACNEV605zvF6v5Vl/PVfrurjBsFLnLVaIFy+nxffvzETC+3mXuzh+D2aDLnb +16PUGUagsDo0C2RCjnfl/Ir6YHVGXcVcEAKYIokzDq6vJFRFh5+6mo0DvbF4cr1s +lG4ed+LPYh4Nfcd9dPbEKeW/iB7eMkiR4vo2jDGKud5p6aQi30pmlnyAjZ75Xr9L +Ic+8yXlF4mME5CoIWHFCHXzmYatVLZmMvzkAMWzFNlDZvfisOgzgmxTwCrVAj0Fl +VwDw0j8ENAiadFpJevacz/G4xNsmoPb5/jqnUVKBd1ZHBDnTTw44HLF83ss0J69+ +F81vUgJhsg7pUUfgRnmnaar6zdLQi4dZJJKo5OGNgqzRZszWaO9ZkwXlnXKO0IM+ +TZJkCpkZRhK4akl43q3+No5XW4rvlYlDUnf69zWaN9FH/n9ZrKYkqmDEp4ogDPJD +vNmee4d9LPsVsS/605TZiuhp7C+wj33c06KcWgt+Gns1rz9404iBI9hQmUH4JZiC +9u6eV4sr2TZ03dvTMj0xL7BlrJZLAvcKfeby0nmoZAS4m9/7NZGbKN6YeOpb/A4S +r4AWVhkw7BHnpKhTTXmrPE4YgOvSNZL8QCbcqf3L5jLapkmB/uTsxrFRlTigJrfC +nc0P9eW9UCKKSgmqPm1KhHTepM7gVQN9ltqWOtmYxDWBAswKxext5S6pSxbAOiUn +AkRmy1y7f23r0N743/joJhWLOqkZbrQdiB8VPXnEeB6JTB2Trs5q7VUmIwc26FkW +vkiqQR0cpk/Iik0mqS1A1k2Dpak/qBwQz26fjMjw1YIMaleU/wnst7fYp9vcw690 +h2/n7VwcPlAGbUS73RLTFzOWYjnqljhLn9/BX1HzZhs8EnX4bzXCNXoPZawI3fPH +5UjnXtmQrh3yl8IDs0zcTqjc3YSUaQxKB2G5L2a1WE7rscrNu30qmsJUIRgag5Gi +5hSXbxP7mNkuXhhmSBWkd95IXW6N2ocGrrlU/PT3i6tOhqovY887GiHq5jqxfD1t +OTKXPfj2ooHehFwV9tyMe5R2HkiDyh/SugP8O4ASD1MDAWuC5Zar1LGHn1KGQLHI +1jSFiiJJ8agWwIdr4gitVey8wsakHPSsEq6LRYGc2LoqtAEf4Q2UDiowBcJkvs/o +sqo3pySMaY2Jpih4S9OvCimtpwWYzxxd/Y+yXhU4FIcZ8aDo15HWl5Sv/1Tc+oAk +CWWNhtAO2Kj6S6VnqNj8YIqHhW++lRfg/saimXzKrmP5I2EBk6Y5Sp5aAWf3nxM1 +HbI9lDTeCNN0Uc505LlDkrfRCD/SXq6IWZ2oU4Ofl9gwZUcjQzQJo3JwVBcp0fUV +MFcLsGlTP6q24snX+1Jnp14X83SqQcgv8h3dmclOdyrqo+UgUX1qwyYyAJgXh0Y0 +tPcT1gqoUkna0qUthpPJyIjocoE4kavOPtZi2ugVXusiY/CxH9uZadeRk8nAS0Rq +RUXAbX+uIWjO5YMNu3PhSGfc5fofKE3sbgFsV0oYWUWs2F50Mmmi5+GZKAChWBib +2YeIS9jbqRORQs5QpM73fZ6UTWZR2aOJho1f+aHKEQSH6QP65fQeFSfNaXr5SlPw +64bme1dsmqCKKGBgg0vJuNtzfFAgXxinaSpYDBpNbIkS/Yr0vp3NjFggt4YEn2wR +JmYzPxRAwMitd0SwNFnSExNIXgS9TyB238QtqcRbftjMCC3tTXgGx8FPONtDhnus +fDUcx24h+A+F3+VhmB5HebhUi5flSLSlllzsLa1p4j5enPgwhx2c82+7ZMFHm1y/ +jWZuH4dLdVxJbr3V5p7QC0vSJBk8J10Stneg/ux2plOjy0Hp2X2LYnhwW65/tDs0 +qqMRFl0SlYRGKqJFAxcgmGFL58kNeaU1Xbyu/QnI1DRimJohEeBp4gKd3bAQl0Xz +ePddTSOLHiJhde0b8wVEPZ0GpfgIel/40SnS94Ox2D2d/XZZ4Cw2rD08wgI+5Za+ +BU3rpkMvyLv/KTD+my1r06av224rsqJY7NJDrCMcIwXgUDd9E29DlwCEn/LArrWX +v6oggQbW+XckRyDd4EzzRzDpbV92FZ+Px54fmsCMyIissgMrEvsaRl3rYX44kqHm +E26ZtM23GDsOStwH8YPC2WYL3OXzpwhouvQP0hBNZgmvYZVcRvLopwpFwPfQ4J5F +HX4etz3C3G+4WynGxlLILrUyNnLgeE7lXtSqsbzlBD3vKSXjQ2YyepyASs5BFVs6 +sPrk7Sk0T0cPc8xvllOOXHWJHlerlPqOAiMQVtkzOjXXr3cBE9Ws/TQ5gt8tuS0O +cVkZhVIbpGL8NGoTIWqjdiSzDK/vdy2ImxABTPBciNUO1SWDMBdjw6OPBrLati3x +jbWv/d+y+erFjlolPqxzpLy2an7RB6IVqjD7eeB7tSFf2rNqfesruaEfkSiOlXZi +K/DcALtKlF31eHnewUl5ZXMKG3N+wZ5mlt3Gc3zks2YbQ0PCoM5s8e5uD5ODjY5t +BCZALjYqgZGLUXtlkz1EpKheVKbgLoiId10fEe5ivHsX5U70ViH5j/72ws78peYc +myi7iOHlgQx+yXDo2IpcJ1MXpd8PCZb0o4yW+sYp6sIwrUzZ6+ZY3qgiDe72VlmE +XUw/SHvqMxff+KcmFT1g40hRbt5NiSDrAfYwp/IdiYtT9fl7FbZRPdzbSVN+Ma4f +U6hyzpICOF8hF4i23AtOmb4+iyw4uVyg1+H9BvR+x0zw1Hs+X0PvECluPSrlomxi +NWYsf/uzYeCNxBiFqmibt9F4fpBKCwI7IeBMDQcFtcV4P6rlDmN6LFsnl/ro51Rd +keNp2n/Q+A7/VsJkF5Hd+QWk5e6tcnRku0bRQQl5bsjVcB/eECxII9Oe9ipEsUys +0TH2IJ2YG+tSUTLDimqx6d6HtaBONx/tGwVNouDIQpt0WbHcq4H5TtqCpYSyvcjl +iQvBdZO0JxtQR4wwPjAT9959oeZ7MgRRzP8Uay0/lOh24OsvYVj4AoX69gJUX07d +eTmfz9pO3KrgcaHrKKwniEoPw2w3ifD7J5KhaGMTy9LFd47zOZcXm3BcZsXdaCYQ +c7p0VNOOtjYd7DErthbAQEd8YnPiJQ3I5YXdzyoeKuRlAo/MvWyokB2xKZwmnMnH +j6CmGJMjG3sRLX3iE3wD+O5qE8MSA42jz9wOkNrcGbBTloc8ByEiUlReBu0yp9kC +FCjrydAjzDD4WyuZkYcqOPtMhHhu/UNO9TpOgbqQS5D/9DvYqVDU17Tfx/51kBio +Ykv+t8BOetGOR0o9RYjGII21cVYtAG/QJfR8F3rK5U7CTYO/ewBfHXiEHov/ekHg +vOOvJu9GcZuREcQ8la2KbiIkZleFyrJv0gaZarDPQznpTzQksCbWNT2pLEpqA+eL +wfNnk1g9p+yt74ZUPEituYIGChPyp2FE0xah/w6CqpSspfkgVNGXp2loCRMdRHuX +iR2gVIJv8wiI+7nX0rjzt5e4i/GpPt5qIt115Sw8XuJVDCNv4qrQKfgEPZi5yEB6 +8+OOGrEowBxcrQaupFpvFmGMUf4nMf0wbeFOyP/FxhniXOKQtwzOlDl/BGqWul5B +GVZ7G+ZaYYYhb42cvZVgUsaBIeBtZ+HBUJ+i1ykdoNrEsIZj8GKH8+SjZpzedT8G +Noo+LXsD723PF93cUkloDS6hODSUFVmyZew683iKXwXXo3h7eFx7fL+IYQlnzGHM +R+dUGXZSkEYvY7GN6XfvO60ZUdMrGaWCpTv/jYsNzK7Wul58Zdf98+/8V6MMOBEP +1lxLFTODo5Uct0R8+4hZ0YXfZXHAV9mVFTOo3ATacNzaINBZyYgkQElCbUDr7822 +3KtWZC3yCxshvIwTWEE99b1+fdYgZWfG2B9F4Rzru+tE/fMD2f0YkZy7UAnmc/ji +hng9t2VapxzmBJdGHhXesdNVDLAE9UW+nNTPLDZSl8qNwvQhde0NlSdmVW+yc3b9 +8WXFkUdFF6Prs5U0VOb9v1Pv09lIp2ut0nXnAAoFLNSzqw6YBbYImeTdTM+syWR4 +ogHI5qA4sFKDUsBQbjQTw85Aw3+Fq7z4RQUGwOFhjisf/d6plPAithEtT/a/tAQL +aDJ/xIvj9L2l4/Gc4PkQTdp7WNWiCFmACWZkAfClnmE4y2Z7tfqastLsXUM1fKJe +WDv3igoJWuOysrh0E4TxcLw4T3xPGxzRQfttQ1V8QC4ItNJhFPIS0H8deiRrD/B5 +p/y5uC2wgzxPMUE2BSUo8FwmryKl+IpltCqDmd/WktfKrTnpsmAPP5pK7UBXsweD +Ob+LBvvSeMk1Ekv3U7L5nom3GYBh8fnJd/GUCW25lrp4OcO8RSye/043tfkmxAF5 +RWS0Vs9BcRsabaf2xEf+CCQJjyBZi9j2XmmWJXLp+1wRQRoeAmLoPVLMFfXBcXdq +cujbtmiKZNPO2LHnTGi5wSMq2Ag9iN9Ul9bEvZLlwyXA3DPcD90XO4ngiTuCH/hj +Z17rRGizdbyx6euw/7zBLfpm0aS6ovAGYsK17cpg9hsXiyzSWQn0msSic/0nP4tF +zxp4EmKKFqcMc4FWnwmaIJrmi2mxNY1E8O1t69Z8ooVwn6EFczXxLPGiVijNB84o +s+bEztXZ8AWd4IFWrVS5bL3XM5sOdkKdOu+lNyYVNGNxr1d/HMRIKYcr+tl3ykMy +JOkHCMlcgecKBCx+VCG7Njt2IM905beR/RVEToe5lBJaQAHxNRWTYRFy2u1S+FNh +pjc0yKCuTqLe93DWWNiNwXIdCWKjLTb+kd1FvA8gvechm+AiEMcqa7GaIIf0PYWS +pMaB5Hc/Hw9RAG6yGPpi6v9vZivFHXaXYRrArPlw6R4iaBWYxLd2l3bHBpLzc/35 +4WDEtWr5F+5TxGWxpgaXu+uxB44pNDcxYRJMRpsjH6YYLooag9GIA3Z/uIunKFUx +G925ZiN2QYpfXEZOriZPGtCViBMzdRMGvvHeucZIAtvKMzKpdgeYFkw1YMwdviCo +7F2NDxHHk0L1/64MSZMDIvE7XW0VGZxEzW3KJVrsKr9sZxM5/CKXtIgkbl+IRl7z +kZpJBcCUttomV9xg4Uh1dvfsX2GLS+ZjxqvWwSHu5Y28eLyTqppCXvVx1+5b+dCx +gQZv3KpNv5nQXh5PgyxAu3eweIX/AB1bQ2vxHsmPLy74PbKQho4JtABB1B8vjG1D +Ya6SerWJlNVCzYOdzZozwiD/SgEPuiIW4R/0qEnfqJPAgpr/GcCCvf2KEMuKRG3H +ThQ00hWEsswY+0nwPegXAtLDMSj8G0dBJCGvAqB05AC5dV014HBoN17k/dL9vu1+ +or+hMbZWGis/sZtZLt4szvLjuPsTMAx4bskdGdYPGQUoJMKJCEsZJ4Xqgojl7yW5 +2NV4EYyGemoqazciJI1FtVueEJtJOXAalv7wzrOsUdW7XEsdGzlPFdFE3JpH8y7I ++UgSz6WzTnxmPpPIh2sQL6wtb+axL1xcWNJr4c1KYiGhwhEB1Z+72Z2wCC2XLZAu +wNMEUTclO/8VopJjzgdtq4481dX6M9B2yxE/1FJLdAiiy/OvhSecDy6rEA6KhboM +KbKaRkE/xPKrd3YDIBtJLv45sNv0RHM5ETGB/GQ1POnDv0eU0ktkriK/+L2INRkS ++CPovyA965GPsVlYgeIwIPfK6NSoUAFzMF3Y3dmGzjHcD2EWfx883QfSw6xaM5/Y +jo1646Th6XKDu8adTPpeH9mCOEkKDPC8HN+Fzlz7za0/XUEdrUYd3XZv7UtqKrLM +IsEQsKTf3BdDMO29q+mp+H2vROk6/2gVcaDoeg1fvucyZutycwbz4fjm7eDsB91k +viezD0+90OSSSEJaMfZ1xGRIh7JrWrwJ02BR8jJAI5puOi/DIDCWfqzZZBuXDqPU +M5Rs0qYsQcJzRctmawQlfvPjC7d7r1gV+qC49H/GHl32FUOodyBiA5bcyoyVxgwK +8hP3hp/5i8IbYeKn9CbzhIWtwwKrongQNFlC7m4Y7+kqXzRafujA7Ojen+I1dQgN +w/zrOkB99bYrt/WGXD9TdWZd5MOarOZ32EdViwxS5l60k5Gdu4XOyNTNVd2JPn7M +1s1nERFjWECnEsFRgaAdFTm1Qr9JvsD2CoI8GJZpGm2YiafT4IWQYcIHo3nhuUl0 +Ueid/R3bkag7SYoCGakaiB1DpVJ9YV5SBTPiLNTVgQMkOFTPPyegex7HwwiFoNXG +R1G/UZLhRAueqjwFqalK6AizhG9y21fXVfoHTwJpxWjwJhrPRDeJTjvWeNOUen8o +CDVjTKTanIy6QPE9g5HowoyeX+q00LkvpTQ7WfKSGimCs9ZfeEuwpn1HCW0uJlHp +r5h2tOJl+UqBIRLGoB9vv+gfvArilOZou0qiTZwbSg4o4rWhYIl3kRuLtYn6Ibq/ +p+kES+h6W0Br3jy8GO0o2Xm066OU4MhVtTwxD6+esNE6fiC1hyq68Q9lxq6r7Bcn +ig1nwOGW6ZxLlwcHWZAPB+Zcj9P6bRTdyykHPxklikoAviyohMAxSd/46naO+30G +nZSlGi0bA6jreKWxQhclvj+IW2qZnndrapcvje419RcFbdez2FV3CM4kq48v/rRg +ZifCcXzx3yMGhreQEc0OTGWnqzRrg5G79C/1oV5TI7HeK9Nstt4WjGudiml9NEdb +IkwZVKXRy8G0nf7CZWoeVo+Cub3NzyJICri/hKtIZ4GLTiEPracU4ktv7bNr5g83 +3AIfQozs33OTYue3wwF90uBwxBh/2QHZVlUIKIpd0H0ocIewkxe0WQ7n6I5cg7Ks +mo9H+wf+9zWEQhEPFVFXwwPPjVxySFN3Hkq3NNwzjm53vy+gPMu+miiN2iA5Umtk +K+PzjbbqMzEMQJrVv0u12iicfHlZoqIIJh+CEHhFjJcBnQwy8p8a9WDCkJxrlA1S +xIjcwwNLHUB+oH2aLAvBzmL52PCodMet7O7TOIP2YHaMmwO8TX0JbN6V1ng9cVgO +ltF6Pt6Y4bp8Pw7Fb7bL0rY+o1/69pJV3dX0RNuKR+06v6GjRiAnWD3U+//63Hj0 +F+jvg9+5MM9rVjsFTPT3rdbu/JQF4jm9Cg9M2WMxRkJuoLGGTZTpxv0yWU8DD7Eg +6JwF5xyumlkoD7wPg3WD9MlwaJGbfP9LXC+nPicJ0w58odl/77tCmhVj8yVu8tNM +xuBW540u7D6sprV9z4bleqQIjk6llFCmx3BMJvuginoEI2lrGUJNbgChAWeL8FMw +LEdWq0I2JLXIEo8YQX1nklvDp5E76kFP2Rtx6evptnsgHUkxCm5ZZ61OzES8smmZ +F1g/Bjw6Mg4MCeTKe1/99k8hnaKPvfwJJDRNH+nKdP+jOMnB/i5gzjau5P0VTKit +gqKJ8ZgLVbyUksc/e97yHurKW+NW0VqC1Or2NJTKPnS2OtGAnPVzmFiT71UutkEh +TDm75uhYPk1OfAtY//xGF0UWeyP1TsFHT66qIyXQ083Nf4z7gA54kypUvKXWBfcO +PjdrfDiTjyWtkuqW+TrpbJU/HG6oHCy3wJA8O695nHFmukOfPnLTUGRo2p+YsEX1 +GhYYBSxLgzKSw/dEKMpb0N55FKrtSxc5yvQ35uMca5tzS+7leOqQKtPkmtBjws1n +SrFDaZlS42rr9AEttVkCoLxcWcglM+k0Id1Q6oiNom2Li8fe/ZqpDwKJTo1yMI7e +tWv5nUntufKJEtzGC9u0rabM4DuSjsXRWFc1JoUXi4H0iYKf7ra0xuHehkRH5S5R +IGgWK3SDxSl95IVGoAGFTpl7q/2tGckJRRGsUBUl8XYH5bEMSCVYsodXuaU+Epf3 +dvN0RWVlLr4tT2FFs0XWCJGxbO5Jv2TD9nutl2GKscm3lj7hFUc6iiat3mTcFqNV +FCEMeEw4/prmh4v/WJZ7Gz3aCQ+oxYZtYySLx1XjMeA+OJy0UAe6SoT2SiZq/CyQ +7a1LotvvqaxjEpIxMjEb/k8+e5gYIsjrPNgbserwn18Ga5EXfJcPpy32FX4MOPjk +xlB/mIJDVoq5TdDSzi7XuEj14HMHaxkgEj7g4QXzhHnc5YxOyIulYV62/FpNx1Ig +X38Vc9i7hDefLW0ELIHVPEHpRQSmzDv13UP74ZeQxnyfVPl80whPQC8AmvHzYZst +iljFKd9VW9b50roofB/hrtJgikCSUEoqLuifDTLMEU2idQBiDzLm7XhZdor+HU5j +ts7YkeGFcP/vtJ8NL8CRHwe7ZUDk+e9fyoeqzNdmnYFjkaRtYPklQxML/+22s88P +gsc0WFGoYi3mFHnTB+7vCgdjxdteqfxhUDji/wuFozclEoRwux2/ukKLJouiTUoa +vLr/e8YwZfeJXYMGwzjj1dadpMLQBeuXj9sg4wC7ej/DPD9eboAQ//+r8sdggQT2 +vZmA63cey+A4zvhGaGTEYdIPE1+dxQQYXJa8aRZZqrs61c4BUIqet86/CY3uhTYK +QssDtXacQna/vcHBa+2R6fgKvP81EC0//1FpOws4hOJD36WVzRrHQNb1Fg3YqwZX +H0ciUOBNprgHLeajsgDATd6TgcIA8Li96uz+ofFvC8NxVLlw+mntapOgPvO183xe +wjKEUBDcuzdYa9iB3Vtkj4ijwkjf2VzddEFeBUtKsTMC01MuUKzZlc0o2Hl6mx6Z +pS+MydlFiB41zBNo28olOqe0lB4bBiyBm0MhpDvc2zGzc9o1H6LS9JcemjArAHEs +lRhCAPOECPBrJqnUdze+AFOwKfJGWR4G2jLJgFyhFmq4/ewXOrm50GFmdcr6J9Zr +mTBTbBhpwB0pWteB82oVAiGaly4D2T7USHzB6bbwR9mg18b3d4xjslqyCh89I2GH +LY8fo1kQmxs7PRL17oLfFaO44xM3fhfqDgqXgJ0gmKwWovRd2x7eSA4z4PQhkd4S +nlgaHHnijZb1GoDNVaFas84dDClb3EJHEPdKs2ARH+8x0Mq5dbLBq6JQRCJS4Fry +8cLUTAFaXo2PMfllthVS6E9jHgsQ4osgVKtMx6QG2RCyAfmWzGmjN7c68w1puZ8W +rOHzOD6j6uyKqAGS1eYSV8I6RNV2PKwSDVS3rxNzXRyMsmxqbkzJc0M63wietdjv +MCCGUbTRVftCtT6z2XSVS3JH/Yjd9JtLCeJw6xYwLIL1UdI9j+XJ4fUa0ymLaEmW +32BEqFZUfNdM7uvl0EH3k6hqeIlf5hWiKs3+WSucX/++BpwRnQiZyjq5N5hHywqX +97rhplMrCzYKlsYQucvk9/swVqMTkHid1NNvLzGtl9FQv8e6jTjZlEDag6aDrsyQ +maB6UFN7WUpL0uROtZO4/Bar4tcH6wg/adTR2K0xCxX3r3BKl3EfVYY2GdgDQMSy +IHaUK7HsBmZH0pnUoa/ZH5Hq7s6UL42CeSYJCkGb9CRDuucU3jRSgcsRpe2vZNUN +6/rWzE2SPlPQsTB3a+5WY8PRPe4VxZUEMywaZRpF2fdXjLgyWcvU5g8sR5bhx288 +JRieBF4gEhVdA5KpcSkHq2aG9DkZLvGXYCEL/oJxakAZKaPzivJnCw0X2MLOJfL1 +ok6SqDJNkvYHu+0Mm9YY4uL/1JNuKP9KtaH1hVoNYzqSlBYC23kHyxHnkHOjYzwS +OMri7et8NICAet/Z+EiwJ3kmZ/Do20me0sUawSbppNbh/xoqM1se+/aanXB1KbhD +bfOYV/BFUqS/645Ly0Ucb0mnEEmb/lP2soqyjF/avpYsCuwu/PR9PVLpIBGVgkjH +HTJX8A6ZzOXwYemvBOW0fJBKdD6+LNBHcfZEuotCVP/PdXz7il59CndCZiqjtix2 +oZFHbet/AjVk9H4qONT8ycZjpQo+IPD16emulTf/DOtjGW2eWFDZpU9SVfit+1BE +PYHxz47RaUQvferFa519Kr6h9UhRMJbHjrFgpULQwyOCS2yBnDgbvq2nsD+pvGMu +NEe0KJpMII2X5Sfs2cNcORX+73hduIUPyFWik5ZSo4YOTl8hbs11JgcgWadr8GJT +X9VO0gsM/C5YtzfaQqv7z6FbJuLpfueuCuJo5kPV188sPrtWNqj0HsbpOm+Yj5em +R89nSx0JoHWqc5Mulw1sM5sH0woKdwvj9gFAVGdVWLkYJ+IML/LRL99VTCywcJPb +2hRT47TGBaYsHuD7EDR+A8tJ6YzOjUyXQ8OQUM6yTwGLmm8krRH9jGjQXhWeQGe/ +tSnEFjPdwpVKQxnc5kKjC6eh7mrRJLLsbOlbrNEMYkHJTaWHL3v6VukFMQZOPcN/ +CTZZNME57EXEvrPTr6gs+kISmYPfhbqEVgMgbXVWMACKVVRfk+z2EECDe2C6WmO9 +DYTc3CGEMT+gyOSsEsyblMGzoE1L79xkNh9iIVtMYcIvpNaS51mcWdlG8OiS+xd0 +C+KVofhygzcyLklEtuWe3BL4x331ByLYHcyg6s8C6LNZf/kbaJDCHIhPlgjFaSnL +mNoXlS0e0XJgP15LRCYZjun8dRVaUuUaW9mMlVWsMGnbpMcSGGVMYFsbCZ0kc24i +qzLS1WfsvCIz47TuG56h6Ym0YLrDw5QjVnKQPb2MGXuCMhef3yUWioT0q0M7o2PB +LyDDSVQYw37CaQB7fWBmIFfEpxEJdFL4POyhrip4qOqlyAywDeH1x6Y+A/TjEH1J +HVqj7KXxSHZRBVe4ln95QehcSfHA9V8jrA5kQwFGywWCdt5NkXPnYWnrmPTpILne +lWf6WmmP9kiwSnu5jDXfjBMvcNT5nkzsUm2SX8SjN8f7gV7OT/6R16EPylfWqGKb +l6l+uCKnEod9w6Idgcomgou/hxh7xhQ9YDIYx2UrG42OP1jfKi6TjBYs/FIR/0jy +x4hKWlP2OpzODZwDQxtP2U9Behjn1OenGzFhk38M/Q7STahy5u8uh04umA7NetOm +GXXdMo/PWudN5nxbV7jIuXhV2R9bWb+uwcmEZkdW0sdTFSfBXr8iyf6xbLquK8Hx +SpcECTixCc2KFKXIVDRQND+6m/Iv2VjLY7bAfTcz+jlogCRgzuPuxwEr/eSubTPg +tpjIjwvr4aXVYl9Ob+vO6Qvnhyu4uk4LZuInjmKiuHI0NZFeH6TNCK1jz+lBWs4k +ndQzZgs8bnTcyRTSiSxvRjF4VVZnmGkqaCT62Uf/OGxcS5fV7XsP/CxgM1Prb328 +nMdT12Wdd3KkQK788LC+ETPP42wfbPWf2OBNwunqFFhczZ+H5gCsyIO0GAlviFuy +6ZK7w4XKCLziNK/RZ/RvSlimIjpv3jGAUTObUAAixm7lwfyoj+sjdeGs23wHSrgy +pW0vM2NjOEjhaFgYod2Yos5yMrLLsFSoYHd6KH31MRDgV8Y5m4WiRnIFeklxDk1Q +3TgvpFOAwf1XqWwPkaq0xrW01m3uT6GEL8gSNMi48gz5E19TSmqDZ0aYAFY0S7m4 +0vTVJc5VizCZ83kfst07GJfMFvJiLWxVdBmhYqcyVQ0ZPpWW7GSfGzLO+cR9FkQd +gLkyhY/DJNgvXqvSl4zrCk7x4ZI7GD8Zq8Fa/YROf+HWHkcU2DD4hTXMHqzcZPnG +KfiMl6k+HZF/tviLcABJyne9b6q9vruuUa+t4roFkuJKlda4Nlqo7iMriEj4Kavc +g2MVdYqBaydZt5+lH2fbvnGpGDps+Y0VASQT26P3YXxkEexW5HbCSf8T0ggd40/A +syesBEtPRW+z8GySDeGLWFpTbdE6dFTZFEaItzWBWeoBoRVA5kgB88yMRj1bCTyo +g2UvptEmLAYdm4Mmp5mCq2KnDlOsfdo24W7b/9Vr2GI0B56SHfyKKn8GyNOrNQrb +kVtK9BuQlKZiFiXU1q3ExM4sDxJJtBrZopCGP+01EPzLTx498wxtwAX+63WGlYGz +0CdZwUQRm2Kb/MDCciB7JTnHTukfFS//C7yV6kYT9gX6LBgU4GlW0EK+WbJxwG+h +4XPqPgVUErRvWCFWZXSufeHZQkfs5Bqnq8itH9afjAJ7OVqqQTQtytgfMGoCEjg0 +eIhbS5Mm/6CXgiuR0AYYR9Ft2zM6sCBQxEf/8O1wL6sj7ae9jp0XlMmS3s+sbKJo +xQIOJh423OASG4sDvtrAkb+e+P6Vj0b+kTkbNiEdtJiEw98hFscB48MHPxT/Ipdz +Fs+4piZRjKBiEkhjqPq6yHzAmlYYjdRpU5ArVo482vNnHsIi/XYyhTHZ0tVZDTSK +ub6WJnIKB7Di8HkuuWdNB3kmMcs881idZqkTBkp3LqTPjQYH78KtqA0m+HO+gcva +xeE+Q2IU2TgjSEsEEfDZl+4fFKhXkg8ZBOW4dsTB6p8yd4pjCXA+eIfPy8Cy4RCP +uPLTkAdDkCzjwKsaL7X53rCxC6pj/nrhqt/0vdObZYwiChOW7fa80WaTy2ax3zS5 +FgIPIpMZNlgAPkFguviT6q6vwqLnBuH0RPxxG13zZ3Jt8uY9fV4RGQrgvIDnDan/ +c6JwRpJpAXbhAtXgWjsj2f6pEY/ojwPgFxc16ajHGfNvt62cmskryYFXQugoTSGZ +eSaBj+/tV8t9Gt4Bv15f8vcMA8M6f7uQlozC1mu55n8bkl5Bvg8ydEFVPhUi5epb +jRxXH8AUXu0mNXP7jW0QXmqrb7g+3NEApu1sRsiNuVqLI5oAb84UWyC5jmp9pzhe +F1NgyBiPlBSaS9R44NQzf/745ZiO7JxF1n5JTEhsRgkMxzyWxPK3vX6BPkaCaL5T +6/N7vx+bwiFwXdPyMeCLlohTImBXZMbxWhKuAgGHQJZmmiN69QoHmBVbZ+EdFFDS +71Cv4QnzMxF3vH6VrBBt5uJlWEfK78I/u+Q5KgGAwr+6s4m3JHqCAyQ+Nrnww3Hd +vG2mwig+qRYquk6eQ3yJyizlaXb4LQmlm7WEEbGoKSm4mddN0PeZ81ToNNGfOebp +HpwaaS7S+HCvLcRyqjGgmcxbxJzUe39f3NIlkViSohxPGEpJjaiJI99OS+TLklh5 +Y87LWvxK9e4N081eucEm2g/XcV6uw3uP9+ofrbTsPKuW6nYKPRI2hh6j68BemHGs +N3VUNCnMekzh9z9qsoVZRPL6q52fQqvqUO/MzzE8PIGc8YhYYg9C7lIRV4duBjtM +EYvS7ufwj77OAp1oWdNkLB9Fjt3as9I2tCELo1p1xZDC7+xFoJlfv7izZJ6PXQkv +M/w7L3pSjSXeYopi9MKulad7XzMznkd/CqXV4XQefEWuSd5DgSZEsDLWyXXW+Lpt +9PoCOXhR+NbKrFe8ppoDRW4DQp4w97sWoG1SMjmem8JxcRQpv1vpLsrRJMHxsxIZ +ENLkghQ4iBkDy+7WlugLQ1sFu972ehr+aD1OOvrtDUPPiokxSTMCKrneC83f4qKR +FGki1ai2GRsGzrEYOXGTpjM72C+nkQPpZne/oTID5gobG+4XSKSnl73G6AbIPMu7 +N0a09NeD7iEjHX8jTTkzqG5hZh2UOp0q23yK2worKkQWGhsuxNEr+QHd09geSTM0 +FHYp1sVQn10etxn2xap3Q1qlHoSZjU+OIYpkUh7yJbVkIxmX+m4mNzO4DRblZeP9 +H5vApoc1j0jvSZlxYt//QFoN1eSvnH8hz++ezlPjIiJhNLY08wfYNfud+2UKPsxE +o6aTPLT3+o1WgtCiotEpw5Ya/3C3/QtVyuwnDlxeWxjOfkxl8K4Bs+cB0WlefxZ5 +yMdwEiHJ369rnwbF9sZS02Ct+TEymVStlb3Oj5XLBcOAP+PhKI/AAQpGUvXLaFFe +cVU0UttUSxxrUtI+B2cegjXEej2ofaoWAwqCbL9oLtuRmbtZvctm5MdG9kmIzECg +GUjzA/ordDnB3YqRcWgYanyD814y3o8xFpM1Ep9lF9DXhK0Rw84Fayst5zFTmyFY +oHTuB2hgF8bbeoMClpteOCshW9kTzEx0uNFLHJi19ej+0IzVLqnpnZU+oKg7ffG+ +tl4l9E0Esiu1LJf57bKvEbkWpYH9A+BvPBBYqXDhbxt/rMCf89mHU9S5cFTXgdHL +/mWEQZLNgbzVmpcqDmjEMfVvf3MCAzeetYnCZ0Bgs1qjnIcU2GlRS3x+AWHJ4HiW +BiVIRQEqOHVVF4kZKjJ45LZ6XunN1vKnMNVztxwu0I2JgNrg39tn7D5cZotAsplD +d8+zzGrET0IVrFiRIim4jsFczQJ67heCnWXCoDfnHyWD4wCqs/Ne3KVy263CluqU +CssnncBY1Jm1OgBpe0r/7K1aFXhDYTB9kQH5VpDjrvwfRhjwlVq9FMwsSnLkhdkP +TxcAfatj1A6LpZcX7nfWVJMZRDsDYTyU0+g44epwIcU83Qt3ZN3NAV6yg7mLEfs2 +GANNAK9sQWoG5UzafORF3BiMXQ36qzJOMhHMN3sN4tHhTF8ZwEL/sugg7wM4nNhH +NVF5t3W4+vJ8i1ai+/+6LMX/OQLPAo2WbXCNwWBO0S9SoWuvGScjvQYIYeBLStel +dF0DHZOMvRdQu0ulR9HEnpEzhdEBKWa1hZ1bo0BIXOoIJKa9pnriufHUdd7T4Z89 +sXoPP03UPBYUvuZeFXLKLjri0Z5R+ojHt1Ks0J3hr71vKOHzC8m4T8/ncMpgrlbl +I/gW6P2bu5gIJyQHVd0eKjQ1DsXLwCxnxnSS0jRxK4KH9KwLl3+pMjLyafAImaUK +G3XNu/562nUYVJvpWclyvBiOM7yjCfn3lFlnB1ACVUQvN/mBlkTSoX5guNP0vL2p +kjysPZM+s+hXTUbGeI2VPvZUSrbmvd7r5haCg6sl8NNOf5SsZCoiSR1bmSniIV2x +vzY8qMNfpAi67m7Z05Qlj4dUiOA+nbbkHCSpGLzyelIHLtPk8iodzot50A74eHqj +QMfxJcwxRbrXfDyj9GuZBzklRTQncYMyFFBZzC6B+MI714yUOZiMuwi+sZfYqiPe +bOjS66uzRqh/iwe4EbD01QseHbgrVvnImMk79D8mH8ZAtGS5EoEBJMKMRmkuXk6d +sc46C2Yk2OFXDcs/Ayoc2Bj8rSInWYOlgV+/DjlCGGU0RzbaLW97j6yGxXZSBj+s +NJ0HNPtpE/A/21z/az4E7FqKxSJMZXEEkAVcBwMKdKqcyE+IZheoEWQxP7UEnNu3 +Jr/79oqRErwiYDUkOQn3ueuFs+/SbomWzr+2uQrzheBaphFrsf3fCLPUiqvSuGOm +SQ+a5F55gO/TJyGNTFuHQFYYkYbQoHhoxcAfauS+YXcdSIFKsLtLnYaIypMyU22n +pQ41/V3WUO+V67RpcPCCq897ZvguOuorl9TCFzAkf1V2PumrTckJQsHw9UzUgXdD +Z16hF/ErcqmMUvy84N6+VT+5Y6Cfoqr+4evOp5MNLmjOLebcZty6niug8Lt4EcIf +TCYXhRORr9kW4gjzBHptQb/h3wmnRF5RkQpGbzfJQteHHWm/VyNsSl6pjWB4UGBy +POTbgTOLaivPzHZyvAv/qm4TvwBMHCsUI2FVYGxDLckeEhj1oLaQASYaXvdGQ9XW +20LGhpj+CJ08rrVivZSDnFDxV/6Bi1TqdXBWjH/8KJzZrhRwJ9Ra9yH4He3NEPQI +deMEVLzhB0BjTEZT15/0dWiiuJ5WBg6btbGoPk1Ko95BfnWJxyhaftr0ei+Dj0F5 +bCyOYvtoYV4jdItgfDH7ZlcUNOqzIFBxamem19KdtSRy+8eh+/HnWDqkKR/amqqF +lLk515/2gKaM7aH9HTeTQSYu8jxo0R8s/yo2cfRzbWSRuIJ1iM9/6x5M2y30rEMo +bOYPAK1udIooriQN8XQVBVkf0ovnyeu1HE4vGMKpJkOssr6go3m+pI7bxGLw2fEa +dNUQHiN7GRLvG3Md+TcOzdN6Idqku/ohxP1AofryGRaVhp0ew2FAkMt1cdFadUls +mA+xD9LbvbMXDtTCQuUZ9dm29X6EqfY4CfktjZYndzacYi+v2dRRyPi11JkKBkjD +ewv3n+sJQOYGm5Jbwl71IcYoH/1xZ1mdwJMoGE1ffhK+ekwQYxyf/IADVpQ6xoL3 +i/Eroc5IVZtGXNBVzEyYDhlyu/KFskxvlOUB6AKwamVjOZEiYQXj49HIVGgEYeMB +MsauAHFPuYEVsZQuVvmsZMChUjkMDlReHNaxIEjQCcSuBHHLJMixZmjJSWH26qzx +oB1SPCJptzOJu3FDSXHv1DmE+DD8MW00+28k0tVVf1Uv1iMlGt8EYgja4o51zh14 +juDfp9E9dJ4BYkCT1X7QADGlnRdDwnHTE0f7n+n/M+0ngBH+u/2dHeerO26SFPp4 +NF6yVbvjjpFwUvTWA1urrlyZrjDB7Ck5sdKBm2DZvDJ5gG4dtyDz4euFaueAx086 +cdHnlb1EYLMIql5sVfQaXLrRVaf6ddgi4tys9TCT5H6DzDIuszb1C+fnh3KH9pUQ +Jb9+HohFD42qsCjgohTg8wP+ypyIq4VsRhndQvQSgS9M8A/4/BXr+5tC+T2g2B7S +v4IceDSGFOcx7q1LZi5GF6uBmoxTKHb1RM2hdblNGnHaStSjudibO3Nqsz8FV1uo +YN2WsPL7GPChuejYF5AAqqblcxDZeHCFEhEmB0nRWiBf/bbyRn+v6rDvq8orxnyq +V5ptmgRsWkm0WJJS9cwcJuQfbhSSCMKzywpk3Z6YyXSSJywkaViBtSJGxaLNj/Ac +e1iUhwlbAUDOmijyjC17ptD+qxihD3TadEqD19u6soVeEAyE1B6o9Ob3HPa4vZzR +nOMbzTWCSRuefu0F+gQhkdec0tg0bjDWEe9p00eaxhazHSQETjNnXiiSWnJInvbN +sWETrvWERECnT3uQYa+Jap7v1ot8S2VKluuPNJxu9xLO4/k9rtAr43/tpB3Dnvic +9TJIWBMJOrJeFS9rsjYFDzTWgCOEq6Nqrav94Vjtgi+DXQa3kN0E0VY/ND1gzmKa +vy/zCccUjmHhqdGD1CKf3CxyS/hVnla8Ap6n/nJhotKNHLosY45wwLzdn/ccUCHX ++CY7p0p7lYB1j61GrVxITzh6/s7FR3YKLGhsgeQ60sNmyRK5dmyLb2lLT62KioFC +n89+J+PfsKHB1+u3ppO6VeiymgrRHZ6MEORCvf1Bd9qsoa793v5KoTRv4Z52tOIE +6ZPJmEhMW8Wy1hGvlXwBPEe0c5xVem/GuwghebLMrzhFDUslpMWTn6IOK5UksEER +emZIcTt9KLyEi5LzF/GJBm9zksyZ7sXVBT/chZM78VbMCMju/ztE6bA54mMK25xc +EbnF6gSg1NZZ0cEcv9ZlHnyls6cm3j5qzabVTO3fJAD4co/9nvRMeIx4wN5MwC8I +zXGyh3a+/pKoZHCntVlJraq+lGnxb+auLNSewvsnEuNL/nj2cBNQgIIYmmT3eios +FZU15LnymI7hU6djdzfbKl7SJGuvIshWo3Z996xkppASltCyaFbvo7NSpFzeds6P +KnbyMuW7rwh2SXrjMx9hH+umj0hzEFY2j8I9Yzj81g7EIteAG2uvm7/Y4ZL33fmi +qjLYsF+BNyZob4VRVmp5D/E/LkXdfu1sjVDCktIjFohjKwLphRhPVu7lWvub3FIB +S/aDj9T3KvjhCshqbtrXZee6FskrplOn++Mz2xhz1w6NSUT3bTkcNB48p07Gm60j ++e0CuTvJkeFc4TInuuWjOhMXBOnICF/D3Zryl8M+DeABqJanMyYpgz+HOxEEJ8sj +ncEqN5ckIwWa4dKwxLkiR0gGBB0w3BWBnFtTWfo5Iu7O8zKyKI0L4vl3KuxTt98z +SehcQW6sOFpYp2Z2k4T6JSKbquQ7qVhFlBdAil670sR8DbEahfr5LyM927Yv0ZYI +j/9Cztc0Xzw20FoQ1iI5uVPwqi8IIBPYxfeKSuU9nFn3DwxbAEVLEbzqNzvPu3f8 +vBi7UVargy/AiGn4zR4Zy5HXmpyh1W6bJgilp95KtubsY331zGD72fwmUE/d3pS+ +gKseXEF4jNCbZPqc8j6WjqOpEaiXwa8l3Z/wt6oKdzgHjzttQAGgnO3DrqDeUYkn +RSuGHKCvAPbT1nMRFfujgk+Kj0nmE6k6E2seH4olX9oQXjFV9h1qoc+F4ofITos4 +uqyjaPeVlJLhpYt4oEDR0bXLsQgQQY0yt0cNc2UaBoTEor2SE2rLNdt8QtpbnyPe +aM9TK8cWfS7w5VHgqfCrVD3zvRSJa4wnhNiTMYf+OF5X8x6MIuIG2EDx2hSos+hx +c942e79Y73YlqYG1PeKaIz13/KARkxDzLECQW3vJsqi7R3sILNHnBGd4tpaIz1GF +zzzFr/ETvfE+dQFHTrB1FGU1WOwjrDFHgLhOdXQstHN0aaMIwZFBxYihQDPV+PvR +w6Mbpm0TrenSfvJ5ZSZOie/auLSwigshHEXq/QHoDGwUcimrSSqDTLhYXnb6PIWp +iqMuQ8qulIfZwv6oG9oD9KRziTi2TqqB58LGC5KXYjwf/F2ol7HdBCo7fxkt728v +WSm7VMASXWHcSIrlL1bZ2HjcFaCTmjEFFYNhyV4WOYclmIntkM5z/sLnTOe8jOxy +pyi/YrPVOPDsUOLDBLX8M/q4sI80aKFJ3XIi4vO1oPQnoizVg16sjA3Gw3N25BAI +6EolyM4LHf/Zl5HlmWqUEY2/4fHuQoti9jo2cV63xwDLRW67+cmSmTBeApE0hWao +XAdbu7qTo5P1VSBpRNxYmQAJIxj7u20S3R4xZo9MUCi00+erjEjCtRO+W7T1ciqy +LrqVpKtCIYLYEiSfVxHmCYD3Q1U5QTXBEQ3svwMyioFCRP7U2/HPnWQXzJvIEnVf +tcTNISCR54j3/UsQkUgKT1q5AXf/d0lLlWLAwldhuAOlT4MXl3dQ4+KSqQiF2Uvj +N3uXNvMu2fryDmcDhB20tWlLkdaIwP57zo0km227ovk/dg2F97je+uJCtIwcII0m +8UB05i+o5sbM1mIcKG2T+fo7aPbsmehWMz3KF0fyJAYqIz9KGNhj61xaIe9a2fAk +corn45lIM517gWMggpMjD/VOlARLPLrIg4mK2gDa2Mh+cbaiB/t4qXUFf0c6xe7J +lDkIPANRB0SBZJyU01vfJo5AZBcXqWK47sUx07oV1f/+RBh6k1YplmZvuiiAxaZ4 +kZxNbTaP5V7Z9uUHNw0YOO/Zkxyss6pcTrWL0PPYvEWdHLtmSGHrBcvGpuQKA+zz +uuK3WwnOyqDTW6cEbYmEANdX+/8OG1RThAMu2Q+v2faZgxiX0o8axdUo7gcD23Ma +eZmHJys1aH8SyJbdxR+al8C1DlQvfuNEsNWH0S4u1Q+oRkYWjibAYdHnO3u6wUuA +NzrcgCLHLRRJeyOuUPe+LqvnJ0bEPC7yH0RnoKc8U0+WKk+TpUZYDfseMf2Gzq2z +f1RG4WtdGjC8gfQ4+eHcSISE3aJr4i7W6Oez0XQDamqtRrQM5tw0yHXbXeY95jUK +IzBae/S2B7WabuX1ysoEPjjbcauHM85YVRiLvZk7fwr6Us1VWDalSq92XbWTc2W6 +w7JERFi5xpV/VRoLSTKzdZLyC6UNu5SLBUNBydQeDY21vfs/+ORIdIkCVAMsP/xh +L8LbfUWJXzUy//yPLh9bWoe8yygiN5gYf7q+tdXQtSvZNRuC65cr2lP++Ne+Qm5u +xgd2HhNMCARN84siDApTYG6XieI6Ji3n8Mo6oxo9tqUuvT1RQrRq/D9218dUDoy1 +S5osWkvcLXjM2nIa41Rdkfp6KkwlAu1yQhvYco3pmgJQYceyl+ZCrjGVO1EIootL +oUib8FzLe5DSXx71SKDMpBFU8GGeTDJ2srGsRosxF6XTnkdh0r4fNhfEg0VToH9M +o4XIKqAhOQLTv7dz4VRfgk4IHk5dsJCHtHCjmKLNPVTl/egnTCMdXbpz2rLv5z1p +VZ2AcN98L+Le6Brxl6jsfa//v3Pl6btqvYD8FgHwWVe9N4xDOjKiwg+tF2I+4JYj +O4SL3XA1b87F5pa4URJWltWAF/HsnNGgn6TL+1gBM/6JQWnkCPJdglq5ZzfCa5Gz +FltprF+bjn2CeP1RDrJMQxPgPFBYGGIGR8C3P/bvYWirrUDUVjF2+hm6hJLTv4YM +EsOxEXZZyfEwPQawdppkVvWFgAmhtPyUDov3W0kFHISScyRLjQH6QhC845EFl4OH +RnS3F2FXd465rE5fT9QIMI6SnPT1jpjQOvvB41FxcORAuyHT2RByc4D8As5L4+hg +mxKopKL/Nu21wCahf3ezBnVzsct1YQiCv3pVlWcyicFJ1mJCG4Fjf9v4/IcuErxo +bYtj3/2Ld8zB75RgC64knGbl8teWlfj0SlZu6gYI9hztSQfHoKbFS17t8JH9YqfQ +peuWldfPw1LFk6rfSvLEXfLdf50UWlsZl2jEsJauh+HwzP1rpg8dKUXvJutI7slD +YHktyUt1cmNcd70dXfPOPPm8WWLS5brrEOD1ekcIyM6t62WCgnLopmcD7iirTm+B +2k8mSDQda3hJ2lxfhyJi5KDhdL9IBxWRiaJTCUAM47p18nfY7tjY7Q6RzOCoeR9y +OMaCs5AKa7yxE4LQ44QXnLsFCtByoS4/9FOSOIb1STLDHFgPmEdbZiS2WcPPEqEh +w9u7H9d36b5XNZzaXO+UJqwByem11OAPxU2If0cazoEsJN5U5BhqYQ+lgbpAEkuG +JAjf+H27gVmdwq4iQGM0m/ykZKImEpsqF6BGt/fhuVxrZBXk+5v2V+0TRkP0IVYy +lUO5V+qcMkhwe3C6ThrH3pdpMWIvKovrNUN2AywAdvdTQvnqKZm9MfmTSx6jGB05 +9wKXgP3h8ELt3idLrE8Tk9AD9XE0RedtoqQaa9JuBKNUm91yzRrYGeoMV+Xzo++3 +QgqYNW7M7zJIwqCyvKvEeo0kL5X4xmATH/fTZvGqLeOtnr8IwVnAsYkoHPVBbQjT +W6QzIccqOq0iHXalhpw/fxFFY8bUWNKLa2MJiIvazUoM0dCuFVOx2Q4+KvSxZP/S +h29otb+q0FJoKFCvabl+rIofDcjNoNKYKMbG9VFm22cUVk0Zy9kCwI/1P8mQtMj1 +TRyaVktBM+SLfla0iRlHCamEYRcfZrgTgi2aOmaMORq/OcqcPVTklZMi1ZMF6Gq9 +CuVuAtzMfHI8Yh12N3cRThzQ3feFoue9W/sIQQS1xvi0kwfZe/8n1jMuW5illVWd +hsqm6Tk6ahvv03H4iKhNkeAd76fk/Tr65NGTMtlHBIFgiJMBnXEYv6wYqodutIVZ +YKNMicewZacl88+0ls1/GQHvdLDQxZ/1cYlYG+MQKxA6hPXk0tYKqcakJc5BCLL9 +pj33TCatAuue+DQzvKTGL6rUMBVHsdeaaZox0xYTBbfiY2adKM7YFJMSxvFyFMv/ +XNphRKR2P+3pVvVk6IgpbX2RnUEUJwwUFb3QsEWaRUJgBG3OG1HkCn+yCZRGhps2 +etIbWtTA5rVq1k5a16ez+etAGyk2LP4IqS/uF4PWwjWEfkvMsqxEban1IXdWZyav +CZwH1+Vy7ZHJ9rFiR9+dDDrOd7NJniIrce0ToPLLmyFX7OvEtp5uUjsxGqqjZBTi +aRSOf8YM7nYzdEBZdudI5pxGMwUWyTtRro8lwj0DNUktNmzgDnKKoMGmuebMJHsN +d5is7jRevu94dlPQ1czDE8C42PF66wUWx6l2Bl8rvRWez7t4jygbLCH1/qJ1dgPh +W8Hn2jibkrLnsN6nNajpqwjqTj3XZIW8M2h8+R/L/5KG/KMTKup3brE50iX6Xija +J30XRlJx2rldDB8hQMD4DDHt+lXXkBlepQJTRUGhUrxKJVicfpWJ5VlS26NH+INF +U+b59nRe7vsU6UaaC1yZZG1cFv2ykPJ+DDb1WO8HnvD/336tm1zPNJgdXy+qPzlE +Td+m7CYUlOCmdXG0KDI8TIROSZkIojev3JCVaw1FgAUTwaDGlbOt+mZJIWtDlLpc +xFJT5X81rr12gcwyaAH2tzSW2HWKxwslYOVMfxuCiv84TulOJAGQDV17sN1pRNLi +y96n0H9nOxIR+jp3QQR8sVCHoHBOqfYylMY+xxboeWjAxKzH+P8sABD7blNdiYLl +foE4YvqrXcfHk6NYWYHMHJz+HQOs9/gfBAHIdKJxQz1TkaypXeQvVFrEXG0Wmhl+ +XO4DUsf1yenLYC6irWSkqULRZEOJbE42j8/Ntf9skV0ntQzT8cvlEcnKCO+2dVuy +wUFeCNLQcs7qOJgJQRjYjiRByJNUj9FVbRjUBpmgybBQhKzRhjTBQRwx8KhNkkpZ +RKj+jQbtOQoh3gqLwL9jxLS8lR3Q5BIFYUpoOGSY0DfJlvGcPH0Ie/hQsFIKU0x/ +q9SxvPaeKuNNK0/Pk5aPSCtPncbnPrVH/So58fWA+IfS5HUr7KTgUH85909vjlK2 +eBRoDhEtXV41/VtbB3afGGg0yhOW1bfpNNnv/4Qc5tBV6UnmAaT0Do3SV42S5amm +rw1ZC/xLyNQexotgEaDByJ8V+aqYLpoKdKsGD9F05E516Yx2xhtp0ICd28ZmBfVW +jS/QIlbFIXpEHVeacPXMZpGYJuyq9xsIu0LnlHmH4z89aNpYO9tP+BCNeNK8hJkH +jUQnoq85xFrZ1aiPgsuzLqbQVNEH+UkO9sPwFCHC06mKO8hn70FBy/hWkD77wqRX +KywiCu0s5iPD5l3tS6b2IrpdE53kicND2+zSCVQTHpyqfPvNesgf0nEFhPvDCeKO +zp4ZLLFoDu2rG944Seeq7/6bzk7xGX6RxEiaKCODHmwlPJY7ZWPbCHjsx8TfN0ds +IV6zcJtgi1spzAC2OfFtx3QQcsPo0V+/ndEWx/EmE4JQGSt8qjUr/rIa2y3BYdm7 +acmSEQIYajUPvk3SLJooQvGENiuICSRh+cz8zVj9Tkn78a8XoQ8Ed2pKhdF2BCM9 +iAzwcoxuflQ2xa4oFwRkUj3gQJf3NIKwkm34Xq68p/wgtuEGaWQODuV4qfqn+oPT +c+zoSqdD97QFYYX6OXvpZBAIG34GKgwD6cZGvRt83Y9FqvwT0VA1Tu6Dpm8zEkRP +4024sD8aR8nIvFcdIBBiKYLd4vLJSgOnAK1SZN3t2YJW6KCXwSZp11G/9rjG4O/h +KoHHYrzaiQfI0TT2A5MxwH6/HYci6qn4YgD5HRqs4cxXYphaZYXGyC5F8ukfLOGc +7Hl9jiQ1RXKwR66NoLL69FRwUpX8FFygh5yyjCy+i2te53jYx4gm/4kbxbVVFnA1 +6HH67Qc0z8gIysoIIBJb2xoHTRf+MkweUqlbJ+NurrL+/LOAjvhWQ51P/x2Vr9MO +2dSXEFCWD6Aeamap1zEwqSvKXe6sDbUc7osZi5UW8MtHHWXsbt9dQD7pc8CDxbDb +L4QBJLlIiz9v2G0RlcFU9Si2VmOXggkUWp6dGI0jxTkh6iqIA5amez7ClX/H/Wlf +qe4QJcwbLDQEEzK8qMbRer0jF6cQVhFFu9VTJIEhb286opa9MVyeU/V3N5G7tneK +24lymKaZpvIzwbhIGuC/hFQs7HsISkf68U0N5hYNndpU3dd9KceabzmcTEhlgnWe +zH72GJAtiiRjBtEMasuX2TyOoLk3Yb7KhyNCI1mZP0sN2/w+CIoqEv7Eeivmprvd +DHhd7809lL6cr/b6bk0HEXGGTg8/GshJsThZ8vUSMqM+K3QK5QpVvxonTxyD6XHo +RmEHQ3rE6pEbFpTr64RFmLcWpH3Iq5Rr/BiVWPmPMl4BRZ3MkPrZ8FvpGpJWyRNF +LAP37Xcg9xjNcHp7WLpvvOAIBk5Gy8x7RD+hD7jGlw9kzZZBduGrin5kfB55AOcC +x0umqL4QFux/EryXZAoedxk7zPTTWLmqBISavhwNFAIGGhnj1yjp0em3fvfzm1Qs +nng98pNdDK8xYA0btc4zfRpdYiwoexPv28XvY20aUcYEKTzvkbSpNxIV/3RKZimr +sKhn7i71PagztG45cE3CWN3hXgmpHu1RKCe3Og/9gk/rntt0N8hscMJ+67gZyt5T +aN4dIGTaF0ELGghyr6IywR/eEHT0OO0C/LPZGISNmuuHr7KziVuh19CUrqxhaEWs +ozb7uiCwEI3wnBQaBQ39Q+qQaEzshvR/Us3xlk8+ah5aGUYbP2ckZLSzL9SHw8ov +kB+AQdh7Tz+/0SawRk9phDcCa4b3OMuLem5epqYLs1Rv0WQQCo9bVwu9lWnSKuYU +4MPjGqzu2QH/Q6BBOK09mfhdYAwPtm4v3QyvynBeJVe6uqsUWVZFWDn7bjByRoaP +fIH1auxjrc2bdQyxQH/5pafCBPy+maL/qd/IGt5lkoPsEK46zuAUlIhknbVrmfb0 +VnxRDtEWd7HFlTHubaGtpE0HrElot0dvZqaHNcJmPgOzhC7Zy29za4pOLPE9WxcF +C8RxWgV82Gk4gYtPIOv1VpefXhCzFwPGddJq0EdF/4UXuUxUi0Sf3JTd9pv7xD2V +IDflsaKzoqlzANtCEOS/lrZiJNS90am0fnepPWC2YPyyO2Sx/+HOk1gwTqVLaScs +DKKK47HlrwORRffp8rN+yL20SuBX3W6cthhzPNCM1BhHAMHCn5xznvuzxV8TjMfM +eXZchMOsAgXJMqUyuvkuZi9Zee+b4PVztedJNL3v7Hlpa9wfAa6xP/DLuYp4fX6A +JiOP4DoDzHk+lnFoJElezbbFYsWNTVMVqwPiAASHDn9+4BdowHYlMR+vwVCXZHGA +HYx8n71S9rx4BQo136keLIhZlJ7qtgo7tQpHptQr4xXKBy8k6q4338AapotX1Syy +Ac188zGfAH+lN06Lb8f05+5ayEt4QxL7lMHSTwQgzEVRK2Kdz0fqmObpKoVgLxvH +NXSgkUjxcgs3JTi8Libd5qthnpkqQBsuBYHObvZCUAeyaRyBQBMgfPOdKE/cmFUw +bLMPWlAge2FQ+lb5DfrhWr4FB3l5CSGsDpUptcD3PJgCrPH4CRAh4xP3ANbbemRm +mxeFG0aizmf6fsC++2Tvfs4AQR0zRSrnHZa8K0Kvdj/Kje0LQ8A1YO55r2O7jPNy +w90zacVUCvUi4Ut4fD+OgTfB40461WpjD5egSLMD+1HVNjqwha+hRw+WB7dpQJfl +MEZU/bQxcYgHm8z43v3sK6tt1x3n5N+sSM5i8VE/nwzkHt2HzmvhNXokTG6lPEnk +H2vqs2cZnlUqRsg+3EipBmwOvTQg1+UWZJIKhkCIqMHlfbafRMTK6qIEsru3XZ2B +jdQaC96Hm6KDYiedw3T1UCo4zokrHiYkcnk/ad1mUqDsh/I+lzzO+NuDxhSCoeca +F13kO6H7XHVRtk7HT5wm8C7rU0/4oUPLtwY3jz3kpq1PFDujCqYVgOzqCTLUMB5d ++yAy+vQ19ZNvQUu8E1Z8m1JRv+cfNKZIBjjNccY2FgI6+eFVTcR7NdKROYu/xVD9 +cSkjc8fCh1+1QevhDOume+gMI8Fdnl87fbSTuO/7eC5rR98lCs4CgW5N1Ek09zRz +yRO1cDg7zzVeRYnH5qul8EVw+uvvfAZ72rMXjdGI2bSX/IL5LGBxSRF+/hcVthQT +NBl/KJBIB5GXZMxffLER7YaGQU4rZ/YzO+l1MBJaJyNhKS0Oa9HWHqqlB6+IkzPv +dTueMfHT7oznuF0SPAP332/EFBzwHcatEdX3eRyFxEQLXHkW8N2fq777zCPNm4TD +y0BtC3zrEs0+t29fvM/uqOJtIJEaN6lSYvV38HeRa9IlymGiSgX2EQOsr7vedNfw +uPd9MRNAZZ3MgPXZZ6p2mGQ55bGFUn7F70tfwAnqYD7/7ZwydYDfSjUoNtzsp9Rt +E+6Lc8LXEu0w+KyddK4oLodW90n9ghZvywX0jCgYG54DAFHAAjKxAaOwvEhzCNes +ftj+sqvmzenLqARlkEz9+0S+9+9UNi8Th2Rp1mCz/A7yo/K8IBSVeiot33SmhzlU +xaeaK+gSN0lX0kQZXwVUqND7q9QM0UnGvHM4xcOGgpC3qaCMjaeNOZsWmT45F3rM +B0yy5FV35VJzY3m8+ITEwIT7h9isPx3/sDrLtwAz0NSucwCwALankJ/BxWe+CaoG +0Bk4J+igXpTiAN5NOwlYG/OpeNQGo95tHC9KD3n2TfhZE/1NNI/VoBFEvoskExm/ +INRcDFPueO+40+gmPxFGXzpXxmgGwIcJV/KV+JEWoa9w3iYeinepHsv3t7PbiTfT +ceBsuVPNikEoJaDbK8zxKjwP+LHVF9uP8qQeOY8ERUZVWQOQQc1qkATm9DU6xJWi +lJFkEMLJ1zBWdbsHMEMR/dXLsXOZ48BperOTFE01qnK1xthHOvvKYNymXRpQmnxR +cZTFtH5HCZ27peCUCZyL7E8hE/wPxXUvvigavmGDVcrM1FDY/tqrZtMF8zVBnPjb +pFqUGJ9O8PBnjqrcK19YAGjR4uQaXb9yZ8v12cHY0X/NhJ5+MdSz7hs6d1QxsGNu +i5NjoymW6KeBuVSpAi5tH55K4NcBUCTQZjTwoZdjnTsZxjLCjiYFs+F3+GhPwxDu +uO+z1Aa5g8q8E+3sZbQEi3Btj3NIYBm2WdRSDRFrtWbxIWcUOlMeeiPHwdfJElJZ +5K3EQyKIt0p9u3sOEtqvPM3FEihIRwKe1KbgXN8Uy6MshB6ke7J2Sy4RkIR9Sbsz +dC1ZtmhoUzOc3MlkQ6IlRWUqv37Il54DICJ2C8UZGnA/YmiIjyI+d9mZuf5/yRvp +tt8nzZe7VpnRGoslk6uFaMcNowuqz9mO2czBoGaK8InJUt572fme5Fsh8T8gqyZS +Oy+z39L/3wgAdh3HyhvHjIcAp5seM8SSuooU8NoWCAgsCfnSlnuiDEbK9Qxef8T0 +Qxm1e+izpIDRgq2SyJvFPF6d6Rq7g+jVcT5GCa2rYiqFq4rEktbGxLwz8Qv5TzkH +yK0YH5+9x1WxbodU9Fi4JCDWrDAkJ5xeJ43gGuZ1QPuyUeCOs2B4lIUYXbPCtjbX +TrcKBUV5Zdbgcxi7bB4H010vNBecA7WJHDVoE8aOzz8HqJIugi1ExLxqxksT7iEx +udUxh5DSthUfhyTQB9mzz25K3quzeTOOei4ZbtIhEL2AzD14NqFvjr+NIBYsAy0C +SdokVa6YEi84qp4zTP1e1bwdZGHYMFuQpop/mF13QXYD5iy/mVMqawDAIjw3mz1Z +cSSxuq6KHXYRg5uSy71tyGqueLxd0BmgT8Kc34fMYzQ8wBryvC5BIk8+/ZzmdmEs +j067PDfEldJU8UvE+N+sHdXQ5/fqSiEOGvAaSGt/LSjW4h5+IJSrN/7+h1i/JEi2 +0u45Jj9Ptx9/F/Ofise8ekSCQtim+1IrrOYF2sRFMvEQpsQEAcEcdqyw96ffvXWC +UNqIfFsehU/lf/u5VKVh8UwszOaixwbPyEoYirck+fGZ1VWMP2eFvLHE5NOEksQu +K8WnZWb6g6ZeI44gvb/AIq55hRKEf66GmskDFe2yPqQJ2S9MTMmK2+vUqSfUr4mt +Rj2qvElOeyvnc6X3pSTw9sPzReoHFYoHKXMhEn7dqpPWsCnNyz0vZORBHBtmgfaG +Ag9Bbj2uGtD9uFqNPx4gECVUV98OuWGjtNU/8d1NcLq4yg12nfwNFmVjhkR5DjMU +VK1UeXpf5woDnHHatMJVmauTSfHNeJ8SXhG6aAnbMUITgdEetOdTtoAjb5Ycy9Zx +xRY5PwxdRHFhARRUV8US3iE7eYYGNlPplBp24RDyuwFOIux45eS0pmGRPVNyEB/b +b4hwiXpISj005Fe4LnLhX8O4GHXX1280gxqxTQp3ffpUfnCfycjS/ALaRdjb4TS9 +3rrKpq+73CobKBeuD5XUA+UM2gZc7kHeJT06TkKMhfTPEFQFhk+OtBSdrczk9ID4 +37j1lw485BJVFAelG4DcBrv6ALor4U7dh/8Ku7lYMkoRcc1EKPciWSGkJXqItUlH +2g9C5Nq7z7TsMpqn4XjvLVZRkgz2j4/UaJGV3VBCdsH2yyGcZlQVtY+bORR7xAvO +JAroD1Kc05A0fCRCp87NghiGspSCjh5MwWfZB473BK7bAuVZ+hQTUBpRsHBw/ZW6 +c2t06FKJLZaTkgsvu1C2J/dwpA6L/+oPq3SaXLdARdDMYyB77qZQ6X8b4h4rcyGL +bkh2G1lC9QM0orTa5ouYA6fvQV1qicmXL4jl79YNVQQqF80PnizQnyaDYOQ68mg0 +IcN1+ppX5bDlB09hwvZdV1Q+QUczCtRl3OJWdb2Hop/WgC3OzCy4joyU64smwKLH +pn/flEUahdUmUI8apqf54hd5CqoQq9Ylhf3+Iol/A1lazdMV8pQ3BusJ+ZcJAtzz +HgH1EIm/hxla+l6gMZVr/4jGXO7fepBEGBNH62JLQTxuU1H9Rb94UcNjU3/7U2zr +3qvEmoRtBHusD1JZbSopWfK9VDiycI4kLo3Td0Lfb44OcFxZUbjbkKUV7o0OIfNP +Ly6AiXU2w5566USxrfyP+UR0uxk4M+zqUG+ep0VrGrUPsGp50foO+SKipuXEj1hs +v1ruuyYyAoOsDD2/aHrXCqn4Wv9+KjXej6a3TwO/q2TqyDow57JJZvTETXMieZFw +naTohPpQHDYZndcYiHESYoBq1DR4KQWLcgrU16GPTIsbM+yXxBXnfonm/RKXTxoM +CmOi9yHJHCurOoQy/KrfW7+6VdHKneuYk7nIGc3I55jBjibPxVCEafohkY7qsTAF +4df8GY602jLW124I+zeFvtFiejwCQPn2y8rgf4xr81dpo32CDrAAlS+/Jkrxi964 +Ksgc1FB8CpjYpyXiGDsUh6wa2sLwoeylRifKajAPoORzTCXoZlIeHOLf2P3q+b1v +NLD0Sjv3D6iZ1fJP6O7EphMaE9PRK04905QwzH5VvyWNhYQIdpfbYqpBbJEsYk8i +L6y3KQxUGR67AYwxRqOJJTTxu7F+INqWULTTGL58bEz0dr0kqi8hSEwwmm7YyjGs +eMdsP6KFCXm3zhn9PSy2CO5KqOqeVTOTJi1tlHncAHJl1h9gtb3WiGZZmcW/wYV5 +IiEheJ6vrPpjQ9/v9dCuHjsVrlGfWLNIYqH0+aKC/iU4K0cK+l28Ntof9ZK0b37g +tn/1jP3Mh4hWnE8ViigSy+e57iLp7V6igK8KYWNxtdBSSMLjCIkIu/2EBTU0k0Ux +UeZmsEQ+2ZviUC3GAucjEB02I0HO65djopbw0ScwtrbbEHu9cHsHo5lLQxaMQmcP +OeqLvLrSr0tJ1l0hVnpm3W5JzB3b0RU6OcxQJhKjuYXxMWnpJux8qRv64G25TLxy +XrVlFpWgHTISjB++G5gWqDj8NtLzrvzIEzCWK1pSfrk65FcPJHT4scAlzMdwzWm8 +1NnqW7myffjOWPUhn/SJtVXYRkHfymQqSvebfu2ygwt3dN8G9Qe5sar7R1doEP8I +udwNKHaqLV0TP2FCUBrVmdZCUfolanL12UDj4tpUQvshkRni2LunDjn2j+3srpip +aft51ICYjzvqDxmK6HwDEqwADyT0ap9kHAHNHBcPRNmjMOiS6aLDt+yGwc6qApej +kF6EKYhWlQ/OHmNnLxK/oNBTiOOHHCh/R9SdvgidaaibojESSXufxLGhnhiV2lB7 +TDIqrI8evhABdsQqbq1y3qJ14ceLFyjIQkdy8KBInIF4ZbdYMZxmgp6Cz8dMkEWr +0GYiFNWmhxIb0MNYjFxikVFS9httNzgCtkIghpXYdzQ+ookoSq6T5ljAfvgstduP +B3ffT85FfpstnYOzIfhnKZ5mXWLJ0+Q1EIYAzM3aPK0D50luKSczEZEW6g2Bb2uu +57JrIcg0JPXHj/zUXoFf3hX99kx3AMJeYU2t+uFrzl/ouPjbm8Mn/X/q6qPhkBcM +/D6dGkkilygtQeWndiaHTOoBw3IES0gBMF/DBco+H3E17fV65YdP4rDkMvsa9WTE +h6RIv+vIHe7zrqMv+u5JOnecDOqNNjLPSDlszM9FKNTAIZyjPMUYSJH62zWCnTqo +mg1v7mTi+bd8Et4kAbbbOcjvo5N/KazP7A74FqC85kszwb6yxD+d6QUwRz430Io7 +xyoGLqMbHo/osBkddCnHqc2LYhcqYFy/6DOTDtB+gka+k+YgLndUp0Eip5QAbFkd +CIR/qLJwsICmIeRsKWQCXf19ZKO2Pg8Y2W8Qbw0weD3vVgR7SzvodMjdHpKo2ll4 +/JgPx8pkt1ENQd39jVmcgFWwVNlNgDZ7c+EJRkmupjrWf5Hl9odsf1doJLtCQq6f +1pZ942YpRKSlOkjgxKwrcys/beybh7+qcVPkmxpRSZrxxUjEhFSUwhuUX/5FNqJY +zg61EEqqdPVn3juzLU2y8G1yQAW/5ym3oaVADXR4IIBrcFUg2VrQCTuryTXyGCTQ +e+tcRN5Pg9cqooZiVOSbCHT3rq1SQQTgFXeNXSyviDfYCO9gzjqtK0MYH4iLc8l8 +6ISFi0yM5blrIW2w4SdjRvizw6FOcPBw6LyT1IXphFO8KXlcYv3FFUovh9ZAVnHB +e85wcfrWWAG8ZNqJWpP8DX/ay6gJpSyg5jICmhEI3rksn6aRa99xpdPYe/sDZSCe +gFGsigiPrnk2IEeDMD3GL0rozZDH1YRIdGpiyFWfEgU1UYsMfM3bj07WcLLNp4wp +mEaK/IDwE7WPr6Nr9UGTYHAVjOeM8p6IueJBnKCR4vR5gyozihXruOJ9ZMaoT3JF +eaH4EEQ6AtJZRiEiUWKgUoSgsatXspsdGrTOr9qXgMmoconLQ5tKS4J/1tc6FUWB +ZGVrsEaCAJbRBArAzuFRydGdKHaIbpZukHdusenBy4YmHQfjzXfE0Uzg9dxRwm8M +BD9jk0g8/jTMdh87EsxjLY7boBWXKul0nYuS3qpb64LFehxIs/1X2UXtYJyINDAi +qZOtVMHrwc/8jlNkxyPVmqDvQNoMu8AvTFspIhL8UNP8W2RWM6eo3PyKo2SYIDco +7VlCKkKHZD+gHQtwB8gbbXfBjWbf7SUSNbFFVPa1mitwVypH2WXmRGhTffvSW0/K +uetSw/v1MofC4rYS9jIbon9z8guKgK7O5F8iQ3EbbnJu3LaVJY0TXBhUn725mbWp +XCNWdG2zjcmDciYfHltrebL3hqcIcNte0+l7dI0wLR36NjRCz1ykmU+vr1QSdafV +h5vLbEa3Mb8Uh4p3Ke+QA7/2Dz93GkmbnlU5QGCUCNqCpXxzy6vR5GKRtmJmjUJ2 +/euSmeiBt8DryImWF7hfgmBR82q79Dc+XfL3hnammq3Bso/aEjgkkiqO/q9JTr0f +Je6WeMRW7hN0Fe69XXwALsJAyNAbJSsVcxfGwpkOaUeQeUhnr1ns4c9c9NucEfwC +G1/NtxK4PANEf6oyLJDjEZzRjlYK29SPNkKkJN72gXqM9HPZvksBv2qwXaGg3BI4 +ReiaN+PLcwUCeRPeY0ExxToAud8lpdj/eXZXSd86o8AVsipG322ljUw+5T4V7cHO +xJD/xlExkTSt/ZRcG1EZ5Dj4K4+FYHsmXRfWnezdZEavn0+bwBOIdNOwzlkN7HOz +1Ao+SMxmO46GLM7MbbxNDG/FlVtZ4uFadBM4z30H5ZmFaC+Wsh0L3kMge4CTKRwa +Ipz8v0yGMTnulczoP/7blA420Cift/xULyemE7UroTQL691RKTxrUbWRpKQEr01U +dIakFC4fYsJaNgtS+qhQ7dsBFaBULBBzKjU+JWJTLaPIiD2iI05V+jrRImCwqFpt +qiOlVow34DbDtMzvoiBYRxux77b5Hy8vIigyp+fo8yFvJmSZTdYIRH4tnHApQNUQ +1cVAsi0TanuBFWd4nNf3aakNtMRPgHfeCFyILEOd9o8B0gqgWjQHN/1LmiJnX/Tt +mJk7Gy5xa1xZo/5AFdSPY6MaIKIsE382Dz9wAh7NpUlwNWp1OABfC3NYDCDZyc/6 +0q3fR09AO+eQT2tWd2vVdAlNX1TqZDcJatEB4INbETZkIxID79fmB845jlsgsmKN +Vxmn3Ui16bQ80+nL1vvweii/I53MpnzfyW87SmGYBilVX+aL2XnnFCWaSd1E99M5 +BDd5lGDTZx09aVBBoorx+djtTpJSeBtxsZOsKDEdCIgRjz2b3xda2N0SsbiWuEbU +6ymkXoEpUZbys8RGgaVGq/bXa6/jgSYkQ4eupqVzKgHeH8xK/N1owHr0SYrcKd39 +LBoHMdV5pCUEIRQEXxCr5pEbcwbyUWmEbvmJ7dLwxuu3D6r+9DOGlPqdxS8M3KvX +N94P/YVhp6YAefDX2xlaYuGTG/Jv0K8NM+FRo8pRtVDrOx+5ui2kODYREO0v+MaL +KL1jSoFH5cqGAJusggYlXD2CiLCCo87qkZl2AceSbwojnTURRU+cVL6o44yGBD3C ++EXnOaaiOjv8gTat9I2D+E4Sat4Vv0jKkbA+T4Mw3enED3Yf0iCtu4GtBSERwUm1 +1pMjYM8i1KKIj9oOMLrniRf/kQ9Jc7AHkPSaz5BCdxVbV3YYD107YM0ahptjzRP+ +Sue3+MdZaSUKCsPE9962QRuLB7vtaMdAcvYr7VkSd4Fna5ry18ZnlyoKslxG5ko+ +OE2E1lHIgwcnIL84RAMWTPeuSExB/uhuZrdroyKGkpRCVpy1ZFzca+k91KyKXth+ +xJMqNnlVlxVszjPm8CzC7kugp/m9Xi2ngIuM4IZQjSs171iohTDjNqQOiJj/+P0D +KA35dyTccErWtmEqPXWDsQr5LgkJlm//imguoX6oNVpwcdiSIJhZGBJD4xcrsZM7 +0TfTs3Zxcb45+WF/352WH+eb2zCR8Wg8iwKHaCzcjBiya6+Z1u5n5SA7jutA8190 +Fg0qVBAW06hOmGm7wGQTubD3BTv4tu+K+aRN46ze+0fb4rNHgCHNLcMI7XA4WocW +8QdmEHzIirAiCxL/ldPDHUM9d9QqDYYAYtlkkBEiiV/1M7O123mr7dpSFrLsOjMU +fdizDHwKpH6dp3Ni+4Z58eFQ7e023AU86TFOpZJ015suLk6gbucO9MWSVrPWDUEI +pZej9+k0MepoHYoxCuwIMZ/0R/b00W4XHRS7qsdCLjUaMTQfi5D/tlmHgc41/KQN +9VIdNBNTyo+siDWUrU4hYGiu1HVNx9RoROz8LEHwBrcOnHjfSzQolV7v4lHacdAG +LhMHRsgpoeAokZwugPZD8W5SbY9qrojyyNoXOl7qWz1CTfCorizwO5CiqS/uj8+p +EED2Pv66qCX0bP/2gVw5i2/t/+X7J/Un1NE+AEIvJIEet7tQpF2veZOTEsgj2nI8 +EbsQSHEyJZU6/GMOGf6U4+V+rZMxbmQjqYDr4jVGG24aXfNRws6hh0RIN0utZMzM +WF7NQluoJ9AnKgmVZb9plx3NSiFrLnG+MzzroigQZQi4G6dQIr6W4l40gGjc7yhB +PlcE+XueAdAjW+lTjXESZDJi6SVUt/O25KBVhuUgV9dxI4mQwiVkcpTN45fZ/r84 +lKeVa29Iu8SxIf6EjzXiuZjO6iMZQ1dbuW6GWuoNfNzigrokoT02mAKM3hHXFPPC +Z+dcuQ2VmJcb2R9zAI24bt2smdT3bPhor3jrclU6HV4AfgLxNsvu6CoC2WzWOvPE +rLcRsgbyqa5RFegG5rRBkzeDYXU5JhLMlnCULMpyP0E+NENEEFjOwFsM9L9kKdmA +AICOaB/iZeH/raLqaDeLroVJQ84Dmve2F9zLi5xn/IEnk7EXRNCrfCaqj4mRNP5u +RGUZnugkUjnGOVZ5+/Zpd3ybJhqBsL/iBAYtXn6zQJHhJMzEynf6ufmGk5Y21S8Z +Y9H5TWVDdZRN3/qAo6FgjIjwOQOq1W0FaQjRU6DTsLNGFheFMiDd9XG4bDZ0DBCd +zFsupn0d5DDH/bwUou76q49m9lPNjFwH9s9pU2wtnZwwr88xEzV4VkYYowRpA1hF +AB+1jOFhlkoV2KCDxnlR9ETXKK1zYnruO8i/LX9uWw/LMD1pJZ0UomB3WdnF9GfM +QzcELKPf9ayO6vZaOjGk7yoQRIGK7PK3MsVTKKXBwPNKpdspxWC0B284ZMW/kF79 +/UY3i9LoGdAqdsQ5rBI9DWvzn0GHgrtzuBXJ+yk+yFgnsp4/HQKtOYnxH8oHw6QY +LT3y60QoKj9h08ZjceDX56q9drijxi8uIDpBgsxQFNlUB4qGheulPBWi/Sv9gCrE +zZwyYuXzKxON8IpHawi9op0TuUYgDO51vlNqs46456rjZ4LmJkb6OOdOTir/a13A +6yVapXdRr9OSD2U4vP2jNYs/GIQWBGvD4DRGXk1U6Tm6dCR89D7mZTKWrOclhlwD +ojdjYMRcM/PT7rYTUJnnoF8wdXOflLYugFL7uJs1PCLJmcXxMrBouOAOJDTRlR7u +rnAwxzf6umnVq3rR2zen4TqJlSgz10izGlPqQZTMuuaRGUqJlGoklKfOsSPfR8hR +ohK2thqtlcLXOfNsn2pOGUD7KjFQfbaJ54ePAkZKUoE0icZUiT08vZFPJ4hw9Iub +1jqKYA29D7A69+QKdafh7o/AiySE2Jiu50KGN3JgT4Lim1+ibPcIK9K0adohndPk +ZVloliCNTPB6ej501bKkoSUQmEzfr40jF2YtKUyAebhsUA6zDLQcLFNvmAGBWI77 +5c4Rol1P4f6AhFZvreWJYOV20dKgyY7AMuk+ngajsTVrmnXfAW7gRnB3QYQBCMP4 +LDdeijivgAGiwwbeetEvdYmWwCeDNK33jSa1xZX5tedU4u+RATEU4PJumt7aexj8 +0ZmCr5ceMVFXy3nvSPJPGL/qyJEiEWOrbtrKW1QIqBH+L5Bc1YVG4a8qAHp43waD +wrZSsPRdfxdKs6/QYiCwQwCxmaENkcuM66K//T1FOwHXZtXmG7RAjttBk3GrXaa1 +WPb9rdQD4eVvoqPzAgHCnnueZmXz6ONmJg3jqQ2uomyEzp+vGYmgdibH8xNKvhdV +2n+rVu1Y087hLmPDeCOZl5VHdyL9Zp6TJyp+ghY84guQuexdSwYcuLqNGgC2eUfP +2roHUG4XheX3haciCydXYgS0WK6sdRWSi2fcNXIR78V7MHAZkQ074MkODToJ7hOx +OjGJXvJ3KPLmwzYGYeKlOJx7ZekiKpeW5PIV0ZKsZ1PJYNxxSvKuv2mZbS8AWgVO +2vxg8u1sWENw+xL8XRimuiVD158qalThD60LgZz+lVAsuqidV05NUxIFGg5Nn3dB +1xbCoJHFWP6Ny5RXRQVka50oMwD+IMZKwZz0O76kz3IcQ+xpXxqRqXq83Vih/fBr +Q4biQo5foDfBq3SV5uUxS0q+gQK85uXskNBZF7I8IU5LsBmQ/64rd4tkpJSmVGGl +Sqx5SBPmcbfR+wSPdQKDkZuLUcpudOzETeSfw5yGsbZ/UszF9spgglLgFhnyKvwh +sZqUjmDQo4zdJeMITo6Ozkk4XpnKB8QSllM617mlRzrhBzsJQQ625XcS4AeGMvzb +bQt0KtE2DuerRJUZU4TrJsm1fkRVrblup5dbnHAtSvxhceUXTgLK7e1XUxqHBwn2 +hJClgeXMTRXZ7HY7VlYr3z/BjpqeLXIrPwKPg9JdPP9kNbzgNT+5N8ohDy8jJKpP +uzwuqJfT7j47BzmnTauq7KBEGwWwgoA/RCxEOCKvi5nIey2KsxMkqqK+k6cDu1DH +nyNFB4Zj1DpMINVwUUpM+fysq1ycGXV1b4O5lLTjRzJRDx8Fxe+NmnwipZPRP7Ef +ZJ1LvN9+jcKGfXrfmTyJO7WEf4g7mW3qUnQg7JZ+jtOsFYUr0ZKX0w0Ywt0siiFS +I//+t52yZLSXoApku2SDIAn8MXaMRNWyTNJcDBbDyg4Bnwlh81Gco6eFis0T99VG +q5A78gIi1l9tt9eW6lFB7CATd6beVBQse+hIA3B1GFEtsXj3r6wZLRUcSW2O/eSu +++XAWq2xZKpHy8283s1DDpKfPOEBRd6y6k6DMTIv4N8uoXi6ognIU+bZxpbFc/Ba +NaoLYVP1HFQI4aUcBtEw4zwqFJcpm1sytasS3P5eZBzTuU7lGx9UeWwPzPvEjFOH +6p+u8tJhI4mX7aQJfo2B6yk/Z1JI7sfmSzLYu7gkRCbuoOrzD6RnHx+3Ld3YSEAi +x1G10lcrf3LYWGczW+CD9jJiGQXWABLL+1rSzfQggddELRHFUK3FYP2GI9+8xGbW +ZUZQqaFFswt9uRNNW9D0GShv+t/8HCos/PtHJRffzqN4l/2lDVBFYAUybm0Uamu1 +3mzCEDDSAoe43Zvor17RN1td39DL58OPzZWk0YB6JlAMCaItc8pkqd3CMgAr3sJp +tBKTDWKuSWPunhp1PosrAMM6hhwlOXi3g/kj5efMqB2SEqZ+DHGv/mcMp2VstSu8 +rJNijA0IbSrFulnLadh2yxZ98QX9+PIBDxrkdHYEkUapn+z/p64coO01zAEOBaaM +HAKb+JOpJ1Bwp3nF4j8+UKL2CqvFi6JxH8QVrsmtaQ+7+/yMdYkv2GlTkgu9XZTK +Zk0xpE9fOrwQ04Q/GQ6Lbw92AmYpsUIXJWFWuDLjA7bi4jamdT/fb1dMhPKxEgIh +G+K2ntT7C8w0Hp3GR/LlX+C+R/DqDmGDswzm+HgWpV9Y+v9emsLr5FT0OukCxmLJ +eZI97tM07vQ1JH+cBLZMTu0JrcCTVXI7KKPfC99IN0+YcUlwTM+ieZVIYoCahJYR +m8/4XmV+DHgNolLiKZjR7lvJbciarB2qOLOrqjvPXBeRTmrEpozMlBaCVK4FxMxW +5C1ay2Pze984inDyTw6G/I9nL63GKhHsb2NmqYMBsy6QoG2tERIQBm3/beR0mYeS +IhsMn3ymWjsyUNOs8Pg2pLchFbg07feekSarMWV+JeXq15iv/KWJwI5NYDW9Szrd +vSus8EkfZp+E9ohNudOEurjdV/pYr7NWAgDsd/yLGqVfcNQuMIm/jEnxg4tORPPP +GBbcfzppGppnP2P7HmAzGhpBl7Ufl4fc4grys1TXNNv+DozpiztFZLo5ugss3jtJ +rBsVepHvD4rzbXBsZkUDORsmKugO7MoBSqgHENkm4i/NIUAAWIZ1u5XWIN8vvF7e +Tfm/eD3tcInA49ki8Goef8FYe7vGYKj0gwy2ZLn7SDpwT+S7ig4emDJypAPY+8Jl +mupel0/fT+03NoCNhxlglQCwhs/RfXzfpvbx0UR6G9TxjTpns8/AuoJ9Xx2DysB3 +fZtmp+IzMM7rOD/lcUliA7THFAU0pYtm9P5qXF0bF5HMFzi+n6fTo4QCJ9aPe+/A +Vk3Ls9xGOd6i82McGXltktuBeZBbbFIUV7rvliJ2e2wjdixQhvoUFToHNxSujNw1 +Ydkudz93xPlGIoDdaIUkZLd306mMsI2GghWc/jT7qoSfMZzMhCrySVIMJIms/D1A +xNaVWF++ZKYfc6BSOYHNWwEapl5gtrJMnTV1Erx2rY9vRu5Cmo10+wX2iCN1c8yq +TlFP3V9GhDqhG/IS9nF0K2XJfNy9WJYY02p19DEbsUtMHf+35xFoVUfDOnoBdv2F +dJGpkXGalMeDw3cyaX4Vc5P0i7Tx8rCt3P5I4p2rrg/u2jicFz2eJyEdX4v7oGr1 +3rVw045FfLIqp/NM+3g3ts3hWszafSATKv5KzjWzkTcFiFjywDZd6RRqzZErO0/B +lxZ5wm2NL77szf/EIPzN8G4RtCMJlsp9JTqmkqvGAv9rUHx9KKW+Q/9lktkoSkf1 +JWX3Jy6UJOv8WeqHVtOgWxF0PDJ1sZ+x4JtjMuggPGTa/NsRx+mwiLQIzTKH/ejn +DJUIGrO+vBLZuApUgZusu89DGjkdItHjzkChsy0y/vEGCyRBxfUKGFDURgv7JiCz +e892Ak93cJb/vH173CT/1pJwD2LkM4FoUzimdfI75L+OtT46zols+rltnd7lpEQS +cKc3lKYhvpuD0G2l0iLQ9tXDs6fZiX7w08Ksx0HQM0q8YOfioFHCVGVKx7dR52a1 +6/YTah0XQ8IHM08TbHLhp4etoMVIkA5XGU0yX3rMBvY3BtRTKhhoOpYvhndET3wL +QYVfnz48Cm+8fEom0MO+atNJ4b0ivDoDGNI5ND3Sx+xCRNR/KM+2UPOBcuhIXvID +WFwhWIEJHz/e1Dtj/NWQcKov1/qHKxoUqnprhuZWxJzmKjiYD5qAa/Ft97nbd7MG +NJPAbUg5zk0dhN4hQ+6c6lfiXWQqxxYAqk0ASDRUjRlzWs+nT27Uv7AWB1rxuBxY +KNZmNHa/JuVswghL+GFwhGKwDk+64niGJeA34kRttWfitXpwdouCmkQ8RVFi6ps6 +/L/in2Mjy6bA0IbXgwdBgbnbVpbsd+spYpSS1ZSlC9bs6Y8vOnzshD+KZ2UfIQF2 +BIDYTI8CWAwZBAxg96pmMtAtQj+xkF+7oHI0oA3ETcvwcGYJ1sxVVnmgEp5xx4dt +guWhACBCCmxalnxJLFvoMunzTdb65gjvQMwxgznG6v7KoBdTUaaGiYvGf02bCwZz +GF2FwDbe1KTjr2Mbu/U/KmZpEnad+80zYpG0I0uYHwISrUiUNY3EZeMYr7kRb3PJ +4CAxB8uJXApX4cV+/oYD3Bsoc48NAgpW2dwNuAv1N2FBYSv5y6zH8rlxml84x6Zu +qJAyhjl9Alczs16AbaQpFbYhPEYpG/xNZtRwfer141mL9J3O+XIaByPzBMF3GUou +x3RSUpyGPwKwb4r1MXc3FRBqLm8RyoQ9D5zf42387fviCyBFQbw+shcuROQgjP2b +UdEKE65++yotYgn49rsE2TvGLysV6ycU8OUc0j+gjp7qxd32+EQvgd1lNJxhy0pl +xZWV7XP0bFYYiUZYtUFHafp27iz8qBBsUJMCV/9PlAzx04AmkCVENC8rYp/MuKSq +ZRNK96HOQLb8LyVzOfFNcIPy7E03GOb4dqIGAIUXBCMw7QiP6ajFczqumUOVe7vw +S4TrpPihGITLCaTCDjn8iuL0HNElGXQKEnMwxSbBlgipzLR+4Z9c3S645s2XHUIe +oe7pVMmKHZ2vYk1Wbjbeh4m9l3gf5pGd52aIuOUlOKLf7q9KRdDY0pQU6wLM5NOy +Rn9g4ZMBzQYE/3oDc8xnJgs/E1CEbsF/t/y3/71IHHe7To0OjLfV6ot9h4gc2L/l +LL1EY8mgVDnoFC6BAvu4BseQrl9XAoen0tZdiF+57UsM/jk4W89qt7DYzZlveTbr +AoiIvKqdmj5caXCeaZ4EPfDYKzJIpo+AkDHwnwfmEWfL6JPH8Mk+mMPR4IY36bSQ +7ggLjL+Bh+EycwVG9rhA7Kthci778Bq56vf5ZeefvWIZJaBkNviav++GwXzyWvxI +5hgTH9fS/oGl2FK2n2fXlG9N+lQ58w8jvrHii+FLua81PguLyhiuXKHxBQriJBwQ +o6Yb8ryBEBQyh9x5noTYw57EIQjTELBFMO4L+MIMPIfj+vFrIuRV+gVLubMgJdp4 +Y4LE9QJM2re59jFkhhtJZ3moC6DsFsYGvG/rEtiS6zgogZL+Y34DL/Svx+UOqWOZ +AhtVRNsoZBzAlX65YySOVbGEwqDE4Sda+f+6wg/jjRnTp5/aphJnXXTjaqVtAV8i +K6/YmP+SY1/aYMY5RTCMgP6PnC97oi5a9ix3l5JTH8T0f6QRFOgxUnhkWzF1lIlP ++rOQqvS5AZh/uvU2JJ+w9EN5tKjE3J2GzA9GTmSDR+DYACw2yKmuacYgaudAnD7a +/nq4QOAPIQkfls2SgG34sqEbToAOo9WwRC7hNEv124sgNqrb3ZTE4t5pBp1JZk1H +VT33sowpyK+h5QexFzs25I2etTbHjsTsrLy3AJtqDG2SE+Ma1RlPLAJN1jZAnPiE +MUiBV+NTQmD4MD8xqpIMuG1GH+s+tZBsxhho8nQVZt9G11iAcyuEehX4LwVhE7JA +tCLEYZjBPAnYq/FpIhmNh77QMAh3zQy0lYA6XJwz9FbNOVa9VAc+Z4gW7V/xv6zc +Qqjee7whDR6rJryY7uJKONXDNjX9TZMnVbRrxfUXT0KVeIa68SwlGZVBi0auTxwQ +3GsTxBKNfFmAe4pNWyhAylSdYmUxNyCcZmFbXj+KSpjBloPM+U7UFRXEO9dKhBeX +NfGr4dku5GK2bnI5xQrwtX/CdZlWgNfW9/WFWRi+mhNS7fAGJ6DaapsalVOJbXyn +B9yNMfYtDbUOh8gfKLbg0dAU0m9y62NLWHKwBXwm28GO8QR6DFHIYWUcY+as6+/5 +gbYulIBAURJ2QOLfjygfMOnZXOdySXSb/gPWR6jiUx7SJgp3nclNN79oP5XKfixJ +P9//hcKiUOSyZYAhDxvTrZWRloeWf1wV/D0IMjHSqeCdcjK/K2jb/9l+OmZsnano +hyBcoPUze8eF58HlJWxDcihM5EKED+i8SFjnbV/D+RRc32sBLDrRTNpPe6gY9axk +85C5ugHGqEWPgKimcL8K2dEcVfTdz4gIHkSuyc93GaWPLsJMzpl0BTerAjSoMFvk +ZGm0MZgFT4qChpIsU0nmhyreCvxehXX4Bu21rNkO21zm7yp6jlRChw2LzW86yaqA +7oDxhuS2ItDmGTdF4dYlJH3OOWNBcPwMVYA4nVaRgkIMTuMz7Sy2ZL+2HXtyf8g5 +aogSK1suucJ3F07CXTAWNqww9LWh43jcifqHbAc9bhnFbsLZ2xSYgEmpOL/+emZk +svf2T0TZFHiUUFLjYwifbRoOSMBHtxz5TmvtVAlUtpyzZroAHT3GXikoOZMF9dm5 +CJCpHYOqHvK0LV0w5x0/X15voLYn1kwWURoy4mTVolmH0HZ05+X6dCBx0IvCQpW2 +D3HduDExKzvR9KwJokf6ra419Tu9bWiAgsdITcC/ZPSWfuGiSgITwnUnTe6KDUtz +Emklwwp7f2b5K+SLWDO7BFfnBD4br2em70/ZIg5OKnLt/36PDXwLcNIUPrj2uM86 +4CpwahDpdlMbxSrjXi1PMEP4V4mT9EnuGIB1HHp3Y9+JtOFea45yrfbukhCDbe8x +7TJM9LuUf7Osq0YUCKP9ePu4zJwNI/1WXZFSaD+IJzPscmmrdEJ9M3+vV6+qzG0Q +h48gXfO1x2PCMda8hSdDKBPLTyjH2Y20BDds0KJ9yT9M5yPIQ/YN3D3BCqt1vHsg +wzJ6wAnfJ5N+SsFtOg7hdjJHs/hKbIccg4zeUwzpzlhUxG9fmEI85Y/srJZkkHyW +vkFfCXNpRZ+YpmsWrzoxfVnppQP6KWNeqOgzUY25cNr0DWVpSfhmtSDmdTxl3bn3 +3b4TBa5yN0jniiLyMJccl1uKVBecrVPmzsl6k4zJ/KWiq6lqegO4yvm5C+dOrraG ++i0ncLQitWeHzTPPyMtW+i/ZNt7iX7QMGMw99t4Fjhd3wexcscAxJr+5j8x05pMp +RIRUqDDTCbAyWUIpSyJ6L407WspPaa3CW03RxfZw4kEUNqeJi7IOqf10HpOIQVVY +mkdOHv2ENjK2bYRobdi6XLw0WWiCYdFYcWEWh4eVOXtCd11IzlwzcsF9RSlFc7Ev ++Vkpxo+3r3yWmikxmjwfIKCqmT2ssGyZV0qWMZoVo/zN31PnmCXJO5CgDRHtfLra +tTZI8fweQA2hjt1bj6ED+VEOl7CMTdXNU1ETBFgOCLSRjlo549JIWnNd1HJqvnT6 +WJRPfOgu3siNw5aVd7pKaXyWd/y6YbdHDCHjSIneMgTyXqQ8FBh4fj1sPR1xVh0X +yDSEM0sM9Yk5+o0q5QGxPSjTyIN1DcgNT2i/tJG065klvwdk0bd1oGdYmn+KrVFX +F/e78eq6+QH5OlP/G4s2UVPG7xuNuhr53IAkOWb4q+xRZqW7EP/aQtLPijiIyIoB +9gDfk+pTGdeSLJ7t8dDz6T5EBCp3K0YaLp3vdR9t+v0Ufh+rFy4uBnuOr8VcXjsc +okzGZCOGNm347uxv1rgr0jhE/ImbYqCqfnNd1Z77tPmxor/QyRo8z8AFZDdP4eRY +BVEJ4GXvJNHvtRBsDCOOBfxMUQulkFmM2Jc/AvXeLh/CWb6O6UlwskrixBX1tc4N +Xx5B9U0tBINsbyHciKZJ9GArDQxR3yjeTe/XYysgM8aesfWlzThk2/S4ELytakwY +XN4DKn5WPIBJiqK61O1UhmxtrIC85CDUP98Fe/vkNrDJlNI+Kgd87Qxnund2zWp8 +syd0YwB/PLZMQViY4Fncyf5evhjPGWlr2JlgTo3I2mGC8Jn4U91apq93AQOK/4uf +Wa/AiCadNyThrPfpNwLkpjAPCoTLtSUZX72pAwTDQv85VIgV584HctrrJSXjDIjA +k+t7OzPL0+Qk8FySV8Ok29CcA/DwEX0cDE6Mammtfq5WchfO1jD/mBN4WawtKjzF +pGVxrQBHQT6uHghLKoOIsfqk758GSS81khxRtDk7R5iyOCXsbJeJFjjSmVuMvT19 +iuWqCgYLQNeMwBbps3RvSIlcbBaTT1KidxE9naLyVygGTyVQyAwpYqED/VKKdIgo +qXft8KnaIRiyTSzNZ7EcxhWWAdCektXAZyRInDc3kj3kZVqlELRDYAEOAW2m4bkO +O59/VD4binXXs5lJGYRvRVENdsNggj7kfsuk2rZbR8xWtqQzMSe8dnWs21/2enkl +NU/ZUnffMEL11PNVPgFt2tpblCbb1H+eVlN4SZktQ9pa/2kr7HnMB8ncF00DjsCV +BjsoQC0NQonZOocj+tltTGOy4uxZ4dBnrG9LJ0AVgF+QPsrvdpyfk5YveSm/Ly34 +mezJOErvaEie/CaFJgCu5lf9/PS+99ymOHGjop9baU3WaLdq4pbkXPTYMnIxrgK8 +a0iRrZvAlNm8GxtkZN7kq8CTIC9XwxorDJVCzKB0MxZEEr6eq/ItDHwLvv/l4qjA +/4Ch88sYSLXbvkvAj8utZWQXJgq4pykV6c+9krV88JwGh99gGo5Ge+tsoBXuIA7Q +yHXNI4RwSNlArQTKjXfKayxkUUOgPdxAqjhBs+mI2Mw+VXcd/3fICCSXWjo3cDvQ +9SH1K0oSsALvqpI3GLwm7GMhcsab77Uem2Sfs3bLWRzJWTRx4FHTNkZJrFxtM2Wc +V44tUTa//mZWx8W3A7KTFCx2XroYAFWlEBUk6uBmGIM97c9f+nzrwFkqeEGZVFop +7wL9aXRbo0UMy+WLPZMOrTNvPwMhVdAaufcVwfVnFBIjgQYZa0t2P5SUYOStx1iJ +pQHXxSVUXHV6WfqKgPrir1PJpQ0cBaAWMY3YOTRMNN0jz/1uhYftCbCx33qU+5xk +AznwY/q2EklWtFIuzf4oHx4WXoWhpOXvWK6E6FickI6rMpoXvFdZGgPspHY/WwfY +Kr9u3DnyErdPYXRwm9/OMvsTEAKM/9s0cv9NHAMh0V5ypyKzb5NMkkxPGez9Pb4D +wkxyj7i4vDNOwj+LJfg+TGWTBrIDNVcYLVNQpfj4v8d0OciiBMRrbZfYrDaBN332 +518rhPQ9d2aqPcx6QE7gfe/Z0R27CLMDTKOdvUDkj44oHUkSFcPPABzvFo/6PXjX +cpRWekjBMyD2VYCtInOFIcKvHtbEu82HyrZ9Q7Oaskz14NujfBeFFyiGLEJjpWL6 +20mGPmoMYT3d2djaNIpANAA85PmANAb35zNeJvWzGYM66K4ykM6/WkxwIdFrxlFQ +lYDf73HAdFja7D+i4z7YC2Iz9dselpIBw16AMZ4o7+CiV5zKca7KJCsF0/vyyRET +JlgxoDY3fCGop+EUsVnHtjkv54Atco3E1unFhNbYC1R6mbzr4Fa0ya8/aLvvVkeb +Nk8kks/wCRiehLJOEt6LPrFHDDJligASLzI+Q6HJz5HPUB9NtNNLFN9FjeeTR/Jh +i+jJ0sUAcZjxAen68J3x+t6flkNlfs5kmp/9plQzXp8t0+q/uyZnwpUtsFkpjRO+ +EeBvwAqnVksIm/DxV0LGI3vqDgE4jyXBrXPHJGJ1GpMVJnYvsWwBbKYKffPspdLX +R1J9ELdytcdRdYpTXegE7gS+eycagCgYcnMbtrGVLEWdST0teqGX/qODFnYuMJAW +RYV/LLiH96jwe2k8Ut97ocgenni/WNZfJnstVLacqTz6Ho5KoBPSsL1o2/0b156o +IrdxEkwPZzyXomEjgkgx7Q0ZSxvW/OW4+Hwze5dUtT/ep4gh2ONWbeK1Z1s5/SXY +aOuws9NhzeL6PPcr8d2wsbngMoNj2s2LAhSsdV30Z7/098t86aBvtl+Q4D+j3y9N +U+QKZ3Tj/PpgjdLvOzuJTMIwSCTO7oYkbIyP3mbpN1Vv/WZhcHB05DIAw4jW+Dgj +10lZ5qsAu816yHg3fbf8ef29JhFUCjpwW5MG8IOXXM2ok1LnDX1ckT3T/86g8OVp +7XAC2mtq/W7HvIoqRxBZ4IuNpLAMd6If1HgwpHtj23KYsBFZmNPsXBNeTbFHLtG8 +pXPHJtI5az/dDxE0fLLi9Gg0oIWkqkzmRqoq2GgPZ2Rxusjf48GqgVanIq5Gv5W6 +uFmBAAhNtOQZKnCzP+j3BRf4DzVMgHbcuVaH6JoSK/OXWRSzy840A1nilK6+Tgf1 +ei9dRtLWVKeZvwTsMkCmyy/OYPRF+V/kNdehoSkLhQ3XQJ1UMQ+5yJelFW/ym+av +lUNEIZxYvkts4uTel1oy2wLHW0JdbeMGhscpu4IDNv2Mv13ZIxopsvY4CLPch84y +Gtl5MOmkUu4h8EuO2O2UreFaVgX7UbrLr3FlCkBXH+zqlLaM28VunAd3A9jOBptP +WQ9fxx9IbdCWJWUA/rFpLZk9cka2w8wS1cd3CXTpkVgFo9/LtC5DOT87WjZA/QQd +Omf/694CJ1sMPBy/duugouNJuL51bHqYc64fKuucNKWRl6LbkQKwbcK0iEukq3Dp +YetVugaE2jb2Tb6/VHPQ6VBxCwRqv7gVGpAwjXqivEoaiGX36hkNNuJ0obkhj8JH +PsVyIHtlFfvuPEpfBx7zEcy7sjc6jWehXNfaNd8GdEUl7W+d33uBFQ8ZPOxy2w/p +iY8ppKIQ2fwRW3Y5orCVXpuG6TDwqGFbdBMr9Z9C1LEebEkZ7tf9oAGn5hri6Of/ +TdmQWQ2iDmZGagyeJRqIq/2mAbqmW5ykWexlYftoCDfejFBD0a/OwGwQAWnbvJjj +An1BJ/XKDKRNHyoeEmo0jkQ3FJM9bKPLCNYsMZmssDHGwrxLmP9XP7cEev7sKOOP +I2EIy3JrS/mrv98+ACts9WHoFEOxrx0aey4Q7hYIbTbmieTdZcO8U6NHriiQ03sG +DASEnJ7asBOP/cjO/pEuqGwG4Yo6AV2pGJrXkAHHpKbSx63N4LhF+SpsR4fK9ju3 +tEuV7XJhyyNX7O3QX0eIsZ0RtB/TYrXHqAZniGpoRNDWUs7U76Ouzy1Dzqitjvgu +EqgrNptHeNEPs+dL9NXKRZKVc+kw0nMgj4X72wD09gPFXU92adsDSN7XLSzWz/Wm +3DpzKJtGupey9n/vFT4za1OmIN/Sbyr7e1eyb+/isZXbgK4xj8fkxkEDc16a2LqA +AUuLqoeLVo7Jm0apGbzcHJpbe5judmLW/V9HlLHWdFqYf+cDAwYeKVI4r0uiDsLh +VK5DpuJNNCtjEIKhBtEKisHPZ/7OC8s16BGwr7PkgIrqh7xId/4wp20ajlIn7fns +MVvhBEbN9V5sNjHZoIzUWgCDQaV/l5q+jyajS9qNb+ABPhuiG3tMFeIVmKHxQ8eD +ASlaSp5ohhBJ2NF0WDwcPL3kxRnm0UTn7mRwe2p260jHoHfjJ6SfX93jA1LE4ijq +AGyhFj9VxZ3O70iBYOYLGRMKMMhA3Qdwt6bQqQtOddWInqelCxpw40K6KGOFWZgq +/MrozWxycxLckgEFEIj5ThiSb9ZdLWtF2n1l4EJmnP6LAniEjBZt2DiUTmSkuD7L +U52FSDt68VSxq4qKNlGmXSmgNvJJkRM3DhRu6pZOXW4a29333QelZOKDGSxeNNk3 +I1dmKfQMVokMIH1kjlt4yrghLv48RV2o1FTRIG8teSUlcCWEg8ek0ykzZSomMhWp +/amfp5lrrlmu2hXT7dv5tvfG8e8W2ebaZ+xBk/Lr9Xgj6y51HnzGTaxg6NChkdFb +0NDAZ3rwlbRZfOp4kwDr4+caLp6YDYRMFlLwrBtUtbAAafEk3dOy3qJ052Km2/Oy +neNgIV93v/fNqgF6H+JJEHiGPjXYh//ZosYkOJEG9MUaJgwBBFbr6aKsOlBibvKG +64W/JS+DQvZlm7/SNOgFalWJpYJqvyshxjI8dzmUNV0mmFZgIU12+dnbT64vB9MG +tlqkKOA85QZST3t3X3Xejrdk8IHOW+YTnBFZ/fW3zaFqxLhO9LhT02+ZCClM//mX +ZYguAOL1FhM7zoYmzXwwbe/TyJh3wQdX4D8G+fMQR2KyUk/strKXaNyAW4yT1mse +iF0GBAVwylmOGbGQWGYJY7uP2IW+5cRwK6zTIV9FeNjR5MDvTYjdJ6RZIUxpZXbj +mYoQkLoslXdaya9T8UL38NQNwrqTYhV8/zbp06ULMkUj0iZGa2Ni7xrvVa7Wi5NP +eoNCUUMjd8Ya7nP4n/kGeTCLWX0oRDgF7wyAq9yPKPKCCxDSyXOwVVoXu6j/dHgZ +LJAMDQegrsqVFT2z0dFp7Zw9Tq937iYovFPdgSYw2q+koAC0B+cTlUseht1IlLjy +eI6F9Ca5qzxjgF6x2BCA6YooKt1mHnYaGtR+64CxpvEknQKU0X9QzVS1MCxw28el +Sx42AHdw6l+j2nWi+6FqL9/qabdnyrqmZL6n4wqWmX7IGcNKu1sQRFbdOeBniCPI +E5D77cfxYGx6BFIpSv58o3aEnRpCh8dmDjSgA4CsvKr6jSKlEPijHs6Ec4+CyAsk +lj7JuKZQySHbHj3k8JWmty0INZDN8lJYyMiFofGaREUd6OEiaV7nhebCjuOO/S7i +m1P92zu+pRiBtIBgvJ46vAvtTiTaW1AHVSb5NJYS75k2RTaNmdkKkKNi0c/9phRp +43KA23MBI94KgcxbG+XnjrUnG9ARVMuT/m5VLr3CToGYBzubm2xSNUsenDai3D4U +z2MxDlTwHbiat0N7HkgCJkDE7dCIGIfYhNqntQgzws+mf2PpCb/rK3PKPnxHHlTG +3q7B4D0XIPaDRYQDYztPP+cvPWYwMdLoZsV4UfIcJU+/6cM9EDq/+5yG9jE7tvqU +k3YhVN/pT1zH8pMYL9wzmq6PEttXiIDGv7kVIq/H9T8cfBasDE1ZAvO/4icV0W74 +Xhrs9H8qORzMzu/1LUXG3eLmdFzi6GtxfIIMuJnHLYus9SU6QjQN0zgp/5nZrejc +7HQ4XH7KEXM+1WLPphRj6Dh1pBYmVtTO0IfrKIDCQANCCp/0O6f3/3ugoyZfysO8 +y4hmXQ76apC6OEFfRNUFPvI15T6i4weEFBRCqRmeF4vNW3xpt52+3v3FTf2NQOrL +Gzk0CwHZ2a4r3rY74v0H5MIgZFHgc/+J4uhcyIybErmmg4LkWFW7t6aL7kQ4MGah +hfmTBc+TbFWPSXLYTxYHscQSyNfCsHmfitNyvxNv5ImaFM+JZtpfbFAMh6T/vuz1 +LiFTMimg/WNnRwA4lJn37KkzA0mQpx9qxDxcwrezQkOD2oqc94WRC5jzYbJYFu6Z +Q8wn8aQdIFnVpgjCTVgHhVnSd8c+wvEM3Jv3QbC+bM4TI76MQZSPmIm8NMFLuNqU +lZ1lDTUYHXmJijbrF2bSQLvjEoL6kEur3Lc4K79rSFqxZR0z22u6BFlpk5cpEx7c +fMm4FXAg1xFaoNVpYUcu9f/lhXSmt+YEY5uvdbUu88u0dtl5LHUauUANM9awNQxL +tX6lnix2w1YH8p8Unmaa70qAsrjpZa9uP7En44mi5uWaJL8Ao2inxNPtOsQbvvsf +FMGwxiVoMd5WekQep+JM+MkeG5pX+yMgnMTrGXEgNelNhOHxTP9RuvKINTWL6YoT +byt73JGXXSfFgewCbrq2eT5XYMWX7dZkTXbQgh8fYYr3pHi2UbsFCnLFyBuMfm4z +VPvusXkLrll3EmmpDB1xvLBIoa9U84QmXpUr03vX0lsGtDDuiQ0CAQExP/KWqLCr ++kimvqOAejbP2o7qQipKtnLZoZJQdFZu/8IsshdG5G1w5nzcn/3/mS3mWx496nHq +TStNkg+VLlL7CkXynttU+7Enb4jZb5prhN58PTnDvHNSIlLETcUav9cS0dEYxFos +pv13ajm7zdCRUtBBlzljkcj7gL/HcXOYe3a+e32PIHU8wEgGanNxq8AK5no3Qf9/ +OrN1hlDLoxifUqvFch9NBEkMM1pu2ZR9G6D7i0g6bfcgq712PEbWARbKMfKsesp6 +7v0szU3VRqBXvGgDadBijQ8F4bX8Y1m4ONpY6ebcSKkILyxVzIK7QIdC9Zv2njCI +V3dTDVFSP2+Oh1tV6auWN8EzJP3N1Vfrh5kfr1iWk6wEuvZsSDH3x/R9FfxLRehX +ui2mV24d7dYwh3oG611syJe9u5xcEagSjSwyhqMqPvJrlLgRFpAgNjTSJwszzPi5 +lQ/cD9l/arQ2r1OJWR4/yreV6FA1kY5egkhvw47kpcISRFTjcOoY52hbnifPK8J/ +hRS7RdEU09wETM5jbk02szK2Ke1arshuFRJ6fEQGPn6xelMguM0Yl16+9FkOLxNS +VVwVXyAawrMp/DgQ3ilItncSLy8L3YSWGzKfLLmFgJRUu7TYQ2e14TmEN6PN5U3e +rntfZYDR0dsVmecmkjw4Dk6GZUliU6aEuJv7D05SJncAZQs1YpVwfpp9GZ/VRY/M +fiaJ+W3uPRmfJzhh4wtpubwos13F7nsmgyiTcJpmMVj1D8kWKqeUkwE9aEdPEG4r +WhRzg9Pzt/lT+j8Ruz3b7QH5eNpvVgxpBFIe4ip+KECVmbfTZOerQsXCkFwoZFIe +bO33WsTFyMXYdywI6ilA7R8wxkbz9S9am6PP7C1Z0TXoDpPsSQSfj/daVpWBhDXy +9O4UZvawkpfmRMsq8s5jKFVOyAaw1+MjDlXWnEPzsJBkoLS87FZpH65IlzB1wihr +X/Q+Ahcp5Oa+DUxYgkQRVLa+R3SdgSiABYrF05lAWxD8ZrFulWgkpayhCtkei08I +572No4bp7tzj7CoOf23YDMNmFi8zmTqGqRNHfXcpZrYXvLjVG1tmPSQaXXvAXRGg ++dEYn4XjkFf/HZWZVWQAuyZzqeWASLEGLeZM+mpeH0Ti8DJvNFLfkZnZ9mRzSi8Z +CSihrshkXrv7qH3McJ2bIphrjEWmX4jBtV04IhAkF4ftcoWZXZYtX5TbVOecXajT +Dd1p6LWxrKZreb/yy0jcBNbKbsmtwhDyQEO83JZ6jo5BrHSnWegL/zPykg5q20KG +J9O5avIY1u5FwXk7dJqp3hrrieX+oq7Eg0a2xUoIwM7fNX6suN89eSwDAr47E8WK +fqSj/ILabdx5369+HYVWE6iB6y95qUZCobWq5i6lXUpJLWJlIuEVjzVsqj4eiXU5 +kw8jBGaR3rFTuFMmcKo0AXEuBIU+akH0siur9xFe7BCXjE6QdvrQceHgr3i1u5Ur +Rp44D6YrHrOg3CXsWqXu4o/J9WY9PkxvI/UWhO9fIwEiRFdrrvQhPyAFL5cWkIqQ +2UgD1WWL8J70Yvf+LH8EaxT+ct4rZk+tPnMydCT7mLxlNSxNFWS0CZO1rRnGzoi8 +Qu8fh0QPrlDaXECvhYwVxB14qF87/umkk6mF9yz4fAmbKgfcteF+7nWZeAcweL7p +kXATk9C7duC8pgVaBGLFkc1ctriOjS9iV2oaVntlBuTkQKNMNw/vqIxKyfZA+K/U +/4ubbq37+CcW57TFyB5d+VTn10nnIjalNpv75zWTvm+n/QXko3Ki83OtkNrJWVLP +Dh7aGHHG319s8HAche6YF6VSr+149XUNkSoWmrQVJbj8biDkqBZnY8KNRbtNdIYy ++s76jRf8Mjb30puPiuxFjb1w1KmlWcSyLTESzQ44l9yB7pe0v3kzY4y35cRMrZHR +7Uh17bXmeTBAxjpbD+4/wgwAg4xLUrXyAtqs7lBU7UFZLKvg7aGfadyM59vFcLzS +ge8uoHbRDUSRqvsffIE/dJoXHCzV3D0ZnihgHAx1NLQcVBx5ar12cGAD47TcKkPF +aK/XlI8e/cxHuw5whcTiWKHjMd/+LEKfX29yConDfstRPUFD/KyLYyaZX3JgclWe +xslSYti2BnpooNmPBL5/MTziRkI8rtPwDIgBnK/XkxW5vXXEebtNM0wfKSO1Zwzg +Xrye5KUHB9x+4w1ll9QZo9JMxuQcYNI+6Q56y2EmUWFhB5AGeBuBO+IrQkfZKgSr +ESrQOZnBMixLhDAgPvJRTPSnXCQ14bUSopmLS/Er7+xaIuQ41QIT1cFxTs+QoJI1 +ZdNX2USva62EP7uEWwzmzHTjoBbwdjMbFNMgtxKdXszWDY0A+wu+ibWzLMYlYhox +PFZewMhXU1wo9VAzyuksrvOO1/0Lg+nFVWEYaiO+ddmgti6l0DHhcIslWVSr3Paz +vTN5PQKIe2/A/g1go/JanVJIfobQC03Yt6RjsOoSkuWRK74MfND+jbk6zFU5DKmD +VKkBLLJ4wWA0EOG2iSR5nhy2tubaKuo84ffxMj5E4h36LzHEoN6VtrLEHY9SFwc0 +iDijmoVH4lhrf1/Nfk4F10hBVCNwgwizhidfnexbmvnqNhAS8heE4gNAwsOJynPn +g6b5BRF00QiAkanpdov8JJghmTbL75JLzh11c1vM29W3l7WMSHTQ6vb1+AsgPnvi +59bPVmgzb2dLdrq9X3867lvG/Q+pGATJXRP6gaoCng6+cT+8cktSyqxNmr1yNNI5 +Okd6kNbioTwMqHktwSf6QI2HMkoLPAdnmcz7K85R/CWIcc+QERwjR/T4b8qqgPqc +nPCLZlAk5uWB7oGaa8vEVVcRc6gOE1/xTGdddh+SOoJhgyhiD6apF9i/xQV63iET +wrrvZ4a/ytp+lEuBiHjj3MlsW6mPES63xAkSHdJ+6b/68aM3T+q541/RYqFtIc1C +vi9ilexxIoxXu0/e4hIXa2Y8UXlE8TbBUitWODHV5d4ky3vpo747TpVnpSennbF0 +3bn/77aw1l5AT/y3GwtcoeYCvekeP9Xj2ac9xU2Lckl4b1kfiOrQ5+/ehnZSrq8v +9vKCkYFcifi99NkZaDT34rEE808iDx7Y+H/EHdyW+YKbe/Cykk3QsB9PRYSzqDx/ +Sq2a7JLcw+f9Awic7cOxhpv8J+D07z9QDG8avv9R8JajELgOQ420VR5ITkDYhwHB +iSmYPUw+x2dLcJI8rtVI0H7U2be3OD9NOR327cETaExy/ZKNW3PrQuiB8oaiMsLB +DhCxZWhw5gK6DAM2ZidOVbwtwH9dJW/F1JJH8QF9SNV8jZ0ynGg29XE2+93i5LP3 +Ou36I+OmmE9bDF/XLkqBcan85dmsfFmM7ggE2M5JR4DZGGDzVnultTrO+9qaO86d +K/fgObjvYWPClVlGlmJy0Q/epGwR6Jk6WKRMiLpMTbQeX/Vx7h/1+/7msZuhayIN +zR9Tucz166TPBBRAGLtlsUdRmf6//yOs5A/uHd/O+owZv9OP/QC9mBl+A9U3+4Ts +FrxHvFz6d78Gk5IanA5W6pt5UaNYqfr6vcFDgTqyjyQ2b7Nh1PkcRle0bbM9nFHO +tOx6scr0AFY9wzJ4JJT6+FSZpGThUI/eWapkY0me6lTFfF6Rln7pDS3gJC6mnaZC +D3IQVrjM3j1+3aMnvAwCtoP3a3pmGXrX37k9YsiIDEIwrrPtD0nHBWKhnbcHFCce +4uLqyyQH3MKMyKA5AxgVuCo9RsCkK6nsUtCl0cGT/JTse2FybzbxIjKQIu0Fufw+ +7gZ52l7xEkGJMUQfAJ8wXGktsnDaPr81eNArgHQ1LOvdJGNLgo/zybboMN83n6/y +Go92xB8ZIibYQ7ftHmkHiwfx7zZSCZ7t0hv9aXVf2/NXSOnbBX/URa2q8y7g464m +hiIS1ALRm+SkGqj7elbbYKu5zIcNKPVxP37N8C+2eq5xNxK3ri5EIBfXmqTX1YC2 +T88Ot8HwEIUMu2t0RIAN+nNEvWsLSvbwXlJCLhRlxGN3NPURzfM4Kt+whQML97vN +s8Lwr0VxdAiIn3nkk+5tIu3JGWLnCi/bKXlhU+HQmAQcZthZxEXRuJfDhzyDbS6l +VsKHRNMrcOxbBzFu0DnAMjQouPDt56r41mWIybgXLExlb+f4+7aJ3y2JFlO3QSXM +NuDMyWAW8+U6XQWAUqB9vk1ba/Cb+Ld4VCiLIergKm0930XjaaXeSQEUkHPcEVMI +PF56eXb+pxvQHg8AYHFRZcortesrD62JVvD//namhhLX+u4yY7X3vX+FU658mpjQ +3Maa/M/NN35xw45wyfSftyroDFQqLLjR0dyDKQ6n4vHKe9MfA8B7Z+ryC6a+/dRn +/6D8zN2Qc5Ro7hcm5lvvETiU6rrLmM0EKy8b+BegK8XYNzLOcSi7Jraj/3l18rWo +PEXx4TqOkLGHNirExfacBDVZEG236a14wq+ViOqEiY6bAEqfLFtB0r/q0f5w6D21 +EXSzq/utXvOPzS19f00HAVoCXwThGvCDAl01L5esuANtxib5+9GxdZuT++ab8lCh +7n+0OgAtSAEZhxLp4+O/efGAjitxXxBSyh7Pw6lI26esFuP5RabkKlhJBFJEQubS +H6WEkbYiBEn1h3upmV8pLJd+U4dV7HmGNZ6SENiFKZXsghJczg/dKXijSepmYpL5 +aEy2hPNnevBBqHkQ9lFU7ZptqaSa0XCopVry/lD935EVM/fjvreBjkua+oxxPTT6 +eysYMc1Eq/bsSs1zzgKsF2E7tE/AfNuCTcLd6V8+VXSrS/rwA11DdwXGAqaf9Bb2 +nyR9uOxM3amXSD7dQghDFihGWp9wrPDXQeQgzNauQZu1fV2OnB9el9J+jeg+Hglu +/rOHqKUi1ly3ThaiGuZ4weEjuDyl7aqLj/1zaam7x7bPgkXSrDjCO9CGtgOZF4Fd +l23Vwd1dk7sRJXlFq5UxKv7ofjHu+o+AWuguVpt39HKP9Ec2axuJeR2BICRT07X6 +AGE/JD8vfkmpN6nuvMSDsPo7S/1/mKNI+RwdYELFZlz4EtgL0eZ8yClf25PcAgrf +xeNrYpVDRmx86A/htbtNSmT3ynca+pf48H7iLwPtMGJIreR+u4a2bu7tOgxpNgpG +omdmKMnUqHHhtfeqSLpbwPrK5kK6yPA+dskWrhIwn34FaW8yhZY9NEPHjsb7Uv8v +og0LaFOU9B1Z3Mza09avpgscrn1ZDLn3LBt2Lfc8rrMXwJZKUVFTTXkz1p625YrO +JvICSTzh+Q1ypLCgGTG2u1pG44u9vpbGFKSLEgkHIovwt0lYVpZoPGXpiibXtdKY +K5FZsAEvxBqV3kdZnLQwC93C3Q1Yxfo4jGoyMD4JBfBTUHP/n3qsGUagCKUpq2cJ +B3t/6xTRkbUPbVS9LfzysjEe2GeMpU6qXs1Anb7ZpZl2MVlNWN9O1xGiHbNzJb2o +wM+iCpidCR/ikN+omyf5IScplxzWO6Cj/RwlmLl/tb+O6yTTu+EY93e0N7Fz1R5O +yxOtsK00uu8JfpBcg5NSnBFx/y+oIWYbIuOoxywPPTIxXbWlFPDfDkwSEwTaBFjt +/uaTk5988NLkhrXr8bhYAoa+Kxwc3J6FjHiMr0r3j9a3yZ5fZqC9hXfio+fRO7W9 +aCFeFabBIfClweDPfi4n/Qqxb5LtT6iTxtLDo50OuzypqAeqhUyCTum2ZixQudAH +sgB2ewGl21zBCuM8ESFSUC0yj1avfsOvjvBj3pae96rRMSTGxvdU5Rb7wxsffbQE +wyIQ1kV6g3AqIxs9XZoAAqfy7gqwCxzina9kcJWAxnAXg3g4mZUcmp5Cw4OjWNyC +KbvHkYbFhJQE27dNVITF773UMUS2Mv92RIe6xR0LOituBI3aYj9Rf4ukBOWPMjQi +31meTFKk+C2b46CJWhn6rGi2/QR2tcN/idEmOJtkjPTnFYWl2UrF82kvg7DtBVAk +M+cYovinnczi6dApANF6djV6gHmHO8AFIYELvc9yxNVHY0NrqXZ1w3pIgIqa12LL +LoFSSEDklXK5IpgzAEm+lI8ioXBOuC3Sz5AOqfrCHD6Oqoakudl8DNX11H23eLkj +FH5M8/PQCBKHWJsqWX9TUi82+0yNZNiiPne7i5ZXilhhtXniXkcZy6m0S3que0xs +hElokIBwVBpmsIvUq11VPDBlMVpESQj037ca4X335OtPEgtfu5SU9g/gpTAb3GNW +sSiV0dSMx1fRQ5+V7mGLynTf9sbyiIHUjB+3vd67Jq/3zni9cvcTLsxrow4e460S +PTc/i+0Q9e0BRiJ0aFyWIJYBq4mH65j6ePHTRywtZI3efvJ+MZL2ShU+ApHFTt5e +LzUrP+U7kVD1h9dD4nKR7rLVhCPtFZUA1a7Nq3TXqMucf3b9P6lcQ51KBgr6dPVA +KQqStgRNYB8L6AeYjiIKzpJDGIxfmkycLbWY44J2bebI8koZHmi3U4Ox/iOcXKZT +B4TK+lZonZ95A5/Az3Fc84tGu2f8ypgYdr+lb3RJdlNEP/cCO6sTnN+bSQznx/vK +aEKabkwzpzoK2fONkrylpa1NSZ2tTgYdn9YL+qfIiinfzGW0diAtADEp3a1wo1lU +0zC0Ss5SYL75L7X5azZTDpZb1sr105mf6RP5EmRY9Nuo+zdpTNtsisMDf7piY8I1 +l2tVfHRTlMV2bwk31kQsZk5aXMPIhaHYExZA2NWQUrO79VoMd47CApYKkjGfDZeF +KtXVVOAd1kfve/9syX40hgAtoAXCCDLmXxrnQrj8vz3PzHEpktG3CzYPHZlBJa19 +Nk03yt6gNnV6GqV7U7wOL3lP8mfipy7J+oCZiiNI0HNUj/BgJBweR9oaeH5AatoB +BsEPsPdAvURkHmw29Oan1n4mFVq3QM0eDOtVjiYi9zXobhNmht0LNBOyuLjjfdIC +ayed5Fe+TXDm3nCn6gdO0zhP/Xj9cTmIiWfc30wTxYchkXvbIe6Jm2XOGw2Te5M3 +lTaVOPiAUVZ2Zn1ntwPUzYgopMY7ZaFQ/noa4teulPaFVuq0SvvkkHmSIvKdXmr+ +8ppegQDgQr+mImAwep8K0MEQn7eVmwHrWb50gkFwrwNpxuJGF1NxACuZJtMQbrmK +kBvI5D8KH1f+1Asyf7FFoMOiDYao4p8C3MxvWf4PyVhF7D7xHwXGvOMKYfm/KYQq +lB7lbJNecl2tPniL4WUCZRS4J8O44d8YeQF48Nyxj0JgVEVW8c709yKCluQJPzh9 +nDdpq2BFpthOWUWNdhkC4k/1+FIvzhbiqXCwuKsfMaO/WT9smnWvsduHV/oJ8SA8 +fH62gazgxq1u/x59cKSnbVl7sHQvpZIfIGo9YCPldfL+loQJ4aMzYmkBlRQxRbQ8 +UwBfXoVJ00mBq8yEsYq9qgoWWLtjq1qYj8owgm71/revHg9eNq9tSQTD944pbSgY +/UTuHjAaq/m63Gbd1CXeT0auEfaey8umznd9cJjFQItev2D2kez4YtCqL5UvlS7Y +MZnge5MHfUgHIcQLTYFG/Un3hZej6Lpb1OCowteWduOhGsEuaMzpZMUxfzEIlpyL +h87shaX9jhQZGgCdo6ViKXr5rUJBmPOSop7J7d1BgAr1YBPZr51AHAqBmfsDolPK +17qngQGUT5HHnP1CL6QYMO7PypI4onh3J48+Pp4dbJYgye5IZYVnNXy1e1t/mibd +i0L7bI/JhYSvXOk0pPBiq+PG7+JXttATlJENxRlC6jeea0GR4PzOL+jVfU6111UX +6cmIwlasB4HKl4WMKh9YZPQXFXVCEjc9L3gduEWQRf+vqUbjilnj/OSWDyZNa+mf +rS2Bk5IFK0XIAcD/1OWOsSDEQ/SFKpEaVDzU6PPgYUcwN0VD6O6kxxrLmBb6tdjN +/Wej5VtpN6NVpMqhBZt189PgQiKBKOvVc/4Hvd+TOL7DdSh8+FH9ajfZz6M0rhvN +TFvTX82K3SeJPaFCmkYVq2L7PRsw67N5zgqkhJlbf7BP/XtiWzp+/raTFaPsXc4A +zed61CdN0N783eAg5vYiZ+JUb9ExP+hyQWzBSZBADHAnSUlOD7RXYA/pEj3oXlw4 +/g74Nn5w12kG2XJza4G8Q9h14HUqYOPC6lWPWimOl+c9da/mkwnxJhetDz8pjerv +pleRyxAznLYovFS3MtLkeq+7OHrHlb0IPIjVf6vMOclALXjl4uglW2ShTXvMIBnL +Xb+GxG9KYpnY9Ayq6HBq+ujbY8Tzi5gQ9OWVDXRxQbIHa9GSZbTVrHDblyB5utHD +pM4BWj1Rkp8aU5K5FwVbKqtDVGYqXkqJEMXdnVZ49wSy/ecnK/mzrfReRHtlVYFK +SOrFGaigmWdfy6APRu3zQ5Mp6ngUMmFxTOnWky8/LoD0azZVEir/0vUsulOP5HnX +OyNSfVC/i48ZQzFbJ64P875D7d2ZtiejdH8Fuo+eBwK53bEn3xDOxqPJ1QX5oW81 +U2yIY1bIyA95ey+britFxj6GoR1WhaqXJi3Z/a42uQmOb/ovUK4eNUpbzYj4hwta +PdhcXgqBy9czKi/vnvBJ00+JQdT6iRPd6i2tnwMgf+76cS341latZ4L9WMGcxMU6 +x9MfW2FUgRMSTwkPrr64xgSoWvYLs7VnyEggCgYjE6PNsmu7aivclRLLlOV7frfQ +Raz9MpjFslqkRrRsnj5scr41vH3fVSKZsn9KubZrmidRk+z8wesYj9CyVtt9t6Rv +1hmnDMbD2jQzzfUnz4vhZwuRoC5g0n0G+b0CYIbZgIKkKqQF0+KudBZ8UiFMGKNq +IlYQ6Fym3nJ0VPZty8v28cTRYyBFwUjKK1FIk7dTE2UwGs3ay/k0NHGEdODUB/4w +kfCcVkLfs+POASZghlAL/EBuDSFa4EYl6un6OXIRtFKL/H0Qqi8Zb6k0pJelCWqk +f/rIcDazGJEn2PiD9A6VwGXy9T9MGr4iaicEqfq7DJWwSb963h05HT9RQKiRaGDT +8SI2ItatsXHQw4fyPOFkq4pK+AXrvZvewonII39D7osqiWntHqhnOyww1i3XeMLH ++OJR9jGk4oSIIEJrUrwEkCSSjcg5YyU6tFlAOOTXrQh3gP5mSmBMKpyff58tyIRs +VwY+iigudlzymylTctkF8ljrJYPxryxCqT7TzTB6VGKuMlfjgLO9osMVWBaAAPa6 +fpFCKAM1FuZs8w6QIiyFsvrDOqBWNpTv1PmYUF6VzpwPLwRvvKAu3bUk9i3YR82N +Y9RUFj0bB2fmfrQ6ar6NjsC7T9WogDeIOkQVuc1ghnL52wi41kUHK0fyHXS+GiyL +wKXchyBhkKVFoHrjkvB9Ko9bQ/3Glmg+1d9vlezqr/p/PabSdjNBGoLhcX8OJDEX +TejsMxHSkc9/9HDCntlBzbBTJtHh43PPbXgJfKruOYbi5zI2jMa9M9+QR6XMT43m +lYZwFUbF1TT2E+NQuMYS1ZYt7aNWtvqKTgjtskMq7A9Qgq1YKw/NQLb5jKPosxOn +MWK2+J8Ld9rDKhq2EYWDLT2hRPLnVg+njMV7IdyoDpsxeibUGPGGOVT72nRi02/r +GfPQphT6NIiT0ARiHtN5r04bPSfEYbcyBFN38+m+aglsp7A/cn9WGuqGDFvjSBVE +zxEjpeIpXqJ8ueA7EMDBEzGVDvGl2ozL3scssx3VF/HCnKjgLAsmecYKhF4yXxG4 +SN9oZ3To0NJzdwkQUECX2wmJzbQu67omQ2v9qHM4S/CyTY4krxFL0wAOuP1Khqz3 +wAKouAtZ6b6tMpYEr5cZk6Mqu7san4xDu6kIy1aKaqawjY0sIfgmhBR533GHBQ+0 +1nNq/7RQEcyryWTZbQEivAt1dJ3gP2teyr16/dIabLYMY103mpqGZCWBQNIXRaYk +xvlp48KOLufstw+mnr7YjK3iJGtUN5kzH+khxsEGuyQCdSqVjTHKn4K8EaQ8BGm/ +uFWbS4hzUnIoz+f0SP/HnrwKHOquf5qgBtbrxJjWkiJsyMkxVzgz4+WQshtS2LoC +F0VeXR0kE+WnnK7KYFH2NGQixpCkVJ5eW2p4mikc1QpCAeoR+OCeImWVjIz0TDWf +pWSfWIMJRjoAcNVv32VEJtAymxtn7KXeRqEFCSfiWyprWWqGSw5SsNEi9JLDRG3U +2NNoCx/syVaZyclxT6vqpkonfDtqbcpe64t2mnnoOQ77iVe4aYQDqohVSXWVtymG +mwYYZSX2aJe1antRiCT9YWhsFRS/iHJaszWZe32PYPACs4xIsfrACtxlXvd1ZN3y +Fybj/FvCpcoDL68YvJ9Twf+FYCAuO849eoI2U+3LspnZ2sMVGOGVgCdKV6DyjyGA +mDPPi8nKQl9Lhjw4jUQX+Cm9Q6Ds9S8hiIReni4Ndeyxm2BNtIUfPdzpCYzzP9P+ +Wk+wYAkwTRq3yDUdV3rIAU06hWo7kWc76F363tqQzvMg5ff61lSDRGWcVZbjk/4p +bb97KDlDiQBoxWoJZCSToyipcHpbzpqRkNKQxSYvTHYD/VRweXXIGy6bF8Fo5rzL +7nAzT482fFee04O/5a65j0KiS5ZcASkSQNq1av4mKG/SQ2x9O5ub06vtrrjMLteY +XbUpjM5JdjhC0m0AIZDYA7MyCfYyYubzU11eMigcjbHPiWrXx8PtG4kej9zdn7vd +VodRgen39+1m0BBMa02mNYP29CHV19C4xBWhEZHCpsJU6T3WDt2hHFNgieK4t8u2 +9caT/mGNkF6lpJ1DiFdQxGdp34Y1sF0NQVxPRlLrQEjqVFelPehnqkcTfemz9Npf +0OeuVhDKeOJd8lVJrmM0q8xcYyXk1JXB8LQ1vZCmr5VI1SAruMcjzO5Gv7N+gPS3 +7GZtJUzCiq39+j18GT3mTQEAIMySRcDCq8LJer5HpRnFWbhMWDF3wpAhgcW6wQZM +wHh8tK+8BDLsdYkXYUESmsSShId27UoBTvaQyUBsmyue/vM7mgLWR5/+wfBmvGuQ +Gm+oPTuJ5zGsWxTIiwDkhXKIq8uBEy+8frG8sNnVZdpyqTcDMdBmwcQgh01RLFFC +52BotbOXGVMVqh4jygUPK2n9u4hr6nZG55KXo4Q9+FiV8UzIQ5z5Y5SaNdvBLmr5 +TDW9YW6EEItqrdJJmyyGOJFDQs8W/dbYp0jIZCqdtTM5vb3eG+wTblmKuZPBt2iB +DYC49PuSRJAoMjGM0p+5UhBI/UqAwf0Gs5oklDkvbt0RfMq+6rOIWp7IpxVDK+3u +X9Cp6p/osmBgZOTIaHK5rlnBHVEUwMy2O94ODk4+d2sBjzfjVDYRf8lmuZTz33vF +L3H5ekgnSRxayypbYJflgJ9hhbcRvd0wkrIaZV4D0ilRSAJAdGnUaNhSsgb+8aMw +KZeLcoThf2OXqYsFK3RbHKvY6e7xrQ0zLy5USMX8FHo1m5ZbDkqLEEvvlxCLwXu7 +z0F5d63UAbrnzkTNmjUBKO+MbskLsOTURo7yQh5ClbxtNEdjYkUFvZTzkdjWCcGn +jvGyfouy+CxJ13VTIH/m3lwVJqL+bFbfW9BIblBuolxddd/FgghOSJzM8f0nMqvm +lG74sIU/i/Po9l+vwfcsWSOyslsWURuPV18cntZz/Ka8mMwBEddSYsE1xIQQx5X/ +t0FUtjZVCTBlILTnLi0SjAbluUuzS/ZU73TlvHm8uJmnHhbrNuvv1v0oZ0RCxCz4 +3ccvWatCxolR/nhMaHEPja64XggeH1my6BlQwhG9a8I2sI1688NwK6PN5cvZH61r +4jfRIwi2N9rWjtybOn03OtPiBQheVRvip3Ic83FFbnOKQAlis7KRqGTR91SKaLTA +KQR0ot7HEn0B0PcKn73RH6CTXY8+NsQkAEfa/vo6QI29c1cch95tFlkUNfKrC/Fz +Y6YGUjFhYd6EhjNm6JBoDACJFRSPiLmuxIi8Oj523H2NmHqQzmptKXjC7/E8hu+O +uG37cQyF1veZee5lQn/C6NSmiFb/8tf7vIuuZJ2VVx9eP0LMNhJlm56HAmqOS96v +PlO5F9/2JGQp5U+yp3qTPDXY/rcGbWAMhcRIs89ZieTPWn9wMOgG9zCjLVEft//D +7SLeoJ/g3PegAX/YBvzEcWW57dvi0KePXwPfJhz9qUP6GAfD5hBtgHRFaBg2tHW2 +xwK2Lq6TtMW63AVFNTv/zvbdZAKYDWY+ia62zhx8upAP/p0bkSV8uVhUg+4lOz/P +QLMYiLKGOOv2k+fzx+IngvcBNWOQ6e6BHH9P7jq/OY9bBDwYennafpnMErnU1Twv +2n+NuGMTcRQbIu0x5S72JRSuCZQds48pwUgpdDzwX+3ha4x5bZoyRTKhH0U5jy9q +MjKITU+0Enr14vktLSUU9OjCK1VT9L0Fh3BSKKyE3PxAQ03Gh14ggdzeiRE11qho +TTBdIaLIaDiF0W6iuki2gsJ8DVIz0h28oUFHbgOJ3IyKrfU9rf0aBJuO7mzl00By +bhRpwq3XzTXl6b1akHKnoWuCKdq4ENzX+rqZoUcw+4vX+7k1/QHqdOKFZ3ozCGjZ +tgSTBFBGU6e9mmWv56lGjd1kX6y/BTl2CfMqfSAEqYy27INhiuVNbYGLxcYdm+be +RBpBUYs4nfYFWVBLyJzt9q6IZ7DDOm8r1eg1udKLNRv3e2G2mdcmPlAN6mC5ixBO +iZW8SeMU6SkT6liLuGEyCBKX7odOVIzo7N13cn/6aoTC589XCPe6F5I9LLm3yGgY +S5Qetsdg26Wltv9oM66K44Iit+COyLnkAWwewZ7u1Fivu5HH7kQx62+/XLoSDbQv +m4x+G4m+HL2VCH5nP87gm/BQGEEpOqCQeIdIZIh6vpreEZ04omgQBxIKJyWV5BhW +sXt4pSz5HIA5zS4SV2uq1gBS+B8AURYfoOGaRXhbECraddZ2+KZPHCkn7O+FKDfK +f4s6DeWe+VKoGUV4uuZeaMoirG4yUjagSVz+O/iXZAEhaTCfR//TuSW4Lh4SzHwz +/kdSs5mM91wkTYQ9/0t/NnwOJ6xsrsuE9inW7QjrVjMnuPNCc3w2Zuu+l3MASCcq +NWXWvkzeogP23gKvtRVOiCvq4J8DeBuZ2MiRbFiT+y2PFN1Wx2SpKPXzu6rBFKvz +WKSDXldVxdKtF9oU7VZqO++lvVmqhbC3rsIx2rdxQiEs1UZ9ErXRqhPfbqq6Ce0n +mdHbPy3Ved5gGH+fS/zTK8Dmf88EUNkgP4/JNsy89mW1jYxQU3fr5tylcmQ5b69E +YgExOA+1CL39YbYdudQ2qDMt13efO/EJ0swGi/aEhvnBznQNgUBCQl+3Wy/Dnz4o +fBRZnv5qbG77shRdF7OxCn6v4+F1IWCRkrzXk5hjZpGXLNocsy6XIyjwUdA8xZ24 +89cFyvg2g5bRmaZTz+yAfJUoxL43eXs3QDC8V+AnMPRg1tkN6lPNM5MMyacPYC7D +9VaIo7oiquE+s2khuB+/e3PJWyybJ/FzaCFX/nsTf62hIuaP6gnOhYSaOlJx+TUa +ETJ52S1G4xVncJGiiWCufxrIPHX9ILlmqouJwoftHhv1at470H28WNCTB6NneJUV +HmXG4EkZbXzonUGZc+HAGHrydBKo6vyZjMId0TLE5WyZNp6PLIbAmSKqPW3CUw0M +fcrr4UFAxOc414oE6ko8tNSmcXDDJ3NanlyX/WJTjieDEDce+0cGpxcz+GyryUCZ +UtwcgduMgFeTJ6i6pyWQOLPfMZX/XUelUf20uZb43rS3gixqZQMQrJPC33zSmlQA +dNrBlAhGJdHmWPTXfx5vo0Dx7GJlFH/VT6V/XWOAGa1RORB63rrZzJksC+XYMPJp +A4w2P5WFGHpxqETfGMl4ZRoiTcf0Lvii/fp2L9CbxEygEAh4ylTktt0Ynd0O+Sw+ +O71cs10VG2wH4YzWuDxYtAxu159Yi90PJIbykB0z0+TpAwt4WyB2m3Ge78ch75sS +sJpqXq5y4OlOXXIPAFQIQfxo+Zlo6plfKd/QUszpfpDiXq29QQRfU3LXP9CDg/Cr +afLHS4HZf+SlIldwHcWCQzFKcnrlXBuuNBKedXtENgdnx0eVCX30VWJPZbSOa8xc +wS8aMehX5414hMSCo91xWfqq6F+trbyOcc+pOvk93JUFly4rIB282bjGLdUIcMcp ++ri/WML5pPawlmzkZf9DoT4H0zyANPZO02BcoGRNbBeYzP6FyS25ZUet4Glvif6M +1M973qQnaPxA/I1FmHrenxG99e6HVmQ4YGEd/B89XzWMm7vIz64WxGC5pwOXQCiq +yyEQ+Kd+2+DJNw0C41mFk2mbAtK8KANxsFYSCqhF/BdE0BAXtsoitJLqJfag2y2L +Ah8F2TP+ka4CFx0zcVYwpuiKeq1eMRrNRiqAef9KxTUu1wbMCy83lWjpyIu83tJ3 +cSDhahRwS2aYU1HZ55uJmzRfb/WgMNFyIqeveltwa1jMY6Qob9fQbWsvgVwG7Yau +Z6HE9j0wJGMzcLCDx7KQ0O3XLypn5qk8tUxLfkNJUq+tVgXMfTBbdhJoYrkVjqz3 +q/dyCRiqPyFCUF0ig9zsn0ZSIVd/jgYvZrrB1WBxKSkJdNGkFAioj5hMni7BZI/W +y1FkrFVRJ1qIt64db6PrFVCnXx8b8QdL1vJIIAxhxx5VDvKII4IrOcCu2V1GqGLL +58Tct2tMhGJ4PRHhADDhgtHCPI4cQWpCZAWUCIpcVqOpAk/BKogKc00qtkyzcoqX +ZAb/zwytTA6FwVs8FVmjuQhWGmRjrCIMOeFuvWbl6Pgq5ZHdV5VLZF2i3jXOAdeL +cqY2s1Rqr3wz3hzbV3DE8pLXFS4oP9I9S9pBGR6/jOCfMVRPvlNe2QUt1SC5aSiq +2tPymERpZ4DcwWY5slaOms5PxFDJHLygv9jE42Ua7ATy0MSGQnw66BId6ZqH1Emr +GXW+dDYxmVMZQc8iWGS12fLNcWO5eiL0pGmNFfhKQR3KhQ/a5jp8lAaKL25szz+c +z74VnvJEFyLtw97fmG8uXGHXf2goRON6PGq6PcTLDjXhj/vRMv9xtqFSn5N9an8V +C51+2Lc0QntPbTm2WmRC0AN71kRpEtoS/g0PTzYI/MWXnziqat0JIiXmxBkNtPV4 +G7qx4eGvRpY6bNmDFeMSW/MMo8Znqm96krC2tRXroauuv5naRD3uyZXSndO02mYo +aQOkgMU1LM2tvNHUmO2MKU4WHstXIb0BfxTU8FLIjjJmxHFDO/9fZPMNEf0EgIWl +Z5wsywLWkJVkXbG280w/EwOEJUpl/+lnZihPsMuH+6RvuaP1VJFTd5NeMDmMoF5T +rhU/6oWvlf+HmQaRXsIt1yLtIfRejt2Gs5Gx+J/bogQ2VjDrJlAFfEhCPyYq8Cje +7mOlJAPwALc9Hj7p1FmbcwCikKhwZ9T/1IEitJC7geWGLzc0etfIK/MKhqNpIADv +C6C5iK3q82Q3cWzZ8XxTPIXSl4bOrIQeJajwaOfeiD85htBL7vGwWkC0F4pCZLwy +/MYWRAe692HluJG51biJT5dtlCUk4lVpW0DCoQ26fpMVLTLSg+O5npwbiso/mLcD +m+NuwYAjySa5un7a6MHrQ5KARhcUHZ7JxrVMUWDWvfnqT/gnVWRXmZiTZ/uXn0F4 +4prddIBNBGWjSZF8fEMYXZxeqV8kW5dBWAcrsrw5NswHqiouPQieGoeW5Zj55NjM +FJrZPvy6ryNOSs41pf5dDFeiZbJ2f6tmdj9wXaaIgNN2EOJ9kHGzdjCMOmNYC+I4 +4GoHT7YvNzQtZE1ITe89QpQ6Buxey7rPEA17TcOu5qocoaBPZy+UDPMDrY3sYB81 +J0SHoYR+UZ927Va+d2KhclRvJzzSV3Zp/Px2PK5mpZ/4GoH+jXW67ebfv+EPgZTw +zfN/7GNrHwRX/LrkKl43rYKCR04so9k2VCVKN4pSundz9fZRG3Or41EWRNRH+Zwr +8LbYavxn9TzCeJx2p1ug98HBtonBWcI2/I83+9ygvEqogxQ7WcMJ6aYkiJxQ0QCZ +kUszl7ylYNdeMiyufyijuZQA+5kL59ggoMmAA+rfIwr3O0RiCGp3+tzFCQhaZWT2 +LE3mQdklb6SDnHuF1xnMle+00nSic7kinPgN6VtgbofellGElRyczgVwVBjY0E/O +NkKl6StUVRrB/dZKpdaCwM2r+Wkpl3XkKeMKB3uakw+044ioNV67QIg0ib+6Dqqg +2DovlvPFsigSrFefBQxprtfT3/lOiUte7B9elGjMLTkD5NtM9Hj7vjkpQdDAIQTG +GIDBmeMbN9JqWxJSkhchPIKP9ixZn/Fe8S6KkorTSRBDDuLSy1FfxaVWcf21D7VO +TTxj/F6cpu9QWONje08eZKR8xJNptAhRr9O8s3eoQh3nHUAdErq5gAbFcm9JhxO/ +Fn6H8+ewCu0SQCfNxKS6EOV8QgUXD3NdKUmxzU+Sfh/gcOZfw73wGVsNRecqtcr/ +vb5W317fC9rfXgTAAXgE1mxyUFFHd9IQ4f9E7uH84fgk+iNIeSsfBTpsppu09Qlx ++Kikxa0izrUPP4kPxgbeesWSFhFS0PT7qor8SYHS0Ur+GhA+g4rVcgv/opGYkXPH +Q2IFT8gbkvi0o9I04mIPBLOaMwTKNHlaBkeZj0U5iHzgtLC7d8a9Tr6BfWE5zX3B +FRgFchn3DGMJvFcyuNruM1YFjwkwYj5efrflV6ADF4XrxmFpRtWP+2PPkFh9HaCG +8BYgwR2/rSh7zsXIsMpsW7xEZZ/0clkimqJsVlv/h6DrgZagYDiSbApvgIVgBo/Q +ITq2uLYLGVR7gfksNYp6VCm48qVP1dCGvYTqAu3YR12z6zPf1BYVXR1bpM8d/FCZ +UhOXApOrUtBAs6nVF4xELppIxf3f4A9e/RjF0bPP2pKXfMmr/HGWdMLxUKoPs7YV +JUZllOLbGBzpsveJVGzVV/jLZo1qeHe4O+LdqmTCBXhJDmDQECz5RYXPrpL4z7F2 +DW97DFws4OzzE6r51zbwmECtG7PTLBIbyi/LUMiayA95zRsL+XUuvl6nzm2olMTT +kO91BI5WTFY9iUgMmuraQET+CBTNjm4zYNMKMoWn9jEeBxGPma+PONX2PpM5QaUI +UV618S7CvzlcH1eCaMoK3qVj1bmmijX7wYNoqP5doEs10mdP6nPNySmWP7JDzn+B +oQ42s2B4CqF0ZUEKtpCCGh6m1mSfuNoujvPS/9q2WON469Et1hoZtfms1KkVcGQ3 +OFmjDsxkTbC2UKz11OKlfSP3Sh8lthHtxZEBVo3SQ4AiPQdAAzfptPJCM1Xbb3ab +GhU/gJoQIEZHbBAqxjY7L59XfbYwKEyKJLQrQDniEMHZWetKTr57ei6/17wHSbpD +ixYYs54zmZs+VVvdo364l7fSPQg8+3DyRDaGHLYMOVs3cnRzdsCPQEqBE3G11UJn +1NjZhFocskRN26idW9ChP/sOLctFBY84MKLMAZVzjzsNokJh62HXdR7U/GRNRTKJ +///gMd6jZqclwpS43SfUbrkWSKF9F5YCURD+wRS9NBmsHBNPfGeOezMHyhnvFFta +0ocGb2eL5+tzU7XIgunoxm2MRWaeHonCBYXFDA6qwMbuQv4I6vIUxxKi202lqRUC +KrRyPk2ZsyFYuX4cHKiDU0C1ScaYvkqRkldDF9a2pISNAPbYpfvAIihhpmFRsXWz +Fi/muXskgwTk5gjqUYJR+UAamiBPTgDqVFoHIe+rQ9SxxCr1RI4B1kl+dUVPQRZj +jKa5/0uw9qhUoQl2LKkV5YfIACpkPOFurhYFLKWa8dxTlAfseI4iRgLcH0RZeb1U +c2Ki4tDwTTmG6FbT1M4EYaa8DkOS6QYfSi837dgMjZn0PYsP4j/LAjmc7l+KMUZQ +/ioeUqxd8Qox3vP/y1uBXcBNd+JEHocyOXYdga5hTz7E5eLTdwnDpDK2FIRO7uh4 +vlOnCv7nDpNOba+TMvIGX+G8ZHnmcim+TEBONpi3c5ODOUOl0UadhRHDVltI1Z4P +nLdNqCYJjqjDr6BhPXXuBbb13iovuBwsGXS9nuXyOwmscHsvxdMVDdYPQupg5Xgx +twd81zZkIrBLSy/kIKWqpXnGUkE5WA2jGpMmvIql9F2yQmqAVCo+05KYOmxW5qvO +KVjN/zIZekL7E7vjY6E225KsSCDyTy/gMW79f0WBiHg3S/xVsT6ryiUJfE0t99qj +GB3XeIhq2iAQAz4FGCmaKtbAu49uqC7+edsA169u1/sd1DKUk0WojJr1tNtx2PF2 +9N8b4t/vmPvzJDH2KrvPxRCXrpLXzyIvtC6X+fjFcg1lpiBxCbutAm7LvCqT/+TH +mHaC0l1x84GZh0Ufcj8xa6KJDW/AayOCUe0O8i83qvBvkyNEoBpVqVVXRgsYIcc9 +u8AlWISQU6bQ3biqfZ4rRWfCCuIv3QJvYd8Bti2D+fgxPctlqyGckNIqUG3pwHoj +b0LnTgtE8Gg8Kb4VNZ4Vt9Qbdg0U5Bwoof8ctAh1EanRoVfgsEe6PJD8cgvRA28S +kwi5zD/rII0S5JRrVzVtOJKbLvcL4d2BFBEBRLUcw4Jw7E953eRbpiGWj6LqFhj5 +LK3zdxs0kD4zoKNnfqT2DRpF6FYQ6lYp+ZXb1PXKP94nN+2jH/GBRO9JoTwhAId3 +zzrLM6JAYmfANXlPqCC2t4HR0Lp2cGaQz7L3cykNxa3CkdaMy67HeB32CjIHPSrQ +kJ6FP9RCInsrls5BNUyrw6umckVSSzTXA39wf8Bj+QUYMcOt0MyjXvqTNVFk+WH5 +vEwclNc5CEtIGBrdqIzjePW7cjdOlRBduf1mNwyuOi1snqBhkgLWl+FTSu5Bden0 +EqVZx+cS3coOzqX6j5ftjPkx9uXaLAk7zSMjZ0j/59mnbKthMsAsLB3vscDS5g0U +impB9xTAPFtgZhcWmAHnzGhynwuZrlpuRrpHbfzU258NeAkCSTkVTonnA1lYZ7vf +9Yyv8PK64cCn3gf4saV3CDhkUCbPuJGajoR555Zm5ZdvU5gwYhy78buR+de9jJLi +YuuuMn1ux/7PQEHGHcjThquDp7Dy9NFXdhAD2p8Z1eFz4zpgXitMrr9DA9im6ROf +H6Fcu59LKzAsKhdvsh6Fw8fwSWNqqTwX8Z+wGxGmrlC31BAj0Vpvp5WJdH3E24R8 +HtjVy6W/kXoMY11ZQ7Mm+d7ryG1ZrJGgEfYSo5Mo2Ayn7jS/lIP0Rn8EStooAHZP +hjaBjNWN6pFRrmtCU2bEWDc70+IrEZG4MvuY+EM7Dx36cOdXM/onYtDwZeGMsy6D +uSODvxu8WJTQkXfC9IMtigYF61F+6Qfnbn3DRqjt+OPGR3VS6Ty2GOtzKpuFvxsU +fk2vXwzauS161k6SCQSIZeHPFFYoY/o1x74tX56+jBJD2tH+ZV0i1yIZSxPphd0p +tbDskUCk24Lle6SxQYaVkGIpt6iPH7H/a9pbxgUCtYh7U1kjPLfQvpNDbQPqn9BW +dYs2+Quw1CjfzKmMx/VFcK9shYdAgWuoLezKsgkDcQLgPXwMx+uc6PIafO/0RSLc +A6wAAnLA7Ul1nmbH+wzb0gQ/nGKogNGQ71ylSrhGrZmRQSvAOJQsmwYPlJvcdh+K +vb/fTH0cAA/dVJ56hGqSMNCDezIBHZvXUR+LYNGlD/JTQh7ZBt07gCAFq2nUE9GJ +rlH5ajfg81cf0UmgR4ZSR5ZMfOCcsa1GTxlNJyPuB/XdtIZLemedeYvCGngBUVSZ +V5q/0LnKh8OEFNNaYKp3TkK+sJ+NTd0jgS3seBcFcdJhnVyWRAdowaHweU7aW9nr +D0eGS8Cvu790jeSWC+hKJ9Z93QoaNl/O1hMophVi3F1aaC5PQkCv6QSlXI3tbbba +YxmizigqaEcy+R7bF6i+kaexQOqkI93T2/z5TC1zRZG9ajyfCwSClhpRYjGOBMSo +IFG19c3hubgHqU36jv90sQD8hgK5NdvC5dnqiwL5yj1TZDB67JXpUiNuKDgSbUh5 +Run3A+evxgJ1CkkYJ4lRP8uwYgp/8EOmheYVc1/8qhTRxHVtYIIV8ZFsLID/PGDC +I+lsO+SEOUh/LiTao9ZlNxCsP3ame5P2yH4JtexgOsW4bAqZTjpntLAZ7YK1hBHI +QnrN/NPbMCNGLCDJhm8i6x9D9O8MOFS0/eLc9bK1cpiiRl6wlECpzkv63JlHFeuP +PXCeX9hwWCx0O9Wrvd10P4zYEsCGsfNQ6e8wWUwN7lLQmoHE3RQUDDFG2dohBrh+ +qQfkC8OxfhNaallNmEE3Vzvfck04quCrV8dL1HfDF2QJ4zNhP8ftHT6nkdw5GvaR +ZK1lLsla53dL7hoXQ7thD9JdodkbTEFKxBtGhTjrYgSrefDHdvbE+3mvcO/lK+zB +MkussqkemTqGONd8SWwzHpvyh8/TeuYz8HywHuJFqtkSRuEYXJZAGSn2nfqWoEvm +H/QaQcSG7rhhW+wdYF/A1I7zQ2ae2/qiMCtYlecTkYue9FEPCxS4IOtpVDmYflo1 +oskv+/AHEK7JHxdBB1mkoyNA3muXi0yhs8WUHAWi6KnXbvN9vN/6L27buvNjJTBN +yrI36BqxfTVrSl+6z5UmgspQ8A8S1bD1Jveo0hJ+3BD7Mz3IZQ/2i+ZJjbh7JHya +5G4/vbF6L8qyAO/G/2jUDyBW0f/1PHaV5857sOPPF3tUCmeU6RmG5UhaM85v8EcF +OVRJLnT2uIhNaeGR6k98ntDaE1YA0UKj3Ao/SK7inTunXMYavyJ+oXb28HzHEsuc +NHEmhJyVWu0wzS99ApREZbrJ46wvPs8zG2sJhdhEn63H1DHj1gia3NqILEvlbhT3 +Ke7REqFYvoWosXw1tWLyz83FZjrkpBKWX+XF3H7PnUuhM8gP+YlcW/FYdFqG03ri +1bC058XteMGhYkwyr6ctK8WQvIMMmzZ8MFBrBk2zW64wfHwl0tpN6F4zVlP42Yon +hk+zOM+Bu8meUYu89akgKgESysgVhw8IdP0XVKT9yqjvtsPwpP7rw+34PmEfi6QG +oorvtiXYz+IzIwkaf0gP9oONnSmgTaGjOSyabtmAI8VG6zzd5FCrma2KPuYgjAqN +8WUl0PCyy6SPCox4qbRclQvJ0CS3zUwazUj94FMyMsCZyTWPnEbJL4pJEaiF4g/j +XBH+GlUzUornoTqiGU5NExuNe9fLKm27bETQnYh02kDxObiDxESL8BIfxxEyEnJk +//UDsy+AiPMPKJ5ISiz1+b3Mc6XPORwetCCOAKdhgtZmJubyJpUSHnkcThi/SaML +sN02JjcHx8DNWPSzx2vJ8uAFZ3D90Fsc5nh1FnrZp3GKX1cX8oLJCiEpAd+bl8Vb +OAibFBfBGx3IAMc6pkguCUGUGsCkQOdkBWCHlK/+fOdrAGbtRsdNw43t8Bjqjp3z +S8zS5Ql37HU4CIJpJE2bxxYLXUsTR0IaFqrUTBTIZANAfH2KjOzEMF90wPdjBMBS +mVH/5cl//5W3GI4gnUwAZqkd+MU9JrxSpam4Rwxm1nzm+Gmvx5sHVk8VDM0bUImn +8lxP9Ns9zPQwkTaDyxFCZkjUX33le7tkL9crj+xtA2UbjTbIYzFJZZZNp05MXyBI +1HJ768ojydd0K7uS8WyP5wHWi8ZEEZxCIhWZAbLWURN0hFLLd2cxUn/5gFrKKIq4 +oCgK+vuqL8RrVT27SU332Z++t37kbjjtLfgcrktiqNBjYwrzxnN7jPo+/k9q9tqf +OumeqST17z9oaOi8iQstdfldYzX8vwkof8l3rvvy0j/GH++qwLWyJzt2DRCLjJJS +bU1HsTv+d9mjKUPxMUA8eX/NpK2RLMJV2dNoG0OVG3RHc0koMaNewjqhkM5cgCHj +rQcys/zuOFEtWhYC+X5iiHighy1NTug5JkD0vxQ5d11oguWRFc42J3KlwPghio0Z +p3ubmOUytn30Ib2qvIePKE7x+qZmgr9DndDYqlWaP1SiAb/K1XD/HcZfBcAr4DJ1 +B9T+6CTWpqreP7Fm0kJtdMjyVzP2yNAVEtLBz2BEIAuAXnNK12hWc5YDUk7sWpQL +isWF4GXdmjds9nHIjvhzfjGFtuVEQFNcAMY3OmyAcqJGyKFTiW9sKIWm5yYbCzLp +iQc21rej4JEqDB+/bgldK/WPru5PeD+XcJ9afYcKDUTIZoG0q4IjFT0HESzsPY3y +K+RVT4DwxZnEECZBdSdcMa/SqSbBP2mw3FrHw3AcNpVkTO2KB4eJhUaEbhLLoElz +e2ZcckXxIbghU3MgnSvugGWKrkDWuZK3/xU//YS7BLwdgWyDPSIHPJpZFp7DxorO +ZDq9m1mSLOIWx3H+VDlSfJ7aSyWymytf9aTuQO4CmZ9nA2Z/reQUubiusyE/iYzY +1tniI7yXyoExneeks/r7Dk/9ANkrLl5ktaC78agpAIXJTLNNsvbYYJRigflajvlu +yActTBKG+ERYVS5metcBxsXqEIcCC0ZaPRVIOBNsILNdCmg+cPcOVaYpZVCgsNKj +Yp8lkA9u1D4iApSMFmmfjs9le8QT5RJkHTVd3Ia+NRQ6XpU065YPeh5YWPTwyXEL +Vkn5zFVkDmL6WaweHZ2DzlaaXHj94n/wuGGCDOp/ZDRGWzmwne6BZ1rSs1lR4y6L +S2Q2TW5qNoMjFNrzIDp8TMdXpb7EHrC69E4QWzYcg39cgskWRMaHz64hzU+gjVVR +ts8M98KIcPaYzfFpnXF8MM6K0ztIW0tv+WsI5ucq4jCEMFeJqVjF9JgD9nX6Hn0C +Kqn7TVe1f509Ug6HXI6u8S6WGexr/pUWPUyHYc++70dAgg2dBoY3ev8cfgIPWJGr +IBtcN6CZfApjd7kfTSKklcaKWgY0fA3ChmQX4Mq3duRDPqHRE9lGroc8zUSfvk7e +4N3O9FP8O9wfsFVqfJTkbbsQwHM2BlVVHxx0sR/az1FpZVjSLzUGIHa58CO6lZNk +lwvTglsj7hXb2gb5o//1v5I7hyTArZdd02/a/qaI3WOzlWxsDqH+zqW4/9oFzIdF +hyXHQaONdL6k2uTfE6Nq+YjHonO+C1Wr0bfiMOCN3jhljmdGP2mDhJ1qj4iJPDwP +tgF1/CXlf6EfxILP2g7fRpa1SEor6+0cUA8y94bRpciS/Fy1coAg5dn9GaMgrBh/ +k4z2A/uOFeo7JX6+qAIhrVtqo455xA490/hmFpJ1I7HpFA4ruVgetdjS7MvL0t5r +JIWP2GFYpokJr4xwQXE6xr4EjNkaoqGfHVT7BxhagvrQiNVZUkVfOMvD4k3oRTLU +ZQLHSGYGccMNFLzfiNqXk6CqMRBIfFOHLAaxHMaDvm5M76DQTxLbdkB8G4WJ8TVE +0Wmxt0p4hzvBUxhdu9HYDIC1Z5md7umqzlHynP1qVP75b3hzFytYEMwrDLQ2V/iy +DCA/c2NiiMYIsIEOu9wtLfgPqnA9K34Q6JjTyj6AlxrbZfMMkNYOkBmD5PrTRV2t +wsT4+yTzPoWpj0giAyIkQ1Ln0EAHz7djAPuzpNzNcWCo1sA1uoyb5Ms6XjZjb20L +N4dljEoyDKfd4Nj1duNpX8z8f+asfOoRk9Il7eABKr0nxiYWzYAeD8iT1QBqAgBQ +MTcZhXMcnqRqvuTCZ6EY90NJ0V1GHNpv73xWmwaEgOIXu8GOEkDZ2/eWUWx2gM5H +F5iRhGuBwKmjvJ8Xj6Wb4/fLvvqRElXzXjbZfA5xGFPOVmZl2o5TPpyWs7wKslkW +Ed8E2NkXx5WX8R0+LVDXMIkYs5ixDZ22InrGoBRIJNjT51PfV9JDZAJLCgwRXChZ +K01CBlsLyrtsIsnSJenvf+jxNaObLmSQjNUZ54RLShrLkQfvNW8kvCewsra4HV8f +JBFLSDNvLyt9UmLKaoeRmVMRV+t2C1BP8soBVA8770dUwukZZf+SiLjCS64quZfq +91r6px7J0LQ2TIfhMIklhlFoZPzr/I4EMFgIJPj8rsiVLbVcGJjfFU/fmcYgZCm9 ++0bxljOxJVuaaCaEeJJPDq46Xocg3JMSZ1IDTLk752/vRZ6249/bfgILBtH4Ga2a +jJaqO/V9A8Lfm6K9taFgCosBMRLt1Ix/2M8niDERyrNKMDGG5Dehvsa7XYviVy+q +Bavp/gP2aF7c0MGyGbUUH8hOkR8HLykLGlOt3T8ddBtAV907pHkUnfP6dRnHMohs +Wjo7SmXqWKXdFFWaRTi3RO+kwVoStns5w9o+v67++7Hv2y0c3caT2/7vK6h/CtAF +1ScNIRbfnjUktzdi4x7/qo83Xf7NCV3p0LHmLQYO7XIVwRB9Letcc3kWNIdn+9oQ +oQ585UYw+F6DrpfLeBg98+z0EDlf3yr8Qk448n+jGqE3YPtZHn7ONAoFwcTUcBdh +fqI99E2vXrmZVIh0eDjbtMRlWEWB+9kVykbtEe7lVyaXC1sb2bdG8htwTkCUzhkd +Cr9COgyxjx67Udvj/rS6/o/E8YgB2zRohF4gxEp4uHE3SYSRchucaxY6SlF3nJk1 +f/RiD+e2KAm+RzWSuWodsIkD0MXDjklTtAxpVOj4slXzhGrIXCLBv8aJpII8mFQ5 +BZs+a7Qd0CWj+KRmcUN9S5468Mhpr+Y7WoxxW7GGHRWM6pOOMZiGda8dasgWOK4Z +z/BkeUCh/Df7FP0/xYTBn5iEjJ7PiUrT8Q1NKbHz3eWdelW1GhL2BEd409uj4Eh7 +XBJ6XJOOydFVOk2lyltjzN0zYSXC3Fkvn4nihuIC73c7S6L06sn07V80dSqBmLLS +ukP9AEAAAUX3mbKw2u6DOPv1EJK5uiR9Bi9+F5DQPlVJ+tQBomw1XKteKYZM8hu+ +RSbxvyL1GgfpXrrpjDNzmyZfFkuBgQdsn7wfrN9HDkrTKPA3Y2cOQLpNuCkBB5dR +LvSeiEUogCAUkJc+rFUKqFBIKIuczSTqafeTawwfgz3iQQ8xEFai9p81NnPu4VxU +cWfitwOYbGdNblO3RSV/jAKI3b5cq++iV2ytjn9mPCDmZ6+YUi+1W49zlCTxNEF5 +Nj25p7Pun3I+itqnzU1C5ep4+9omGec+2o3SLon95VplwtNJWfvTFbiEUHjIWNlf +iHOSM0Ny1joMYeYVngF2hyi2Z8KOlfLjKEZINXt90mL8lXncsUVyQXPx5KZGxxPe +4GRldBHjcmOEKWLgQqNnNISfxvgeg4xz6yWR3A0koRbObyTwU1pAO17yFJR76fnL +Q3udWajDxB48MyUCaYE+p3A1zVJP9Kx7Dn0FHJUQi3+lWA6asDjZDgYNWrTbmeWr +6lrxexx5JKGyEHixcMfcemxuq3g7n3fxMoYPrD5WB+3eMqXGhh6xeICsW+ChZbv5 +bGswqIcgcBu6vQ8qaVlYATVTN7gmQXBX0U0UizWqJJJYCEj46LYwNzfJceg03DPR +FrDKlu/P4mnqDmqtLK+csFv3SpWY7saHD3UfNI2HQM+oPd6oMkMbf76kSGChJs/4 +4SoU3YPdG984lhF5hbGq6gfX1P5OPNxsy37mV7o9e9MgV7wVhjVXfyA/CdrIxbyk +wes/KEeZ8b19IkDkzNXNkw+xSANjaHepWYK/tnnD5rnAlgxCHp+6Ee1tAey5/rdb +8Oh1p7iDEv/XEb9Hr2IfIHrzDM8T/3l17XM+2YVOW/UmETnV1WWAspq2NoBNvEFx +ncc/yTarbfYArwj/URclarxYjNLkjEQisKpvsbEdavBR/APtM+aCYAVlX0XdKFHl +tId3q92Xb2Va1NpRz8/pbMsjGvIbgrmv+5lRW22lKMi6RjQNZA4ardhqWEnkRSwM +cLCXABRZWM563RFtZ4yYtbTy2FVhIkEgiUfhiUSJGvQVlC8u17co8OZPESVoURO5 +ybrCrZBp7r/N1t5Z5KNWeukNRIstOf61sX77icQZnTAoh+7hLjn4oA99fIILrwhQ +/PH3ax/Mkgkhrt2uewc/h6c+AU1UCHzpSrCKDEMZLua4Jf14X7H4kX3mnjM+aF4r +YuJGKxjqn60VoH0Ym1hrMZZskPqw9HSNe76I2j0UzanqtiNAWiUou5kEaj0gvuPT +p99meHBCU3Yj6aoJbko69QZEqkLftIjpEwogp+jroCJ6/rJjeu3M9KFJeamVqjkj +/u5ARss2CNZRHxrg2kPCzzeTGjiv9hfPritht9zgbb2gv6yX0CpvsgGRaOLaa4iX +Kso7nKWLwjSibNLaUELcodWnANIhlS5kFAiKJ7RaUfPTCf6DeoSX7Di1keNHVYoL +piTNDZNcxetvhF88y5jm/mP9JlaQ2xdzX7XKQ77rdMFenopn0V6nYQKJAy0ZquGT +SKtyTVxUR7jY9dHqAvewAO6+IpIOuG1pBG/MCWU3C95CQDFHzZmQDRAF05zCwJmy ++ZvYE+/itQQhjMnEEK4/TGRI07LnFwKkk3vru/l7fqELdLEnn9ky87HSf1gzE1S6 +T/C6CzeiBU9oEzsTXisvmdzhZP4g0pynNvHQxA+uWR2H15Hn0rw348uZYW5gIWl7 +TkQCKDTUf9SpqXHczGXtBgAj8ONr4+ok6wwDWNtLMze+aY1ZlJ/u8e/9AISAOzbK +zngclSciiOvCBHza5qvfC1eVo2/Z6xUUffV1qESdgoxO49/hUavl67WO3WPR82a0 +m6bIK42qMgxctuNQei6sCometkbU1/jH+cIsEIp6eSk+v3C25k9RT4Rjb6KC9b2x +ENnqOl5joobIWhtQBnlrEv7lsJXzz1cRjdNpiq44VA1gOZA3ZUvII1NgUx/Y3oNz +TYeGaKx6ghfTT7Z9fxkat0LASIcbbHq9LKEZp6ZuxVQ3nDnrfLKNEjT1k/tYMVnU +x1mSCGfN4Qgc9cBT8pgIj06EqkFy3xEHKmHD04AG0wg5dJImu4DadSqi3xpNRy6u +iM5Zk6UQxx22QY0od821rMcU0Tz9CEWSvyVqrCEsCQjjGLMv9lrQA+udnLz/yh5h +ds1O8jmoL4jaPnABcPiF+n6daNriEWRi/GevYExF+0cJx5akHsSFGbmifSKRe6o8 +IXdyAfbWZedGZDvMX2mEcxklOGldQaH69FsNJlzkK8SSFJaG1eTHLvjLFkdQwJ4t +nztYSIxVEL7cKyb0Hj75mQK0cPfSpJ6w3QGMnqDlUKQLvztzjBIA4Bea6d4BrBCa +cCpDYYPtAo9GNm1Uml5NCMfLpieryimAWNbtL1D9YP0leVAhPUkGj1sWJXRT2pm8 +NFKho20GnhfAG6KV3AGFnTEQvHJ7yKSK+37IJ8wUPHOcHNdzkVa5wsQVok8rpx8O +5QhRVeCXMFOlVzMgrJMaesmEi85X8YouhCYvKVVbGdLnP4NDBkGY7DtWIIW8wM2V +jN2Uj1OK2Ng7LGWNvCUMGb3kgZ0GSN4L+0h47kqzR7FiCVp4MS3Vjrdfw7Qg2aoV +hjDjvkSS/y0vhpo8dqwhhlmud7DyBnxoiCehB8BS9P4f4Tvj580VdDFyKYZ6yMiU +UmQpOe7BHHtlsptTHW8RCZEzxSkcraenaKxYNWGDLnZJrzgaIQg12tfT8qpG8uPp +oY8qlJusFQfueK3Hjns+9sDoFlY+NXUNIkmSaBL1lx9P67FAJy9EQ2KZIv2xz53l +8D0FgWpkI2Gx6FVZuQ1WgJsDBXxBZyMsI+w2yIG9b1SyqSg7Pt2D3WJr4DfT0H71 +j40pk0B3+3T/PD7WD5Yx+hXhmQ5+3clsceyfZiqKQv3GNuWWFHrQkHCT3LffFsga +O7sGSkD9AqYITFt9A/sNuRpP0CQ1sBuGXNKSpAxRNA9zqtZxpPaYC/QkRWeZnCpQ +dBcl8iEG0PUhExXN+AfRrGj6t3YH8L/4idbfIFmKTs+N0Z4RBNEAh/vEYaPVaa/i +etCXRQYEXzpq0B94nLDofDKKZyQ7AGil9UktJP/ar2G8uxJztC1IGd0bsmlgepf6 +mYAtqcvAhzP3uP8Ab1JAgYtaqqaqwA6HCAbxsMId8s8b9wSfy8BWbwX/HgLuzTRR +vsu8gLYXu5ZfWFLT6K806OKzS3NzN5ZWpOXkdLC4vZCPBjxDcTfrOBuQqzJB1xdz +haga5ciDj3UpCfdX3LEKYbCd0d81w2t/gfb3vcNdqQGfGDuMflgx85IIMSWiJnvi +EZ5wloZ8T4VM82H2PjuFD4Oe5vF8SPB5PRHedg+Hk2K7BufQEbE6dXvy83LHxxv4 +n1pfnFVT52SjH25Wv3de8sLW4z4nX82zgD7qmQeRpzxJrZwFWczRV4BeGD77EsvK +DVT1nsnmWCuAn5YwGU0ibbj+fy/rvW4/6dFuq9WbWD88UigXFm2yiJMdkzdiRTCA +WjR4vRoLRDhRrmoMNBXFbeWjyOWnGS+vx/CK/CGq4pAiDGlYTIgDEPxupmqD67F3 +fV55ysXfc5s1eAvgaIzptJGhCkoJF1OIG6ogdzqdwKeAXsIBs7OFjhgc171+lPe7 +vndUZjVObqvnnBADwj2jRFhBBe8HCwh9QKwubSuPUv8Z2CjrrzZolk0fNmYwXiqv +OvRk4Fb8xrLvEMPoW8D9LJ0zddO/eEirilaSLcIzKgmxJioga1IASfTZMfRDliCM +wEF/w+Y3TOt+aQCD633LxwseQwN05o9NRiQC6NSt18btg2rgT6bnykIrRz3C717i +QTOUVpAFRw/xzUbH/BlxoQxgeUVCaRWlxf2ruKhDZvQt2L6tXqymhKtf8dvkhCzN +wD1tt4d50qbF8ZfFFTWxOqnbcPfUaaSmS/T5FEVo0FWGh7FTI8eyP10ICbVvbHXM +cfmeLLpgTeLjGLQVpN3tbhshDq6G/8tZpi2nBjG6xiIEFXxt+6EboheOH+QNBQlA +DMCWnV9ANWuKDRLr2vPuo7BfZuD8zbqq2Ky2RhFIg+zZMoH/2g/a0ZQNeMY+5nk3 +8JoxGDZBGK5YepDeBOOSOPUzmaYUfKepyXfeRr4Aq+PkQzn+nELmhP+2TR7xvCM/ +7/nsYOOjOK4GowfIrz+COex0r8y5sCfP10AieoiKHCr28IZ3Ycvh2qSZzHKum7ZH +OYSIIrqEzg65sKi9Wm96u9XPtoLqSoEz1rGHUYObqCHwpa3qs5NjlM9AmqTJavOp +qHRka6adh04GfNvmABCZlY65gbb/vsksSR/Hd1sa+Fj+CQyvq7kzE7uRbcmEldSE +atCkUvsEMvwd7E7W3LLfZVZvi4zFg4fugPpC3pd7V/xbYh5wpp/nCjErd8iV/Pre +eDk4raoAlPGuCInq2llVcLZHBa1nd+EJAHvQNB2W3juYko05LtIXcPgMvhh/IEnc +2jXxfnVzyrSupycfDl4zhyDsMHmXqJ6+iD77LPHAcrrb1y9i4YE2yIDGeB5fWgaI +LDNdJF/xaakgeRlMm1S+Vp5emyHgUIPX9mtrrrPIrKE2Zkh2c4rjwjMXXlXjQtUP +bYCzdXbXL8Oj0Mi22M244oZSCty1/vyTzuVnii1ZdstqPFp15TIlRhieRtiPn1eE +5bxEdcijX2ohwpz1qguH7fs7+TtnGrwriJZc03/fMSv3F0+eZEUY9DtJN8pz4eMj +TZLctXPFVnFOPo6EctfezVvQGv0h4+rog4O3U8pM62d+f6+knb4AHrUvjFBIVp/L +iQOh33dA+ju4niZtzR/k4PgWoWSW6Xh3UlEDxWE3toE6jEOC84BsagFcigM9zVVs +7vXAr96XtkVnvcvoPnDsWAsbBBJf/6CMTLGvj0zb/V1Y3YrUeMqmtV7gK+D/QLGJ +sS6VinTDTMPxm6Ttw5pzrmYTvXxCj+PM/Np2Ohd6HRqowZlfbfWAFDpExI3TxMAl +tKiNptvZfgzLSbnCN4dIbY0jD2hJHAWllcVTzgTp1S8yY+ktWpgnggeR1vr6WFvZ +JtEbXWdPVSHMSOY9mb8VUXAN3MUW19CEW50Fth0RMbyKRnGCm77LNq0rsiXawNP4 +CdLJTAijc6eH+1NBle7Tq4frPvJXiyplwjsLsNbp+ENY4AfSFD6Uqyqjy6Cqzq4G +D1iNQ24bvvt4MurM5pt0vmGxEjoOla6riGVeFsqCuvmw6uqVJsBiQ0+HGYW7kWs6 +FTmP+x0oiLZsz6S+Csi7BNFpalxTo7jucefTXV6LiEhb8yx63b4E4pe/hrL6SSbs +LWk5X+uzaPPwd5/U974DsQylIzgspAAq49au7B2o+TFVSKGiN6ua7VeRQpRSTaAk +y0R/jdga8f1eHxM5HW4wod0oWeC9jZiUv1j1rtu9c6VWy4Ek5rPF/NrUUgshA68S +q8gnO2Jp3M6e+6GGEWZ7EwB76lMU7KDU1DbFaQkcWhck1m3OT+1DI4mW4R3BvsJ3 +lvUAhDFGm+uwqOcjtXiAHIJSn3Wme5mwTlfUxkxUN0DoQXy7MjG2u5IJdhiW9yl/ +HVVtUP9K/6JAQo9bOlKeVE+rIFZvAeEXO9tKBz5gom5E1ETti6sFJDn6ol4zEcJ8 +2AHzoUZzpzNN/LyDLZ5dGpoEqCL8nR4oOfh+kghDmiNsl9wRvypJStwHY+Ib+Exc +UIATWWWtkQfKBWgDfw2RvOFTTFmaunlBYxVkO8H9BlL5lZGqVzkS0H1AamJE4qzG +dY0tFUX2eGWVjcaiYy1S1SBwC9UeDIwoIQLvZccbMH0SxaGdrcVhAFM37lVUE3FT ++xFrWfx/mhYsBq7u70lRB4JaqdL+53AJHRE4jto7MW1dru5CSpBnyYaHSRfV2oMv +si3hdIHMj4R9Pcb45C/e+t2NQO/p/XrIhBOPT2XrrsjrRzUdmNJ/XmyJvZzP8eXi +u3Xn+wNtoQuAwgQ2ZW8dpdGqlg7UIKQaYqVshtHijyyjQoofa72mMDUXlbt1COVz +ag4jeBMjA1Mx5uy6ziDyJXG5QMru8T9WHuk4t6fE2jfhjA63RjVZqOmItxcCrq6Q +n25jzoQVYGLXvvj9IBxw+UjTbiEyRctjR3fGl825NyPEAFQGazIdwBWQjrl1n1ZM +IRzjeM6fH0fm37PYUpljF8CsXPbFVfXq1ujtYkqi1NO+4v/F0z5ePd1ACgBNIwFr +fjg1CdYnxc2oJkWxJWC++kTvK5wtnuo6/s80bcF8xgQjv7/dM40KbuQZmtal9gJ0 +7vkdMcDqI+jvq0WNkd2XPNRGc0L6pnvSWRTipbtFLTfdkWwMzT504BGGsQREx5z2 +T4nuEQg/a7QA45/Mv8lUWojn5KRSw05/9ePZb7LePE1g1ALGm5MExngpK+WBDPSk +oB7iWdMjDMd9uSGad8TqElFX3jQBbXnN+J16y528Ri5l3kHE4UoFp/5BuFE7dHCk +x+3z1/fe1SkMdbChVy56YLgopbk5WnJsQsZ6ObzMX3x7YoQBvVYxc+btc3GfZy2O +hiTNQnk9tFe126Dk1JWJRbFb1tc6IvL+krKpJovK0gXoEYljytwfh6kNChJJjm4C +7JTYY4/k0qa8G1JNpKKP2Plw+Cu9WP+9bx/WVD60v5/UdJyaLNlNOG/UWd/ADpNF +CfRZAgtz6kIivE8DiFw72QTgMu7CQRLBBn8vm1+3qFGsfyqKRDFpdD3Ac0wdF6cs +gZ/t5C59UJUIhbLc1yLadch99ZENbUQ3pQEzYancO90djH/k8wVSHBkf1XoxLcEy +OdIH5BTlbTY0FmNJ53Su3yT00Ozb8NsWdp6ZoXlljXtLsfyoIhvbTaxVFprcvLIB +R/15xsBbZZGDS4sqXQgwJ1U0DIaVP63077bECUebeooIEFUxL92WIj0sQ7xrtBl7 +0Af+n875yJQ5d0p855gAcLJkQMT7C1ycDAkez5DltIEvYv9laeWiqG1q9sBL+eLr +6c5Qv0VlGqPdFFgB5foN75WUNzx7XJLAbhQfMpBlAFIEBgE/Mwu6TGOYqSQWtyKA +EsxOix6iTJz3qnRyNKXXPfuZyJWZdDjYLla5iA/k7xDrYn62vczngfWh/juogEOt +Fdiu6YBfiy4tGcrNAfEE7ilnu4dH+f0qwmJeph8g4GFOMQpA8f6MKvdl3ZINtPjv +zZhGPLvdpXbccol7mZwyj1ue0VHdCbf5GBXr2Q6guK/cT9y87rADUnF6wnSDpLq6 +VCHCdB7ty+8hj5FGUTMSLqxgLHxBITv99VXpEqsKT1IEKcXFYPdE49H5phnx0vDp +ruWzzC3Pr+ntRgTt7F0s9wl2bypzJUeApoC5gRmqh8NmRC+vbYSFsD6Icq1Dazs/ +wLGRZ0MOV0MOPhbCdw0nGDG2oYAx+5oeCknfa4rruxbY9n3r9CAeEoGcVUVJTNPN +fXZDAX+F8usAHEPSYhIdI1WPqfjbEm8uJf2W4g4ToWHD/bhcEMtUOmlxlpXhNOYN +5Pt7ltS6ic/jcre7z26yCZy6WepQQ+e3lybxqXnZ8IH856SP59o0SYBszTq7mhDC +A4bY8kvkFwqcBZsPTzadVn9C0rX01s/C+sqqPku7tVBTudcb62QEoUQtsHMMOaVX +0pHnmyk/AFOX1Qvff2EyvTd2leerKP6D9HWxT+f0ggE7hMyWxop5nBRlHrlUG9mg +oM05YzeVlKyOQbh4vUJ12e9Yw40creVNFz5TMmLlZEmwdTL4F5l+QC4J6vvi+n6K +JzWCnO00hQFTi8y+Ye0IFe0d3Syclb/pAtiUiblhLtnS7UdULFbS26bvTLMSGmD7 +5VUtrseP2JsW5BNSOuTBlDaiKSHU/RUXVJpfX+PHyl8b2jbfb8ENxBSdddjzH9bK +Y5VIouVqWrA5kWB0yScxmrVsILnMj9Byra+Q3lAkZcKXaHq3qrb0kShtanR9Fzj/ +Tw+dgbmNSpo/SwpGBA85/0/7f+gK0r58uhvdsF1rndSyU6+Q5iRsCil9lh7DPRLP +OoiGjau2wJTvX8ktRwsj89rlq2nLOOE2YUhrh034wVePJOcl5362pKB+3/liidxg +qM+tnCOW8qQPeNUAuWhIayDfF8SDlv770W0k0FOag73r1hlnykMmSrKQybsJ8UWd +7r52lVbozPPMjKDqDvXCFCLTgz03ZDWH/cztgCecNuH5m4CKaDzwoFWgVkvi0c4l +3HxtKcZ1jaRMdDM1KpWhXYJwWVX+R/1hrZOeIOPGAZlgSeyZQcmfCdAFJYLBKJDz +62DMiN6MjzR5+pMJFgnSDq0ClxgFopiWX1/iV8ot4ac2UP+r/7TeokHwJ9+CLvOj +4s09l/+f6P1E0H4oqTAuPIDWbIn1V58+2WhGjbFR91LCQZoX41Q1Q57hvRO8gvGQ +9yWAFv1RghHQMV5QQanlzm8imqtLXWOJO3x9IgZq+H9YJJe787bfp0qci9HZ4yF2 +q2iLcIocCjZ2pR2Lmh7M02DSV0Mrm/am3HacrCEMhQeK+HO2OZEEuOSVciZ9+uzP +KfGUj9Sbn8dtItaKfS6JZRp5dayqwx2dgqJBw/OnMk++Jpetwv871Shw/+3GVPgU +joc2jTPFCh/yF/54eBsHifv69EsPOJygM/rdeXQW+8KLssV0ZSkmD4HIO/cB7cPH +5yDAlej4CkiBCt2AoCILLEZIh7yPdK6LT0XANa9vVyOFsushsxvBbR6HqG31EHqq +JI++CNucFWqBNDnYgJ1uxK7ah5NMSdbUX2cd+3cP9rY95NqFF4olq/pAG/ua8blv +6EeNzvckaivCVDExKTt9cKgr5iQP7kF4aNZa2q6O3qyuu0EA1EcGi7YKccli7anZ +mrtnBLo6JDLHhVG8EHWeJyjjlnvHt4WKRLPyuJB4q9znF9gq3sIt3hdtPZk4J5rZ +eGVuUAdwLFhZQuptKKAQYBUHTvl95/OKxU8xub9myoO6tUFxlbUcLDtS8eNT1VLH +miuOfG7UHV484doiccn4L/CPe37tG0q3uYTx2c4Do114sj65v82suRXRphRcxGon +AdyUvazvysS4bNpbqjw8a4ghOg6WETUv0WFEcOWKChxX8GYOKYCZUDhDUlM2m+2y +HXjXInCNvgJkyW9o6xRYDcHqLwS0ACsJyFHtA258IEIxPY709XagP2CeBJIrqB56 +0QffkU262B1vbWGEW4HUaydXeR8pSYt8knoP2JIB/lcnnsvhclvpiWluoyJ6zR/z +2WMk4xs2vv9XIpDxdKJKG0qOSu60Lv674bUNIe8qWyj5uN16dZcdOXsw7Ok5vpr0 +oV+2K5do/Qzweb88iGmrgtXpaUw9JeqtcSYp09NcsYNz3odmPxQOsYy6umnb21WF +QcRxhABXoHQM8u/43YdKK63SyNonsERxqsncuA/7QLzkdxrXwJwBCZ7dbhSNUk6/ +R9rKdNWp/ooyB+zAtwqsI6yFpqExDJ2JMfHow+acSBXfttlbDyeVvuVS9ShEjb4R +aqzcJjvca87VTNomkBars4WZiZjMgpbxXdZQk5aQyuCbsDKCYmDF6G7GDvSY0qfl +D06LR39zW09kfx68LJFvZhHxOwJj/wXmV+AowDzPjW/J2MnCz76IWUEdqj1E8oLT +Y/svmJyHVJCWjY4kmlasAPGFfeefZPpftZ5h1nVffdVmGICso2rpO/lmW2fUgi5l +PjsIpS9yyEQxfO881RIAVBxyJZdE1HAjQLq3QD8dq6VYXXnfKZ7jNQKE1MkQtq1l +KTha3rc+YQiiraA9K/QdAwZSliWeHHbOhHtsv9yybSdHXmatTE7PfVSS0KcnDo9T +AHBvdn+/PDYmd8vJq5s9C+WSQx++KZbDxmJWGNMSA70sBQzx1hZAl1UsANx71+SI +MK0rSqHdZDH+XfK4AMVkCCZKbkaGXz24MU9hU2IYnjnQGq9k4ydh1QyPi2k6RPxN +bQ6BgLRYOwgJsfP8ZO4pDPUiJY8ZNksmpYia3y3bisVd5KCoPnt5ea//d5n7koV2 +4GEMuf/scIP3363KWfPQNZ2gdEmmlrxDP75o4Ju0zX7WGDBf3HXObl3WDEtCI8SA +9vFREIZ0nqp7fohM/H8MFVhhTB7VhyKkAuMwQwmeKsoQFg07/zev7SjTers1rPTK +v8xhTMnUU2Gifbm9FyhjD/io66sAmKEky1q53jOhJ8xx+ERKpwfvJFznXcjXr/QX +Luqo6zq8bKMv3tMJOOHo4Bv5YXlBTisqY1jpFpQ7tI81KtQ4u4+5PrzNcpl4gmEV +BL8EKmpOW2ZI7imPoH71/HaU/bExBzq1uNz2SOcGS7oedlsgrwnpfzlcS+hM8jRQ +Ntlrdo3Z64Aa7X4D8pKid0tgS3ckI1j4Z9bUdunlPSvGgFyTwWNMVyvdATT4PfgL +lg9Qzxgp21hryj6RsTipIxE7vTd+VvDzNoo5i4/U7fJP0e3Yx/Lq/RMSHJDctO8k +S0EjWBBopLe6cDV3tUrd2un1gR97epc6Br9vdFsKukRkrgpGJcQw2xBqR4juCJC7 +vyUfWv/VMMvgRIIARc3pvUbnupCsulV9wvJEdQXM/PiBq1UWHJUTSbp6cGzwVHc1 +lcyt2GIM/DH7bHyaXF37vSbu/2WMfdRO2ecKujScR7cLbrJyA69knyRORl0RHrdc +I6Sf1omNn/yNahPBdMPS0sG4pnzmxvcyh89tMTIpL0B76HaVmmoSlJRe4roo0OoM +SnRqvuhIB4UXaeQWi+Ws0vudlfvKL8+4zOdCIGeiRQO/8i1+MfV6MVd/NadhwCdy +a0H3GEMti7phCG65DMCtp7PQVL9vDOJmXWMUNiUjBq7c2dj6vDxxVCYEssl6Z8rO +VFhjp6dPG8cCCoevEvx+4IIJYWvB10uP/2kgyPfqAxBh+7ZhV8sAyV+VzAUV4GiH +kBW71tRAlCjOvKkBeaF9ZbB9bx+iKT9WRpXvKIsmlBEVVmR4gI2OfvbFDccyUqSx +rSVPqhLH89jghYr9CfsRMuK6dV/+9rBPrHSmydzGJ10VRztz3HklWK40DUoMRTOQ +QrLBIKjw0BWl+QRdjJUsrDpdh7RFCzPTP21/CPHKpdEo6w0EYw3ekzETlaMfVqVo ++QrfFbpLUldHsX+CC5ZHf826XcbCL7PgI2T9/eyoxgxLlWiXNX9tDyLGV9sAnDa0 +ZaEl0oBg0QBZelubN61QFTPOuApIUc7xz8BPW5xHccdzLH5WFrRdQWnaWO+WI/EN +cYPG65VAITJSpzcO6V1x13TpRcBbzR5CGSIXl6XQsk83T7UEHZtwNdZKIX4EKZom +MUO3EoNcabxgrVLWGCbvyPKwYdFe9xoQLTPRecnd0a6FXRWY06v1JyddPlFmSFE/ +UG6TymCs79Fp63V4iMaDsjozEIDEturP6PYLJ70EVu+O1Kwadc7NNTDW3MvyLsfr +iOyr2TgHRPeUTXWnNXO19aRVFaJIfFsxOddfmaMznCNJeEVbhHlxjsni9oQ7IwAK +8M1CkZHrHclrxMniyMDIzSDAybyIsppi7MszjqbSX/X578KDLCEyTZG3Hkz7n6Vs +5usXTw1OZAO8tj2SLgzC/Qt6vlHHp4tmpFjCv3PLNQhebwkxvCk6rNqmDvWckkIB +OFNDvo6J/FZm5qWm/D6lX5j18qzwqPL1EsNwT/SYn/Rx8DM2HGj9eHCrZxOt3WDs +tb18ado7ygxOE0mMWw3xN99vq+RDikaO6a40Y7y3lO1CRPf42Ovv6NZAlmG2PMhD +Q0elpzf14AedeIfcg5ELjT+kTMG1TCin0W9WR6zWK2HCoQ58LcoOLnr+OHRZ48+E +T0L1TMzbyHvmEWLG7P3jwCoeKW1G9IjVtTQ600QCcSqmMpHnKp+Q3CxBeBoRfSOU +dqmYvnOi6eneRe/nxCGr3Ua6LHjb23wquA8O/t3YeB/4ANCa5OCUiI4y0sqMZvF3 +C8JbUcMICMfipo5Ji7WHvXerrvGNCxi2OFPnGS5KLYcotXnSEb74DytBRzUhj4LL +2tHNlhdFBHzyXqIvNPyv5dcrzil/PzPEb5WEvBEK+VeEWW8e10eZy2tyAEGjh44m +t+O/TF85/0KR3rhbpwQtAlJixDmSTfRmGT3i9M4UmRoEGEaqMEBi2OKLQAc/Dj/8 +uH0gPkD8CYGnPMhRP9/cHwqHvRprdhQ2BmEdqUwGuVwS/gtvAIc1ZEVE5kGHVTLE +yDz7T4fCsjuspjnRFbVgduI5pd5K01o+VWL17Oh+72juO17RLd8yL9hYvmxhJhr7 +n6AAteLqqroQjgsWtkOGIJL87EXn7x7vLFAN0IQFzEgVBgFSgfiVegngCEsNeG2i +bz24YyiIirDhqtstkIJ3O98w2lMzQKkSic3CRm6knWvSAEcxdJcSTmpxgMhPXaoz +Wz0Grz02wqEpfJw7iktm2sDyZnlVHmV/SRsrVE6X/LVpICy14BpOXWz7ypL2dG8m +g9v1iK2jzwJ0GWIsqmebMavdX5/tBCAry5NKMmq+b6wNxqX4prJjiyNrwc4oD4gv +JYNYyfzphOHlIJZ5G9JcJvvbCGJUB+iefwuaBMT8WttDitEm/72QnUkFlU7gB6aU +yM0hdc34BqrbV3kqqyhFeQTlTnMPsFL9S8zfBQugEP1uPtGiFsO34PXXlWatTye4 +IWNEr8Ugh+1GtjHOqTjPeBhPZ6wBu+ihzhZ391XR1nOtO9KMNAkPOYs+gW+iE+p0 +bKLcBfN2Lj1MYLZ7XanqcPc18A3yMzh23JpZBF1TXYBGZsmsnAqo7u9u313PXc1e +gn3D+fYjjAveONRUb4DipunCKa5OnIc28N90wVgqCzvOtzvQUSXlBV5DMajxghgI +c8yLJPfDcYMU5aJZa7B0xZ5XjbdtUHBsJvEqcHvvxbJh0UkvlX9jhCwHEtqFFcMr +srpRkt0tnP4tV60k8shom53GSBLftbybqT4ovUCvlFX9dFKDvK+Yty0gjpExshfs +EPobM3jVCLK80FTrHgbo8TnQdODZNv/N4MHapcw0IojECugKvFxQdb2nR8gpkHp3 ++IjINTiBGVDRNlE3HLpqz0Ot49XisHpcb3zwGWbBus+rxzIKeV/r54aDiXpvTFI1 +UOHbx3emggSWyBiNEs+F0v9/z1PRzuQhJNVEevRUgiYjWBNZu+NoplPQDnM2QnUZ +F+x8h1cD/vRhhJUEY3eJlvsnIMzCMMEaDUqjbkbmr+VBK771K9s+yWPeSGhAYfSt +mLIwqYpkiMFvP/DwWva3QYRB/qHo4k4CnFn1AlUdcLHvxmPTR0JQ/xerEAZoVpZp +zed3IdN0bGfpzHdPMoaiSc4kvepUn1pqwAfWiGTMKgXiWsjMm7sEBF9t1cNBtTsL +qUi6YZPeu+PkN/gWZ61xo6AO/rHYRCK9wFoaM19VA39LGYf8MBBgsWi9TWgCTeDr +NsGuLVaYPgKn/vKWLZhEu1+1dLZFgkhkWY31il/woP23lwOIE5bZe3JJMkkctVlY +YmoMmzJC26ZRo2ZM96vSnK9YCOaxxa12HJmF+s76t20GVaJfXRB2FSeGxIBnvVTf +z1+WqdtqbgZgfKAYY43lJXf2ejaf1/jYGydzTlocBvnB5KbCiUZOS0xJ1vn6bCSX +PKh1HFSyqK00y6y4ifzOD3nqxmQq+Z9gnI4BuinlFNAY9B0FySWYvtd9S/C1E/3p +LjxUJwZY0P765ffqtupCJZZrpNYrpT5rIKFozQ56XhWOJnMFAO8+pVSBoDQorLCC +WCrUa4X5+KR/hpub01FipCAK3UuGM+b0mmT3muvSMMoIuNMfRQJKs0UQN3GxPVxs +qRXHmFs9AKy1T6z6f+2HbL6YGkMT1ege9b8dJiXCb0UaDR3PhHlZ8LdlQOl5fAnv +evXGD8sZHtRskfdOfG6bojO8R/Cby1321U03RWUhAjxGvDTQyhSu57GlbbRZQg2K +LR6eKAyPtDse4mlVgt9KEy/pkb88mMlNM8M5rcKJvrR/ZXsQ/1XlGIfc5W6ii+E9 +MMWWHU4HVheYv6Heif5dBzJrzqqSaJY7bd/FsEeCe2JrfyiIuLDPaPJyZmzbPlpk +TBnQVcTSKhwshsPOtQFia1Mw9LaPZndH8f8m7d08cmMOaSDhfkH5igXmG9unqeqt +1Eo4+7NT26uSMu9CJYS0qXhEVK+bex6zgmAxNeHPmY3IvDwv1R9kjnqRGqrBTryF +3TabwZAW1NOKjtSU3nV9Oif1PMhzlYf4lg/1ruCZoSzajNBqaeRnAhrXVFI0s8qn +Vtac06taK/ZZT4V16gabNyfvjTVBVoL5q62WSNGsuKT2UukVvw8z7UE4+Jqfkic9 +D0yF/l7zY6txST5R7hr3jN9rNE13Ot0I0r9voNkTRR3KQM7sJV8o2WDHMPXWB6fm +4YvWKFAA67Hh8MeHr4tb5SaRk3pIVd6aJUYEbQxFJrmGKGv41syohl4BoyGUd+6Y +ijnIm8mFZx5K1UnyGmucYd8fMnEDxadR1QFfPYEAySgeqiLYjO/JQlSIrUkkVBJ2 +fCgDUXMannyrZpUwRmalNegjGaXMzYAGGY8wGatHCU91mr/dASiegjhAPzWGa+o7 +yjyOCBaoksTSRbPJkIAKfUknqTyD8GjLKJM7G8QOr11+uxzSrM6ES5oHiVRDyMxm +YCSAMd3ztz9Yn/QVe8zE765UPqKpZPumSKa0PLHIgCFZiyNTmNy5T2eUc41O1ht4 +VMEwF/xh1cCNH4Fz1pkUf6EGYWwB5eN0krd1hL3n1oU8ji6GpW8rkxOfNz8FvOfK +621b1GYiZ8NvX69aA9lZBp6ULFrx/btX8azAqrI7rVjFeQ8wnEtxfD8t7RL+HYWx +OhVSTpgItGZhH4pNnqW+FmWgp5NPZjXIDQAIfG5IW5fCcwZV15pjZ6hgcihvCprx +bnHRvuHwFCVG6iUoetX97XEEW3+wEXVSDqeZMqpIvv1MbdNUsL9jREzdyg5kjxG/ +Cm36spKrsqamh7+JZ7FIHCSJld7dxqddW/KUaDSKvl00pJiwZXZGWk/8KlgEt0hT +FqTds55EAkwf3YX1WZSpXxmjTjO9gztVCy+ayD7ekHzSFJhtOR43S2btu2h7ZK3U +iW2pvXKb9UM06mhU5uRspK9ESJGg9WfrV4x0ggR4cx8rfxzsRE8b7XdzfsJYLKGo +oL2QLzPJewOoFu3fYjX0zLzOGkhNJoh7tzbtL/kQJjyFnR2w5PaWi+Q8eUsfYR// +QWb3JdQQ9ywYsNQ3CFH4tmZwq3VwRYo3WWroGnTdj5L9dLMntiokevU+o7XEKT6y +wm5QRHBOSY4K0lL46Bf3Fy9EGAVIjpkuuPixWFV4WK4YteEAZ57m4JzOKB5MorI1 +VCEZJbf5OlzOMiVBBHoqm/lUHsdeLhhFWCfxBnJdbftJmfTebKm0pKtglrHa1yP9 +A5K+JkGnasFMk4m5bx3TPUGBPwjHgssJYHFWRKYKsylt5llSBdcAG+BRG8lXP+vY +d6fE8+BKTSGAknUq/11fbQCFojtfcf0KgBCQeC5fh1Caz2lSMDKIHO+GjS1CDfpL +PMf9/0pZsCkoqgz4j/Bs415JraK8UJP926Xfj7NeqTzewcVe/exOfTBCpkPZRQqk +Tp9+WN81kjiDXSkmfrh+MeyscRNmpZavEPQ89uoydUUdza6D+peDe7fAcqTIE8Zg +W0FMn2UNzfRe3eBDo5+s/qhhVycaoMpPK3vXQm1xumSdhiZI8uhbOrW9cK9ckj5E +4U5EthZCJYTlggN1c0/ibV7L1mlURsAhIWNbHUHRAE11q4OMtfiZZPZtv2PSls7j +Ga74bTcoitMiAgjfF4HnMV2o7QxHYYlAtBIHObalZuSOHfP0gs9w8zdKU6AesjDw +UUqxYBeHzPBc4ghHBMVR8zf1IkVxrzKBqsQXuOJ0dM8DsWhKHomxOu0pEEvuYxeT +BwWpTO9OVLquv2dt69O/ITeHXJesdfjA42rKMZ/mxbft35tvqPVBlicVrCMy2Q9g +5UKwSfMiLNtIvvxTWoyLL+auCHXA2AeBrPv64NjQ0osU/554gGul/G7Hi98gqIZo +Vp+4DwJAyaon9qSkoT5phBO+kVS5GXi3t6h7rqc12MY6qfehIqD6B6qAuuu4/b/r +jXFN6RKElhcq9wOAWQx2fovVzf3wbwSFGF5N7b3b+a4T0kPXlVRl5atMduR0Pwz0 +6ZDqoWCbiDzeMLhO9ApUbCSejv128Cz6C69NS7WOVAI3X2gK95H5u6IumUVdf52M +n5uMb+0kg/ZDh5o07TJZOjphujVX38ujcg3oRW7b/hCs/GdLGDLsillec7ltf0Th +0a7/+gsCjdhH86s91lSQzTct5DR6M94HDUVai4Quoh4RnijOZo2PWZokccjBahiN +S3hltGhnDUOrD5dOMhAm4ENwMlPh9fjS7gjMiS2IQEaiGwnjj1S3URSTrbcjFdRG +qDpmS0fuLpFd/ytvaFnhBR/sI1OpYSLW1OUqnThVz2p0dM2RiEES0/RGbdgprmPj +pc+RRJezioGTCQaJGy/BNFDdclBcafm5PPIaqURs6RKkeOMDWAf/W3qYU339Dquk +dHP+eq6CKC5HmAYdGqEcqe3wE9pK2+NZCH12yhiYBuWlfX2Y52K4XD4DJnyVOQKo +aJYrYvbsFeC4xztnv9WpYPh/C4Y6Jd4JtlhdIhgB6h6EwQx/qHgDEFO1TkrGScs7 +phtKdFDvR+e9SYqcQgbC0FU7qC0whOHpnpx5BclnOvLUFKPhxIPb+cvc1yK4UXdp +K6Bww5hgf7nfYonwVCKKLc10YkzslK4knUh9m1em7tqtwEbaWHN/c2iLZAyNFrRU +H6S1bcx9TkvmFO61YVNSd91YjDRLuEjs4hu5jL23cukdK9L8qtYPHUg+w4tVwO6p +GbnSUnk+F+tbnHUJ4JUdNhmkdZLiHgiNpQl6Xko2O3X/zpkhT5t5VlQoUUk/NSci +T8ZH+Kh1JW5tGE2e8m66NH0Lgy3646Z/i5LDbAZ9A4IGW56Ob48Mg1iLGW/UbgEo +0lzkPBG03YnpCD4EbMmoXe6FdAZpe69rlKBQ5fw8xHrKmXlWkwG7BwMOo+kjXtAg +25dQ5Opf4fzk7ouAFN4pMDgRjU3DlJD+XSX5BeRi7kAC6FlEvbmtmxqEEiiRa1JP +ipi3ykIJ5j65pnQKwv3ftUVSpEf6UkT1cXr9yqMj0/I7mmMy+8APormTiJSiyqE6 +NB7IrPKfrwoxL2HPglvLUHRnyVPi8H+uZQEXEvSeIQndNGSIDKA7uDjKsfk6prWa +yw6ciovbcM4hyvd/MmhJTPT3XuLfF5TLcxUSFYYi9uZbfg49jP5s3+zHi5EPvt46 +AOEuzZFA/M4ElBs0jVYqimebnC08XfAQfp+LprJC3fbKQXIUP7SfLJu0xbdVkTiD +JSXtopGYD+xLOqbrg1i+SRqSKyMUPX1V5c3j+Z8cqxxVwjDi5j8B2aNLWnjP5gfd +8Yn2nCx7E3Foqgxf5JXvjfV2Qb/4qIVmMHE/I1p5xmKbDnnEfzmxcAk4tsiUelDa +Ra0goOsTh2ATJSeO9vTo7PiieiV3gqy3OGLVrtVirJF+PDIRitT1JM19Hx51vvI1 +3HVukqp006Xo8j9o9cqsmr9UnJDM9FsbC0VBw/5UbxEkxx6t86PrJIR6n9RlRgVf +lDhq34gNRGO03QExqIViSkjDGR+uMNy4mn/cN2qBlTAnDf3Xf1mw8VRf4ysBCm5h +ADOglRTsco55iPgRr3Eh5aeMGerTJd7GQPdWAkC34LU4Mjxsbrwd7FQ5XRpZBuB3 +3QETl9zw6hf56b5DUY+zTECjwjOmy4u1SFnPV0pWQ8/coxq6LEfwxS0v0hv8kJmR +uO2OC5NMhIlbGWOOUIygnsJ0eKLqZlkk3beTylkRmGmdiAHSthCxuXjMHyvA21L1 +RQ5jJvjEeDyiWUiTUTgSAB7IKBLwEYCl7lWVi/PNLxdFub7EBrDrMBGFbveEpoEl +naMLNeLp0xLerOwcvZnEKAaojFZaYRXLU9bMJqWC96/E9OrX+jECjh/GfFoDSBeI ++2yMhNbsCKLrIHK1RXAPHJsrCdJZIzL+hRtJwD18jph6/YzPkjZqmZTngGmv7xtD +cGvphK1p/uBR5iR9WTwZc1AQTlw46V0sTkRUCE+GU7Er6lY9UOo3X1hWZTkzG1Jv +0kfmFJE64OX0D97mi+JsZ+9ggiBUIK1xteUwQfVDYxgy+0LZbJq/YjJFEzP12o99 +5L2io6EtgeU/ddU4WcHPzLIzCGZR1v9ZkrnBVlod15MIJtWPLhug1AKlIwIsrBOK +x4OYnM4ZNMUBHy9zxviZtOonj4uDK/6znvQiGCaH1tQrgjuaGZxM3rKp59UF8h1m +guT3Exruhd4iTPR/JGxOkAJUkcK8XcyYjayxonhmpt1Z+A7Oj24DA2CRhGgAiog1 +T+tGo+GzxS8tV7QWDmQHGbrPneYuJpD8W5fpFJVzn8su9tQF/ua5pl4X/YoqqLnI +STA6nc0AXGhCfVQEyFN4fX3qjChoxh4R/EugFTxT9qZRdEm3dat1nkO/VNEPIeEw +YkOdbJ7A5CS8birvpsDBP19cjwU7kjTzKaBqDsU3K44F1vRp5QM5B2xp8Q80Dqwf +XK7+7cp9W50uZbdXmVsS2DaPrWEbR+9wBVTzy55Us9NYP2GYnyYk8+QzsyUgVXrU +GZzJwIuczar5JITQCKrrDf63FXN78i1kDl4ZkaEgq2NnT3GTDG4sPQYdnvvz99RS +KYPU6nbyfRefW2icsRx+06Ek0sWV2BJFImhpgjiNQN0ACx2TIbRh/TkaEBLxl2Bf +p3ke8OgNXS3a+8ypa42cGfYC5s7TSIuX1++5w7JeNeYmawn25hxbQNIBpgaavATN +NgoJAwhNGrwTL9K2bgDE0DtkPGCo6ghbuCDi/LD4HGj/qyFTeGqNOEuIFBDV42h2 +7cZczOOog/YKK6f873m2AZWZj2FYj2JzRg9HzYdp1oJldU7EH3nOhP30C9T5qOGO ++d58n7NoeR/OXpresB4ze3aNZwoXx9KKe/zz2xGZSCOuYckC3jRcyY0I/ZDlKLMn +joGmGsDumk/beCljZZr9r+9WgEEij8lWhRFrwkTg82dzzs+UT7dHO2Wj+0RtJfdc +z80giwsUwZ9snlJCA0NYFaGJU69Q8A4SRbUc1gmY9VQ+6qvaTXY058+vhlwAf/6+ +61EV6t/hTUg/JKG6sqZ+16RM/hoU5xvER6BRuuG1Ipai3CDy/Yf5Zt4M9GMZJ1yj +Gt/K+qfMuaZhS0IEtTKCUwmdCzkx0crjeimX6y59M30sFjkHRUNN5ICfCUMWQZX0 +43BKW0TvVCm2A3+VDDECGUY4JWOEP7J+ANDEalzYFBv0MUh2VovReiSgORUnM6Jb +VJlRY9im7hcDFDtziXJGnV2JV9SfNIbSjnOv1mBrF+M/Lcx8b87Dr10n37At1hcO +2Auvl58zudDPoLmJJysO6CEvpTmwgnrnIljGpp12v0ge6unlcU+3G43WBBz7/PLB +klYC0B0LeKq4zobYT02wAR+I5emfIVvxS03VJYnMFmjPFeSPTKf5zzWuSVM/D8Qj +SIsl1VgijrKIAROeT4ulftIJwhWeB1yw/nqZmDEFicfUoDEZzWdqQtCvx0AdhBE2 +Mk5bWRxAvfwAk7tkxZgQBe0pCoPrjtF6rq93DQS89gVzSizKZYBhpVuhU33pP6Nb +8KkfkXl945QUYiDRgo60OYllNSodK2SxygIbgdP1JGWIi5tl2h5U1SmZLv4+Itn3 +gDrNCFpZnRNPg6FlwL7DhqaDm9wI/vlvlUZlPfkZXfF/p1Z0rzgV+SZjxybqIu6y +wV5rGUC1/We9QGc00NMErU2+m4dVkV7/FkfsoJfJWGW0ivt3Warj+G7/07klkIce +02Xkl3U+05xSkEgTekYKOIphfh6U8dhbeF95Bfh7F0MZEMuagPF/3cxq7t/pn5ze +vCJAZJoX7xvMaxtbnBFviJ8qLqSbL4IZ8oqsOTGwFpqdDi0IxSdLTXXH0FTA8A7F +eLVn7vBm70AMGRyIMyQDBF1kCfLilNZHQ2oD+Ug5ck8W4LGWo6xvKhUhCxA0X24S +H3gdgla+pPTFRrffqhcrThS4K7mpnSePthmy4aNt9Gg9JaJm7yLkVqenKWXuUwan +sy6jbz3kuv6Bo0PirqoY1DfL/BJVzhl7ksTz2GVSmWQkY7NlOwmBYMGNisy3mtcB +/83lmYN8azBPhV44xd06JgCVJPQfJ7QM433uhDnXGHOQ+S/pPHpwhjJqY60WsnaO +KQV1Ci3qwjshkpY2q9AfilAUhSHKJk808/RcNVCroB3dw+tKzNBnB4FbqPi+Zcg9 +BChShv+35fp5CdftyhiJjXSdT5/8kzDhexaPupFrnw7+1EbkWRFba00sUoBt3TVM +cBujR5NpXX9i+Myeb7esHMQ49XhTt5zGm3rteY3BqocU0ZutEztwCuJNVcfZrRos +d3eAddl734RnBg4ifPo9JQib1ZPUZMEvTqRYxt5H9ru8h6KVPAOYI5ysjQ79SUKu +hNnLF8bU69U6G1nvicN5kr1rFAN1A9r++cUoBhEuxp500oHtKL+W1e8eOvEJD0xO +d8OrREtpH4fy6Ex49kOumXU7E33P2uhn+tyhJQt9Uh3xVleL0m68V3gazjLlF1Hc +jZD6yLm/dfGNgKhTIaO/50mgf/v2LxqNccyYdRnqFd/9ZMkI7K7pMcVk+UHwV0p2 +2+0B2EMZsGX6auKyDRhMgO4qSDm0hklAqowAw6Dp7QNoJhTVgQxtq6JrfAbJFpIQ +tzzUIfg+G6f+drfG5Z+lCpKPDcsmHhrMd7CDO9/ZIkW44NGtiC5XR2gOQEC4RwIU +S2T3qXb32bCSq0TbkRk4q+vRuW8TRC9I/1hZ1BxbsmT8GXNjzIHJjwTj04/u6zD4 +1arriGGOvvqDGZ77Njn1LRm7SnV6emD7M0hEXl5VPPAV4VQY+7Smx0Qm0nHDNSDu +7tXauLBGh7o5Yo/j8DAgJUgk1uRHQgfBM3rn55A5fDBjBNV3rCQtzzK9Z4AEncd9 +mQjyazSKWcz98ija15Ty3grfxdTBGzTOXLAPdjjC9SqyTa0nvU3JFrHzUt1qqws8 +EIGzkv7ktiAb5weVEkowv4zmxxoSwXy3f2NvmCoaClO0QAqJ85aaUt09ePMyQEX4 +Lg6jtLQ35E4s/lwYDFG8RcMEQ/28eISYfKI76bB5mRuCdTmRrn29EqFXOicRFOPP +OIja3k/gEImDdiJ//91bEFzEcCTVq7BKlJRSBaqQKhlCXElANB0KErf5OnlIE09x ++z92+NwSqufiQfizL+moG4oJqziTcb9IkuOkdeCvgtE3hNLeCoQ1IfeimFneiIdk +uvEXImKsQ3YASHhsDbY0KmcxF2eQKbeCcxPD7YKgn0J6F+3hYlB4Tnj/2PrmUlZN +d4ANhmIVRcnPAzpyLodqlzGHJhP2v8+vnRYahWHubCA8Vzjdy3ot8j/TcGgUpTS8 +CJ2Bub/Jukb/bubN5rZxrApmSMI3NLEi1SnhCrMm+InXNfPNXqXIK7nskvIDETBW +oav6OWjOuwOZkV7OwLUVk0sZm5AGZkfe0qbp1ab+UYrIhYeeksGADVR3/1DUSdVp +TyVeLTm6jIbLYoZdSj1tU0xWh28UvrHTDMT1krWIct4ENpT5PxHdlgGEikr2VHZG +iTLvz3sDqhZrp3uXFYiWjy8De3azBLNPw4MFgWn/AchPN9SrGYo5x7urlbX9YkLg +ER5Y4oUyEccw65GjPylH1/c9VwAyqCidGYxbmNGM3iDSgV1QFvgS9jhHAzGZo6kH +cveM3c9aW+qwIjHAEI+iE0if4cvsejsxivIdimmq1qDl0COUQA90/wmaYf9BEz97 +ykcXWk4NsWWmX//8G7ciQtN82B5ZNsnM3dSoioXulvDRhsShQKPir4DIQuA2SXen +umgaaUjHja/zxcEHTHJZk0rETvuwUmbXADNNfKsFydwDOfKvCy4MmEJmCz+Yzvi3 +7xmhKQdD9ZNgIPqq7BB7xYCCJdIe9q8re7OW/ZZiAU3iw+E+pP4c4eINozXrcaXv +WMTCqW8qP5KLOB7a7Na1wyFaaPMokcrCI9bk+5xuDAtKs15Gfkf1kxPNvPvqztUx +JYK50rFlW+2l2ZQaWWi5yzp+nY6BrrZmnj+0oroo9l5TzmAXXqj0giijHQcxsCff +45EJhMVATWw4ZG9VxcKS0E1qn1CXNoSNskeaJbHFKul5SFxOrPurvnLV0X5AUBKR +qWubgNAt4NrHzfSO199e5icslIuc/5wmdcFomQKSW/4h9Vb5oRCVBUtBBiHyV4vR +qVJUB+LdFu70zarabZWN11b2+bp+cI6bhjCjff/pUrTvpYa3MIamw5+T4PNpjIUi +uVYpH7vL/hVtQMmTOheIcfHyLBqzklbcpL3uOmxhvPOZ7nQlY2tnSWADtXLqjD63 +agaYh1WiKxxVHzW+jyZZ7ljzEN21WZfzY2Pho1VMJU329ya7dJX3B/lM1blF09Is +3uxKhLvAcoT4oS6pnYeNUQa4to3UsaN6ZpPE+gAsEKzEWJZgHvweIz6nvcx4WNDV +4PvF+AEifbDxvWb2V1qMwWlIDuONPCuoo2gUT0it4E1g1biv46dQHdpJNRq5TKBy +Uyjkw2y0Xr+SfieBlnSGrfXeTRM1HQNCqJi9esRNgy1sXndNF4m6sh1nBhdwJWT4 +p0dA6CAK4sLr5UzRAqNWw3LgiVhBncF4h0EOaQ5Sh6JOUcn4g4y9hUq19RVyD+SV +NH7E8+OqtD3kE4WIG2gzLiNweYxOfVUXzOv3sO5YEmCXjSGQ5hdwvmJdySLCbmFZ +jIYwvgbYg25KS0quY8YKTte4x9flgZWoluGsgUu9KtMmreFFyLzJjL434arsnO+H +YfSnO8EyRI05YUYue7V7Zw+GnFk5c5kjpf7rlca61FYzjy6kN23pGEVUgm5K/1bf +fptQw9R6XG3MVVeZ8eZ1qRyrqGagWiveoU/5kU5hXam7EnVdlr3lxdpfgfxAkCsL +o1JdR1ic2wIu8vX9TtvKDhEfzjBzb5D69dAkHeECc0XFr+rViuAGYD8uATFEb0sV +/D5S7jcGOoOA2JAr+jzrTMAp63n2uOwpRJpNfV2gEPA/ycwMNOU9b+XoKaE/uO+L +cQlCMuZkB1PxUZ8cs+fKJ5D9IU7DTM6qXolNafpKCru69d9aIdQEmwpQJ1oO73fd +ThIxXd51Hgv0bvbVdGRPDydaRb91w8P7gRtKb17XNPCsaTlBUGEhX78W3SeNeGcb +dIt1yN7zsI1HF0rRRQ86gdRJyKbdEjnRzgw/p2fqaVUUUu8t5R3J3cVbuhsZSVaA +Y4JBvxgNUidmlC9my4Vgf16cg9E3TUBZpqq4MdNb1utqxmfA2YeiZi3eFAS6yORh +v3bAGd3e/yhjY3kMqn9N/u2LyWAUywGB2w7eu/qYYWRRSfSdrWpL1hilEb2NMUr/ +Z4Y2DOx/hpQ997pexWBZ5bvHYOWrgGOrBR4x4ofjec5usiqwn6Ujk/2TPbIk9ykw +0ZczpNVnUjmZsHWde/GPK4A0lTXXVMVH09q55pG6PcxRWTxob2k6oT5K5cQaxMwD +6GdAxATCTIG05hTemTUPOIUOv+ED6QI8z8Ll+05GSjevRFrwMeBhHMDblB/5MjkB +ONobN6GqO1FHDoo7u+ZBsytg5pl/GL52Hca6NX/jfwbmR+vnYDr8nr8JofLZJozJ +RrgTW22BhK6v1u13exFM/Z8dq0h8xKGwg7pTsryBgfEpSKSvWTmnI4f0xs9ww45O +DLuWM9+4WUZkhj8XoiKEoR+RGa4z49Xl9JyJdpoH85yy0jQvR6dBetmUfvmggWr5 +P9o7/rtiX+BS/vr5IVVro+PqC9h3JZmRgYxbeo+f4oPMf+/T1ODSxp7Rtm881ZKU +tecKnt/X5YViLMkRncgTm6aa9DliZliuec6bhlJusxRKJcHyUpX4K/Fo77c866c3 +FYb7fpoKctke0OE6Q+EWyoFHFu81UlCpDpJw5jTrAlusvhtutk689mIH4D0QiFA5 +oDMOsZ+q6RvgAahYx6Ku9D9jppqt1tNq9KjoeuOR6ucdlaz26kPzuTEFVIVhw0Eq +5mEo6phkNdHXv1mqqx5g1RHNIG5Z//RXIHuNyaRSJxqb6mYqhF0e8ILl6/xPoqi6 +BAo8+ELsb/KqffOhj3kxr/G8vCdmxm9jhS9zOHnRHdQYibES0h9bGzeOTCNiIc8i +9BRYp/dv93gYYwDqcnVjbmJ3BB+KfHlsMknqNEGvzhr/0/H0MHfrLCYkHLLBVEnj +/9OM0wW7nkBdjzA318EPnIf4ml97OH5JLAoCFD+bP006VnmoPi9YISf95fLCoDnU +VtFmKJbnvaeY5rJsnEpe5JalExwgZeVA66w1v0pxZUwUQhwl5DeFAOZ+ezi2hpis +EW4k3YdKC7BGyza34iR0kKnDbm+/K3E85nHIceJ6BoUPGtCj78SGasVMadSfuI1i +qRbt1z+0ZNbx7CZ+0N9iorAdHwInkdX2Yaf+Qmv6A1YZG4Xz3OwsyErljt/i/zFj +DiaaSG4quJifYBBuZ5x148QyHHrknxvyGui7H00XCBOJS/kkSnEAhxPthlO3aZip +Wzp5eL2HMEQ+u258dvzo+zgpx1+O/durNSsRaOORYiXLSmjvfRlJ8xi96oIUQMNK +Oh3BDRUiPuTxzcdrs87o2kVwaY6Mi5bf39FtuRyCCW1wDZ+RdPn4bN46ui5TbkNz +rPTp7u9DHaFHDetCe5mf9NJSFVlVUhytabdZZOuJzXnUnsaeZV33WJIqRT49RHL8 +o5fLpRYhUTy//jitIXCGYQlTHZzaIy1/KspgJJ8GVmLD3RJuIvT0CaMIXnRHsWjk +YrlEGIQhppruFYBuWp8MRGa+i+WUW3twMB67mkMfIkcT3j94S1P1ybGRn8bFtZ8u +zX64uI3LPupNJjTSy7DPuFbiZmJSm8xfO7Al0iY50F3MHmXUr14YiJSlJqaWWtui +BiUn6XP3IPBfg9vVF6nzqqDB7o1ktEKfun+k/TkuoeOMaBOI92J1fEL10xCc8QlF +TLYoP9GrVsL9R1nGyaFGZxbG+2R0FPr3LZlL8+LJsj0Y4BD1FMEXGGOqvLst8N1h +M46zg44/Pxl4YXpdYLO83ZGPRbmKnUlVbau7jGiJ/E1rrtYdtj6JB04iu3Rwsc95 +B3f1oGA7ry1R5Me50BuoRU9d202e52vyv8hzocJkTK3R4vWk+DpUTafn9v2r3pNe +EvJx1L2rVmInLlPsSAcyWfoEe2HlkNtj54+oUt8MsftUiykLHJB0Ef5PG00Je24l +NMx4pMhponFuGBoGZKj8rzrHJkakRdzH64ACf29lZdLi/nPR60UtMlDt4/ipfbyh +fQg0UIYFldIa6eaPXCwTEZBAi88vqntpSbESmAqN4pW3JTmALj/XWZHfI5SLjVFQ +d79DxZ0kPeytdiawLqCApeXnBb8iFQZKw8PbMnccvtz4hMK/yy4ZX7B2GfsjpSTd +Ck+TQDADpDKV2ZWEiN8nJYL4vgiAnHpGpi9d69EVMPkcGD5FWSp1g2MQhlFP7WPN +S4daJi0IJl0hfaUFaFLSe88VgliMCDD9WwXZhhfCjavrMJke8ahACB2IOmnEdHzP +QlA0sG6/FPMvP5eBUnEpVwDE7/urLapYUBVbBgRU+nk0oW8Zi2xDpreyd2MmRQE/ +MUSwpYMjvyRkb6qDY/zF55ULQKqnOp5ewvB0jPG72nHll3IREvRAttpKD8Hd/2Mu +qFwpVua3CKrbVMg0MdD2HepF3+icp/LmMRBX0a1JwSYmWLF4k73lIPEbjhqCijYd +aeAbo4dhRZPCwmaHt/+PI8K+fl+uGCSWrQekaZidh/247uCUv+cyuIcNQCDEigf6 +8aEmZTffrWRK9lANOL6pnJT3Dy7yzupMrUKqQK7zygTEzB2aul4vu2R9DPiFC19V +3nw0rqVgBRor+mV1qn4btvzRrBATz08HBOYAvjNmtk7tB/1oXOUygvw3HopzWsvx +TrPd/hLzAK1Q/14kVJBPn98qOyrlcB3dNU6oHqEA1NwPFef9NzsMA7Y97i3WRxNG +p4z08Oiuu+GwfwdY3uE7HV22+XrWf1mGqH17Pv6xbrkcc5+DvHD8kAvzKsIV2bLF +Nh2SwkUFPglUbJCBjynkHR+lLsKGvZQL7zSfx9oSiYU0NtZ0xH9/9EI3tjR93OBq +h9jPGOv4fcTgD02YlzF5xJESYtDjYbDIf5g/c6K3FXHLHjvDgDO+i1aeCGZD6a5H +IiEqSCmrETnpTUognqJ62q8toYM94z+8/d2ITLOaC5I8o+Zmr8Sv2javvtjG5WNJ +KMIznTzxbDPicIK17wc3ijN1rP0dpFiZOeur+/1tfaX3C2flP9hDPqf7B/fl5ZEB +FzIFt3G6QXDnaYx+mLEN30C+CJEQ2/OoBKJObeWAAg+jSFJgx+9bGKtpU5tiXm2i +0mnhikkEcREaSGYQfLiU6pVCVVBlDwJxblgVlxD7W8vb7majVsgnXvl5faiE5iZl +8pEITBHP7WvvS9g22aYwLPL20KMw2xeSSEUxn/A+6bSY5KwGgVNoAGPaLYfSIxqM +mvZvGvGIhKy+f9+ifar69LDP1wtAM5YveICot3Q2MHKLuwi05XU9FhH/5cIZKlS4 +9yBfdRafxGLJnilJ/1wPniMs1rebLFLpmNMXxNkXA0S9o4m4zB/aeSyLhKDYFVTp +rvwKEA1bWw9d1YM+F/ug1tvgFLiACDYoK3wmPFwxA/lEWwKKP/7E3eJarlxJEgRR +xdfmqjRo1W6Snw2ru9vzMn3v7JSIUhRBtzeLqU/ujyXv3/H6L0D7CvPQ65BYVX+P ++K9Epj/Qd+VsE1lUAkXkNAY3vVORt5dB9jwq2XC3RACOOGPkK9ikLYMJvHYLPNZF +frSE9CR3Rf0IupZgfZNkiTwJs+F5f1XRv4Gq0fwG1N/1FDw4Z+cdHk232Waq0Nzy +1tJo5GjepIJJdYqcsgIqNhgqRHxmnlt/0fLAyYZ7bwOGo1ZTb9BgceGp3a64crad +bTMvtSLPo3d4DB32VN1wUVUtmz5uu7mE1h8eZbTd/CSHhTmkhtv5ZjuTCZG8MXSl +nAzdDPLPPQO6jlbSrWCsQ82nUWowKL6E0SWNtahMpLD36uvUoQOZHGizAeUIRLIV +ItVfNs4fx34cQZrOeU3P784gg6LAsbYt/Ed9wb+bnl03Hd3on0wWir7oPlAnZdxT +WwDevQH24OotITnMzDdMK7TJ8NWWS18GoQ3iSxwFfO4Qc6B9976WryUb5PjtzbLi +yG3ZxEM/EruiapHAjjj8hWGNdkS3IlQMkPvvTgzDlbV2dmt8SDVj0mP2jxAtey0k +hbhc4XwPegmfj9CZsubLVZSN8s1oPajG2oJgJ0+Pz7e/q0D/YIw0mQyElKxUdcEl +4XWpeCMqPf6l5Xvhs0miyjyZPPCy0IpbUzkOraOjoyg9MZdb+LHZoxpK8ppFKnSl +1bdfGAwGIi9ysk74MGJc4/WhCacSHQSY6kwljNwm6q6s564c6rb8Q26/p/r9Eery +LLlKBigW8fBgQldplYMzsluzyuxyeojCIKfFlOCT5DqOL8TEBjEqGBk0y9pT93bM +p7A3iq0TaaS0/ZYoFv+BdOPlY9BErCG6Ikl48CGTqtckpizqT7YBEbSrK0DBbz7I +/7D3fLDvUP6slyiZAzb3vZmL9Klhyb3newu0iZVRczndjMPfku8k8dQCy+O1DfzW +g7OsBeuIczZtMoLgLfEoBXWqY9rsYLIGXtjUFXLGcOgai6eCTpuQyaeJfu/KDlLT +W3LH3Qul4I6KOL8VwZ+zFHyEPqNKV2VYMFxB5PRp4U3lV+wYxmE0kqi0XeKluD67 +E+xSpcgk+TVCIpNhpA99jVH5Q+yXE24QeJ6I0EcbZeLZtWb5xBCRJhC6SRuKrAi8 ++xDPPyvp1UOLjqyZ9ouTNy9Z5nCeo3H2USffkcWrDIIlOsrajRi5Y1SmCDveST60 +TaZW0FrQgnXGof4cwdnuN8cHfKTJrfj2FriNB4JyskVuE8EIBvPZGJvGFbg3dLav +pnB3YaOUkd6m8fW6C2k57uFSfMPMIyqgTtoLBp9u0Cm5rfajcmeASB2A66MeRA/u +Sq7DqnfPQ4CENkVh6ww97xo1HTet3Tb8kwpCkByuQbpckfoqy+SuM0M2Yx0VRZYN +DQlFRHxRRAoZaCp1/Xk2jnBvxZluqwmqzrAR+aoxAan9hNMpBMOF2qsN1n7vj3Fa +4jtR6vkiOo1o7yTapSEtjiTrpCuKLAxtgNyRuH8dyT4Yj+J6Z0iE3JRssXYa2Oe+ +kgvYoBz06DsgwRkM1h8f+MK3hl0hZeKRX1QvKHU3a6HcazUftRksRysDRAGaYTL7 +YZLDF1y4D5IYrnbJk7v2cRU4dEbSWC0grvPPzEdPLK8KOS4bzHTyzE5kt4PfOg+s +xIxsPs/4ydXU9CvN082Rrb5RmcTcv6ddqsIdtLs9JibvU4xrflXQRqp8mgDUfV3c +xorafgU/uP4pwTI8rJCLoDXGho+CQOCBGOpXGIbHuF9NdMfpXFaJRfOnTt9j/1+W +HiFcH8WBVGlI7JCvsgSpsQQ5EUIc7Ui21MCjLYbnPr7vw6MoHy6kXoHbkDghlnni +GDmX6anTBXVwek0KcpmsRhemjFz3FqzwU0TMdIRU/g6rkR0X4rJksA5t5WIJtcMI +5Xi35yDBc74T0q7poqzbLsYpT0KXvBDavF1y1C1/8XUZvJXyaTXQw8R0BlT6QZPN +b4VoeCI8W/mjtRajoUW/ptzb4mYzJ9poDbtfGtL0hDUMe0Bj3ttVTXUb5Xu2X7+G +iWFKZvM2/E2ZammCesZOW6CyYU1J745O5kJxfXnSSTD0JIOteTu3F6cvMZmwVe8v +lK+dRYiY15iVUjAbV/kX1atNlnc33AQqJVQnlDxHiCUk21dmoMRpDUfS8BOng+3n +PcScaR8jE6aioRPpM9YECMyEAaC8h+o/N0/5vJ7+odc0BkybRTkcxxzx0oOiK6QZ +LZA+C6RiEAztbkefMNMnAa30t7AI0LMUXllCOt6WTJua9ik9VVQvaA0NGXaFfRPY +IDLOOPIXIINGrW6vlTFyyBRQ+dKgtzH9HFIMpJpAQQY85E2Nf6Cynj9mWRf+S8El +IMj4+JHpwbWENhioC0m13WF/xPwpAU+7eFugJ6DMdQyU8MOmlj47HFeV6id/MD3s +earfbUJmz3h7AgqpUcaT8sBUU4mk0X0OwhqsUXTeho47XCWikUVU+CBBo/NMBATM +lpTAGHMk2hk3bBko4OWJarfb8yidAl8cKI5axp9timK3evWRQJQshT77Q1qtxMRw +0hWhF75H10avGkcdxVZ+yfSxlowwriaBLtAiUwvBAtPAqPeNF6eyvalzyX0xBJdr +MNMkfKuPvm9cx41Xyz7dfPePfFE1l81iogR10I47+eRbb4/5YTVeIZJ0rKFBYoqX +KLkv3DaSPoDbOGMvQVuLwlrdsBFwDUob5O/NFQqQxBC0yOe1ad3XOT8oQ17pgAkz +ho3F6GaIX2LoZRLkDZfazSywL9TrEoAaUnxc/kj64wPYf1VNkJbsxDv0SeaxxIYO +gDCpCqS15YRV7Thd7KakKj5m5PrOIaIefc4Xb6l7mQgj1EpaZOF434twokiSI2ju +OmRJaSj+fo7KIFVHmG+vvfZRvmMnXHi0uBYPRsobdKd5JobXrWushK9btfZkef+R +E8HCc12c/8fIVgg02oZT7fII/w5vuSHojC84E9fGuR6KOeJeG7IAMhrEjdUqVpG5 +1qLc96k7xk4hnAQ1SyqwVfmkWUmRXO7D1eIrVah9S0vw4jLUVnMmWd2Es1aksEst ++QxGp6RUi2wFaTwK3VgR2+WySybjWMtf/8Ry/d3wkQTrAtJe4713eoZbJitx/dSD +wWNAJ6tUMX2lvDrOVbMBZww6LXOS3qcYnqR7OxB5TShrhUNMaymiWJxbqNuB2wD6 +f9NlhmdEW7DNV+iLTDLD6nyRMy+pw8LDORb/7PTtjK6EZRlXcbmKVtOBdxciboam +HSg3UIvwXuvfFnv2fVrYeF4/P31cPshD3+np+JjIiFXUFlwv+V93xGQ9hVjmrau4 +YyRTitd1s+xLcAWxot3SP8CYn7dWsxCU1ODt73YPXWMdmskrcDr+osp8G/sWPXXK +K/pjvAkXQEvD9ke9LB1kuMsY5d4z46icQOtFib5QZeEq6fSF7K+8yusMSJO5IlD5 +HEZQ2lz8vAkordxw526k/+2q4Qyi0oofDQZcMYXL8hfnD7xyJAfJZF75apV3hyG/ +/ynqxJD4DRNSghF3srxOXVNHCwr5gf5vk+ZQc9bifhl5SZVIvueqTEcbnVrvhNwt +Jffx4crXCTz5YZeiOF/TNNetzJnGkiaJma3B63k7PDxVGyX3TZQk6cLNdT1yxh0p +R2MZaKHVprd00Z0pR3VOHJrXtsQoxs9NkQZGHXY8u+EEBaVjAzzBGgBhkkmqUDUW +jR5HPeUshHQpTGCbOhccyHJU3H+0p99biD1w5/nHNy/RJXKooxkNYiYSeRbJqCz1 +Tm8LuGxWdo8CHKDj7wDCS+HGcqc0dIqrPJSRiKvcg/bmXTXkVr0RZEyZtAuQPzrw +Llj+Ca+kGFYdhlWhpwp3ENIAR+52khYuOsVjFTmejMI2JEfqEDQJnd9VF/TlAjlm +SVYatOoi9nLwnMTQktG91rZ1u8CjFanW/UfAwuYZtAir/isZHfMRNtI0bOTnxU4D +lQjHMaQQoFZ/qlmxDh4yjxmugslaH8Pdz+YaQSZPFfsWL0GtjswhL1AXI6MOciZ8 +RRONe1JurWTG+hoZjlevSIi0FcQKngd7TkcQFieeOznI7/L/BB1JotJqh4drYOi8 +FncapcUZcvQAGWy10uQxehxo+b7/ScvzEBlfg6sk+PpFukWslypJa08iRMTbQf+F +AxDNoTrH44Wa8IZVfYmWGSJ0+gowKsrt5HKUvv1xQxNtUiqFj4Q1plxIIf31/QfU +KWi/Dl9XpME7cag5aYqJPNXutXcSrF9LT1XcMlOAYj9F7l6i4p2gkr3/VEgBL8IR +P91Lpy6VTImtYKTCYCkSnmSxjfV1I/U52mzvjqd6REtNwBAqEbNZ7DY6JQJ4Jnej +PmNsCAW3N+2btsvYq+rA6dPLc+tW/wYD3yl3CF1YGmiFoHbiIKj3ItLLxRY3+9Ui +62+pctBbxVrClphKP6+BqMLMw0RMr1wq9C3JquICI917fv8i+5MuIvBuNDOqsrwF +TM/MVFD9sdifei50AmZcbn5wWZT2ffJPBW+Ti3EUjuLoZnGoOKReJLnicUnGwVc0 +SblWw6wNAVF56SznfTABFNqQUvMDo9N6I1+jlFkSsnQHvIpfthed4Oj7DvAYF969 +tJUbum1l7RDIAfIoWr+n3fyWR5EKTQM6bdwdjnfGhlZueKslkNNYX/ew3eKeQxVJ +1n1yjVbh9tCN+bWY++KNVd1UBTUiH0sU2c8QWaRkvkuIU0ABEzx5y027nCKvcgoz +kGSS7FhRlRbz6pMJzQCZWb+sVnTxBilXKxo+iqEh353/mbaIP5q2URi+bSQQZlnL +RNL9ya+tMr3C0yYBEugsSSWvykdNqTqIbts+ZzuA/0feBa1Sovwc6UijJKUhZi81 +2IO6KLcFqgCtxIuuSU6AN1rM3jS4z/x3rR5388e3iUk698d7SGFxfD+CYD5ymvNT +LhksYq0i63K0t6H42vKcCP48f7UGvy6HYkEmZKymUHM7cfSucW8SrUoE0RwNj5jo +vXQlLL15Dq1gZACDTWyRdAvK20HVg6V1BRAfGux8X9gyIsO9ApKqz1coFeKjT/JS +Uvl8ToU1WixniFYcwQqlLyFaaH+LHY8bAWeYHdBbfpAPCQGAhm+6pTjcFp0+7J4a +/B89hQnI1s9ldoddM4TzrLT9dqQ1rB9j/Cir0TtHT88UB5jPlcmqhJjox+/M2PTh +uQq66VrJI/FHu1Fw27QDRmxGknj0G1p/HRiKGoUiCQalMwlprgRNSDND9Z5bIu7H +TXn1wQr+PKY29xBhSNEALtAHxz09ZTxkaQiiMghY+bpCgQ/1OT+a9ypg8mwvMIAf +LAnEmPbAIX6ah7iQhHxs7v6xcYE/+E2KrVZrwO5CtptiXi22C6BzEDsdN1ENRTVp +riqiJvy28LM/5g4Hl+tRmedTIRoUKNO5NYg4T5qOSUy0OJfrYEw/kCdAXyohZGTH +KhcnGbZur44Tx+SmemEx/YOpqxIi5SnK/3N7GjKKGc81PeFtRnL6dcIFWWz9D4oz +uSTKKTiHg5cVwmpryaOm65h+eq0SSltCjlXcHQ5+AJlAjfr1sDyy23KAzd0WjcUa +2D/iH5UYyMERtcNZ+M2cAV5MPgEYpLWQOM2jUfqTcWAlZ1jFPydMa2gEtxyPTAZF +CwNQRizXIaAQp7JfXlpuU9gwZuKK0F0e39MPk5J/jY9xMdym1g4LZDaQHqnm/kXV +PFgDAlEAM8cv1bQmqWjSXYmZzLv7V8CBmduNHU+F03m9cKQdijDGGJpzFwRbHwqm +sSOIHM0AFU/HueTnDknN3fPap0YkuQ6bWpuG67kTHlI1UGip0henPxotUGf4kSgQ +tWTXIzt1b1/2tTTHn+J3e68bj+gASM1/qWdHqTZPHs6TCJzNaVH5gGWmi0QReItQ +EPVotLyUKE/o0nF+Wft+DCnGnA5awl6esFkGgAG++0NTqj+vP53lpN8wBkOYRVs8 +Mj3jC7CVMAtjEA+IzTHQy69EBCYtrlz9BKHp0T90Rch6CcH4KWnKmAmCPPgk9mSa +8WduNQvs+2EISNdCRKsW5lV7298I8HwZ9plUjx8jWzQZUs11SVzZyKSDV5lDh7lk +WU6+fSeiSt/yd9GXE/RpT1Lfv1RGakTB+FqcDUOvlKVxtd9esLPkb2b+6hl++9hN +ekQSe3RuQW/HEm3/rLRXfc6fErBq6g7lJrQnqINf24RKYAYm5WvlUbPy55r7Ju7t +GkRdH4E47/2nq730aYFSOymNeqXAUhTyYoVddaY5jFuKvicRwQVbdsYlrBWo3v7f +Uzxo7uwKVpH30eOIcvtDIWd3V2OHTeDs8aiiTmI2G97R4wT/G1LvYWJR2pFjcWEa +PdTlKns8TDr2E3/Wzl+wrjbkDkUh5c8sQHdPE0t57Cs5s7MKFYl8I5B+OznCmLeU +bAcOfOBbMEVADf7LuiOLH7iMQUHnjiWmADFgqVXfyeRiu3Dvk54SRQoLYMwsRukS +CTXtwNQMLWjurpfRgJQEEdq4mfMv+38+d12At/3QjNux5GWS6fdQRDDf2qmMQC8i +8eDV2cn/cUTsJJAagYCSl0amvM+rUq6GpYfNGpJkJcyLzaGxsD6PdhzCgI3+/PEz +Obh3J8Z08EVDNWoCmAJblMOVaPsugaZ3kvIu0FY3RjyRtcX0GpCx3Hwl8lWuIMJs +ZF0oO7OSbY246UDVehGhpQAuE7he92NC1wrIcC6Xmsjk5wd1THcL3dnHEFqT2d4P +WAg4o62HmkSFy8iFjfPQjJpvFHyed8mJ9FcdQ0FIlwFSetc8+l9Don4grxa2h9FV +FloOpeITQ15BfvqXMMbVbYCF7gM8tJx84XzwMvDTKuMGXtUfUT0JbG3zvrPni1dw +QbpDwkwbi6GpkqNyZfECQD1ljVDDHQpg7MwVqkns3YXjw5z39lxrS6U/oTTcflJ2 +nSq68TDoruFTItbGX6II+dvJYb5KQ5FfrR0Jqr3bL+PHpeT1VzHWVDGkpqIIbjcC +DIduS94LLoznuoqVlBCSQBb7Xg76RvOPA9+cS4vIc78U/5HwP1Bi9PSyCK64roG+ +YHP2dwxpwWH1eAYm3O29yfwsbIyGFaKjXiSgerIBO1Uju1aLepleIFaFLTyFUqj5 +rT3nS0M4jdxGefapv0+qaefJFb2yf5tBU1AGvICxDyiqWnCwKPCZwCvSZiwXhIyu +IcMfUPNJyxI20zjBOSBovp/860htl6Bazk/6FzawXrsRfuwuXWRYosDlP84YA8U0 +y5TICLO9cbq2UN16slIRCQz/1aBlKE9YO4MCIoJNx0ZHDRBe+Xne/hphsa+zon+K +I7z1R8ffjjFAuG48zwUO/1cuzxyPWCOXKWpOWS9jzWQh+2uYq2zaDEXRwEZEhr40 +zU/Jc3g+B01sBVXJKUmI0ierBNZ8BneSSDEv9DXLDlBLwIE5n07lcyKZr8V1vX6E +0Z2GNZgObku6BvS+ijM2+neA/DMZsis/zkF/xlqJqoAsrE/LQsHBiOQrOnWc8nSz +xzTBQKh8CyBqvrQWFR1OyMMvW+65tUUAPL/X0ZQ9B9TS7f/rw7vv5RtFPmvswzcL +VxXm9mgoof6p+QEW2ckulgaL602R9Z3oRmV8m+xPdBLf2SvMGLxdX5lmfcC9bzWc +spv0qbI7PU5K+3IHaC8IzGZsrLSmuaetUi8+OCz8QHhJepGXQi7ALuorE5vu0yUM +TU8N6thDSPTqLqaived0/QcmW2chn59IisT202Z7Gg0qlpGBo56aNM5MlA/JWjj+ +6ZPpH9Jum8r+7rkuzf2SXqfl1dAvGzr4FyIUMApxiM75Pc9LTANnEKwgftKtYrjO +ctCWDzTHxoZbm7xlOB/MikWf/U6YfQtjPSOM84zBq4+gOwS5K8wL+JKWnysSx7pT +mYv2SNGNPbYSwC1wwSXbq9b+Yat6/wCZkRegfiMQQoQMJBMSm+9bgUUEbLXqoZ3q +RROvpBeHnv1PNFxLY027YAYaq+0PEqIY/UYsSA+YBwvhxxIvQsoUB3JlQ0/p6UjH +FZCMMCdUpk43P8I0BcWHSPjjH0W3oXXWFBqF1otgc+8NCWnUVlQN/qzk2snmGVY9 +qKiqskWp0z20VCdo6xC6v+wjk3csivU2cmmIo+pxNK5YZf1B9sofbK+CMShcn5vc +LLhJkaNBynSIGegoBoYPzfZa8ukucVebJuvRGlmUgiCkA48Krmt7iSgy5wo1hn61 +WswQUSUU5nZvAQ5KgHSlK/MrTcAjdA0JSW0/S7PkaZjvtWucfFx2LCS/2oBjg1ab +S6Pa+StnehFq3jSzg/x2sxfuW57XJ2mpXEf8r8c+gMVFDSo2uxtVC8vQJ6fyfTlx +pVmMzqv/AbF+dDEBAEktCPWFjlYWix4O4HE2uy1p0Ubwf57PUpTBg9YUf4LC6pNW +eUOTkCYY7Jpevz0hHYH6XiQIK+TCQCDNpkcvP0bOnmZv+3cXIQZT+z5YSgqRHSC8 +ug8ew6TnuxQN2i2PMDrwUk+sDefK6FIX0joxbkBymSvpzlb5R6BHPEhytHyX38TU +gKBasGFnt3J9znLPJQlZ8pjBQb8ETn54ry91bUn8wj6rD9vt00qTP4dndXmzpJYZ +5siHcN1lZGyaiF3kBNGBBawmYJvOnBlnZhFwR7oLv+Wm+3MJtET7DcKBF226RZDY +TNlfpkltKuuVHmTWP0gVCDKUs0UDLEPrCrH0FVKK2dKVxHg7WrB7TvRTYMMDdyRm +MTj1xw61u4sRBeOfGnxEvM7VILpn/b5ML+iTcKL0vLlWs7Ng2X9lg84jLD/BPMob +iEwuvVIkvkkDF5oCiDzXe4WzasuEU7Wrc2YB9kLFAeHqrucWTG3JjgKa6LX3GK08 +yvbKAX2qeYfnTkea2NaTsTpBIS7fOroRWjsjhGQPMT5P32DXWDq67VQjmuAW90Qy +6mslAE0TwkiO8SFOy7zfipaH4rImPePwiaUR1ruuZnglbmQnB+WINBi4DmoBzoQ3 +HMQ5xvpPOuhS8H4iRJAUD7LgvOVtG6haycbwnDQkMBz11aDpHTY6BPuknN+bBd29 +CcEuzImqyB59QF7W420+q75bL6DPwu7mmOV8CO9y4hsT37j+MSjTo05h7aEWqJpv +wzmQdJFPTM/F6s0AjbStLHiRs/TKmjOZxQM7VK6j5xLj9Ee5Kz7zh+5aoOf+nIRP +xsoIk9rAyakLlEWU5wHqk05TdJ2ITqrh03VcTh1yG3wwgEuFaWXna8ejG5AsRzMV +VOJv7Zk/8jEtokbpwCPcv8GQ+a05AuGambGOaFfeL404B1aUlPrV+VW1HtzjQTLY +CpGObtcqxdDTWWWt1ecnjRse8Qv99HPX26uVR6BMu6hAXpmlFZdHvmyjmiJMePyC +7+XwJUN5iKy5xiExHQZ4F2zrB3GH2wn/HCmj5+C281+8DOQASKtHBr/mWz6gqt1V +m6NsQKJafkoeTZkPNVAPxnkAu8RKhsRTU75y5ojLtyhk3W8/UQrbaCYSqiKWKxS/ +sOrkrf/b4ZjRfyOrdCgIjV9k/+aF+lUETVdXKm5Gfv8V4qQqCrVZ1Dp1AlaNhUNn +DxpinBCahhVgSnUIWqSc+ODwR+PKJYoNKyWdw4vMtxTg2AttT2yPX/ty2Gqd165w +u3285gssev4HLo5mShKnbOQK8cw7iiq2Q1QO1dKmukam7IH66lnkBl/hbkTKtg7N +WAU7MD4QnxmSxLEBzFgH80HiFfCVWESh5fPPUA5cQ4shJeOHwsg2cz7MH1QU6GTy +0dR7Xw1s+aDLzJ/h38PmT3eqPVAxTKF09IZA+3FYuYbxI5Du6V7Gg0Yn8ry6gN9L +bEsJhAr+FM8DzrNNRysHMcibNdeEVktWUqHwFBtOAp+xXLYVzCFifNAfUTDMDHg7 +lbs22c1Su4eK7ahZuNbTDN9Xf+ozdOUkYiz2zVwhDmMx+d8SCEdxxNAGp5wT2HBA +AYO0TrnVm3mQ+ypPAq2J0nkKYcrLZkMHUbdMSsHAAQR4YLqpp4nfnok/CcQ/gcgK +iM3gDdiHtTt0RPIOTUi+35KWUxSKq9vfGbvrXO7NMkBxnh6GsnYXUCKdkQLqo/w+ +V1HUSaK+wBsySUGLwDLq88KoV/hZnjdeH4jEIjP3GE9QNt4aGmnPpSg4F7BjO/46 +13xfu5kC6WgjfVyoAZPMTdVR7pMzXTnyF20BlHz1zBFTTJwVe+7ZnNdo0MyvmE1N +Cf1ftlW8/tHAANpJ5yiszRRHLw5Dw2i8u9RvRBrG5ueRigFL85kUdfvDQceLRdTZ +iCe+yiK+pPr1dMNGTVnXjRtQb/YrEJ1Q8UFsdjYz52ugnLybdl0l5z9GnR2odIRZ +R5G6Jtsg/2udCcvp3ZlfwaBumUGfwHlUGOSSVOZwaku/QqJRVchHSV8uUEgsNbbW +99RagRh8GLXUC7wmlQ1Q8pD//0L1251F7RhuOxivFs8mBZih2NWRqhFIpmcYNdrS +S1/HU5r0izRvjYa2S4G5xWJ6sGe4MFRUIPuex6Hgc1XcMZnKuR95vx5/SeWdMN67 +NyGhERwVVAw1oOg7nMvixcZtIXqCAwJcORqx5DBNHM8/0diiwbjATGl9jFlVFOeO +kg8KH+k8Ksnt9A5l4LNqFIcKWCjBkmOP/icjxyO/bY+IIUVR9quvJvaJ3XHLnYry +zEA6XvUumwecHHjDm6sZ40AFo8KEa6hqthig5rxvusECgBB6Or22yOggy25VqoG8 +50CTPuz07tSUSNRsnKdLFgpBCTbm3PLco+pWRALcl0i0TZguKD/JhU7Rp4CVsKop +cEUE/Ht7kHBv9ShZBbOGOD0QhAk6RgzQVGPmlV21HPJOWjZaviISt72jH5ynD7xp +ZNd1DylpBNxx2Dkb+CXzMFiRKUbnJ08G4QqWgU83sEEZW2nT7wqJ/JJ7wXN6cy53 +iI95j0mKhlfY4oLSLL37zLXHBNth6meare5amIH4IEB8JzesWwCa9WtdqlmZoz23 +GxThFioBPuNrDvsvmnVfkcP3ODr+5vLr0e/2WMRNnN9jVMcIxOXjk4TLx2bXIpfd +5ulv6IUe2guTaRbdtrJ3GV1ayw1B7vC5jICoIxUGOiBm6ryHTXB8PAGiBBUlFFSZ +5yVyl3r65tkn/wxrdoEw6J3OGfJogzCvJl1sqIsLbH+nnjPLFM40sGjkPz3eCs7k +dy32b2fvoJCOS1ebBKky8xKNUI2iNq9YUufVvJznankJeOl/UuCYoVt1Di+tKqvp +hH0DunrKe2UHaq/MFMPdkRfKhJfxfpH+0bnCxhJ5h2evFLQUG1amcP+dF3sq4nMB +ICktjGP2sz13vETxW6HSBctx6HddtgkTXTE4bDGYExRzoHt/gxwWIVrwfkBPcXmw +vUMicuNmdfwxKpIfqEFWxbfApQED694NzMVO+z1QB6EbMAoGEWTmw9yPpcJvzmps +wlHrh8wGu+1NX+WTFmlynSj/AXI0yvWK6lqtQshroVC+fXWyZRFUqZLv7z/luYLx +JxmK3Jcn9eSYmfyFJkhUdXrf0xQA40bFFd8wtiyl7/6N4GJfAyRMfQ5n27Mjm+V+ +pQxUyAvfitz+6A3wcLv1v7MTEvIB0Nh/MNG6pd6y9QoOYaAev9R34pwzIdyhoHPe +18t0HpogHKB20/JVlc8wbWxxezuxWM0kYJWw3qlJxlal1VaMp9VDzA22mrKXz+lo +L3iD3uOY+0ZfQlg7krj69p7ibkPlwy2+viyjw21iNaAgycU83IoTrU8bEF57VcxV +oG/wr+knpqcJXdaoN7k6uV86RqGWa+2s9zKOgtHy6TsNtNM7Yuezkh+Car9UzoGF +HWXTx4hwSTEEUr/wP/+DSqoEo/pZGAVMc6Ww0oeNMH/IzJo+YHzF6/K/WNAgvCxJ +qPrQ/1ujgH0H9lfCv+AeY7efcH75OQC3gu7aETyzWWYC1TFjypyCBU9IgLBWm+Vd +GCtk+i5N9Z2VjydGXOy+jcpfjU5e3xbRLxiAzCd/UMiMuo/X95xnHunlBivwklB5 +jYMBpGyHUyt6ql5bMxwElKO2fRITa+kytCRMsNG6Neg3lI/ii+FOCxWGs54QJ2q6 +F/Tgk5NVnxbVeJJO+CGwcsz1GhlmESZh76U+6g7+5kJ/CCZl8ClE3yj0EwJwWwFb +QCZOaN03OdvMKasbm5oK5rmbHJYdBv7FRiSaEqajS9XJQg7f1tKUD5XHhRYoKxrt +p6+xkTGTOuxO6/BRcp13KSHhnuw+9ml1PInp0Ndqme3xmGntZNcU5bxB1Ox5JEqn +BiCp2dSvp1tC5SRkOPQBdI3gWCFP5fr5b/MzNbeh70z25nYtWV0S7X8dZO1kJoLv +hOQl5Y066MLJQJULZh8PA2lm2L7FoOQ+skq2O7AC8VQjnemisfYk7MLDU56MAnIj +qVN4ArH2h/UUx/A7vQzpHbywDQ+z1P+xkPlGawack6I65XEYDtOcAHfZfhA3oPCq +qfI8N+NLdKXAOuxOeL4e7VZFBG2CbFkfTxQUgkJyhK3b83LFh/p3fToVhWgW0oNw +g4LXbgC38sy7j/Ge049f729xOzuj0jg2KuueYLmCgK6DN0k3hXLVDSxRvxwT8AKg +SpmyJwcJ/eBoBY2wf48ZQKbARxVm5B/Zyt51DdBOwTlhs7eoLsZuEHcmKOFis7tu +bq8+br4a1yTO2uhTuOVEwpw4S82PrilfNX3MK1AkndLLJeE1lFAcpFRJo+NZDSLv +L43erE9/W2SAQPBkP8deop+yzQp6t1/gulGDnFzuq/Fso6cDNjIf7w7os3qBiwyG +dgWbX1DULY9I0QqPfxMFxYrNq4lf4qic1LqhWCdDMkoOnxKyVBARLV7GH1qLRJVZ +MgSc2mIkPb4cttH0k1SgZ7bHFmbXdxZPrdcxkQPlML0rGDH9k7K2mYUtcTsLLHe9 +uVvYPsA/6AhwJsFIbOxpz4bho7pvWAcEnzcbjRfHfV6D/u/NMb5asprD91Jj4sm6 ++cHYJFOSUnHrd2WyNE3vzxqAXuKluF7eHcup7nmRuY1xQ1FkF4LxtrfjdxIkQHbk +Aa3XcpSRdEPUCAiSvUfSf6Y4kEg5NlmMi6NnKqpyr/m7rOeZ8EOXOHAekF6qZHGP +Xid2rw0zyQYha8P1AEW57DjfbPEPM5jSYu+0WYiCHD749/vid4LoAhmR3YypbvLk +bKqhI7szodjQSRCOXDuoA6L3Fh3WGE1w2J+iqbZ+oS+74Q9089aSRVykbmN5fs8l +nNkLjQ6CohEJcRQKY3nTM3JQngH6f+tewGlb89qBB5hjdyw9wBXQtv0lodlc0qG/ +/nfY8Bc9hFgaRKVEgmqB0cHTXkj6cYVstdjHekAbWshpdlvtypu7MgmADyD+GZLc ++9ADTVgyR6fWtSsVVFZUBkiU+huomaI+DhLKTl6eH1zrDhcGhhQ+eX1PDq+Y4qCE +w+WUEFFMQe69i6eFzHkiedZh+GEsni54Ibv3FPhhIqyjMRLihnVep/ovZwz5YQeH +/XTe12WQH5hrY7jRnZGMUql7n5mk94LxNc4gplWHJfboNE/gggNl0/k1HA154Amy +tDjSqcVwuauNCIxqxH/46jhUO817IJY2ARrdLdJj0VAvCv2MbUCc2TPvbQS11fMN +kATRhUm1shIDlGr12IZoJdPeyvnhHW3m3Ve5avaF0p2y9RLGKuBj7gocdKOrH1xl +cnB8Nk10nv8APW9dzFHlfnTKZeJ/wCCga+Kg8dba9gCN4maTHv9KpzOG/nUumZJ4 +asfQq4HfqkgU3ReCn6C7b0a0Jd73ivHjFQseDgG5TGg9oeY3KstNyX65kTIBDndA +H+QGPnqBbo2dBFmaj588IrbvjqPRRsyuyitN6QBqWrcwaeHUjffgduLsDIVIz1S1 +POMvFhIS18vKEhgNIR5DP6P34tdWeLIEYJbOj3EGgnoABAyuMMV8dwfeL6UuL168 +dS4Lty5LBbx1EXLdGjPPTssUmHb4fAxHRsQWsPlR9TkoGxbVmyrnOrPTUF5v/hlM +iuk5dtFfG+x6JszSuyiYlyVwufOH3pB29osl9aT2KJidKaTNV9dARRvDuUBplfCT +FCRKLmVaRuG+RWo+Xt9PX9KMbapGJLuI25RVs0V3GvSG4mpDmheojS6tki6FKcI0 +oO7L2sigcQXoZzw8K5caYIp3DV0v9zPLwi2UsSgvbTbGoSkym/kTdbxtBteiKtJC +Q/9x6qCC9kwQmTP+CzukRZnLYZIjEjNsHmy4q5un042sdzCZgeGBOvxuQ2Mogl+q ++7QiREMJVrO/tzxaIrRoyLH2WoHuE1PDACi6/N700Ah/LNB2goAsHGHF9UVcjXvy +VROlqtPxxb++NEmAeggnO5Dnli10/5SR/yEG8Xg3rWxueeH3jjBpHek8M6tChKZ7 +diC2u7Bnu92a5uaI3sG/Y/WDrxG6DC02HXuVTjYadf1jsIVy7Y27CgUjl2RzkXt+ ++zpG/byBSMMKjyjzO9QZ8C8U8cTQwUynr0QA/s3ilsrA7jEKJ+Y+raK1URJ4BaTn +4wdx9txnx6h+l/1kwt8ophZ7xVgamBNlZADumn/XX5kl3z51amfnVEk2DRKhLa0A +6Tz8XvZ8MZHzZiLKRlGQo2Es11JknSjk8IFTO/7TGfIp/1jDtFAyT57OZrEcmUpp +6AOjQ9BFUB8Ib9quWCjPDsx0uDEGZ2IEnFZjqMuS7GWvOa79mLH9+9eJZ/OZdvsP +N0Ytngb6ARmh5D5vZr8kvQI+ffgazKizuxdTkcJIOkZwKDV3/6iqrUQoM92XX4tX +NJlBakbUOx2MZ162ap8UVmIFhhs0NJw7Uj3IRpO2wLuTWyzlklDuZQOiQovjpVJD +QhUk5cx6BlyY9NSbaQQDYmHqctTaMok5ve7BS255tSrvdRy+Q99KYRg+vYNo9Yqo +asdrFd1aRDylAtpXgTnp7xR2XCH5TmS0hDyZRVSWqMwSuPYgmUlROFYo8kM9BdyU +Uu4I6dNFID8HQ4yF7stZvSEnf3ReVr65sCuUXAwkJ2Kdsth0cpYcN/XezHhmDSJz +XkY3l5qwZnOslJNNrYUC4b3IPXUrD+MU/v1UiL5g/IMo3LibNYqEF4G6dYRIVoRa +nu+ZYedPdWVgJA03XeAMwz/NoA4Oga1Sd8L0imVlT5DvASw2mF80SmYV99EyBdYy +7P3oI0y4uiAsLxozyYFOvk4RqOJh9czDCKJy1W1+MFRBrx5f2DhT556JKvBg5lx+ +6Hyc39PsDTXPkRr51Gl5davj0uW1JsJHNGWl+9JPDJDLtIX8oZTEHC3YfpyfsJ0h +UGn6ZpeSOseggozRbgxaWpR+KmmqsBq5ffGTHUc/hePNprZXXfiDV0ZVnGnDpXpE +7Hh/9qyqOYm3WS0TtbZtroUN3Ny1RbRy82RQWXxf3AanRXMBQDjXxhV6x3/1SRTe +oJ1pSYejJ0VoeoYWTGe544/GC7JuXDNgxrx/e1ggJd53MBewsXHZEIKUg6EbTK67 +fSEq7bs/M8/LkcQd20eiCVQv+LE2QFwSiqL2jteK8mI+PKePfoE6LSm1r+BOQgAd +G/PsBsZz8i11C/OMIXked6dStlvQEEkx5Wt+NRtjB2ARsd4bO6+lnpaadsuK3DM+ +WhxbxJD0bgDAmghIWcA1lw6IW0LfxHSjfyzt783v3ipy26/r4lP3Iw6vdFD+vgOo +643LeXBgWwn3f6ilOJCVfawkF4Rtmseuqk49kAAodrx7whOCEgE+EJ0bHk7pTmez +KsH3q6eOjwmmaKsDHJ2+LFvIWYF1e7LABn9q/QzOcUjY+f8prtXt6C7zGrHATeDp +El3c05+Rntu1VSo0NjHMGGW3Gc672wYJujwtBCniM+WQA1a5jaiLFaGo1i4FMol8 +yqcY5N8RtIBtsVL5U/SoWHEC1Cwq/tvxufypYtZ7Q2BwYXJC1iJreo7f/BD4K2wA +9yKKjrLMg4JCjmgcZ96LZjrPEPQXqMiDys2LK5WDmYQXH6L632QTkBzge9ILpe3I +AoLk0oKzh6PZatL/YP98mPajmRbkeIFMtPM7oWHFNTd/UeboMpeyGwR/0Q0WnYES +9GhRAIQC0XRlSNK5J14byiwhrwQDRi0BPW5qgGB9SF7PJdJZ2iUucPuNem3WpyCz +LGsTyaOyx9ho1z3psRw+rnK6Ym420ZTJdgVi5lNX4FYtzpCkGTDOZeBrVC778r2A +FHAYZLiLSIkTyLaxjuiKSj+8vil6Vvye4+xaM8o4oK7PhuPgOVNPMNGwe3i0ajU2 +sI7ch6d0jab8KRZhBzYolNTY7kTk1ZvDTo1mApQCffu6KWOuBB56BKGKTLaY5L85 +GWFxJ3BJvrdbc5dOVP+bzpUmtcBaSQCPt9iGw4cVmAmgHcoXbHwjbqg8FPrCT1nw +MRdatu0Km2cbAIMEiQQndD1FvQtCR5TMQhBoJwb3D/yIulHz3J83LJajVUHms/oN +FTKwM/BDu3VDlcywCLh/SNX/HzQ/bobtdFkDtSAIzE7zXc3C0dyrkfaFhNwVr4kk +hbdNQQO4DaRc6IZe+XFoAEV46DeugaGnV6/Ay/LZ6rD344ruwHxKAIt2eS+qE207 +3KPKeTzmPNBAFjRHYf0PgoeD+NpoVs6mKOxC0w3e91IpKaz8kZns3oyakqV4/F62 +0n+f5xoXDz3UM4g02zEPJjYvBcUEB3zLeDmaZ8jvx1i5Gx+i0cDcuWpyWVjeJ6OG +KGhjlGCew7T5uaieSt5kJhIDe306NLyWxLVBSdqyCZOBKoC1lUxagpLKM6juV7Zs +OHOCFhMDMqSeJk7St24xXGfkft0ZAh9MQ8mbmVJr6XxjqhZyq21fWwasYrPEAbTl +b0rPyV6VWE7ZepoEs/Uj3Cnyb95mF883Ruh2Ubrf9vwNeP0xuxqHa9O6uQ0rTClX +eUrkKS8jiFUSpLr1uRip8JMbG5t4jo7N2BAdFR2A7rcWo8cakfeatf61ctDW+juD +Ui5oXae1WcGy730Iy4sFJUVaw7Y0KPjykpOQnreMYaNR3dBFzBJ5D6mUkCNELocY +8RoEFO9UYws83S5Nut8p+XVfn+SV/mv01ByX7WNjYggnK01I+gigw9q2ypjRUg4K +TSC4f/mkDVX8HZyhd3cLaKva9JHQTPot1HshhDogWbnyBSEfnPJRwul2t64f0hJT +2haX9yJG1py4tdO2SpoJpoo0wECKgqas0KCLkitSCJfespeAn2wolp42ndOh2w67 +VcJmCEZFRM0Hq5KXF+ctk0AmFZ8UdEocxisYdbLdQWHABZa5RbDTTH7UzJHjWX6G +fi9+ueSY9FAR84ntAOX745hOBx5hwNC56HuVUNp6uV5tWgBcMofBB+cvYKGZ3euC +6tF/I+chUXXukvOzdAYsoUT/AzOT7EkPn3uGUDMR6o/MmqrEhLTmSnJyTaj5NA2J +TqLt2VzBSM+7eC2oos/MX3uZT6YfGIf5n1grvyx+bcXJyK/F/K/LHjSkVlajBXKH +bNq7HjbrOPzNcB5GvPr/17Vbmt8aC3mzDXADKMhdJJuD1AwPNztAnq+VLKV/EQw4 +1CogFqkzFb5MwOu1MEVyMNAIBs3H887nY6LbqrICNUHVNGzOcS/yUB+W6QB0EBZ3 +NMUB/ypSTV+yvMXYZeXc5mMb0hr0qu7SXGRveLfW9MiJZKmsLvLr1cUmg/PVI8os +CrEo1rKqYPOddsC+ktv6zLoTHVrf9l+c82GteguMdG9ylxrO8Z+dR15PO7chPEbu +bMwJfLu2TavcNYgmN/maQLqC2/vcft/e/EHbrFTEl2cM0ETEUXrV3AEVVPDqU0Ud +5GdZIXYzkT4kfR9de6dzr9XyHFNCghir0SzZbMG8idlwc6bTRLn5wM2yeBSqMDwO +orH4mw4A3N+b+3DDiriDh+5FXcY8opwYls4K0h9ZZKn6UYYUOutHY5aZLv0KkZb5 +rjsKKC3q0UFYwVfJ8eHY60H1Gq2MAiO0EtmQ5pMkvIY9o+P8f+YEmgIhHO2OVFIN +rwitz0SFh7uhrAldTj9DLyRKBgkwt1fuuM2EyTwQAuRHqDwnNYqHQj67cqnLPGbv +JMobjErLtJzLnCgQFSch9gIdkN/23/vy+0W6ZM9axVqDuhKThvjEiQVcOoMLtt+9 +KCJDD3anV45e/GGgFcgTbPg5mOwvYH7X+pKP0I9KBY+HhxOtn6QnSc4dgf8Clxkj +a0gPXj2Enc8+0o+5uVa4l4AG8L9E17lVw6mUONpT94HB0Ax57Cxxhse4u2zoU282 +fM0kALDQCtE9j/jrVSUaCFNJcoE909aC02/7vSimJoLh+4O6dd8uGVm3rRm9aeAj +4eH8I61kGsTirVp9q9+XOBqYg3il2jdR09jAGSI37BaXn9DAmM1UTP5iNwO+jT2L +Qzvti3DJcmSRep9ex4dZetlCwAlVacuETheav2yQfsi6jIO481+eF8UHNQ150Rin +0SZWLsD8fpY76RlO5ALYOjDSctfIlf//fbchDJdpod4Dm1ZdXU7XU+FfrBPxxZbE +0gNY4jLJ6OfW9cAVapzIgI+M5JBnYJaXZPJuKv0EXOEQP5kTODnJjwsoaBXnScj0 +BL8Bj+ZpcgOzp7PT1VxA7fpGO11L1SQe1GiyHzH6RRcBSRSTXv4Rza9yuUi0JYMB +4E2Hr3zhX8DAU4mQC4GGbRLYAblKqIj65piLL6JIYUwC7KA6euQzBX455dzc6wcM +KGjt8VPfdA/I2sBoHwaUSYey8AyMI40HhLrBEWp3Ps9vVXRw/UD3H3vGPzdCQTLq +ZPqSh0JNd+4vjz2POqxpM436N4O7efVJ7agBiRqI84HnxubL7Ebdxqtejtwan3MX +aZptcF7HEBgTFmUZrkZTOtP7La02TFV/KdJEgPD2aBNSNaYdswq9XMGFr5uG8UBQ +kXYiFKs2wa0b5XiPB5YPm4mjAKZsGEAcex5MmHbRffDj16KvtMlKJSq3Xx1tIYGU +BrEVambJ7ZywTU73365AuWfU32nENbU8qgImqGKc/8nNfZa5/XKNrwq7XRGP/Bj6 +0q3CQ57fb4R2wGDETHjNhgBlgros55lw7EzykxxEaXN44edJMzDMdOBQSqviu6HZ +XxC1zwwpGyRe9pwNjbQ/5m7TfiarMNm1YtbmXgglRLeXUCyaQ59ZY3Cm8OVk0EtH +BZobEEVAz//WW/QDvMDKYKzx0vcyS1XolxZxpAi4Jy4/F9ZYQQxc6eJpiFO9W/fL +iy6V2GLUyyGsuQe/mov1OwYggRYufCToH49DeVbrxHOmTDfBAqLaLvnkCrVekZek +BqH8COO/zD6EDUNgVzejq0Ou29D8q8sPWMX+AmuqMbIdIva9krDUpI1DkYPyZk89 +zV/K+3w4DJofezi1Ofu1eidRWzPyf5v/0zub0FuArUCjd2f491i/W0GBoSYaDdc7 +KbRq2KzqNNcXE/D2122dnBgM+tVmOyxABFQqpmRbVdazPBcWDM2t5t18Bv7zjlpJ +il5yEuweD1CrSj1j63ko19rf0oNK4Y1VUnSfm7eIyHznKMdFjLayJ/HjiMc67rfN +pxNJigvyCHcsSL9wmz8fBDAvf7WfsqXVqx7GRw5Ygd1C8jlXbxg2G27/HWjD3i1v +NWBsBrUtibr1Z+n8X2vD/1N8zPMs949KnGBRNiHU7BY97oZHOUzAcrJ+1eb4HPrO +T5Y+vV3VeVnOUn8hjFJeryc1pN0nL5fpsuGTR7wl5hBTatKjKxuoKKCbjjiPijC2 +Xzqydu3Lxv80rxH0hDwFgTViCb2A/d27V5BD1+2hS6nmb7f5nFKytij6rhptBiIo +BE7cpSF58eTdCJ4A+aBfqSUZSOjNKCYJrwDuttS802iOm1y/9kmzM/TF2nj+ToqL +Ig0DYsZKZ/1gvRM017MICNggVivzL6Ftc35w3nDKSQWQ2fqny91iTDN8eukpwWW+ +BWa3dbj7LaW1vyO+QSv1TvGmHDOZ7hKZ2svCOVwXk77cf35z5ERfBmU+qmUjBtRD +SySwTpHFc7Wj15DHmeYAd/2/TkdUdP6v9nKwTRvhpKfxf/b5wCDXwfC5VodqZIY3 +uahGiFU1ZEfX0jgC4Bcf1/A68B3pR1Trf1/Y27BlFI6DUDRg233KOR+B2+NGeWc+ +kBljIEhuOwIon0thG/jFFU+YHLPq5tO7RJKPo64NAi7cQJ33U9IRLCl1rKL02QCV +4E599l2xpWXlRTaZf/225JcNhM+WnNldp31Q7MDidx1CfknHvrmS3vinlEOKeR7w +74HZWltb4okRThX6SWbF8nIHWpS8oZn0iB91VzDkFpP0miXGK0HSm65fGNy4+SGx +l/33SOXo2tKrsbmifTQ1xBBNWsoJANeJkCmu2xSOUDhL0aa275Y1bluQNp5QXapf ++FA5PcqmvbMGryRZQrrUtje4usO15aRPbmOzphhKZes9FkpuX96kBDA8fHaJkiyJ +B6K3jVL1VzB2bzXE576/6MJ3YLMMxL9JGsaF/rdszKOkPw8jDmIN1DIc5stzR5IT +v0/CMCNzOSuUXUKv0YI8RUdnNPbCZRxDTeTF++2D2Y33rx8C6VK8H417z2HAyYjh +PEd0GSH0psiZpAZxf5d5nhv9cOlGCg4Ja/+wWQWqiACDmbLsocDpWsumxclfARpM +w0ttHhxUQlrp9eIGtsbwMziB69I50ZQ4ldkFoLrXwAUysY5ChyHyv7LFN8v95Q1v +EoBera9fTzn0vdFBdmM6i71QstHiNU8dk8dgo6I51TN472PuF94EuRZ46zNXq6cL +OThXrzSoGmFHWL+jRGZb3JNpYswvPBOjW3oxMvcVoKkv945WtucmNrCq0jM79GnO +0TybuqGcBHFwuNxyRegCmD7Pzy4VkMuQ9e/fsSo2iKfyrF8Q6Fuy73D2P2JFIk0W +UVbuQbbI6nFJwvl137UKOM7h2qLzIfw01g+RNqI3ZGcFNZsgwoOgpPTt4BatwrhE +0SWQzp2MtHDzflMo1/Huk/VrGTdQcdo5H8FTiggR8z8qJw8C0KGhZ8hXD8/PSam3 +Ohr8Sa0swIkoq2yK+PD0iNePkY3dkLoza1Jo/3VQvEi/+dJbC82tu/qDbsMLyZJp +n0W67RQxfGfzlPLuTxjeYYSf0sy0hAFLHHw65095grIJBNh+eSy08m7vOqp0oJoQ +zbXtiusJILtij480PIvh2AJg4hYQfB6S0pk7HBPZDZcmUsxtHQrvVdou/hxjW/5V +CZFdQSpDBcgM8ON67Hirb/l+0t8jByt7coy+m0/I6qwn9XTAkiyxuifdLeIZHWwh +25eiJ2bwd8KU4wN2sX/HdDOKp0hMHN8RXxt1UurXDzP041xSBnvNXmXc8+XICxFp +Xm6Nr4vFxzVXysP4qzQhLW9oJHxazY14K1PnijrI+1Y1Ou3BGezJF+MKH1Xhtxkc +Xiw3eXVvIzLnqi4+yFQQ1KX3gTzLHiTCDkgbWKzVffm2JMIWCgImfO+/zh5E8cOw +sHeeNI2nZeEFq7tCOjAQp2sz4LJQ0EKmK6A5E1xE/C3ll5Xzc5qiCF9IbDKMMggQ +Qhs/zv3hW1w7k3nA/FAov+6U9R6/jQJPy5/q/gOFFkxWvND3cY2AdVYJj0mfHpyC +llXvB13nPHLak0ebUZ+jtDd1HD6DhgrCidiD9UQFwSnK7QrM9dwpq3b8kTMR41wi +KN2mZ9Rfmkrx+DAtOeV5DNOSITyJa3PWCCHcDBodw4/w+Ll6G1EYyhCI4ZwK3d+z +dam6lUsuXU3V6l3RIWwK9pv8dURgdCbj++X8ElSs+de/azdRF0Fm+OFBSwMtN7EP +MImF61eYHu5XnhX7DrOYLni4FmIYVUpOsQcWzDhw/KZbHKnTbWLxnh/pRJraHlrr +8jPyFJiCUSFmBNtuk5wFTFpWlzMiW7kQPH8mrLEYgRhRMEkwy/bNTpjlKytjD05q +2P8ooLRk5YRYHwB4tKa1JQxitBNpf6l47EaBcFyIvkXIuCSj9k2zS7RY9iaW/OdK +AnFu/2Cbp13P/wqyS6Oh5C4+NFFxkhlx29Yq9akdsCYJfYRPoSNUpBrij60ZYxfS +2xeqwHaFjKDcxyULuxZtJDU76EXCI+X1KswJ0n8uuG+jDRLU6v8rQx3nxRzKR3cS +YEB6afdq995Suf0+YqdOAPdvrZKdsAaCGI1S/ODVNrPZ3hhkDoAfLGfzYn5c0gUG +xpiYERTiiohkqPIS5bngMuQRQZ60hFryseMCxg4l7ZKnZbXw9nYoBvEs13GwjExJ +RCuAd+vH/fbZ8I5XIOcf4owtEDu0Hq90cfinPR+TzDM2J4SLofG1Qf8XpFArk2mZ +bko7OMTV/kdDV+sCyh1NsD/4OD+AT2VGNKr2RjLp8SpPiAmEvWPpHAozxvNfN5Pm +KCbPLQTHaRU3Je8fev3hUxf+uvIvsyyAx4WlBTtNBo1tr1tXGTNN+0p6O8kcctku +YYVLqZU3B6ISbGwmhi77x6UMS6vPf5dK4WJh6RPFAVBVDvWLZxdPVoHUutbYkHJD +/ejMPQYQDBXiU/OilDEbJlBfc8dAexbBjg0B1ZgAX1EAOa4G+qzfrYei4dzPt6bD +hVIQliZVaWf6LuHODKi8DCy36w21gLM9CqqHzCHIOb5gUv3EvUYhJiMDDzhQHtmv +TqjYIAeo5VBcRAzSlatz+VQA+x4N4N2kzGVJFUZJXG0266bNvJH0mjk9R/ffdXYl +q6Plu+nBUB0D+FZuv/63bVnFcHPLw47D772rkgWlnXzoephJZYlRRe1gNaf4sYip +Y8mdvP+K3Jst4EEtX3r+/rFDQMf43DZyRIdu2mcVGUFmaVSlwCVr0rOTbNQkQa8M +3lxGAyMHuJ013m+kh3Ka0cHoakO1RJGgaSkXKm5BTm/FShMEUTxZrJTxspJN/UwK +XwNL8HBQY7OGjwOo+56Il5ozlF4JANr7Vy52damInDHqlG6GtmkVuUv8VvQX4GJm +/NuDEQ/8cNXROO0LlKkL+6gUQGy6mESeOmTulHZh7nNl1wVAmAbUopLxcpXySBQX +vTHSyOzN2DdjlWmbmL87rdXP3k/v+6EiZvdA9ILWfs+aiUl5cB/jQuefrMydlor5 +Ca5TliSEK6yGnd+WT8/9sR08dwS/cqunRjmgWykudY2r8BakwfU6GDK44ZkFyupu +QrasqZbexLlvYOxIVdInuQSRayhpGDGtnTyqsAiyyff181l7M73rzpaPqq86OEBJ +wBgtePQjH45GRcqlRiPD9KgYA6Z2N1KcDZ0+xLyAhwFxy5Iu7ooz/EBexdGwFBa5 +/4mY5VhVMa086nAcYkHyW9sKEGHcsPSFluuKUlhml4cmuuE57m5AJ5OkB7BYf7Ho +Xk6QM/hMuqBPFS60iBWOmv3+9CAQAsgd7biNvzeq2MMSN9sH2XAkCyKi/TVTAoRk +UqnykL/wTj7UHkcZvz3Sa4rMvwWhTnovSpxdtx6at4YRj7PR0gNgp838c+zIIYLY +qv00aHfiB4kibZE3RLzDdwW+ZtB4TkOpDdt3dJF7G+RYbUt79N5y7w4HVVIUBMGl +4ctvIEoOWpg4wAhvU5YTfNwNZBC8lUmkbOoba+w/qtzprd3oZrRjaS6BaQrJR35V +Bt//CuOZ1ErG5j4kHDmY6yhnR5Ph0J2HQrjy4H4+VeQOiui6Eflo8IMwjYKuKSI0 +gvCUUNWFjw3CBD0oqsdxfQNc4fwfblm7Y832kYpPdtkIYuPkZwt+FlDwyzC3g1Zu +ZV790NTco3YH3pQOXSmS4wAri7OdDbgGzoFwaDRnZwb/kNaG69Q4xKf0LGens/8v +dKnuZavv2vOHlgLfrSsS9TgamdDsWsg97b+Wn2MOSfu6SiUXq3gUj2XvARCh3Ppk +L9zGbWv9PdmkURCnoB400zfEyogY2urIT7CQs5qpKtj+7pn/9Bi5IRINuqimpk/q +dp+nn7SoZDokzadvbkWQLumZ2y9HaZlcpKqXCyp8aNi9ateClCPWOPC5AdDX2ezx +G/wyVdg8PMKkz3xvjDN/lLcLMcl4/G1+iso/k3E7vIEb4yTP++7Je8qxwlo056xB +5sbN7h2fA3NSyG6AvE1YjlaFtv5itfLpldiKflTHRI3AEXaM2RyNPMVa8ASqoXt1 +h8K2LVgrbaaXTIHibxBfE8pEcJguxn8HFA6HIsUvYQoxIWuhtMDRML5qOaVDs1J1 +iUO4xLOv/MdeaetI8KtfVie+Vf8IqlIDM6laVUAtdF8OW5s/6OUbuqpucvh2kAFf +4JEAXUh3Er/B8/DzG3OvrwPT1bSJW/CAHRbjZVioVQzeVkOdxLrhRms2SnCekIEX +HdE0YqYn5IVTcuLG1u2wRLKKa+93JxatIOsNJLU9rWszVeitaZZv0IA8kAunKph5 +b+mm4sTeQspYrAGTJWPVrKASZJqsHsngcB2d26AwRMgFWlPqGnDAF7y3GiUyrj09 +hcSYXqKn2fgk2JOGWWCcfi+B/d+U9gY2qHGyiLjq4qIKr69TezzhhYKxR+fx0icR +op0uxozfSTLDt/JCn9nHoFNDROi4sUazzoJHRidm1v7b82cdEDpWI/GZIWfMkH+H +8uI7jsJXEwyLRHTUilRXcMddHv29TCzmUIl339Bua6/OyYuMJmckBFIhq6/ZNLYl +xQVxi2Oxyfmml5H6Ps5khCXSrr0q6oDAIW49aUpJvzcVuQM9KkRBbYh/KhH/7LRt +0D7KZ3X11V9iqnSArYaHtby0mjGjrtKdCYp+Kt5ugGSetoqmj3Sq4AhTL0DzeZB2 +jGugz/RLOW+AcQIK4/ulcplm5kXMWEhG7+SO1Ngl+72l/uIMc+cwMZSR6//W1XhR +ZdKg+9yCSR7TunXMYJ/9d+Kt1jRBaNwpoZewJXJ5FY0LaH2kmgbUY1P6a2Nv97gD +ZIM7AuI3c48rGGHMRwRz5nC6yAIUN5u7wij9KsfVYbxqvh7D1dYyV2KPP5T3k3LZ +Y86bdLNBJ/qI3GyR56UiQHdo7SqUN1rVXqiZ2Di/cy0FppUz7X+hz++2nGzcjKRq +aXv3991Qkg3VB/eh4ek1ecRXoX5uVU1JXt0k+L/9jNuUrh3C/ws75qiWMR1xIPGL +h0QPAgRyw2YewDln5s16mlVgMs/VszGNzBPgUxKnmQ6Y8ruE7lXIUv6xWPWNMIyE +Hclb5AiaTyB/lAHIADwfvcAOKf308bSOxh+XhjK4SdRuCanxsSZ9rNO+GRQrQQ5p +Gq2faZ7jzT7b179aKxMqaepjOlm19uflKwj5mhiV8lAYWqLdCPNnFtvW/sEC/OCd +P7IjX/YdqnldSadkZvlQN3Soomo7XP5BQ3X/6SeU2UykZkcyUIE8nCWfqsFwvdBf +IfscYz0LhEJp9YGCVXYD3ZW65xie+RdVpFdT5q7hTyNeiVQ/NrNs+/Zb6vSl8AA0 +178kZWi+/yHfIDsQoen9kAZmh9LnbREjsty4ZCIftbaHppd+otWvCPynO2VaZnyi +IQMm2ieke5SXqNu1/LciVaFer2JG93w+TXMn0E1/FhfSSTC8JEF4F8ON3SvPyXZ7 +nZS1gPvs8CP6WVA81hHYnSVcoq4X4qZEAiN+sIdQrZiCOyC8Upqfmkkcl2b/lB+I +mxHKdOff8mpIyn1FjL9I6ASLKA7+bo6m62RGpPImEuuI6zY1Pli1D9pgC7aEbYQa +yAOn66jDcVnZwf5rC30mlk1+puugmHppOOLQRQWh9u4rRCDWMD16Mw5TQSq/byFl +KfuygtAcxRqao6JoLtEmvDA1MfGM8q3ElLIMjrW4a9m83xZNzGY3AlQglM4Z8mFs +Plf7Xj8H7wW8i31sjSfu0VaXtlkUWaEtY7JHMo76Vqa6ccVZrLHFBBRdDn6ylGdO +WsaSgblTbU3TuDJ3VZTx6SC8BN53qSb2nRYEUW84XsvXwLks5ZQZXoJ13EGgQD9D +lUVRxjYu5tRtg6Ga1ARnNOI/aY7S8Fck/5zPhjUGk50Asdieue/50dcoQnWCLorF +ZLXLXRjpWPtM9pV3xC7WqgMEAwztattKpZ76OTA4KQqDkeGZ2E2G6wMhL8rD0hhp +NoDA5+SA/c4ci/3jKa+rnZ9tWiYqh9swy/5tNFB9QNV75baEEIqG6JX717BR5i9I +7ebT/nW79SxhpaRTwGdN1XiiRwKxfgglCvA1GOofOMcO3QoKWoi/7ifo/B/Tmf3N +VY8y3mcru39BnNFxrHHGe7YyiTQhkbZkx5SPEPvBmTKi5hgKZuzHVVtkWkh/KZ0q +hzSc5UYhHE/kCHnxWC2GXV6DHqcfyDzr70RViXV0wll//dFdZqrkH/Z7Xk+Jtg1X +DZ1OZdSEoQ41LFoJEjAtsKTYFICJYe1iPoGOjh2JIOk3Z2phAc5/3JtPb4ol1QqT +gI3wSrWpKDnLtPI34d9OO2y7xyfVZCJpAEal7rFD+R0gleoZSW10lhMvrISxOioS +KGOyGYre+A5DhW0FV94FcPueRfbXAZG+6zh308BDoqfhG+z42/WLwMD48Z2Ngvr9 +eHwxR/4YQss9AFIJIdvw6PBWSyL6bxhpZoYKIpmw2vrxl8qb08TzU5y4LqHYPx/4 +fmg5lhmqLJVwjr7JQZxnSa14FTFsBiQwZtyqIRHUBOpuKqZmj06CSKpjreNhYml1 +rhPKaUHAvwJ8AI+6tgngtHbAQjLwAGYoPqbRKIKmMUKX/H1kpdAXtw0obEDN3+4z +WsKqnH3R70owEVUAda5GF7r807kGo7EtFuvTixWk+z4B+FOLlgBq9Wc1wFy0olgy +BIzOI0miW3o5knc8TLPhZrkCUHtQEGjWWY3l1LkSIJzInnX50bLaK1+qrg56Ug1x +Wt7MbTS6nLv3y75HjaEPmL+rTxiX2Oz+iUu7239PKJ4nUjRu/YDA9k7gM93tDDmR +IzQI4yzfcTkfYcmWxNLIRgQE7GIXbG3UUlFVc3iHb1X1XK9/WBh+nZBmpb11asAg +9Cu1Zg/6kswO3GveZL+oFVYOx6jK+aqbHAQl3NUIBzhvl+zZO4kIzkF+36VkCEf8 +AD/dAnm6KHfqiDO6DMGBJns5Ym9WisEZZVKwN/uvyyOxN114A9OUTHO/BuCoLmbv +S3nlf7LAzHLyyS2L3EIiAiwB5FHGooXzhs2hir9fQ4toHEf75wLdwWrybRTFfzfu +umPzaIHRJ/0ibqM/q+ITWL40Ri0XCHjvAiLaNp7M9TMhnpMJQZ/s03+J2RtNwgaH +E16YbwdU3DyH5blA6P8eRo0vb7iNJphmgcj3CUsCzpqs/GrI5Tqdv2SM1OBTl8Q9 +1W0xaaSp3FSTYyGvHAgR33MHVOxfMwTLz3UW0RzzdrpLKwKF0mZ5Cae1DXW3sGvo +kcoQNUvF9OMvg/+xOYSuVaArQHW5mVOLq19EnTOvVbsjdnJBUP+AbSQaf2uUOEX4 +Lu0+id4WH++ODDaS4ijvV5v9qrRcEEofalQH64X/cm1apKr+W3OYGhKVSbEqkasP +lY3lFRnSOYv8qL2VGfVmwlg7ujTKGh619vEWjXpmSYYGz+b8Soef3ev6KSZhTnxv +iarGk+B6xumvw4n59s9guJnpJWXKM1h9hooxy9MmLBftQotW3DSj0Yx123J33obt +S3wrJJfVAaT8P02+jvfGtYve3QO1Qfwy4m3diu+GtTk+EoucngjjDyKT1f97SfI/ +i4aZ4EUIyOrqpgn951sMaQeBGkq57C7T5faucts7p3/oDJ/k462VQs0hoBEO4HyJ +6uRs6mVwtsXw8dbqYeJF1wSWGjexLg/5EVvLw8DXDXvY+OrmS3pprD4VoFw/2c8Y +vhK27Bk9e+oV7gWBQI0gIaaygZ7w94HHaZxQklkAABBo1fbdgwCn0P4jAPnQqz1a +8zBXhK+/toSkM4EifBR3XiE1QvFyZAygIsiEZo26JvzYNSalwD3VVvo+8dwQzC/x +1NKV/Nyu2FbF9MGMRl+z6cKW4d0QIgMwLypiAWDqGBTHFN6hW3YulrBOtZdQRWqr +q5VohqoVDdPowfbZfUX6YMUEJcjz3cA3+cwxVaWjG6wrSOkP9Wsh527p4jpkZJTd +jH5unvHsBuAbb7DYiPCI5Cph/s9OarcY0sBPPYeBx+cu4nVZRfDBbc+gYnXwaDMG +ET4rth6TddElxBY4AbgRz162RYf0Iq0ouXz/d+iX79U/pP9PiQ5mqpxNSMlXyYrh +y2fBtYoy0LW7tolBDdMZ2o9/C6mxzzLQKai9a/gakCK6+Rwd2rPvWAnDVJ8Sfjct +/3A4iSA+HChhtqRrC5RnPq7NIU2P3S1GTliYS5TZy5KHMa0tdFQTdDgX9ZpCuxBa +g9Dl6M2fjICHGrq0eAVXffLDSsN2mojvctTK8Yskf1xU8NUljQbDuW916eoCGPKy +pyzbUyTAU6r2OaXKZx8in2wgZ5fWdsrf33/RkK+/qN/8xKTD39TIx3WOA6Va7aGk +cDl98PNrZ+WxI4pqwBdmZCXzTDG4pM+bYAWMmeUqLRJ+3PfQJiJClIE+qUyt3Y3/ +eI7O+NTA863wXLmCkUXhXqLeW2e9ZLNe5Ra4t5imAtv7q1yIBNxKW4F55eW7lQOm +oBaLFyrhyTvo7vsnU35HPTr+Dap7noP6qifFqtGXQ9WUDK22/hxnP+qhWR6awMGr +TjhGR0nqZfn1Nv9uDA1oz0FbV5GlU9LVbg2PXSviBw1DS5gd/5TuXE9F0kMP5BSp +zoSuxVtADRcPQzWcDbocYIRltkfwxN0Xm96kqmnAqO6stRQZ482O1W+vwIwDgtpN +Rx7HuB46X/mlSY8D+uSa8kq0iuzPN7FamdHGiLonDYmM3Af/cD5FBItMD59SzxoS +ECHM9SNzwVz8ZrNpV3VUldsoUKJDu81LPDoTuXxrNrDKnqMDxlT16ETojrUWss2j +3pgzIoN6BqJrypdE3ujRiPyxOqNyuWiRYpEHKOzRE+OzSAw6A9+vj+EmNkV5gMeu +QSaZeh3qGzJkHqzeKgHhuf8g0S5mWtb75D4bwfhf1YARjZ2AN++5aYuh2YLOB1PZ +3TdsDAIYUAiJJdo5ioxp6FiUjK9O7c5LOk2i1TTwOMByV4rCWsvZD8ZYz5uckrbi +8YHCAuQNJGkA8nnBilFZdo3BQU0WWHsQwCiPppuyeo/CgbDyXvFxZ/R0zs+0ol4n +DTy9kdI8/aiiyiOh+eio5N2BuEYzb+2l6hVHj/nFXetJXcZEBH5kyklPtwdsNJt/ +kha+QsOlKJASP9FS2vJymKiDloxePnb2b90uLcHCASp3E59q/gAp12F2qbDFAp3U +iDtXDjCdvjUfZhSZ8i47TmDostmU+1NqlIqd/Mb/RJYo5RrlhLEDk4Kc7QGQGMXN +a07XmbL/gCCIVm2uRzcJwtCUNL7ZPwvFYhqv6Untc6biLVz8PF0RRtf2aoioDjHl ++OGWl5x30jBBd64N9KuzmETMYnk7QQD0Uba8l5DI9o/p/XGDn5y2ZO274DME+WzZ +VVVUp1sofz1CNOiZVAqIF4xZbhohmMX+tJggOv9NzNfc9Pa3WQkXn40CrebVyI5D +P8vG6M8QawVdNPRzDaPs3xqDz39evdUZvk92Z5OjXrdk9t2jx7n9YCtITFYjMamW +MCn9J19c19CuDUrF/M8xx8aLztbwpXMdYF3Gdzr4naYC4JkHhbbDUfnjYSh84HET +vD90TqCv4d0558b3XUTxerhiT27ZIJb5nbcAf+llvX+/Q9qa++lpgur/9Z8cLOye +iqkMArMqYZy1SKBYscCj97uPWTWjW++N2EyS5Ml8TBfkjp/rtYcQxp7raRoqk/3u +Akdtm+1iSQFt51SIJprMtmELmc5d8swMPMbcMiX0KZhtqHlUB+IJnJ6sdGrBlTpf +6n84qfqcMvqCt+RukbZkE/gWVrO0DkbtfK+eQGVwdnD0ElZlFrF4zQwWnussOxg5 +8YnALzVHKcUai1yRd/SMjClk/qNn3GDgm5WYYsH9sFPAJZAjZQYm5PliZJDc/G0E +/2Cxkw5ZEMS0kD/pEvImQUhQWZCBOAQF6fO2uRQdhWEjKtqZ0TmDf/hxmkGtWVzg +wJGFV3fm0oDAGVs87rWWgicYDdRlzNQ1hIZoG/hL/hbwoVMqBcKZ45ehEwTMiAph +3W4hzopJT15u4xnuR3zqHiCHqBIj8dWkRI4o3TTFYItu06hrcQhuD9YGcglha4IX +Q2WY86TGdtlMPi+IdKF4D47drKnq040vnmEodoPN7SvLYiqc7rxiCW39XyhVwKyE +iSoEx0YL2PxkU1yEc5soSOqA0YGSaZIkVeCsancs8TBKqD2OtpKmgN6UQlQtV7mg +PjRAUD55tXhDICBK5+O3W08l6/4IhhNk5cgECWzq0BXT4jgQV9azbGvlYRrXFUQf +WjivglwInFHcMa1iVKnRN/Ecog7HIwGDsr+hGLo1SFuSXQnE6k+a6PbcJXRXwf6c +HD+WDj8YMqeOOdeXZfKxvMFm4NiStvdc88Zx4s0FowPjqzO4ls4yjUoocSIyJraG +JihyqJZT/KGhCxJ+xPgIISrHrM9+tRdW6QOccStyORwsjZp36Ze/hDNc7H/J6PaD +LzBCx0nvjmBVOLt8T0VmDMOd2o1j11fKn9SgY92SB9t7JPZZg8UUgMW06d65gOBL +Pedzz9TY9GEu69vYA1tZ5CbHU44CwytM1YcEMRO6jUoTlok0sQPSV//WAzL+O5LX +7JQ76sUW+SWNM2x4I09koFVJo1jmXWaM3uTefuJmM9AhjBCF9NS+HbHgqetdykph +PvDxOJ25Qb7SpwaU7JBHrEmv+xE87s/xgNRNThqui9vgF01xHOuBxpEsAdNjPanz +xpRhNQYsqMXZPx2nJ0/0TtKABXVsq7SXaA85+zJk4g1nB9/YKI/vIQVW1B+MUrfZ +QwVes2RlNG3dL0vDi0bsIlNQsOMbFaUq/ymmuOaOk360YoIxiN58f7/g8GPTxnib +hsbsKd3Rgu0PJUUYxhiNBC/xZLhg79OhZvTNn+28AakIYs8Dfvjz6gZsSiuyV8iB +qurLNTxK8PD+AxtrkQyNEoRNtxGagNEe9lvkhO8Q1FAmyk0Yk+FDO9EdEvGYtE0m +AOe+tQAa8flDyiZbIOrRdMeMHoT9jQ3kR6j54uKHzV0iyrgmX5rfjf43GByNtxPh ++WY6njWudviQ7i/VdNB9IUeAd+LTXoWmOOsSPN9yaefyOCzLnbAC2dfoPGNMAkRA +qMLDYzIOkXs2E0zd7dVfBiLTPNSmvbwaL5AN8QoTC/zi/veWCYD6ez9bGyOwmATT +bPHjziXo2KCqv22hC37VrRU3CJTYg3gyOba/rJzKchiXqCSXFjTv1TENT0pZr8uk +T8WNt3BjJf2S+buuxr5FMriO0yLGqM5j95B7vTgmeYlTrokHfXC3y8feAXlF8Gru +6/Bhn3B1vkV1dMc6gB54sYnTM5Hg0VC3T2bwpe2qxjGmBWgr+GbkLr0qnOK+jdve +sC//XyjEJ7xWlVQqVVytMYXDNCuI6BZnBgcz78gygfCUABv8ZLzDFaZP1bq/lhNT +sHprXXimWWkHxQpha8+wFbLL6/pV4tMgvLowi/mgpm1JcMZ95oAuIhVvq8BmoN1P +C007z2xnsNXjO/s5OaN7HIbLYjQDq8+RZaxeSLXjsmb6mdD37pYFKHK0R/JUo1cs +XNJWPsfc/El+V9A7WIT47OQsXq51bEClpkNyJCh/K+DG9UXH6QYEnOsjB8Fh2oyR +3I8X9gVGh3JIW7Y5aV314hXS2uLSDRbThlvr384TcjoH9Dz1CnhzznpnjBYa7Z3W +5+Hc0/ANw3Z9h4FxYOLSDuLFiJCeoR9ismtRJO/TR86kbjdoDE9eQ8FfoSpyT7S/ +tufs/qARyHzdAnClkwRqbkFt1GNIvpToBWk028cGlOkfiKxEU0kg6GHH2CI1v0dX +pmjgiZO5ysUCqPXhZVo5Qlv42aL3GRq7VKj4/99Ba+O02Hyxcu25W/fF4UgGFN4A +ihJurykWrucneWLw85LzGkz1QFO4we3NfrBmAcEHAHCSQrlJu3iIf48vNS0wyNfm +THul4JSoqQj+gSVO83HwXXu2PjfmVGzqGu2NmPvj37IJedVV10a8Uo4rL5t3YZ3D +r5zkUmtYJZ10WTxJUnHy59fDbSPhUgNDKdrEvtPleqJzjez2nkg+mfYMgaK82p6w +SCkE09ppkvcxGROzldUtXJIdOl6thJKGL8Ruk+0GowkGmgC3kC9077c+Ki+vwuf5 +gY27c08PZ/0F6paMky1KRZsksa1mivkVhSjxkPAsJD5LjTPe4+aB/nGeJi7J6r/S +hVo9U7+RrVvn47yQSeVKiLLqQMNY7hoy97ykSeQsKi0BrZW05T9h2r8RLfSbzkAZ +/4qsyNmv6aM1M7zVmzCylTPBytlcwsz1x8DY/PmNfU6mAmzR7M4QxsNgATdiB+Xc +57OQcEj7Pu7ybFTfYnAGUsPqfEhQd7cazQxxJDDC1hDd/zsYakG8VOpVz07gANei +tdhr46cA04TYaToobO58/57dx+ZIFZ+uPHmMR+NQ81qc1FzJp1XWGzpKiKaAe5pl +CTP5RRy+TpTvvzfm3gdm8V05A+cenzU5RmbReFNLVolvuPVsZMEuml/w09viGJ6a +5PZxly4qBbPPp4Yyzx3MDC/Sar/k8j4GiXfq/EVeuHyfy0tD9dZ8Hfx6aE4uiipe +BdPamchpzooxnCABTL8OKLFWpfeY/Iv0Pc+rxg8rV+jDlsz6AxWvxLnfzvFtQ/XB +c7B1j9t7g3LicRM1NUPQUNPAHC9e5pEchR+TcUC0CyT3tFQH/Jdxe6V8xPtDysa3 +y7YI+/Zh49ej+m6wBt+lzdQ09QzK3HUZDrxoz4sU7sca0qpsfm7fGoB9b1Y5REKW +y+M88+DLr7j3/2MfdXsK+8aE9CwtKNTBmAkFNG0yULpsykNs+yoy+0VRXrHB9wZ3 +t4QUqkqp0WL2nbBlDBuMrKTtH+riTI0gHaeHuJQIrRmkzAda7XIe5MDJLnNJ01wk +RONLMfU9pJz+Hm0XzPqQJ86ygQnJFgQW/7QDbqIk8b2DFrQFG6USneHhaB2DHZmX +XRFRzj5+KLptMCyYhIU1+Xdmo0P4V0VqVX6xqNBMRERq40s/Kun6cMvPXKl73l13 +NB9SLihzCrZRXcSYXdI/qIuAtFJlThvm/SRwr4dZwvM5leOJC5JOMaElOYUyDuq7 +QeLIPwWBwVbXvOisQi8vdIEYyiJdjZt9IwVFOfxfglnbEAn3/zr/CdVYmxWszi0p +F0OzPZqYeMRgDJ1lNVy8DnyqvvazMBRx49aDKU8PspLDYEfGr/n0U9XctAo5wYfL +S2FppIgeb8xnoT+ro9fyVWtwPS/if8eHshKsP93hjPrySipYrtKY7DE2GRqnbHM5 +PLAQY8DCglsKms5G05sDWymdsHTw0u9cfhmLsFukJq7Ui8GWfffaSLwzG+Z3yTHq ++EQk7cqJ2/u+16fAdTNcXLHVxxRz3NTXUlOV1zRrC3FIKYeQ2t2cOl9Pzd3eZHa8 +AFvZkxjOIeZUl2FqQQJeKpoIbYpsgFbo/5k1maGaDQfG/NeEC41snJ+AOrqFwefo +eSHzFvijygwVvoCpC5QcCoDLO53JSXXKJx52A0ynPTXkXegMjHwueURvq/G/1lqU +lshXc4atJqUHxcjbU3wi+e/lAXWCDFE2Vrpld1USu6fW13A0/OqVVXnyg9JTzIbz +cH6VWRRSOFaqfIuivMTAXcu/aX8cavuvz9ilhqnUltS1e+dHKu2l0gjZwISGIXWN +Lw21TrOjwtuRqqAOEWYv01ZknhEy33y79gCmRGDaCJMz6zQ1iskkRfHiCXh+Td7m +c5XFuDJwWw67guzfpobSl6rPvk4KizbO/2TSwuaKVUHnVuSkHONrBkybFhYzxtLH +ebWJMEAmQ5c7P0xuVp4tQI09izA6O2Hjqw9LwSu0U+j+aPlyZcThEAN/t1Sqswrl +6hFnpRJfuLQU0/6QKfgaadRk/5/cBp3dlSc43aclFJ4SfjDj/G4iQzNOMsQgsKpa +d0ToIgypiHVdK7L2SByfhE13gU7ZyohQ9QCidSD3Xbm0VSabp+l4IraTJcn1qQyn +P+xFIyB97TaIsPjITdrgD7G1wUNrq05EYA5KVRYdiNA1mylfqYnUAf3tE6Gmdndq +ZtwvMhuv5JFzjMCQfJ2IQV0OuOfC9glu7Tc7YKhEDuNifln3CxdASBz1fGDsWx36 +Qhx42iMaRPIUzRilOXW8V50kH4FAFjGjzVVd79uCwtuy/VLgOtIbS7mHZsUIejHG +K48hCwwA/8qGDsFSTi1dnotK2UsIkOzN6z4V1lX7C9NeZUCGfgqE367TTd9SVYTj +fr7bAK0n1WbjkryNZnRGzFt0m7xb4iztRIyARlw8G3O2SjOBHoQLOjImlV8ti9WP +shVJUm/HTlOTBAlGgGw6sFP5/geDQIx/LlsAzsnfZ8qvdq3PJuQAanhOZDRYrWPz +OmWoFB7Xz4h6dItyamPn6jOeCmcjDAnfKldV8oxd3zKqZcXVuN9+lSuC7CagTTqH +OcbyGuw/otF1gipAY1f34PiTQrLj+zDeh30V8okggmidw9cs2SaDlDQTPIqoxQ2g +i8Ok/QZnHvDjH+pnmUlTmaGBJ4pS5zvGkvBr7Kmy6787e8xdLgxsiEFYhl16e6F+ +0HZBzWADDkFnbQlRnE5gEL/mwohTHDDm56u5rhPgqMY6zLjMzSG35HILagnnsHqW +PqTlv2dtM9Mc7oCGXQkVJ+W9CKFXOVLeCObdcmfiaPsmAC360bBY926a32himUdH +QvC3VBEGgC4oXUXwWVfaAAcCSJsgSE3KXnw72nR7ou3VntcdE3DbWH8F/ZOR59sf +8eqOiE4F9JzYka3yTd1BrfeV0ISL+ElUyoehWR3gOFAK1xncUs1AD25bceJTRMeN +GYozoSfz1O/22h6w4RN8hfQCRxkhWSuR7tqn8M53Sdz3qIgmOvnVsU2eYPEGVr4I +01x7iSEnmCgGuhCB5a5PS1wOZ7Ss7LeYYtiwQdCtKaUm/lSzqTRZEn9ubmuq25vN +Vtqm8PgelrzrEvN6V0jn7lq0AbFqUEN+JPsg6y6pSOUgdj0v0TbYsraMOQEdKHxj +SufNWZr6QXMeZKegf+0c0SSiGXELxGQlxoJdxssnO4DsIqmU5DXqOrqyktHZv5IE +dbHhX+/XUuvw2wrB2kk+/mGErHxFSGSwkMTZp8ZQKOM3i4nCKxaPRakzAy0ct6Ca +6uSVDRcxIvd1CV7v5d8QxirjwWxcM424Eh9HsTDSsH1Tb5vH4cqCS30Rk2Kt4i+J +lktosTG6fAMGeZPPa+q5ASFjhBuSIaw18jHB1vVUFX4as/Y4rKVF70RXxPNBLDmz ++TY3PSqwF92+o3PAllF/gem7r2uGbqBD9k0VUbQcX4Z4RiLNJvFO1QIp2XEZ1tbI +CeC1L2XxyaNpiz/QLLhztWtpzhZ1BDPyceI85UGBbb4Bfmz3Iudxe6owznM6Rr1q +w1XwrmpZzRi0FUWmCFDpYjLLBtvHZBRvQO3f8MnIJVDg8a+4Wfga9pYjP01ENmcf +f0toNmKbt0zcvUh0DrbJH5fod1RzmkUdOUhX1mAB5CdiHQWmZaLdYCqtRMdtdDsS +IatrmMYw63GF0kiz7mymz1EJ4blECFwZ0FwHeISBh0qHK2+xB0wgBv7EU0fcTAQh +0FTtpkVshTU4plxxHouc4+oY610BRQIMaZCrN8VCYVIkBXULimcxgDumPK6ijHX4 +ij685RzxQovk2Anu8/ig1OYeqyXdYs8yk9XGDQVZodbJmajzy8C+CFEZ5SHkx15W +ndoX+6SeyNYm0vQ2D3Rv3PpvDi/g7b7MazWZqfQjPkG9vgxRR6rG0NkHccNKLFsj +qY6TjTsScDMRSjPYDAh4z/Be3Z5vECDJpL+DGb7G3lMUxi8GJMuCpTNAi7csVgnc +RBkkvXB3mFTrYDcwAP2VsNoa/QTJcCRl4JQ3clKRR3yaUy13Ww5lp1SfVmvCoNTs +CFxBNDhyIfA2+JjOt+fj/R4VD3vmiWms/l8fFG60Z2Cr12g/XE6wIhtHf5+0jLvD +4c6JOe6B9RtftGi3Zq5XZ8pNH+7FZmtn56dDmrG4TwbPuxA/l1wTS7lImH5rBfGJ +U2TU+SWzh9R1BC44z/JQpDd8OeoTfEOie30I9WGio0Nt8MbaDDcdvmiE06QvoThh +98TLjRBEiWbsoKm0jLZzpbs4VXIahEAMy3vlFtIInYKEs1YPS2bPqye6aoyyyC+r +XNCqhGt4+nDF9ZlucLyifyGwIj+XNsoeGmlt3+6cuZ0Zr4qbGWISOqtAsl7slnZb +8E2vl6x4spfhTrxNLOH8MatunACCo5haRWps3zuf8MLkikoBrKGHpg0HFMcEgBcH +VIFupe7CFRx5HTUWX0fAKu0VFj9UDipLLPvmKK+xMKAloHfEn56BNN0a1rBy6/fv +vLHknr7g77YaafsKJ20roDRCvBSgWIIoTCyU7oSYqNzPMVoQKZ/6mGjel2enzfHH +NBZEfRIU82VMc1t4pausuCgWnBTXQPjDiEliKrY5r43aQayjisCcLVK5Y3eR2/i5 +u6m1k1lcvfrlW2Yy1pg42Mwoa3j2Tk+MRN3/O8DvzCAJcg5ZSa2EBnWAC6p8KCHM +3jqZtOqNyDMkKWRXk2pyp6HwYrM1xhCOVO5BiziPGouoSAV/FMPYoocVUxXJzArV +hUw3a6SALgUz+lCPmxdr9FxevEZ78aFJq7O6D5qUbjIWO/3pTxwltgxcTXakBloP +fCC+lg2os1+eputGl7/g/lWIrZRbfBrBPMzdSJDuq65y0MIi2orLtawWWp866SND +BkH+6+MyiGc1GhUeCSw4oIGfxH0KZXfcLnI48E5h7RG4GhxSNH9hDS/8R2gjKtrS +yG9Wz4vEUtXAYCSP3r3MTb1w/RDXtMiN6qyjlKcfKnf3Ya/hgTNOZ7hb5N+R5DJH +CgdHOWB7nZo558JrwVueV3Y7weOXzpuAu1Cy3gfEy02QYpTDJJKKe5KM9SBxAsbq +LAyciM6tY640uxfhaKwLB45gK+Oyi2phJN36tMiIQ+yt7dQ+J9hhg+0Hh+YosczF +FLOaoOba2r+AB5dyDH80vcFEuXKtJOsoz9n90T6jaF8mhpqd4+Kn7CUT4WFgJBN6 +Va1w41utGkxCGQ//GOGwB/rp6T1oPmtrL7yUHC4dFb8u3MUUHY7aDPsLF+y+Ph3z +X/lsoTbMktubedlxm5zWRiMnPao0jwnKZvsFwi+Eq/PsCkFZSN4M8PeCHtJcBGQA +oqALfZ+VxZEySfCLrgNzgTx92jspKLzZ/shyJvh6rhFTXTZnntVI6KThFWhDzJ/A +goZt4wh6dNZ2GouVKRem/sx36ZJrup8oyNGCj39H05XqkDOyzcMQ5ngYgLIzjGH5 +6k6cHmswnvnbZQjDWXnNndhZDXIk8ypUChrhsiSDfLVyZoYFNJuVMa5M8MSZVPX7 +jzGSykufp27yxmTN9q/C8ZSqORuFF1YsdTpsuJIxwbIAhazOW5L0vCOYT2+3Z6zB ++3F6xxM2Wj4vF8ULoMagVh7LTO1bDcfggKYRBIaDKBkuwiATe0YyJPBAT8jQnBCp +jy2wsPgwhY6hgSgTzPUSM4UA/yYSYEQU25z4GllQlhRYhoV0aEJR19nTwy1YZRT6 +tEwEqwN5IgplXlT/8V3zJ7qkSuWtJQW2Z4/bbSRQhTFMOj/PM9RUMdcOTI/NPo/h +EDYKyZ7MlhKyc3UcTKOJp9B5SaTP2nvFFWgkRsIN7OAk3wvxBxKf0Gb8lm9ajqIA +3B53ZygBidi5LwhnZFgDKCoMDwwNGutEQ0RCAk7MAK13HEMcmQxyCqkCH1UpvV91 +XN43U5ohAEQawx3GK5jX81mlikUmfRv3fSNDB4Ih3d/SpdiUJvQ9mzLiqwGToMm5 +g+DKXAmCV1m73VRzDX/uYHVrhRr3+tmH9php6nkbCeDmgOlXuOOz86gr4EYsH7es +Ov3aJ5/QyoondtYyzluw9YYxhZvg2YtlMtYckvxE7MmO9fuKxW0tfCex539Bmml5 +w21J2wrrDptRsbyHmh5ilOws6F21Js1MBB61jq51GedBX7Hx39odB8GcN3jAYrGW +lxuGMNE/qY68VOSPwuvuy6kh3h8V91eWKRGglNhtoHABSa3afWIb4qA8ENlhYvBt +7GPll1MrrDqPt1K9MPxfBPvVTtpBvGXyREDMIS6rMRXm89B5hpIkRjzlZh4kJe+n +swIWLNN7ek5OFlR+sconknZXNQQdvHdnpxt0tqqXDUJT2ylNMzqKtNnLlbX7iCGC +RCbG9wV7UWpPgGUaNRKzxeG5PC2BMTSNpvinvdM1RKqEJLlhI/e5pTNhXfuL0j/V +H9TbngtXd/Pdvb9RRuktgYRERyCNyaiuYmZfsfBZirdsx29ufEq4gYiIjp1ji/Ee +Xx5uBIQ9jEseR4PDqeQfGlKlXWhwMUYOq5tzDzoPCnjRYSpD2KtBHqrafGozbj12 +xyPWJ/Wo9qzFZ9lPYrFdewkO8Xu5RNJ7XBl7DVNOyXY5WZjR2gO24I6BCPbdiLuv +R5KeTb/xYcvBGUjsD8fbjMn/IYyMW62SUUMzzPc2rXk3LZ5Rbk/h+ZrzvYrkkds8 +/9+mnAM2iFupTZlXjeLjilFcBv5t7zmgs9m1UMog1l3nnQaDZrOVPrL5H9icMdYP +HJIjPpiEo/muehlWcAfMa0tvN82lqSE3TRQpK4ySc7LzEzUK6xW5r4AziTcwR96H +LrF4Xkcz4JfcEQtsMmQdqGs2ROLSweBDOnLHKPVm0vgBW7iwWemBjjBKdeAjJZ+Y +1DROC+xEYvzP5HEVA1kJ9ss3f/MYhZlG1gWs3Z7gvgnXx01ZcEfUk+/YDRtGLJee +86ofL/xXP3yEd+HUjaZr7XkgXBZU2lZqHX0ieGR7Kyr4mpnQLLd+v6Nft1NE62KA +XO0LwIbBRhp8rkiiGm9ZGNaix6CC8xumfBbbCUFwC1CSHlJvL3IFEtGZis4Xr9hS +xP/rYQFNqeHzeCT+oQHsKYsMDZ/JzTT1Sh6Y2BWYDjzOgijz+GnExslA9VFfYUZA +rpuXLr/cd5OrfRpOdsR1WhxuWPlnLVUYko0kOayVEb080eW3FDbaJBKTCYJX1Hxt +LJZ6nWL8kBLEMZ81ICiLUPKO+GPRIOlfvgPeAuFtTLlBCvOeS4WToJNYhGlFYLBO +W41ljsxPuS3FHMi/RwEP0GiQCok8GKVabhaYROiArNjx4Ch4lNTzRNEAkbJuS+2r +waUkm7QOyjjoTt4KrRTCmV1KbhnJiSEN1G3CrNavM7JkCb3W1lp1hMbKDwYQfVYH +gB6rgquWhmGPrG532wyD6k+PmckzzCecFy0Hh/gz6qhbq6NeezvS9LwGUGdDywhK +QF8WSt84rwoo5S7u2w/Qatj8KxBTxqIQoQ2y4UMVcCSS1ypB6qTHbQI2ZkCvOvne +brVGlVgPxp1oZ12Irc6eMkqpi3dPUqo/gjNy5HG5hll2U0h6VMQlfZyBa2xcpXZu +cM5lsskPZy8tJtQDxgm2WScOTGIGKG697Sp1SfuZpJokqSgkAgjYYQJQHrAIT0fF +mVfJezaN7zzomiz9196HRVeHp+vlk0v+To0xf2PlR+XX00wqu8fPR0SzCp+QEyLn +MchwZRKA79Pgo3V9vNVv9U8n2/A8/8DYCCEso3KdAiWabs9w98IrY+EDKfRIvnkJ +iOVcGdJ1GdqkJd13CR/aZ1x8RCRKPG2bqPcm6HZo4XdTV9bqH7ZPdTmaYUfxGdIo +dylnYs4Ml35LYCjIHWT8Ic9SpfwE2vdAWcp/ZuRSUNUOKjTnjeCbM7xO+3dxy2A2 +8LNWSGMpXUg6jhFyYcw0/fa9Bx/n3dg6KzfSle0I+IpulLe3myxStjzfFIG01WBn +U2hr29aFP7ZIY1rCREVkJyD0C8FNuZ/V2mk8e70uFBiRhe9J8zX/SDtAwPEglIvC +MVaEsOmEjI+7iDamPrqV5nJDh2dGmU06qYGbE4NqPnWkgg77mUf4Jd9EalTUfq2r +AM2sojWwhvYMtcw9OAb1Xe6xwmriEilOS0hECJj7WrH/qkcYfx2DTIuxoA/qyKVh +weg4l0RLX9xCKik93hn6sB+0zI8FIsbNrKReh/7NkUoqAPcu/LTJnpRxggJgd1Js +08mlRoTz5tqmiG+NGKmcPoqeVruHS1wDagA2H+PIXegY21DSgKjfXVu/4M2+QhH6 +wLBVJT0kZm885GlUi9H6+Pd9r82yXs3IjjybfgtN01j+GxhtZ1JR75Uw//6Cfnr2 +YVzoKb8Ch3VR4tES1LSayRt0DQMhUSRQl9sIrOuP+VxSbjXHHfBrelA0iC/HIXgC +P5zGKw1uLHQhCEemn53d2lDkTvonGdnF347xIS/uyoiYmH82l2wKu5OVB7DtCNAG +sUPE0cuU/BYgRUxl4Rnkd3BaMeMw+60VqoBbusz1txjVj6LjwDixnYfh/l+pihnb +2PNQTmdZfpiSdQZhbqRs29CuAT0ZNOCcNEUKtrLF2YN/r47d+cWts5UTEbsZ29af +YHlMkV8FM6hlBN5ANq18aaXhDv9GFf95M5UVc1dqfr0bwPZJsCl9oJvVzWRDRbXy +3p63+k5I1DP/9zma6lE6dAQQeyNvt92WxT6zIqBjTf8Fv4jzX2WW+sxtD/iVoyNV +WYo6dfnUnZW6ii0y6Ezaw6fw3gbPwqgoNOpwZSR+e873sXVCPxpv2mTJNwV2Wqgn +UrHTgPxOyvzncuGP59b+8P0wsLd1fwqqtoWMCLW5AtV9EHE3BTr9WR3BFYoYi5tc +t15dEEslQV/5ZBtpJ13XElCtYDZ+5o7Fc/RsM55b71CHTw91/zN5CcwYeDKC05ng +t0Z0MQ4xoYMAWM/CSVDgbBjBZD/19yPUKJmN/Wtlh+6rJ/wuF+bUgkQNrnyBsjfC +iqxQp+6y7AHXt30ZAfE1IF9dN3nI32VDIL7z+xyGOa4HKNWbbVOTMJPnAzoowWGu +ENFDnQDw1E4C/VBGCikLUKTsMfe0bQqJH6B/DwAUtsTd0TpwkD1vnDPamdQ6V+rE +Vh26pYZ0TuMOMoZozwiN3qBS8O2fX3kfErAPgS12zwlakUTUhg3mcL/ev09HGUZN +GO0u9WDFZHbSJU7tPAQ+qmhgQ6pkN/sCXuALfmUGdjsTnYI1bSrJRrnvJf90X9nx +m0S+WHiLNxmEYitgGAG5MriL9gRrPBZrp0QpTvMw791YC9GLk6PoyJgr675LAbwq +OXm282FEqxZLLizUEDlJ1yenB0KES1cGwsBtHWZXx0W5Ou0KXSRhpEL0CrF4fmok +fC9VnFkECSf+Tr0ODgRdGQ7MjwYmmL3vRR/WBoJrAVYPDXK0TTdoi5XdLTrl+GUz +RY5BfpodkA4hoEXRK5wkQjcm5R/oibucjcGNBscxKWA+FPvRpOTtTpxPeaPw9xge +cBgOaEoRzGh9OZlRUOYdkmT4/fojZnOFjdk3ZZXWzhdeSnRcotDZHYyrMPkMoh7W +KRb56X5iDVQT48gAp58haUVqdnVXXderRbZ/GoJ3o0I6W6ja4hwajUDyHmgvJqrP +PYr1MxsZfApOw1Z03mCokFOpdiUTJJquSzRUp3LplAFf2qSigORcd6+uP5Ysaq/w +LLpaVGK5/0x35pwNutynpG3rldIDH5rXEmIZubSeFmdCQS251sK/uf8Cz19R782/ +xdDwfzDrEtKNZRgm3sxo77+NwSMdubrLcuPFh7Xdug3TsB/IX7yvADY4JrVhp7Eg +NRTxlAdEuwXG4uboyRJRFrlAQJlgQRaIQEwU4bneM0kpSQkfMn1xcW4eX/P4/su2 +mv5QTNJutAJcd2MzlHEm9SQj0k+CDmwkkeLUBC0G+AWEJ+EWcWxyKw2ZD2cYTEMp +3UnJjpGcaDT1+A9NG0bi/sHc2vufI5xuXTjOmvtGQpyfAsCmnNtAsc/Fz6AokqMq +UCqtAh7l3lZS5GsYSdbWk51eHDcmsdd4uJeKS2kGZuVoGsj+PP93wuMJ4BkXLIJL +Jqxr6BmhjJL+nKXvdmj5W7aUJbCBpEJ/zD0hCzZ2sD29vZfSk467BboXTe/B3CKC +7SBKUBxrTsS3/mjzD+aD1SurUcH8F2e/wchAL42cgG/9ozI9vl2hpSZ1rfHXg+na +95N8m2vH7ZZRh+x0Z/SbgARIewiIXvoDvvbRmaU72YxdxRAdXMFHwRBA6ppUD1XE +tYXGZK3MoBFV+N0oDgKDzpUndeXOYxVnS0BRlWeV3IJ/tjsKK0sMYnK8rmoRAMin +XzHTZ9bW5w3ghdKRn5Ms7F3hcKbWM8CNv4/1aJWs3yqX0f0MUXJxpO0XHH6ts9AH +gfrQsteu/nNP+uvZrlh1u1JZstq/nwFuBs0tIdrGskyu9uKLY7itu5n/QMPr32dT +IIAHfVZhyOpR4Zk1LpX64NoFqk1Nxt9zIE5f7o+3zK2RaTT57E/zltMXA6u0ZXLN +SYU4vZVOh/96SWUKmmFi7T1eTQpEclAgyI+iW2dW30OuNMYc2oj0t7YPAfN8IOh3 +zR12aYMaI8v9+mW6lGcHeyduDDjuK2o0M2WtpWcCsEkdYn0uBzHQu2X1r39J6BFj +t3XGCnQLs+hSJ1KPjFEFaIx//3aZ8RrI08E4/jA6Ikpobdfhs4sJw2tkvalFRjAE +jqLFrbHaDvm1Te0FGvauREhCirJhs7Tavu97kHRntfVqeibpyJ7+IX3bP+6P3Gwt +ucY5HV/FBkWKKPaYInD9+R7ts+TnitbZEPmYQKKFc0RHkVBU+JZUUMEPZETgnB8/ +PLidCWUHAu2eQ1IvlWW8C9oD95I17oacpl26iXDDpztgYpyXuUp1mm0jnVQr7vYr +UJI0mW2q7z5o0cr9lYye2eZ8e8enbRgV/YEK3KHhRzs+G3rjiQx+GG5Lc+Ns5Cy4 +BGJiWE3L6wYsBJaHJZ8GW66VRILevCCZgTvk9yD/dYv+5MzoO9zd8tcCGEhW3BnH +EhEsFWkTrpc1tZcNxMGkrpgqtoeKJHuaMYea3APQ2HexdW6nxW9SYkuXT9WPVr+1 +TFZW4pt4bA7FVKu4NB3DGCday/C4mX+vh6GT9ZIiRXuJeMU/Z6JO3Qh9UhXSqt3X +cahXObu7Ww77HSij6LkCcKNfKPc1tBqDV3YTYmVYJWhtMWFDKD8OZC5jUKNMkQHT +HxEgE6CJ+cE5jeAyDEZQggKzb/gQ8g38b0mnWNkhFADBYfqpEIpW+eMNIzdNkHJn +6K7QyAS1MvtOAbQCOsjnsyxv8gSSlbudBTHiSwqv0b/RtVQaKQx9ASn5Rmg3Nn30 +QwvAXKdSGHE/Y03DflZSf1ye5vRc0e5vd1A3wWr2F7pjEHhtyvbDlFOlT1T+hdrE +1F3oAaCZQVIX8Vb6QMrKds3zHOg4GZHj583KmgeJSgKwBMhBufV/x1nU5i/Erb+1 +PNUKwqQZsyOELhFu1J+LPlhrmg99rvophF6tNTRjCYBZO/0tEeppl+t18GhRILih +BimhBn8Q+GXHl3o+Gv9M2VemK49zk5/2TbkrYQPU6mBBXgj24TrZaXqSPLTwa4Wd +G1AIJtArqHNEKkq9MQ5RqoSe8oh1Ov91krTjxx+XDcjWKggOjUlv946gFw16Fw7h +4d6E4IesYB8Y2HQmUmc7RRVEekPdKIwZIGJ+mUhXE7OooFWchZ9VTmOYPB3fQqFq +UFaZbnDZS9mtx0lXgCwzk4y7PW6P2xWqXZI02Xm3xNmI1lpGBgnvXX/0dcmznGyM +a8Am+b2/DNyaRPx4Eg8sX/fHlnqCt4f+BvAysCgZDF1dgNAj1xCM9qX9oI1B8R42 +eYUwAWmxb86GepVtOerOiF+2qag+9rz48i5SUti0j/bOVQWAJRR+m8x2Lw4Yb5/S +ObUJgCc3Xbux8Opq/zBGOLl7xPh7xxX5ysaLZULKjlCVvOYBmX1zmOQ7IFyi6UCF ++mHT8LlrtZbf7uDRLXfOhC7DiUVP2iGleex4OcedbTsp7zvqupXtZCa1AaSLf9W5 +ddOkPimsj/OO+BNhf6oUPArt2DSmRzhMDNERbBab07UeNATsZjLUSwAdggriE52Q +2c4SwSTPnelEEXIAPFo55k/nP7Ebk2h1O0tj9V3EyyG/aZNgH9eJgWsldPytXPP8 +u9en8TXBKxWo5P6iOuPM3iPwy/HgVGGfsCDy5xxB/rjJt0WFJFxUJxAfM3tnjouH +Q7LJsEMGnoz79jh3udnmJVm4rOrEgAbsmt/vQX78Dgx4XHbpskXUfwJehtLO6yuA +V4TV99k2zAUOiBf/MPQSFRFHD3rpHrwhF45QKxMmouH0f6vEQayza70pZTGUSeEG +uhbx3PbHQ4b+PokWekbzuE2tx5fklv6lc+GP7j2midUCoXsLQkcTtcBOJ5Om3nTu +snHlROE9c6Nr0PBymbCKGnWLxUKtA/AZqrZRBhs1BL+f9BvpRj8+Ru/xo/lFMVnn +zc/Tu5exvk6+kRnl54vf/7EJT8bDmkJ4MeCX/gXAdI0DGM9/t4sYv4YoWeGilt0E +SVnIx04aWSZM6ydKTnEOYYu2UhvYFQFJK8Mtz2+0Nxu7abzItfwcVT8jjKIns4Aj +ShRvYn9KNqaGoLdzdrc+eN9RzixOykgDBJYWEYjN/z1lSdrmlnXgM8FP5mAjPagS +jABwU0cyVKH02n0E3jcrIDIR7LHe9xBUsdF9PZqUqm+pw4wDe6h1/9pTcBJr/HNF +GqvFuwakjvRNPD/ezC7IQKTYTM7xXe+WnV8bvj8SDzmKZZ4W428hMnYHKFiq+eyZ +Umyul2nedvXXpr8Thp8vCozgv0xaAa+jlVc5HtLTFc5Qzj8JrrsCPUF1+sstcx29 +roWLey+v4MMCqcccdxIo0NeZzjLI3CYZMnjPokn6OkjVdek28zdPH6+cIAO3qqqB +s00c9KTleyAu58vCmu8lbt8w48CQ+y5nc+nbPzQDM+ADGWdlDD/ygG2LYygdzAMn +EhR93egYrJTwhXGuhBYTSCajptfyen7GvyIYthZ52QIGeaOB0tdIEUiJGGVlBVBC +t/6D1NSSh1TlQ4uPZjtCRffpEc6CQ8xq32m78QCxVKgCveNzanf7DLE2ic/dtmz4 +7BgA1chW5mfO4aOU6Q07MwPc6AjMntd9I5GT6lpUlExMSwbsYf9ePrVBnN9i1Uvt +/D7dBbWoePR+nfSrPoTDyKST68Gv4DJhEcVRPVTie3XhDsmrNG7bW0DsGKraRH3a +cXYccOgNmXE5F8WIaYgE0jFo7p2ighorYIDmfWKrmJ3SXdSOy7BODqP0PjNA7Rpp +nAfCDbF9Qr5rl3lAf7ke1hJEnUmZaqM8K4w7rDKHkWkh7qsAYX1kqw22e7vz/aLZ +leZYVgKCTC3kTsUaLP8DCmN7Sfq0S5G+uOIPuOyBbueEfdUGN4oUmO1f0tQKdF7D +4rDv/Y5eh/eC9AWQ/13vpDn5jFXKnYqnUhoq+EZ0/cCIDwHS8IMef1GLeMDqJeNQ +mTjUPYGcLW/NnJs+QQrReXyzQhOWECCt0oA0Oo4CW6GGo0xTqxIJcCTjrCkKmryW +IxXdiFc8xTcAfDNdvZJgNaSp9PN14U2AiF4bgwVX/3YcRqA8xH5s0UdugrvNt3FY +GJPdwHoGn3ihGtbppEA5At0igkOIaZuuwyeh/DSr2qkUwpGHcNU5sIX7tP0FCCf2 +EX+ZNoESLNBTjFw3KVulIV6bv1bQipI9zZgokwzwMe9l/wPfEAqsBn2+0mfknBn+ +T/oTHuTfQuCRDDdyS1R3js6LxO5ByBfS/fSxFlea3NkzBizWvoDd2s7dGJ0/B5gb +CV72O7S8e+Uvz4tu/+w9U1D9hm4TOHq6JptWydbFO0PbpqDoq34Tjk/9blqKgS9J +vwaai87w4AZk4mhOMnOdpYySnWMCm+376McCOkuriM7R/Iy9nv/lABQ6TX2s//7v +tmgVXDhu70PMNjauvVx8yMNAaBgfDHYYBibE28gEwRwHSjkQMTbwNI+BEPDbJgUf +7wtKnQHK5JwIkcPQaUH1eUfwd4XVJUC1MsaogkwyYzh/4OaNL28oVTr9TG47oT8J +vfFtO3XYiASUDrey8wpTkGlTwuRe6XSXEWhD+TQmo+dIQYG1ZsArxs/p/25IpQFe +fQ41JP/MC1pI4IBENAzrSXW6uYvuUUqGdCvtLabNLt2dyp7YlliUvt8R0CglWEfw +t8HCBtSRSyHRyJoDLwK/vje1K32jlqFWbOask1GSzLqqDFwczTnfXgMDiVSBE16E +13gNn8ZuS7oluJd9PmRXr8BWYVl1a6djOZDgZyEhTg1+9J5cn2SwnHQt/BtoJ5tW +bV5WVpeyitxW6++r1ojEHVUf2qibG9sLfrGeQafrHAkFfhjohWR6QG9xnp7n7rtj +jW9Ry+yueSd7P7Kkv08GxZ6ZvtmsyEaYNxPrklw93DgcXf9rm5mgm9n8ojDGz4XT +2tz7GXo9Zluxs9vIYxxr/ncDkj6Mf7is47zR2hafveIiAH/jjQIu+xtjTtUxyh2m +9OMXfDqVfPpb9d0z01PqdDMwT8+D9qwQz4Fn24YXC9krgpoVghuORiKUZXjTdzYy +PldICseFyLwNmpOzeIJHjToAag3Cndu9ag19NPcFUBGEVZ1Slkm/w8szO1Rsb/sg +I2M61OUp2mOQPV+HaNVYwpbNDY12JkeqAy/ccXn3kt0IBzlBtRZJnob/vqsxSKGp +t5e+IWgrjm/mg0AyMJZiURDazXoLuRP1naC/qE274xgbonqGYhouYSUf6czetR5L +D2FV9c1kMlzjHaJV9cQ1R8zqv4a/JFfkCT0zC4/WrwCLHcSFnMwarh+z5ggk9qnI +Iaruj/XsbyCWaUmWFgRHk9yju/MAuSoVxjPd7HfkL05e0fFJOc8N67xdSMjYevIr +mGA5BX71SbljtLxwJWHZnERsx/dtKH+haBND8P9kYQ9sjYIyZCdqRl34dmjYALTC +qdUV7zlYdI5Ie3VnAnk/mZCCe2h94fUsxtNG7UM8o41LeFOUd5zTfqLENPlc8za8 +mydpv61Lq59yhc6By+enk6d65sj7ecquJ9iFKdhf6X4gpwdSuouqga4QgaV9P0mS +t/KWvD55Gv6SwTAM1g5tAECOAPMls45+ksdFeEMK4kNHuhTHJCk3Ki7U9Wz8NdXc +48ehOItsEszwv21MIOC1AY1PHXOBB2p6Nm4XabF6DzQBokC5IFoNIR1y9Ozk1kdY +j6rpWSG6pEZ+/BZdHlGeIQhyh5DDrW/mbUo/mhu+TDICGt5gWS/X7WhReDzPLvDI +WFvLbaYVrI46/fMdXTQzKPr59yKGGeOocgo+H32joTLGgkYzn+bAPQAh/TPHolMr +Y06i1hiBjnp8CKFiyFWLkYIcNcM0z+uX6tUFgnjK8uueZV0hwAV9/CTyd3Ke5mjl +xgTmU/n3bb5Y4916nLmABV7nuPSaATvfGAEPEikD/KTKvjKnXNHy7NR8vkZ4ojA9 +ahwzHTWBMUuaqGscQDa8ddX+QeyQOyhhKBnqh7M33eMDX1NsA3QEKSh7NudhELtG +6KFJbe5lgTd5qObQdU23ci1U0H3xYUuhl4WnCk+4Cg7FSt7L8RGopUPKBEZEn0Z8 +smOgxpSg6Dk2l6JIBvdHMGVHzdU4gQr0CyH7k/tAJVq/V4QpnIqReszkqxY4zTmK +3xkoNRHqH4f7AD/QiMXHucVzofuhucq0gkDOlDzd62XeZTpn+OdjP6DLm22UlJ3F ++L9zu6ZuiE1S4r5rowhsce5cbbHXYh2oulx2kCgIT6nL1sQEzVcwidcPFiW0Gmat +wLD1/6SS3kXr0lf5mFRuO4gPUJFW9IvaYf5/PPeL70hfSHHFN/NdvcgX96Q18Sa2 +FQA/fLV9m5fKOoVV6D2UcSkkJFrWLKdZW3mjfr5jaSkXdN4FEXckOANiIduWsqF7 +lmX27C40ppiEo6v3XNXgHTSkRfodEH688rrXV7MAcUb7kEBo8WaYWKIjC7pFchQ2 +5rw4A6kPvDtiP9MBfRe2HjPhcXrGQsUhDItU6YF+6jUYH7jnUWg7R2QfruvYmSoD +d1bOUefvvJQjLChSJH3DibQOriK/aKel9q+tPTY8p9ScESydkhd7CzyeeAvGmbHY +lTJvHj3XmVWfJaRVjP6tXaSzkKbPEQiJ67F/QCNBXu+aBNz7frrBPz7M+M+so3Z+ +hm2po/rWN769Yox3Y85MH8L/bIN4eVXnbTxCwQNxZzrHJiKtFqkyneMBM2aT5Pzk +2CAPLLwxR27ao/wcv/2vzbyi2eFmNUrWVrX2tPfeIP3upHwRWYiYsOAE9DypUWZv +bdDIPoGegq65igGbwgDdmtch//788wZkDHdtVUFfB09G9fUraitUJjW6f2wY9tQj +C/2DSzFjyPUY/OOVILcmq1ClTqpF6FKiQA5IuQ9MGsVMLrQ8FKyLRAvCl7YA9fMe +6oZMuaca3rleiVrjNOWQ2OgeN631JbunJ5hX0hfR24VmnxHaJbWCZZDSsh5zqQpp +suxAXWEUyxNBlXihlV/ro22ydVNy04lwcgVXtVu8ryxSd9W0bFxshZ4AzipnpfZU +AlFmsTduSVHCchauhsc4L9g5eJl1tNRq++QDMdmx7GV1JR7Tv6sOEhhicNX5ktWV +U1xSOw6XzEwovF/U5yGhgeQTppNrwF4v4gvq4tlRHdO4ACK0Ld7yYX9wE+KcDD2N +pufBmHEM5SBwNvBF/hQO73tJeVbSC8XxolZ36MSG0N2uG/Iyw8dDDwFgteQg4+YV +TPdMb6h7eX9UtZPz5gh109QHp5rKt83VOKhyUhSFF9xzvlSflecwfhze/JAThE/c +JyTqV6t2IsuWacLWF/kOuDw4cCV8txShgub7RRpN6BHdUa+ntsvCRVzuVTs/aK0v +GlE/ypjR7hsEUsIky+L2kSmQudrBbA0rWivXLGu5ARP4U/XVJKPl5taLL+CCPPAD +Num+D3+WzaemJdKAVEZdeuKC/EII5C+0dnj0ofkAWJd+JcDly9qU2sYyZ5ruwIL0 +HInEmbK7joFr5lGLe92R3vvY2FnieSno+zYyXEDGJ1K8vYDk+cTpp2xbTPyXqT6S +6e1wZBFRvpU8pJJ55I9rdm1VRnLuWa6v0YWDZ7jkkg7pyjuVklSvMqiGwcDYOxO+ +NDbXTHdKgiEnr6YlQA7bQ+V0JINDNEi1nWoStDprqpeVIu02lM5IkFHhr9UV8s+g +CzxWKhQ+d5qshlOv6ZOSfbkP0T/VFeku2A11foHps7Cn7AehAE7iaJKbQMglR1zF +X1Lusej7p5QViMMMFjlMEKzk+T1StUeQ9b8RzkuQil+vcjA+EB57Bc89zupY73cU +fwRSbIUGPXt6GR8egO5UT0l4lwbQFrKytlXml6J5A7NUDPybXUb706x85MPONsR3 +OaXxsdqdJjW/KkbXd0kfDnYRetGJOW89mC3MRqsVSLKPddNABAz5fG5lSoJ1DMPJ +X53a8o5ol4ZYkQbUpSdorYl2xoqQAD09kCcobkvWZ3HxVN6zcbqleMgxt0C8Gvtt +Y7a1IqDWJtN/o91VW5LUxRpWEPl/JWkDmrVkE31MP2qrTwDku5qSRvPEWGxrd/1h +NJLQaE+TVQ7XSCNuNRrDcctsvw3zpMbRuxNW7/xO29rDbs0A2NiU5kYLXcQQF9iA +fY2Gc9OaKkA0wAKJJ+La/dbDqYIegtgmXlKfWsrRZQv//1amlc4W5Sh4/iPZylHq +tYQRK7vmDV7FEcHYgZkg+CYEmYswUVXy2fpSzQcCxsOCAkUfYzWRodpeC+zcnpb5 +W82Hb/fF1Z2JHEZ+dtsi0aYOEVDEdpSwzdb6Xw/Ldt4yH26Z2xzN89X52Wz6Gyif +fR4s7EAXbk3/5x+Vec2AiLUj+oljBDJM3BIVT9o6XlxST+WKedtKgfUYQMqNXKR1 +Erpguo100KJ0jumrFa5zRw0kQtjU35ag6EeD/7+alx5TjvGxTr+RJOUfq5vN9tOQ +MdfdEWSe6lb0eS5aYZUWBtPb4G5DSxp6eiEzkdxE/f21aFsGQ4To6FPuvl/F272t +Bv8beXoAWd1wcx3V0e0oUeui9D34qAhBl8VEOGKYPO59Azkbt20UQ33nZ81IO2md +2PLVZ+nsNel3b+mfArPMdSclSbjPRb6xX+XxnqI3rpo7seoZ5/5Ef6bzsHwk4cGi +tCXRYEJa/CCRB5mAv/afx0VHp8nO6gJWujV5Txn0Gz5jYU2KA7swz+qqQlC/hk/2 +mv8jtrimEttwnElrVmPIcCclf3BdtXsWD1oEoUXeQXY8L2wFYFf+gMpKDpgN84Y2 +L5Wb0LhWMr2qZZ53Cqab3iRqoVZlXwSEqnlRt85H7+X0LpLxPUSMgKdiZwEz4W4e +AGgjB3JmtXWET9zXWiT88tH+GJ/3s3AJrZI/p3idIH9i8FE8bZfzt5I3i1cbcL3o +60Ut2X+rCmuOQuF4seu7hNDgBKVUQKgPL0fw1GO9h6z3X/xB2KdnveoVEQUC3pPc +0ykHIHG0oYNJlgOR7l6FpRYQWVjuDG1BKtbA2OkM3+tZa6RHvGzHCl8xgwNLZnTP +N/0CQTu960gk5tdpfr9qu34GT0q0/yby2/LsKJJtkj42KSekRRY/IqLT/uAWbDWa +8zyDjobrjESIbBlKjZerOd+E0f1GurbiOJLq5rddRah82t4FIUUlkIaCGGeJJLpj +3h6F/rr7B+xICoiDvZFY/93tfOqMf0X3fQGztXRmlhm6ozzcl5iZ/FYna4hJISdG +PolVdyVpNZbDEJya6GCgfAR5MaYlgAcPAhB+dg6bZa1LNTKobqMZlgNlVMxFb6Tn +fd5jWSrJFX6fliiY0HEMhZwwfQ/GcJbpqUAkJgbGciRIqJZfgkpqrDhw3F6NAnhd +6ai8XG2lqQZzsSbZBBnF6w1nBPpU42jeRtKdnmkvRNqI8UgYtGbphPWDSuTqmXlY +k6OAf/9Do5aAfvBpFgMnLDoz2n+DGSsvbV42yrsTH6qiA8EAWkUVj2eLqoidBaK/ +UPaTCNi6jeKhA1YgAQgE4Nd4uznwrrF+3UqtEpUsVX51/gURMcY299dtcEBBs3Lm +u31RXuunbiLK5ccww9dDowrOKuOhnp1/E9QX7GL4ol+ob7jVlzdSDr35KwNuwyWM ++AKLOg8hyIG7kWtVN65+ZbNvbG+Iedf+OFlYoCq2gBwlytnO2dxSTsOWUSXAEAJt +R3ZRaK1ikYIsg3XXdVC8OSAIV7KmewWTvNyU8zXEh/mSR0wiTgzirs3WR2kDiZJv +duYz2Xg4gm2M2+8N8J9QClD6IL6LQh7ykOUH327TCbFP8VmySa64paJXeZ5AVUrb +3gJ8HfTCVKjk4/4LXuKfEwIhWPXlbmz2Vsja4no/Hxpk3SPO6tOOL8K/1ap00W7p +KCn3Jm7bSDhL0aG27ua1UTqo1v8RrSZTMyUT53/v2LMh3PLUhJwu7lFW28OLrgBH +Slxoo8V+1UTMJVLBGKsgZLRbbkM+kRpdMLzOOhqC4o5yX3ImkUsq2an+i3pMkk7R +IFFKX9T+0cXwlI0oc7mXJOcsvMKxxnu4jUFU36wB+MAKft23J7KsF91lnfFk9I5Q +eJuW340KlR6a7mwd9tWMhwA39mMOMYymL16MwlnG8hhHNnK6vycSXcmtMVNVb+Lu +U7l+VP2JvcFyfCVIyB4GXui9oClUHNBpro+8xpXSFTxL1BXp+3H/mhlRoAXA787G +TpresXMBpRBnQ0DEksModCeBgxzDAgIk/96oKexl9yG7hhEGsdB2Gdn3UzdEd5F7 +4YLFfxoHTUCF5RrDPwwESG8G1eqK42zJcGFSYCqWdioqvfvobC5OO/0UPKzAsE5W +wLgSJbMpYBzIriYFwrMYOvXv6zb5m9otNsFORGwehZS2qQkKny099y3OuhauP026 +4Dwrb0cqvSMYP2ZkUcTZpB23OxX2xPuAv6AnMqzApAoIZkdQsYNin73STk+Glmmp +iITU/mafAov0AY/qz+3EWIAus2lhVNg4qFzUM1zLslO40ToynNGDz1T9yCB2CwCK +xIaR5rErIf/3Pz5iAZxxOsBQIZgaIMvjn/fPFLwfBqNXiuiyN2nAtOHNGutCPUYT +uXOmtPUZL+9TkW5cC78eAUuX2K5bfcP6H3oV2wLATILxSiu1rLAGNrLJn3j88w9u +C0dhCVq0XDfM8FsZ1uXZCOwiNkTDQNKCi0yXehbuL/s28DomNj4d4IL9VSmax38D +6VtUx6TKFkmC/fs5AQj/uWzU8JH4tneeY4kSwJ+bF1b9xELQK/iimJUPKhWVcGTk +nSB6Z2lyOQz+o6DEooM/rM78TBEktdX1+4pIUHj/njUh3AzzDx59uCwmOMkBqmPL +Th+DR8piu0mE98KRQqJtHeT3DsG6WEliDigKortgm2ZrafbfkWKCIDX3q3rHWguc +f98GPNXq2dsk5xnEKq+rK7gmFtRkclV2BVV8QUvnJlXsMx7iCSR5kQy7lnMz5DV6 +sk39ILudUyRmLyo20tQav0KjRLxqgiF1fiZ7zTGLqa5VyaUKBZvcOdetNdWHLUwA +Yvay7Mv8yVKeuxOEdCnXyx9VcVnxuwRHF0Np251JRgN57a9xEIOnSPmD2cvO2lFM +xUA1Wlzhh7M0Ln0yq+9z6WoiUWeHxrCP0+0Zn++uVmqWjYKqlf/mbECb2bov0npK +5Le4ConFJT5R28HR9IujC4OSsjutUChqfsHh2TAlQK0Zt165o2QXk2mEPoPstS7l +Z4EjCDf7wi3nULrKuDh0KSmfzrUctr6RC+1Ig8skej1PpGbDXcbiRuJy2T87L6El +ugVQzPO7xFhW0MMrrOUR+GLnUHwHxt0rTmc8NJmsAGJW3h80j0/Itv2kSBlg418D +jtQOgk07rU7Sp95JKQuWnT1I28GsVwRqZB9efuG7Jq/h1KFjm2MEHH5valsa4gOV +KgS1NixxX1gAQ3JlIWTyd+zlJ88SLMmK8ZpOrt5+SgxhwhCuHZ54WS5B2FHD4JWs +j99KOwuDNy5VBkXDTPqQuP4HmNdLWMDioi+DNEqByZf4WPOoLkH6nANnPh5vO5Zq +yxftyQheiQwpjltJhQah4VxV62YsrRsnH5R5rsXFSwQbYf6IVP90Gd+nvbjBCD9E +dSLFwA907/Jd5rGUABxNPt+HDgKBIueAKHihLMW7nv2bMXHCm99kxTzLc+wg3kgb +GWtSKn6gky/r7WacI9mIm6wtwjSRUoDT9Tva0ByfPvXnfKwuxp4KaozwZ31QkmSU ++ahDqksqBLOYI1DQq6X0VryClOyxN4oVdz5iS4qkH2B8g7pDY35Zz9IVthiBmiVl +9xLEvD5SZZpBiwZXvpnX3tXonR4YoX4fMGMidkU/0Ajo06VuqgnptlJBtGh89VGb +btdjF8IORzp8J1ErgRaTVFTOQjp0iv/RlHPfU2cjCpZZGcfnHLSRxU+ZVHqsXQKM +FSWf7GXI90Qv2YIRVIL+xee3uU+4Cgwh3fas1J3UQSRx6TokRDk65AN9kWUp6V8V +6evT7kuRqbv5tts+xQrH06Vha5vQoczqeK3EMJ4VV1CNJT7hgOxGTBMueXClnsoD +H2VKpr3rKTd/va1gldI8ZKOKXVzv7Z8IGX2EGT8oeTficW1KrO/x43DYXebuXGPn +ZprtFCW517IBUamuCNX8mXPXYm5ii66hLHjoUrYNRuX+0btR2CB/db4taQcI7w3O +k2KR0PY7TcHbp3mFce0HU/siGkh5BKoFcxDCrb541FbeHm/R6TJNRiS5VbNCr2On +i8PbPW5cbV0CkqqQNpzmeJTcMcvn1mcwDOLCrNi1zmhIDEYG5ZaCzzSe2k7u3Vs0 +Md0tCZjjwiJJ/qQjK+fQ47Ql5gR+xnDxforyzQbiqogQWT1L1VmU0xK+1LQRGPzE +NszoB1MMNjRdgd9T/hhn+7xtoWdokilr7GG+3SSmV9Wf86dQuFLpSiSl+InjmQAh +NtJ04kOyla+K7hHkCrB9u7F8l/4FdkFiCrcwbOKgQwF5oD/zbYqCr0t/SsKb6lO0 +a6pz41BEAYQq85DaPkrYixR9gX0FcRRV6xFp3gIvQKpzEy7Vh/jk3Bs73dl+K2sw +nCCtyHAeVQGGpgaIsRTnrlaK9CUisxGhpJOhCoLjpbYlIGm4p2XtBD2jNcR1hJ24 +W65bRnOFgGXASg+hWyndVEeL5U3gKT8Fz07oWMCTz6iwFzcxG5WUq8JXy3yc3/Dr +eoHv1t/ntQG/FUvjARp82xaVLCF196XoXSlE3qUaZ0BqSAIIvCwlmh60UsyIyg+l +Dlb1rP4uBiGKozMN6YMY9tzsOw3zHhJVKdAq07v1DWAbdD1xmEoagh+TIdBS+iNv +EoqeHpXy4Vo1HQN9G1fODpKBGFAUwgV6GqXdSZPoMJfQHOP3UvTkwrYPf7DeAOfO +8Hr+DB9puMRMWSSr8ff6c+ppRaUcHgNfcGOuudzg4kv09oncgDA9WV89XbAh3qbz +ikAM6H2w1qcd/N0Wx+BsBwcPI6gWIclo08aWtA/tHK1DKw8rlLkJwMMpsL6awTpq +rw9dPImRFw9hCIMfQyboB2yLXAG/6Ii0Cp8fRHYeaO+a4xghHT6GCe16KZb+Ct2/ +vzarC+cTmAYh8ZKLn/o25Z30ftxh8bmWrKK0kOdp5EaYCCC8mMATudITxIaWpfxD +3+3ALBiOalyXnri0eS1u384gH3/J/PzWi9vLo34YAnEP7YK4TEA1FRUOFlmy8McI +jfjzziEdO1go86nj39M5AuiToAvJ3y90xNelxB2KMP5pNlFiajJlhYcWcnA3J0ZP +DBvXsnun+oduKnOOzvhLM7SadJ4IYBJhEHA0hzg0DXlC16SVCshwNkIYuhzL5PkI +jh3GI1uQqVEYJkpdDza+kpSH/a6IM4Ay6Dly56egjslP3mnPpHSlSprNHVMLqh9M +pRGckH1+5CW1d+MXGE7m+FfcRC9ElfkzcHZ8Ea2qsLoSyDNAVDm29THhwUC6qip6 +xS0eYi3GNRtfPaLWYkWJ5ZA8KyD2zlfPtrCuY78tCwrXqrdr6jlv2gbfXDHbQwXz +KRWIZakR5UHrPjDSruAfWvOK1CckGOVjfDHCkF/HdTq+JzmSA2I9PG77GJ/qmW2r +GzRu7LMrlSea38gPedS2A8PwrUQNe/oS0PbS5BBNil1+ezklEdqgSGO1Oi9hiECG +HV2dwmsIK4KzK/EYUkKmrGqZEAiiFKjheAP/qXqnBMlQnoeRzcRQYybjoGVBcMjO +VTokUFhRMqr/dEAFYOYC6wnugk6BTxVtPUbxIUwKsnRRJyD3ujrgpCYpp7gYd5Ou +pPuCi72zaGFIgd9mKWby9BvNbd4C/hcdaS4MnMoy5ZQ7HcV9m/S4p9GkXzKBDMME +0szzmd0aF+JN22JXVSI72gC2LlqXLbO7DIuvtO6ct6mpji1NikMnT6V7hRMaBkOt +jcOCxCAPygW25nU5jIWiU0W00FCKGnesoPHqdzUAcBU8PhVBqex/Ah2bF0kxfSOa +AcMY8RMv2uPmbeEYvmRmzGdhwNV01ewIE5CU0bzVi9BVhhnF+rsdZjTxRhK9ySJb +FE+UNkA9E5GRXYjCKzXCoQlVXWK5WVhgNO1AE3GSJ6zp6rKoCjBdujSpqbb2uY4R +m7df/ox/PW7spxqfLJnivxb1K7HLiZMnWRcDsVBFBl2hk9WXS1zXGbENVyEM/bJ8 +nioCQV0stt/78Y51Ol1EEhGpo7Iwavj9+esMu0rITH6M+RZqurSpjhBEPqui1oS6 +pYwKVdkMH4UN5r9zHniQbsQe1uagXSdFNHGnKRuIl5ZRtl+ooOIxQitCq4soHvS/ +mE5iv0xp3ZqpLr11/k+iWJjkgezerQe9nniDBWb2uuqAGBB1/AZd3WDu4AtmWLbn +EzV9kcpBcA3c86Rsue0MlMfQ695MvzT0hLZl/qe7cZvRhr0jXEu13BHEYYltnvbt +CLObFbqk8L0laqjBL+RpDzOUAZWWNuldAy6VQuDBnQ/FZQnV0KfG/QfiHqO8s74l +H8xLT3Skmr6TlKxGLx/jlNuaa+7lQWZYZ+mBdW3/AgXbdi0Ss4EwKZehqYUfdp1a +K5GcDKoz3wY2dzyxvHVo1COVT3ke1QxDqBmBeLoeo1K4/vvWFM6uiSu3NSh6FQNJ +50eY7AR7MfrNyE/sSwRUZmUXlb97siixOkYtZmJwIDNSk9j3xYcHv3qbqgefx1iW +vVylq55pin7WwJC6SEApUe6ej9hjCIEoyVQdLsoAP9ghtJcC5eHpgUzW7viiHqY/ +q9si2rIebUNdUt4ROnDcsSf/QVos+zgWHJgz57XS2/J52k1dNNH/TahSaAXo5v3a +nTBdwqXDUxPoIvB3GmYthGi4n/kzD1Oz168TOOlZ4C8KRtQ078UpzolgBkJBgtGe +FpO/wvzxVEdHC2VIM5O7Qszn1ePWE8vsGApM80Xym4fi41E7UYQNI1BmLsfCPkYM +CodQgwLYSvN+RLsxO+C6kAnEG+E4b6cy6zE0jNT5rkO3F4CKGa4vGHIezfpTk/nE +vn6NDz8sn+n26yoxV7DYyUXoVqTOQxODcrs6NB51xkkyHqp2cZYWxxQXmYJagrs/ +V8b9dAAoUP46X2l8yoFqQHMqrob+ivcvk4pNt52uWQaRXGdLwkPYO5FltwliwBIO +wFlVt3n8xanRTm/jTZK9PQ+gA2AT3kTg9F5nYaHc13jvzGPRmRPJFb0rqXILj/kr +23kEFrzSVfFeMkyqG/5X846olJk9EIOXyloZPKr6//naCZkHDvwhmD7g2NU24o8t +j/SogTl5oRlFzM7t9u2RRn9KiPEbv/2TnYYmIaIX82kdc+PRtp+/q/LooiF1oU51 +644+Ds2NnlOtfbJV1WfR64QGrvqL7MhxNA2QxtfNb7X6tnEK+Ai3A2IXvwVF31Ej +D/OjZ1craklNWd+q+DJfWQyQvuXCM69aVOEIroAIPYGpiow3nkBD6ORdJEix4P/t +YpJAkGKYR+0LIAHyuM3MDtiHMLo5JCSKty1weBkbuYKb89JP0XwaBoUvBEKPqRN1 +N6o5FWlY/8uj07U3FfqtX66+6+Hj5H/JtAUqw/JDvAdkXIDUhDANRMRcSInyF6G0 +aNwSFEEnbKSfnIWp/xPCjXlJEUJTrpPwjZwlEBQWpPXFDpMGur/7d/PnvAMYHgu+ +RNk15gR5fuJmNvpieWoaIuzUbOmMi76N4mP55c0z01NFPa+dp6g2Iq0EXxoV5SQ2 +bRjLrwsmPGVwmUXw9NyWzlesugKdygd4fjrK3iVGQmRHHrqs68jSFeN2M5b2kFkk +8ZHC6MrljyGAI/wvy1k1aat7YCJGYdzzGiJiibhqLYItDGcUxfdtz89xEGzMgYer +ms/0u3pvDMibIRhGgzUrnxXWuBcVOw5AZa2tNsunJy2J4WVlpB5czDthdURZgWm8 +IzerDV0SjTV2GO8ucQAEMwf74vUEFhrEIxpk31jhR1FBMVaQ5vxp8DYDZsWAnR0B +mnUGlqiEqUZFC6e8lTCiKT5a2PtS5zqCIiQlfZqbiJFT7On+cEJGprEMfpwvv1l6 +/YZU5DrCPIaTR9R9+4I5eY8FnrB+52v37EcHqY5zomF1Dr3XVqKD/tK3Acnf/9ow +C9GxgEcrJJlspTNZPMSiHj/EaBCw0ZzFicr+cUxY2mVbYYgTFj24nYHlnEpzL2uZ +ZYW3vyqd0bgDEbSkVn1vNPCsvMQeO52f3lvfb+rQLLaODol3ClMTPepLNhrWtrgu +hI3tY4z1SmfrYJTr+CE9cbQSX8F4C3NxC73g3VPuUbmYk6g0+bdiO5QxAQ9AnTCQ +1gQvui8nSOkN+Kl3mQpQFT0i5YcbztdphdxWyC3jHA70XlDUaCgp15Rtt1F3z1JA +EBifmeNwBV2Ydbjy6xtQaK/oty+2RfqN4cx+U5yegnXY6M/dRJTz5Y08gzRcoqaQ +lI040nnugeCK8q6ywUh9iREkC8O1M7FHdsuXHr0cYJ5OL6dSP8t1CXzg/1Zxhueg +4bEy38MOvGPFTkmevvG3OswJOxMLXBK3s5kXpC6W9ypUdJsuvsuA9qsQEb9EfShu +UWOCFxpbYO7vhmIZWLDy2YuFEBaZMrmbWchqKcCqUFQZU8cm7W1m+S2fIbO4YVEp +APK3mUt8jnOgh6SCaPvg8q2EbrGBi+hz/ZeUUYPrvG/HE43EHWDQB081tKBzUq90 +ye5f14bSeXMWYZAnTlCYCbbaAo3KqwcAs1A2/dmq7hP6Ru44j2EixVN6K7NeKSRk +cCNlar4bUwtxdlydiiMLx2C5su+tqP1I0YJLsyYFyYQMikA39e9GQMSyHcgL/SlA +yaTFJmyHnTiloIwkLx9UEJUG//bKFoayQZ94WT1v1X6OSjFyFufgevmF+T+lNIW1 +cK6yUBMXGLXuAJKxwyceipBlqP9Y3VSOgMQGYTFOanetntfOiXZPg2KQwE7yIUPn +RheeeStPhDLJ7iBmb0RnW9/6pjjvvexJQXY3O/+XK743kVYlW00bpVL2PL8XRFSO +IsY8NCrtBQCDUKLB61/gK10ByPA9c7rLAZIwRQR27gd6OmXCzp3H8LrACi7vRt0W +ICiC1zQmd2G2PL7xcxOTO0VuzccjWYxNJOjh1UGCX3odYX3miDC8nTQ8xi4MpNhh +AH9LHwY/oVd6eVgedYevVsivP1galUmt1Cb8pKV2f1ey6skHwcP3BfOovbpVau2J +rxPbLlNUre07oOfIWeYtwNHSlW7+NIwsGczCM0mgB4Gp3kc5PpGI0/52WSIRBBeU +4S2eEnMi0gC7h9EaCS5cDWAkAols4LdUTg3HQOFJn8qOG64gz32x7ft77LSV9qxA +na9XDgSiWbBv8+sQ6GPcSylWhhIxmLntNnBGOhGuXl7tjUsloqTDNKY3o8UmpwqB +OJ5H6NFvmG7c/qDayfMAUkUoZqtWR/hF7eXBywtIK0EDNgL1hwgDKuztlTegPRGe +sxA20kwjglK5fu5euuKiMgU7+cjARRoB8Z2MRF3UlKA7qqjjfTu+XCx6V77F8y1w +oXcEINfHtJbbKhu2IpIoxnQQpp4683qR+u1LtHvHTWo0b5Dxpov8OtPMOvg38INW +GnbP2psx65hW5cUGPIRCfHb9v1mvbuH5PMJW4LZeFEWYBdk9/212BannCdYfVb7G +J2V4YAzIFCtia6BYVPl9O177ZARyC4tscCHua4PTIpUTYuOS6NWgwsBWnx2b9lbD +UuUuHScu8yQhfxkU9cpdWkYw5P/0Bfp+YQE1Nr90p4aCpgTL2Pqm6H62JR022pk9 +zj9TSkk3+9LMuUmdVMDR1K1OkEyEQwKz5OBcGm4FpwOb7+2MwrFgkznXRM0pRGoF +WfIomhpUDaGcCwvPTtMiGRPPe7yVQAn/SBNwax9YZ3UrnKYuYm6JvI7q1G1SNaF0 +0q5AOtjrABsXk5Pd78v5kTjl2Xbod/Hyy5dm9RFwknjMzwfe0WaM1eLBQ+Uq43bC +Sf0OLM8w0BPd5iZJR5M3cBKhrfxuliKyvmXGdcb0Om9Y62jbk1Krb/mZJu+Rw9r/ +kYFC25mZHpM1anLgNbMMzq0eSmxiec4AqsdcD2O+TrTb7K4kaq7Pac2eavRhXWlb +QkxIzNMGsuO2VmqDy2xw0XiVkgYeYMdvrAP7Ue9UT63DZR7xoISCMkDaYPmb7Jja +3XP3mIlHGBq0FgIeAQM9dRXBYsuzEk2j9ciffMh+mY4GIRw+gUftWJ/Q+i0fuBvu +jGSYdioOY7SWe1SlvQsLZL1nlEcX4jHfk4ZGVGwB9+QETNXw6myOGvlJhdcYKeRk +ydys/JiPKWBwhZihHTQFQ86jrUa/VJeo9ElMtH5YTqIWoLviFuwiGorGuulQosap +5HvZF93C00AMzSoErHlvwMxFkaKi2RJhzl87Y+xYcWPEqq0S4CE8cxztA6vQQJ8m +6DUiBMoCxAD3Rg/BdoZ/eEpxvKxG7HQ4XCjDNBp1Q/xKuoq/jylMnS4J3TWWTov5 +4/1ofckmMMT1oDZdRbClmJHdm7Mk8KHtiAgLcNaaeaAl7WtmAkwNb6nQ5kYehKwQ +tqlPXrOEP4KB6A7kssQDn3WfOGeWJep5DPCUMJadwbMcwC5wfX/Fm7/m91yQWqog +Co32kQmadXnYrGSsQSP+o+ErkF56qzPz4HJVPScBafFsAbMMknu/nz7J2dNbnKw4 +FGFEpf2D/irir1usKBQuKtk1jEcwP2rS+lrcuYfyMFvUxqfkmzhDZaw72+opVZgj +HUDnTph31H+6d0x4XaDLZBwZUDcnLv9Eh0LYDAN9zU7DL0qZws6S4CB/vsiWDaTT +WFBTyxCCCstl2EznpZVRIwN/jw1e4yzq9ytLLMG28Dk8FsDbPssnPS/l4Jy0YXwv +ryo1cNMDC6c/niT0JRxcwRpQUKDcwd3or2ZbmZspV56lCaUFzn89K+XR89vdIyvV +LL03u2tDRKRO/Wi/gbajkaqTEjObAytrtF+hmdYXUyNbsrDTMp4zum1Nuf7OUO7y +VRpww+8qBJ5Wbi3dGS28tgE2DUGtPXNVKJUpSCXFp2NuJQwgUZNyvz4vze0vu7NZ +B+r6C7gVYMuz9/vyuYvA6SiFPj43X1TJJHuLvEeNanud56ez/BK2XY4ppjApg4nn +VvxmN7zUWfq3M+Me+0qc+rF6rKbVRoWAtfzFDmIsTZm0EMjsf77NIrR4ynp+BGsr +yDXwiQlyQvYZfzzqEysljVkKzfr26iC+HP8fIN8836TtOAe0vaAfDCjOay4lVK4N +u8Q8AGow9awIFqdxnTju8ILNY5JKgbzoVkiuiuVOrjVBnSgt2AvjSRqBZCi4jT3Y +l8tNHwKl9tNlq0pOpsflk5v4f/YjT+Ru/CxGRgdhzW7iPB3+56wYVAEl53MW2u1M +wAcEVCqsnrb0qfXSsL19qK0AdvoHNx3kfQYcIKzFqCIe16QhkM3kt2yQNL5pz5sO +4Xpdu4c10/Lqpg6wrPyNL0XA2np90EXM6LlBTYYHiMRTLBq5M43aUdyVQ/OgkPl5 +Ot4ZuVqt7Iia/GjO4r0xTwK9gCl1c/TQ1ah7hvp7rwsuT1OhkIrXOfUlPEF6w73i +F1GfrSwkKbf76McDIw19Em70Ha88lDwxUnq1le/i+Ofz16OZjdzEFP4FqL4XCGjQ +atcwIuAr2zaU6tBMK6S2GxvpEkCD+US5Gc56PjEMKT65UplpdV8zCscFlOsKLX5t +DqoRcZZx9nLyleAqlF7JVvryRJBEIr1Lcn/z7XK3tsBdCZ9OX1y/JqbSaF4jLrBr +6mlPCmdyEonOc1ytfb4W4hmNNaA6n5IF7Hk9oMrlxzI5fCNib/fhAcUlN7UzBPPt +D+xyXzqInqpy+24hwNdFb77rIcWzBti2EnbbgQ7YL/7dKLrk7+tpTbN/FyePhf/V +WlBUdblwP8Kv1GG8F17feJE2WywkZJ8+uQywIxa5kdnSo8f9PtkBNaW8ZfY0zKdT +kFZkZ8HKbp9zLNB782myfygpG50hjp8D+0tgJ3+eLPCE/U00fmpTxDD2qsoU4jYe +I1G2Aw7LjiDVOTmlODYMzOd3lsoimaWXYhd72CVu0G+Y7k1uHWide2UvtEc9ZaX6 +iuGepYm4UxqLYshD4dmf2a8WZpmGdoWSuozhhJ2aqK6aM1rEiJLQcjtKvxsv3XTn +MmO732Zocdu4lTKW+uYBLuy3Rm9exmcYBWORBnqfJdUMxzH3L1Hqjceil693Tp4n +Tvv5doN43894fkuCwScLbtfu7cfAMAUBS+58lb5A6QoYm5nYAh9P9OQ8Fe1Xe/cQ +iZ2ar0Mtih+ZyDyit5mp89MQ98nKFiKrDxg3L7gBiqAjEPo9trx1rNRrwHfpaPeh +cj4RaEx/YnBEndVO0LwLkcvkkaE90Y77//5HPtbh7tJqJnZ1yMdKTZjW+Fqk09+a +XqAiY8C1Cpa2d+C9/lxqA8F7hqh0lAQ7mi0BR0kbBwzgFwcBuxr0v0rA3DgNYuXL +yB8Zl5ewtnQcEjWDuY4YkHHTjAbNyZLOt2u7Ndwp+UXfvq597/KFRwDXNA5Krx+6 +e/Je05GgP+sssCnDyPVzxR+FkWPbW4tXwK+XSPOyA/r8ZmCa4uxFsEi9N/WqW4Id +MFMQNYHqADzuEKbayGEAQaEhEi0ByTDCQBYYR0yxzVBBEXJXQN3gqXAPtfUXY+IY +rsFddWocKJ6sSHif/2ry7Vll3ePHlSrmPgw/wOaiEz5PT4eq6tWvxzcP7NxJBH1T +P/oDUYMtcjPjc6juyb44sNbaHrD/gmyGHx0k2gDJviCC4hNbM0TWa1hS4vW2xIFP +AXtnEGs7BpoxgrbIGQqbR7aqQaZ5nXJjnvb1ysjZ5qbqGqvfI+o7yLfrBPTrOtxX +CJogtWx2Zou6mqrR1xtxoS3hGYgyi18zs/MdMWXF/oEGMJQXYro8HJVCnMYDJy6w +EN21UeeGfuiUFU2Q5fkNrDXs89eLI/pr8oMII037Tv0Ek/9CN+dboDAcZEm+QHaK +w9UeCqquPpGwGxGVKt8eRoUsoQj8EIsHcoAYlPL3iDn24sMEm887DkhYoruaRlw9 +5k716q0gvgYsr7EGatF8vF6pfvfeLQOfQ0E4AN402glGXaLCaH0iFkTJ+EQfZlE7 +PHTytJma+atE/BpLkAQZ9zzN330Lxja9CwqmCUTSV6FzmHXlFJSBmgvy8rcMpAu4 +p96PPTnSv8zi2lutAyruBhuWwGemrN4W8aF+yXctQp/rvySzTyef7Q4wEey0P5co +2wKCTRwmtexhHAgdHmZiSl1Ops5PinfOhpqcSDPO3DHox48zpm+N6xrWp+9fG6cM +F/Aa9tUXD6niL3DWifAglslkv4lW7jNT2xt1XLuIflVpMNNOSEyk3ctczF755H8l +OYWeRw3CSbpBTNCrBM/J6GG4AnLyihphJbj1Z6STHOzzmjJG+ekPxc214/vhVEcm +8IR9mplW9Fr7pgR8+aTz2i46OPGeDkMu255JadTRwM6E01L1Y+8OAoYF+siXn/0e +or8XScXM0khjSbbt09qUfIrGhVYMJ/rxYl6gxJqbeHh8nMuS7ykv+TuUx3hmrolB +Z/hFWFdOkwcycd08UbB9GjO9aOnLhGyUjhli5XHY9JATm/hnpaDFWlDs+bGVDpSj +oZOcSSL+jKY2NU/XoDfO57qY2XhIK1gVgWHuva+ojOJ3xlpkr0ofy9m/Js0tE/Cs +rIHcNLy2SgYDe5jAHZKDJyhlbt6XEPHqWA7u2CQBBtpxCOQbUmbDzEE6XX8DVPy5 +0kDl1Nqkwes3cJaSf9rMFmlWyHcPcC3I/xUFg4KRCc3TxihOIssVun1Sr+HlZoDe +DO4tRUF2T+cozvd8P7MxARoN+O3gtBTEZcECWUUwnvrjSkegYiuSzksqXzoG95ZZ +W7bnqnVm2EwOIzWVg1lydELvHESAtdfv3y+aMPwUMLAAPvvT6I6Lc7/ZcK1QAHVA +qRGYms/RLYeXxKABEbKZLcf5PW72GgiySxrnmis03jAeAsJ8pKNCzeuwOk9+Xhe5 +2nwyKV6vgAPJh9clBcHfE2H2XpDrJq2WCJUHklr0kn50Td1eU7/l5ZXXpvS6l1+g +UvoKxtwIq8xCMYRV9yS9HYnh97Y63LwYUlnK0/EL+q6cTFuhBWtyytshcD+q8yZE +62Yu/HBaVDnmrHM8RGYBIaVpPTT+0nm/WdLZgH2DEpiqn0pO6b9YTYLNDptuVgcV +KRBhkmmb3rDLpTZvfyEvxPqEt14zNTM0bAM4RnQ90XlUrsuxNL9uz81yBQtgxwAp +oAo2Uu8XXDrCyMA15jA19JxKVwo+Q982GPGXDErk90AxSYS7dBfa0yHB9lrz8XqW +aI04t/pgJrwagi2MAlI3t/pp/0v12MqCy3P5U+BTw1RBeb0ESj1FQpImzFISQJX3 +O0dZAjVUYxPgmMMqyCiDfSQs/HgXOJaCuLQ4+MJDh5mozVi5weEB/4pp/KETzmbh +SnVmJOr6RIu88VSS0OWLLaenKf3DSvI4ypxo6+BYJk+/kEJuWcEHYdKTzm8LwKON +Gp/PlLYstBeD0XeOdcziEDgkouUS+btCA8DTWUt8s7TydTPVjD/MPNPOcmY1R1pu +d155NKsfsDyudwDqPeyQvg8RdMQY82YXkg9GuC6jxcf6T+sH3GQo43OwHv0TvOWf +Xozwlp6G2gQVuU6h/V4a0Ek7l199wNnHJbl2tHB/PNL3lFAnfbLXBrPmZ2v8qDCE +hl1AuByafpmKQ5I8OQV3Jzboz/AWyU4MPCOYa+Waid1AJqfJBM2FHTsUtYU9zGMf ++j6KORaQPaq+zVNrKdJSUJPnNF1MxUEz3w+haTxx/06j0YQxjeS5lI2u55bfQPjx +WyjrzCoPGcIRMLnIRDQBao+QWFx+IApOudAHYDImdbDJLmYAcWLKD2rGLvR/2jEF +SvELB6ZRuQ9wHa0HoaIgDvydGejKo7cIUL+wIHZ4+4FyDv2VkswPTVHf354usyhw +F0aac32azc1qh1DFgc1Ev+EpTYBX+ef+bXYZLXxZHWqpf57A7uESMBOGGd/sFpDE ++AR6aS79n4nU2Iqf8nMbnp9hoWNHM2GlABYtC3k6oykr83k26HSRuBWM/aH6rxRe +JCn4D+kDItI9XSNJZt1ZgPbh1FA1HTJaffaG/bZMz2EN8XuPO9RhMEWunsUZPEGl +ofcHWmM1R5dRVlu6QFvD4e3hpc9stIkeUB9hb1MU6++t3869I6QyTCu0M5nibEIw +uqrIKPZRa/uuEFXiqRpG3TRHyV+vuxgm3E6XRIvgJIWVdXkHiQhTGrEdt9PfbTMe +JZEGqzlEKp02UYYJoTBbrIot+cZW/ItbPdLRLxZxd3kAFWhh3ORfJRRugTHVCvdC ++HX8mivI3KqvdnBTB0Xq0aZPxXlB/egQKBeo4p/eXHIkNsfvQhsQuCihPSgWUrqJ +Js6Dh6VeCIEnWkxzXM8AJbWkNzhdY3KDzyJKmjDDGdXBi4RJNaZZH7IEk54DXM0C +QRuzOg6n7fkddA2Q28v9ydnUfFtkRBpzCYFP4Ofj+pGb/aaiwTvkhjl/B1W8Q2HA +Fh0RY1YNN0lZxijkmQd18Q8iqwTuPvKbdGnG3V+XisFDndvZbfnc/5w+t0sC+eVV +fsRQm/HLlAqmcqd0WbtEd6H+sWkMeX4PliUzSsrMC301vZJwXwWtH/FixUbua87d +jtJOI7q8ytpEd0TelZ31ydhpEZmB1I4Gkkc3CAx61FmalvYI6R4az2ynj8FpQMDm +rADDca5ve36/K1TD8bgNlyN/Ez4TPy8CPjCVMUNO9YzH+ptzQOQAy0ENMeBPryS3 +Y5Lk6aZIARelLo/d05hSgRVnogsQkMItT3RMhWTAxfC0rZU/MgfxjZ7i4SOgSg1Z +UyBtNGbfVrIxxB1AUVieNafGV9s+QJ278Hgmva/sxPIOQ8yDl9n00iLLvi/gHAzp +yM0qbCS3uwV6NzQ50a+nxuAwuOLN+NSNzMbpBLbmZjYFT9X2JxEoe9N7ddClfB8P +fGbxRwiduB2UzzRZPaR76INnNDPV/LJgO5XNkCXhZZAj2rwetzf6yAbSFhX2pEyU +K41KDhTow9pGSFkqjXBXq6haI/rBbGvPyG6s/bPGkyqWHvkOWCZ8FEAi/I4WtQfy +bcpcCLEf7sEpoOqUKD46tdLO6iBlcAMDwQQHVrksM+xisQNUgWbdzwugPuPpoCCE ++Utb4tHjNutEeDANsq1ajMV6qVAkk6aGewMiWl2bF2d4QuEpJuj6R02ICqrvY+dG +DFdsjUAl1lID5zxDFVri+biBTH8exsm3/EQ5Wq01YnJJqYvmuh8Tw3+UtfgYo8vt +HsmukANCwxECX4746hfIqbrzaTQGaQYMHkdM5pPFJq2evAuMzUZ+S3AdPUPvSJO2 +aXT2nQVGh6BG9Ga5Dvw+/tRF78YD4u8hpHQ6gY6VOVxUGz2uSaMkPI0xuj4IIsdJ +3F7t7J7aDTvn9w7vHif6F7m4IleEcLi+6RG1RwFHruI4QiHOIqd3qN0qinoUv169 +qTQrAep4Nk+1qg9/QZeto3NHMMgCadqWWSaFC9LzjNpLQJ2RoV+lNoNOU39XvwIG +dFDItwmBiU6+Mhx0jDuDURZnHpKiaFtGFTJJbHJ22aztlOtuPqV0VvrlXiYTDhFL +7/VwzKdSuZ1BSkoW3ulgFzlggWfg+0qWHRX9N9/ctY9oN8VQ7UZHbGCtHI54eVbY +atJeOu7/mvbpf4fzUwm0dC6KykuvYs+AUjnZmyUcA8WkE6efebwBWpo/XU6EWqNc +Ha/8GBJfX3plz6acDOEF5FH8LwlymqLChcMd8+AqBcLJGrsHMaeht4eIq3SLvob6 +asM/bYkT8zELfcQUB4e/ONeNyG4ZRO//rJKM9Z8Z6sMHJijdgnQl+qowQOmyj8iP +/nqRIGZ2b1kIMrJwFBSoi9iFsTW9sWNf73tq1nNrYIqcXIU2O0yAGPOMuSh+MQZX +UT2m9my/Onz4LODdJNrXmxoLAjGZOq91S4GDXn5xbYBEkVy+qgXwRJ1FSpKjtyWd +qBTIS4hnOi44k1GpSQaR5eiEkozLs3PeHMq7fi1jplM0mWYls9F0hVp5/ZbS7ie4 +QfBh9NcgeO1sfFWZL5yLDoKyz0qDG4YeiGJNwEdHs/xrV1dWcTy+f10fv5pDEzVM +YLu0+zFSdxJBBcjAfUnHhq/K7KDSpbykN1gtXChe4phN4u3DWrxVs5c7LPZDnrcO +45JdHa+gEDDua/GZOkWXoRlOc9xyI9UqLuTQKOAVnvcSNhjn16j+Hhrl8JnCQh1u +cTQ0rc/ZSbpuNar6j5KqT6xvTCtW76lxewUroMMz3MsJ2JoRp3QO2i/WtgM+wYLY +c+hjdOBimB+YO17s0o5/9ZC1hGBt4P6U7s3sNO6W2y4/IgXFdZbrq/gvJwc/ZblX +qi95HpqkiNv87S2zsHJN/4h6geOAVIINh8CsISvZsdepg478Ehs/qe8bpNrFgSWv +qirHKUi8FBMlIiZUaFn3b5aH8eQJ95nWUJIVobKfEmbZN44juW7Xkkw09UEa+PmR +lcztE4Z1izJAx0cshk+/nrfJob3IIRkg9JJ752WixBeqVg5jmPtnhN0l6Z2H43Q6 +7YedsJfc/zhwwAgaDTjrKMgLDa6g1y6Y1N+I6LLUYG9E8fK2/jnejQITW3GRu6ch +EZf2dzydYgCZDkASFLcMp/xSpXWg3+rB7SV+gIFNzUgs2XGMiFR6Tc0CtoqDReAp +5X3XyE1zCuYiTdEMio9ICEr/0toXrlaunho8SVykfWMzxbEwbOqTBIYOeEl/Mu0Z +nEvk8bshYYcMEeCwYXhtPmEGXr+aFmrFoxjjdKp0XB+v+hFPDN2GKHgpL6++BoHw +YLXtAB9Mabhe7EiTe4zMsrRuq+Q2h/evOtelkTycOoRDOORiJsFoUDxTOzl5ET1P +m/BBtPQ3sNQuBmcWOIEC5nGxzRCjzSxsoIYvoFw4BHjaRMvEQN2EOhhoTYcj4ArL +LY/S/mlYPccv4Q+0D2HeLC1JKEhN1BNWNB16lzBU/fdqbZJSTyb7pYlScP87cNLb +7BiRGk/yihcoRgdhLDTQUPUma2V78n8f5WlOvhINi5NHjUQ25eFsrxe5hboH8/y8 +S5RqcXWf6r2cBf6POvnHEIgOVGkWSff+yz9mCgxy/Ha35bI0/cd4qbsnVgppAHHf +U+IP4ri4lizqXRc6lQSQJrKR7C+rsa8wh0RQiFVyg+TDQN8fF2OuYpkrtqxJunoH +dJwO9/bNkZUKElryUFV/POD2741mpPbNmfypRemfObOp0Z+DBJCg0eBsp4o4aeZe +MX0GtK7bZSCl35actwB4mnL5VdgxuZpUXgoYy6oDbyKwa2qFl/IYf/N8O8E6wUTw +PeH5tDCs7iLh3sqgmCjLX52wawcMj7KTyt6VXxaGTyTBYZqHe71lrH63gaoo3Z2u ++mU99tSx2P8Cue5rCrosuUAs6DJNVHYrh7+OvSyqojglnF/PxlHemVeIl+sgmCQI +09Jvs3CF6hJ3/u7tNGDDoBN8Pf0EpKVEXynAJCEK+PeMPnCZ9HJQirYT+tCSQSAc +viEWhsCJ41kR97UetLfc+wPBTz8GF3SoFkqu2bz0p6jZPQpCnX8ePjH522Bfb+wn +L2SXFXxAneQrtCEkVkRITpwT9dEhx1LDABXUN99kk7nwE4wWmqtuL8BBsiJK9fyv +jE/HBPnhZgb72cBdW1HXFLNkLTStN7y3RQW/z5MEv3x0LsxbyGkn7Jc8BAAzSYWz +NVC+UEXhq9UvyisWl/tTDJNT6Ck3MDm3NaPPQfPRj/D+Altr1ODyHiRVpdMT6nWh +y+wSYD76JCeaHyldi4DSna7oAFL9233lEjlZF4pm4guahZ1F/1B+eBmnU9ECUbsF +oj7VwUZD3T5p5Pq5eW1hGV6lgvpJlapoa3X79EuqiwlR+MqXEkHI696H+nE3mTt4 +3sdGKH2ExTwrlXOjw6e3tTZRtXjf/a6BO621m82rHzfTy567Rx251ooYgq1UsZRT +ihpZyriK3+VO8Hf/Sb9g897CsyXQxNSi8blUpmbX4cYaYYe7kleoW2b0pQZJA2tx +R6KztVY/lkSwiGsdMO6owqI3lm0y0yi9OaArO4dYYYjVXQ7u6gqvOIWk74OBgiQ/ +fFOp6v+7qnR4UG3mdDv91XncFYjM9JwJoWyZAe71B7xrEh9P0rd4WYRhGSs0uBaU +9a0E9N7TInSHzYnC6+8B/1tsoWxGQTha09dydl9RK6SsLaX4b5Ogeazgy6+DXDOg +hWEk/w2sgQ+azKQkKl8CEa8Ky40ShsQMBYlEgKArs5SYofH+sLVQNXZKujWhKCVU +anAbg9ppVt3/9E5jcrFwGsjLJUYXRRwPShHSDcJiw1SJJn+kJL0GbZp3L7QCH61d +PkjwSgixa1K6cBTOhLKLqrC7SwD8Tw/RH296UJZMnmeUThEaYIFLkfUC1N2eOVjq +1mhLnOViBwr92HfwGVcXaJtQYyszSRbW+StLHTHzOw4Hc9RKqyaijolV2sjQ9mr+ +S0J0z1zVY3Vi/KvRrGkQsnRrHsf6UhluIqjm6uaIFwbutek4QV2cMKt2JqXdA5+Q +P1LJ5lb8FPBrk/LWqc43+FwoURb21sRpVgkKNGRuUgNBUUUqK1JmKd9GOkw6FDi4 +muP5GzvWYLd+lQJyfseKiuIvTN1pHYG3E5vkFF3j7g/1OTu+HOjo1O4drB9u+rQN +V2Au1w1QxKDm4VO7pqrQC46t++SzqOneV4+AB9ZIVPfxxLZB6gKwGKes1kScxTNu +vHexUn9rAmSdKJfLeceOrJoTyTvm3pSNq6HGU7Idg4shEO9P08bEznGEgYSceIV4 +iCzFR7/2txvmAxG2NSJQYbO3wnYT34RecsahH6cf5GX7sUPosn2CkaS0VNw03SOe +0fbNlrv+LGF8Jz20amxVteoTCWNfS1Y3DIl1FJwXN3LssAuTc/15IH4EzmtJQESg +L4Knnj1bYA8wxg7RzxIlthHJXPaF5tfWWfIjKI0H0WLw3tsHItcM2Cknu93hAqoH +WKoH7iVbYG8Sf+GvycMTwwfnzBrqqeqoMpTe5OtZfuS0D6HULvhQ3+haFZpuEOJ6 +wUePDVxU8wLAbDFccNF/HflXcWA1yCTp5E7NQn7DgIW7vQ1zXabWH2LmIbrxipas +QZzzn4vwFogZCQ1SWmTrIQM485mjVGl1amOENGlQz06QOwMRZFh8V5ohRG79zPci +HaiJkgNBO2AM4fRUcz0PGAx3oMerEzEx8xJalofFz9Ya/uzd+2eNckNYgwDRJwfX +GdTfINKg0p+kzrOVT5SzAcMKNZ1js1sosOv3yAQ/0rFvPw0JfDrxktrx71vFdHNt +chhmQgonsL5ZTksAjnoI1FZGwQ8m531nKrRT+S61Toz+m//57y2FOONR0A7hVbM7 +aw2KCmNvF3cLQCg2IBJxQ7KTLS7xPlYMox+Ew2ZCDttnPsGNe8wToKsnSKZDjqfR +9STQ/FupePFcMOPtyVTqaLL0QnFxPaLbiPBcbtcdPmxlu7Jop3pSo0qlctYY/ARE +k7agXwhw6+D1D7KhEVZKbQXCC24t3cyGG3NU7gZmAQaIZsikNmbt4rajBO0gQZst +pJJ/qdHAUa2O4b6LMdBpasu5ij8kLCn7cu3WgdNHSZuqeq1FqwXJRULoEdx/XDZb +wdmdXLLR8vM5eZJajkFhDiBcSE5Xb5BeBYxeSvGEXVW5nVXEcBV+ozl650D6w+Uo +HkAfdx1qshezhZA52LEOQVA6uhKYzqCJgdfqdZN1EDa7CMQB2yYKtqoCsnhCRC9A +VZfMJK3SmPAOqEYYjD0hgnQVqwz33kjdU9bF0m1le7+LKszzokhPBeC2tkMi7Wn+ +RH7H3kkehZhzYnBrWLSXl7igX6y59c4iDqY6zK7BwoHAi5TmDJFU4SyvZSDBtbAc +QEwo40whYoeVckbdJ/Taqw5zpPeSptVkjU0jhOtUUq2ZOodoatGDbaqDcnDM8962 +Ar3APK1AMUTwZoMgdLF+YQ/yf7K3Zehsyj/gKZ8gKZcgPTy6y3UqodJeT4nE/j9y +Q5W2+hH62eXi1Jx1xkH9qsF/Un0FXKX/VpoU9Q+e2qNsIY1rMohrqCDj6uDHpBmO +3yqH3t20ccqvJFHK9QM06DR4T1oJHeTfVov4uRQiioh6+vA7w7I9BDaelDetptVo +d1ZgpoDLA8uAmsu2B9qz/3i0zhUL6e4gfRTm2MiQeVWfSgE1SF/F6hQa7u/eq0OH +og/K3iQrKjB3vpMz56fcWhTqugTgunFg8ajGGLVSg/ic3ohXEFODGc1kuhThzY4u +X2F2o6zXcAsjYZU4sXDnbFB6cdddMkjUeQjPT/Qt1R0axVm7JkNEgLxJgEKxc/fM +GB38xqv457JuCPOOV9WCwwMPdPQxF3WcRAZ5r3XsvLD4f648z53ztKOExvesge3V +O2mhUEP0Xx/+CNmH1oi1cIExKZyDonrKuJ925w5fSD3otF5v+rU6nZf1JDC81rqn +UT8U00qEuvkH/tNxjGsMuTHS7nbHAuG0AUo2sEO7yuXQ+syjKBV+enN8nIOIPhWU +xo2+Jt46opVBkRJgt74Qkpj7ilIOookmUxh08TO92OIHkvqDSbELaZjhQ4f3ungK +Eh/bHZJ51z5g/O1T+eaY1oBr3Rjq1/Y+le9r9DPIeoAPuTvtn+jclGJdaZumuvgZ +BGK2RLF9Vcpq+2RwFcIJPkoCYGuDSu0FAtaEZUdkvw5jUqentw3gvdiPyhxlAJ4P +ORSU65a5Spd9ufzrBe1UAXkrO1lxx6EkGcZnzQWwVHUpG6tfDSwZNLIAYr+KUuLl +WkdtMk8szO8GUbWohrDhJAmg5vlp/LqtzwjjxTCLZHaxC8g5+7W18/zLJG5b7PhH +HnxMqxbHf0jgglQa3h6BWWhBNXy1hTNZToCbZtp3wjbNkQUJS2rwXyXzhubkIoLZ +WNUGRtTfd/S8uk0sJWMYN7dljm3aM4VS87RjOgmK3OEhYZyZ3GB9VrusaiD0ugaN +pWsNberXsbFFvFf/Hua8mV4Fy60G1FsSb6lYe4pPxddS/Fu0YdqDKj0mWK/fPtOn +lhc3cHPrHrFoYdRYCo/K048C3yaUeCiuLFq1tGE9RdsdBnUqVRZJsXFzFK8Buc2S +2+XmAXqk7ukXQVq5FbdVi3U35zYiU6AcHN3ayMDSm6M4fi8CHd1Pij+Vmmke07jh +DwZz41Nsg39MdnLGS/lJbD2n5SfAuyIwTZ0+z65tS7ux26Jl2TXO4i9ioYAmTupS +im6h62QO7U5jxJH0p5v6BsJBTUPG33PQmVBSMAoyX5nLUNOMujxEmsxmN3BZ3yzS +aOk92sw8n8naoV/sONeThPC7gNosBfDSN/3/mJNLNFrV4pE4rcYj+8dUs2D7ge4s +sO6+mF2f/w3YcAn+ke1P1ZnVNtqv1kOLDKr8IhAFEsOXXwdNEwsc4WXwFQBBI0Le +Ndwqkp0iDhMCAhWwNanM8+Ce1rihF/LLpeoTypjPWZGuGoB9T/62QzRfDAMe9bt7 +1+HIgSSca94eAZa/qZhSvrHsfhcdP2jtMWzhpEFQpVzdat/zgAh/2N+YRXFtDLBm +VeuEE+UjD6lKejJ2A6NPUN47+vj2rMr55qijWGREf8BwL6yfE0MyZJuppDXxPJjP +FpsaCNbXmefcrR/+WDKwTfshcoPQ4Sxj+v/Vq05yrvAFJPEMvpnctpO1H2kxSx45 +z4Ailodr1df/lSUWc62GAU4ble/CH2zABy11KN+JpNS7svww1fr9Wmeynbx5w42t +J9wX/hcnSo2YzS6tnRZLBTRrAbMs1Ado58p2KS99WY+2AyQm2NWzMlH1wXuN2tAJ +29a6V6N11qSAFcQeSQqyaMiU7EJ1mm410UnnS9gDKyAdlDV9wbMp4E3xwoAyZr16 +ZbqM3HChxO805HoIxoBXfmfawTu6Ph905oTW73NpudhQ9KNjxS71t5tHqAY/Y8c+ +9twdQ3Y/KImtkA/b80T4csRD8z7/04h3AQn1TDlfRrLdO8/aSCAwfmssElhUMm1M +1x+6P55hTPWqx+a06udpCbc1pDES35Xd5Nvnr9Ncb/rO3VU+e+/lfm2RZ75f78o7 +cgKh6Hvxd3uiB9CQ44H3/QjRFIJQcUPWfXWxm7Nw5OzltAhmNNxstrilW3s2kS38 +4LfuozOO9wl2O3URqXXr8v7GH3CIcgZ2ydR5q0RwQyokl5PidhiNV55T1ejlNUMX +SlBJ/P+GHcZRSCUwEv2lUGQWAZ9zvvPrbAyS4NI9zB4x12F0kPTNe7JmO26bYwZG +Up2OF0X/o9dcXob7TE6amr79k2m9P+NlwiakjjMdK/Cei3WJ8LD99AOhOUaObDu5 +Hknq9344VjeuMCu8uaMxAc/qTCpbJqrACg7Kbx6erMAmKmZAh2NjfmrfOwg8qCaC +2lViqJljA1ZtPQim/tAXCCV3PnpaLkmgnsfSdBCzpvtQQ+rVlcDH4kpp7Wt6ztJB +xTdxVhslUC90p/EtNaMw3GIs4InPf9fv2QvpxmfosGE9kUcj9aMe3GJ0qAFtC9SQ +rxI4YIQ+YW02kfCzBAsYxvm+lOgMbK1NttCVvYjzWwSgBRLcusimhaLjzESH+NuP +mDcxhzOsXFLI+EcawICLguN2Qd8sC8/AofmzUXOJEgbRjACDg82LD3/tf39/rqtn +mI0J/nD8nf6JxLcdID/b1a1aJmiMJMIVFOCyLx48pB0leD/lA6o1p6HfMgVmhiUi +nFvWwtp7uSSdlbFy5T1t3FTtW7zSCx2AzabKu+32H+9HlhdVETFh1I5jQljof8cX +n1HVy53E09AkkT694aBRv/r1BwQhtUc+UQEpSSQhP2+Eqn1ZOEm7XTESYqEYwnS5 +5fjQKWZjj61JrzE4s1PyAALwU0uDimCdxk72ZLsNkZ8wyhaQd5h975j1ba2KXXRR +3zNl3YjNtcw1JBhyLXSF4IARlZflxxW4i3+OTyq46hGjLBw83G9i/KQAt0B86lyM +NhD6iMp3x4UrnfbMH0AAGFcDlUg95ITL/QxM649O3OvoDnuKw/3UQsWELglna29T +CATwGaid4jkd3f1ojzMVtm9tI8suKvEUH5QsDwY3JjHSdXXW873mu6zvRnB1XxWk +Socsk8Wt6mXYbZf8uoiw6vauggBrR5FAX0SmEtm1Lz+2PsLJnO5KVhZzMTyHtsny +dwqe1UW6tlz6yb8E8+xNaCqFIltllec1fDsx9x5dUhJdv+bHmvmfSY1LOFr4XDbZ +t4hFNptMcYMgMyaIM7OPO1eLtl72URPFcJ6mEPn4ELXUnZOcE8vqQqUjvC47Sit1 +jr1fiEl0LTZKV+pXSsIz0mvuRVNywd0XmiUw+iGVoIqh3HkCYToyzt6vhXCh2EtB +TYEuulbSTUPrxKjBtZmCCIcFOR1HWla5z3a2p7a0UCT1MHa6Cp8cD1bXyIxBW3Zt +6432RVgM6vIRjgmH4fcj/FJ4gxd2qFK1LnxatBuQStZ3jkCemwoTkPbPf1KFakAy +CkuPK8vADt9urSIAZQ+EpMwvQMbdHHnd7WA4n2RUJPZaCSUv+8KjDfJy7xOghDQ2 +bBOghVOYeSLWkW3gk5+cPjIIYpYyX0mhIrsDDP++uy2rvPv5wFRBWNcv2GUKWwSd +zQj9R2D7syMxTBihihyadot1N1wI5R9a9ATKDYANOFLccfzdiwptryGGk1WFHxAC +hET4Pmc9p7XGBkuzuPuwHv5d2YAo5MAY9Q36aAEI1biRJegvAYz27w9RPZlMG2/m +NaWBswLgWEiiyxQykq4dpmSZK6IDrCZBfjd0xIfMB5kKm/UllTTnNn8534WT/ZM/ +LCNIfucLob1EVD1h1LP8tHQT/V2b52KOias/hWDoKLWU/GycEmCQWI7zkduOKgqF +e1grLkuu26mwGCv5ROo1Bjn4zYoG+XefNmWV+yQndKhMWUeQwKQzaWESpkiZen4u +i6E3xNN6T0XbigfNJ7VoMeC+DUXcQIsbOFaWDwyGP7bLO8GQR77sxojWGz3Eo1Ms +x+Chw3GZdR4SEUCV9xhRc1DzOc3JQS4NsS2c8UPfrITW9OJ+NILO0QOXyqsDI3kt +iricsl/HBKTSH3sgKsvCE7m2NZx0TEODVkhKk2PLIpkR38JBgqWbSX11/xHXyMJE +MxxABHEEQNLmMspKhcZnz1zyYk7kaE/+YhiQSiBQvFTKVdxAxKlO3c+PqW8A+jDc +hhrXdtSKXzjSkWiWvu8Sy/p8iYB2Zo6XNSafY3UQJivxLve7mJkZOINbePQQWGeK +8PPYFlZN9b8KWg7LiOPb2JjsCrY/NjG2ELYtJE/lfSvTpyNEWDe//wjV/oIhXgNa +4mUuYPb1ayzk0z7KXabshDL1GEtKVdvp4BEfmzfJGCEufew54ete7YPp5aPU9Jz6 +Ik6sHUm302PLHkhQDaxNwVYLmp30I8ZN9fAtH5Ybw0VPDlOBcOWfUlQAC8knsRGm +OAbmOPbehyIKY4LlP7q1QyBgNmmzfx07uLt77vL89VWM74UpX2L12divKUwHrokM +VIirByB9XnUpmCpizWXLuQKtAnpQ+Q8O1ziJG3fPwwMF0FAps7s3Kj+Tk1JMisKF +5wkJ9iDwB0MLAIQFlmb63N0hxOAlF465pp7xsRuubJUii6BcfIzKXWq6yIfzpSVL +8fRJl5K7aV2V1kDeNDhYQt4WS8RvC4IiJXNfgdUWnWoCjKbr8wY2f1KUzIwYWbcC +hZfD58Mq47fIKGMcQkjp+oNDCkzSdbOsXrC0EpeN8eXWQgmQ6HvjBtnoSsvMzBg/ +YAVwA9wnWMnDp3+2bY8gUqgBG88+dJivT3jXui+XH+B2PUioNmo9N+H03nE3LPl7 ++t2p6Q7Uo5PaF+NHIzu1RonGGQnW5vN6fBxDuIiDbUpSadtet4ZUSqcY2sFnVNXG +DKQLbwpLC/L1+DJMzzNg52JWhp4hvYjuU+nMjVjK8YiSU68XJeJ4g1u5TJjHCrxB +LToexIAc0mb/KDPXAXXxv/OktDOi8iiyka2jVN+NLT0UPwFGxQfu6ve5E8KE/Rn5 +tQIIV5rjBkioqIKUudzVRVRUJrjDnVOykSqNPwsLwoORWEedDe/JMqoKNQu9f/bB +8weK1e4wT/wopQLsI0SsC1+sxLxMvxTt4ZStRu9oMzBXhxnuHXB0IUWZqzcg+dGE +4daAYJXSlR1yoqgjPaL7SV0m7e5wcbNm5+vkVfroJWhA3fOpfKl9zqRYopqP3Kd3 +SzcUAxUEAkXepRclny7fcW6YSCJOrc8S1GUafD20iH2LuOOlqAuEvb17joCihqd6 +tZJ2mrY3PZzfjcFKkT+0ld6Q6eCl4PUDneK6RgLuyPJVSOrWKt6E0ZdPrYvRjoTv +gAJ3YieH1Q2H4Tqzh18IPqDpYY+8E043ipKTK1NRDWiEMqTSDq+wEsbLJfZmq0cU +qQkXKnrzbqKAxAR8zLDI2Jjk0Rk3ttOqNhK4k9ng8MSOMDcxBz9ECFeuU45O2huV +nEUqe0iPDGaTtwmK0ewyibc6jywpRB3oP3SjcXVmUvTrg4gCnGb+xwZwo6/n1Rng +KKfO4skCR8hz4J1CUOnkfoAc7/iOyCE8sANcehg1XSq3cWePRh0Y6p6l8KADJb5t +TCYfsDIJVhqOOUKfbRFtcH+/tgB732y1z4mGeclLJjDf5kxoIYspe6xXN+5fcwQH +92NKHWPwVHAjzaCQ+Z2u8FUBR0c8lTo7TDc5VT3BdwH/d/A/USWQaIS7d/br4qf3 +FZEg5Tv6aN04R1hiE/kG3NR8+na5qBopgD8Ol8byDx6hcAfuSylD0nm3cnNR0wjb +dZSnTN7PBvVBbYyUMLdofg7zxQLhtCLCbBUzCj5UU97oju8Mf04HGW+8isc14bpQ +IJLHhfeTq1nraD8pk1QhbcFzRrLs2VmEP6GyDs1j8Sns562WrSv6gjh0cVyVno9S +kE9Z5sVwFzjNEAj58QneX3KfoI70KlB+W5afTp6UamShwbWhlBgDk6TlNvTpjr8r +Ow52+Q8U3M2rzDtXhSXjB9Fit04kme4pP0Qv71LbQ5kaiToKkAmacoreEH1z0qHD +FgIVEq/Axj6tS1sPWi8kSH9H1/s6T3pwiO7z4iFK8QqA20Repp9/2HxyvOaEGaiO +yTJ5jBeUeC/AcngH6YZEUriV0za9ImdjX5MMEwHizx03kZM4OuraUeGFHv0GVKNi +YsC+/Qc1gPe12kDbDAEagNP8O++oZiIqA8LCKEwzFSpC8E4k+/LBm2e8mFdYKdEQ +Xc8ya1sTnZ56YQ/bdAm570ngwFEaIa5fCEawJ11hWDd7k2v/pANR1e6F1/pnCuWO +y7PV3+pTmxMzzXSBuEExxuPghP4j8H67UtMdtCsWBWte/byTEIvObF7UKBQfFeb+ ++TvV+meuFKeggSY9/QkH4sl95UlShz3/UypB8YN7x5x2IahgWARt1izwDmKG0SPS +iRm/plyK5QC1Dfz9rYYjajuO4c+1eOCTnTyrUqKuUVWrV9x/WlwgKPEvmFHQXHfs +YwG58As6UVVu0W6n/XqraAVA0wHhagLh0dHwz23ePf1TpBzCT1QKUaIUnsqizcyE +8F3PuXKu7NUI3X7vyLLs6yb87bmpsDoECSTEgcm0BKpywOULSsa2m5kIgYBW01ua +0sBjSRDmCRk+dGRSeM9eP80aF3Tb5gRnYm3G9thSQusIudbMB8aBOGsF+hr+lqvA +ZOFO2SlE9zEaoQcv5kfJsd4SFlXI1PaCVdR98yOYuFo4+WqyIxYUA1f+X0XbahK5 +b4Wleg7/jCjvbVmTlU01e9e9UhztUDMblcW88j1DyPycF1G/Zvp0Fav4X61xA34h +RO2jAJLOtB2KpTo9vfve7wzXcQ5eL4W/vtbQylV49gJVAxrkZ92UjR6UlMgVzdNr +4tp94zo4pQBfkpp+xMxSjvCI/qIPUhX0qefhku9WKD5vSXiYhj8TKn3enNA6scC2 +oXXzmTYo+aKKjMpQ4eCDbterig+jvs/kurI0tfvVeqW68PAZeWe+0Zt3Q+iK355a +9PItx9k1ILMXMcylcuoSeeknSJr6qK1UDXR9qK03c57IHM1ZDUv+6nwMm+er+K+q +fgHpijK7mjGTT71atblBD7Ru58hbp0tg7aTUKTlnkPJog7EluaFglAB7iHVk3qUl +gcnqOdYTh/gudK+MgqPNt+wyor6aG4d2PYRijvm1htlpVkvVmbCWBkQTajFxR7GS +MSCvfLl47uc8G61Lo4crRdOm8mvBd0C4lRUjKyB+QsHIz24+TLm/YB0ihZDO1Wqe +NA0ATSYCaRGz6ey51EdNWOl+63A3t0cHLlqoW4kxvIQpfFnsz3fuZ7fOy9NhEzo7 +izgA1fl3AKPxylxWOu36PXf4GlkSSBqhAnDRDOWa07/Ed5b4YwOFRTPiPRBqwRxF +a20Vui5x8N1HCMKlPQ5e3gl+eP0DFwCIlwJgWQQl4k/pr/20R3w5NE4ZxAau2xUC +SNc7Qe5aPQuDNhjO7BKStJPJgxaq3UxWk7FjHGAnzZNWE/kwmdI65bHb6JSQuJhm +DFPtyXbLP+4YE0YlPAzLP3keVpCg8MM9d4fJCBRqp3jU814MUOPxIpJ7UBZgpkXK +lJbQfQQXXghQgtwnhec4vyERruim5fcLvwyviRjlvqaMZ4jAOAUSxtIe19yOhaqU +FDP4wnna0F7lxBjunKdfAZeAYd2A+D9q4cnPa6t/upV5AHInr2YTgaZsJko9ayUx +Dh1tGE/VmYpt1yXw4DQW5PsHBCiospatFNhO96a4y4gJSwqRlYBqG3qFXAusuF6O +WrmzF5NcRT4lfuvBYyv36tqoDFTALSL1FnQiY7DXdtusGNxkEFriDN79jIVaZ9SY +zcrwBGLuMWkiLRMJdJC00M9g7i1eHVSlCaHF7k+xkyRTuCZ+oxGuEvAa4RqSrwx+ +9ANGmhAPVX4a5AS1xuECyMKM2kcUIazxbM6b7Xd23h+qnnj1/JfITwWqCPjRqFCt +8OPo+zkSxRk+EbwX3SB6fk5E7jmyt1JeMjngm6w4yhi9jFYvtCm3bMdkMhvQYByt +w6RzLcJkT//Lmwc+rBRSk1jywlpMKoIcRxTP5BRHthfg9wlKDZFj7dTT1wAn9spg +6gBQi6QRgC2i8I5LfoR6bj84yQbVBJTn7yjL86uq4sPDUAiVD3L1j9fkFpYfJeas +XhfIupc2t9SY9Jdal6s7AYtfq92ll/D73wQAeqVzzH9QeByNed17B1Q8J8SBCW/+ +B92ScGiCDXA5YdEwE7HmvfflNRsmmVQz42AkOrWmlOFetBZCIjdDnONaNaeWfkGQ +yArw9kQKNVSswTuEEpo1Tl5Ag3G1SwPKv/MA+jMF6POWCbKwJ/XwGdBel4Ojb8m1 +8etHxi0K3Nmqh3nQZdHDP524pOQDqyOr/jHJuenL14NNSenUuxMGd+qxKC+WlQsj +QhGO9y7pF4LV3iUvY0OmdYoIZWE/qATS6bRLOa5l716vOkLeZpzVpImxOmH10wkT +BJA07Gv1xEgWybtzO1Dnn1ZYAuEkctKBaBCBZCahYBl0fUV+oYgCiBB1JbwLAZkL +cnhGL7HWtfwG/BGu6m6eYXV7/YTNquWTcQ7J469+wy3vN0hwpEPh/xcwDrj0a94K +CEgIgx65426Fls0NKbAW2m62JC4x5ZaSFttcoqZPZpL+DiP3PDBKNvC81KML84g4 +r66oZhqxgAwT2/lyIAUDMtd6nHAwx0S2L25CQIRJwRgpp3l5u/7zRkVbUlgrmP13 +qh3ZEEPL3T6DzKFl6zBy4N/COEuzOWEiQspPktIq2/zE+9n5UydKQxoFfEeFo7JP +e59H07FHl6xnlI3mQQf8X39TilnuoUON7DKzU9Ip+MI4soQEHvWxA/rcxv9VIL9+ +VBfUUlEHGrs0bd7BLlLJUISjCIWs/7NDX9m6SKw/BSeP3I34GZxRzVHLM6VXr1la +ZxHz418PLO83IlIAhosEHH3MxtSRB3KtViR85Z6DBH/oRRpE9YwqEvdd1LbtghPt +GQy4+M2FpVpcZz23lk1S7x+vPoHRmBhcgpd4/7otarUqjMLeE2zivkF1iZdgwrnC +e85+BIyTJn3pi0L7e4s0qRPOVQelnFVrDpz1O8+a4lX00JI48mFkkPcb7WY6w1I0 +h7HFwO1NPppR7PmGbQFUUswRnkDr2YiXms56qVh6RiCgDRkF4vZYrDqTOJrX9iyo +nSxZzxK5TI3JOQfpvY6up+FOyJCDvMFB8sFgLaH/J3eCwtonHpUuaQYzAfbPoZ9F +PwCpZGNUe/kLglvlX/84eOMwOXRrIqlUP3QoaDo9hfulF51nbKKi0YA4o0JDTtHs +ntitNcQUbOw4MfC4kP0vsuFwS9QMk3p/EDmPYZepxUgrqukf7JPE8Dv6PlT1pl6Z +o9pKTufF0G+l/5EbEs5ECLsJJXAvBEKDW7VEN6XGa0ex5MGdLMcMOz6ZN0XMtgfg +y3/OM89K/sAGvs/2sx4LWPdFCCnXnM2ek9L6Mlw/CFnPlGyQi1TQxw4ceAXI5izM +Z4W8UJmerxWbCvH01jL4+PW42hcxkudI0Ruo4IvvVnB0ENGFykrKirpZ2nG3E9H+ +54PxArjWOEdj4a3Ofd9gUYAUzJyKh1lrAEGxfLjyNGWH6lYr5OW2HISWyx3Byu7f +/X98IBumWZeqZMTtYCc04vCxDU0Ez9X1CpzsC6IpZpqJ5yp3N2DyjVSjRBUUYi/K +OXDj+tTYXCRtAB78xuGdSUFOEKoV3tCoZooDoLqy3r68zfmJugNXzDJllllHF5f2 +T0MburlxxlGcmDck5obAcY6vTgUwucxegjagrZw2WJmecPnmgzUnGufa8dguUzHv +T1ZGPHapoUExdFgVp6Qjr9r0soA9wj9vFV6kqtIGXjtMZMMaf8dr9rzQ3V4bgR+d +QAgAA362R9GcEgsYjVWmNSF4XofxTtwQlT8MAWfPAlUJY1XAMsZMGG9oXYq5azov +ScebfkXQdo+O6QGXtZfmKq/z87S+KdSBd3RgpdtAnziWaGZo/mfStNC9IEq2MtxG +nXVaqHA9Y6M4VbGHZjbCjTS4zJqfjqSMgX7YXptrvGx7Druk7iPZ5Qj/JnlzE3z6 +ie/Rl+I9deZVA7HftExFWLbvShPfcs6vOw6bjnv6ea4gQs8D8jNeSwqgSA4cdxJ2 +yR0pYBHRuELx08sJXl6tB85mfr8QS8yYcDCyvHnmn0haf+jkqybgxkW4VH/bR9c7 +d2t6RZQJ/4oc/bLuIxWCTB7xV55RNsmqi4RP2hgFKM+lHxDWQmuYUIPn2qliO/9m +eSU5L7yIRLuS1HQp+U5Lo4qpowDV3+zmtcyY9VZIZCUQJjU8YZ/NeHyjacWVYZLL +uG9I8MtHMqLBhBdPsU3KBM6znbFXfMYetjVBN04rGNwRXYRMf39e4kb2H2kz4uyB +0EXyf8vorlPpIzXXzje2sRGlcelCmO6qp2dzvTbnwN6AiH3/CbIn1u56gBjcwGvh +33hg/QNCETQ1tRRkRKVW33bI7ufmeG00ga3NZWaIGh4mrG6GCktWJyn7HXjjbWuw +aRt2FgF/1OsPNCtJzpUtvh/zrd+8XYauYOCYgSykrtd5PYIwQF95v/2mFOk59RLE +HgCIlu4rR0inloPl6Wuh5mG/h+OEtdPjiUBY3UdEf6Bd4vI7CbnXN3vvR1PFnqX7 +9SnuI5SSxw2VbAzzyn7tg1WIxo1XDkcVKs6cbk8eWBry/JJkM1RDGhxk3+Y5bDH4 +wsMGpj2fgnEkg5hF5kmT2cA9pb9estNrGutHMrvoVH4zpFT6WFMfPmjyYRjl/vTG +OH/zdQ/Rm5NawMEUgLSmo8u6bGfd9ANYE4MwgPhNm2fM8ctF1ziMpVm6/ASAEm8A +wy2XsYQyV+uP/gZjbkytO7wnTxaZumwV6p631ZkTNzZ83UyL85EcN2TaLKMPFV+e +DVb4Kn9B1+XM/MpGe2BNHjftvCBazApIPRBW6nzlA8Z2NKIDOiXCzxYuqoK1O853 +iVcUxYNCaE9wyIF4XDIGRvXy4JwE6HWWsQvnb+xRZPXxNinoOgNFYih9ujLBImHV +ol0nvPMACzI5hlaBLFmFiblBHRYuuPsoscFDQ0Bwl8KmjsnAJlLUd4nGhUEQ35Ur +ufpzUexwJYTqx9hjNh5dK/C10cU8GlKtHk55dOIS3bw34yAF2Yw7SMGdLTrMnMOc +FfxUkKhU68EH4kABVgbbpnbqFDObDZdTfeEWasugH2Yywx89wII4lsv5nEdedbIY +KYsDccnNdoHfolG3Z2J3UwupKdNp+kIH5wcq8rW0fs36OA0RBg39UhJwcO4KQxOu +M7XOgKtsmfiZievJlGj4n3pPtLDSrDwDWDL7fkLuGx2iNkcoyd++1QupEidLJIEJ +3N3fx4KZ0hyci9sspcjG1Q+BIUBJHdwOVfS4t3QPNvTidb9ipI64kQPf48h9cfwM +fjlIgpUKUzHeJqgOF+5WuCsAJNDNeFxvElLUJ7DIzm3MsBzAOitLWtPZr/mkH1hw +ZkKEvzQhwuz2gd33bAWBxv4MLqFWsx4CyaDLfMP0Vv//okrQ03L73O4kANMsvqPt +9eAdPAymoVLM+F5odIPwzMRX9xD2JXJq+2nrEvQb6WUlkNxP6oyb+kLcOoVJM/I+ +xOFYXqR1id7I4JNv77bWiD9OphZsi+Nip0m1iN05N9moT7igeOC9n+E7V5Ng1f6m +tFEyKaKVerHuFzwQ+OVl9M5cddUEzfVyE6SpbsDmroTSwjncpojNoWV5bu61DZWS +MmhsTYal2nXbaULFRMi1kI4tPvVc/xgEg9/WBAT/k3OHOvE/Z04/16eVPF3HtkLq +hcbiBgfTJE9mXkocpar2l2Wr87qQ3G+KeFfC6SfpWE55OQ2Irp9+7XxZSVj3zwYE +lzSF53XZCio4ZOiH3JdlbNgk+O5JUFTZ+YlC71ND+4qaYaYPRUAhkce3YUvlNeEj +GS42yNLTrYg6lzHkgjJKHCY1uV+ZzslyZvhlW4cmbZN0H5eIR4SojD+6DaP/eQvV +qDwQP9hPRLSRcO+Ft1Csu3a8DprKjKCJzccZUz93Ecg/VD64umRZ4RW+thBYDl5J +wax5VHL527o2tPBb+1vE5alCKN2ARRbHaITqslZU/khA5Im3mQjLvx8Rfor35Vg6 +xXsuF+O4J6nQO4hEWL02xVGANxQN0Sh8d7HpMVTtOKbJwCKsb2F1L2Nbvy/9zJ39 +v8Lg+I6qsPzf4MIXNqlC54AVoViALA6NQ5RQrZWtKje6ZQHDpKEvc9rAgLhMcPP7 +dqUNt/stF7ipzL5+yZz9iFA3bO+b8DjhLSHYUfFyhdoiOuctV+EXJL/BFrvD+h9A +2qaFRf2+iopxFQtbpkBzh2+9op4rWRXlWJi6BBw009H6MRwU2JV1i5w4QOXYF+xM +TTFl8C+aOnC7FGSwIIk9nWmu17ZEpH6fpk7R7nc2lmrDun5YY/yXvJ5rRY5zSbR1 +BSgW0UIFVCDMk8hjtDDSHnOcaAMt5EON7ujg3YugDUAMgiPHRl4YcizE0zKzJUUs +XWpIla/y9btUg6EQK9/td4TU0ulMIUM5rOPd1r54mXG1KLM+vZMAdoEJ3uv0rqQB +/vJoD+x5bkdORf+ByxVPRLtN/2k+goNerGsTd2W2ZJW54vTIJSwvRTRzNzCtFh3w +E9M6KkkQrgf89enht5zNzrVcFwmWlEiKNJWV7Mj372V5JMhXl8EMgm6lhIgdtReN +HqTIMDyAqEWF/z4ne0gLVdjWE1SWdyW/x+5dkUnQchg8OPkueyyVQ6FOxPVmOjNS +0xQeQ8MEjB8l56h3WUkcGWd6MAx2rZ5khHdKPE+dBH/hjzzxmtSZcjM86d+dezqI +tcfI7qYPwlDCBrBWtYwXyGmNy072SnN8Aq3xlNa2PRiAJjC8THW7zjH803pky/2n +JmPo0SMXMDUDZB58BrkdiDLxmHJe6qXlGHZH1RxH9K+Gl6SY/JGRbAqEXqWLVYdu +86LkReNeQ8JYmPvfm6/lUpykDLEp2xWVadU7iKKjgbUZzjc7DCp2y4JQJruiyTWH +KIWfZhUbmEAsIjWw4/pkup0GKYvcwVR3F9o7fZNNUi0CSgee2wQGtomU08z5ft3T +QdWAEFfSlOST4DUGOtlO2NX82Zn6vXD2M95p7djB0ceGRhoCuyccooXLgZDZYq50 +hVAJogJXq5yGp020n8FJUetyKhF9FG2CzAAlmhUhJMY/iyZcf/2UrdiRj4Nqp/pA +Q+Vl8BLE1CM44DlKWxa6j7oweLfLQpwFuS2bYMYizyFK4SU0cy6zSpOwf21onZ+S +OrqlVBKtI3gc300bjD/ekWmTUBsp2XSYjvYuCi3nN+LeeFSibLxID1Fj5MbBsxr2 +5SRM4zV1Ew4TZ6K8pPPe1pq0Z5hAEMVSG0gg8QWdHnrCL416YA2r3zlxexf+XUSb +7LabKnrqJsPQCJ+C37HulEz1ZN+W4sKZ6PwHiyZmbCuwCVvOoO8Rql5HVszI9oSu +3SEeq+zu1TbUEdeZHREfpasfKzvZ6/uCwHHhCSqg/orVysGBf4Pdmhcq55e8bELK +H9ClzUW+rPXLvcwvVELdn4cAe9I+6la3sQRbUuBVQwYjMm39LXdlzxZ632LfKd2c +0qTOFvvcqUnKKir4Xakisvj0MGQk/9ALuT//YA7rlFvkxTeWfBH+bvERPd7r7pWU +4FG1pkqFP+a+74sgMrzH4tikqHbW5SsWcaSxI/aoT9J3/1i/ikmH5Dta1TQLyxM3 +pzijsyJz7iuW3DICRfwy09/CHm/YPZO86LKl7++I7iDxwXZQZzS4ch8P8lSUBMOC +eTqIPfdPENgJo3IXfkl3SMIl4HiCZfiY2St/dZFMWx3wnfHQhVKgwFZlih1wQRbR +yCWCPdyP/4ZrgZBaA/IkGrzBQNb+OrXJNgwDQsYH4qfjVNUcoNwQNLAitOH1UUI4 ++c3Ms2tdSIq0qdLnPTYtaghPnmU5KIdtEwmOQ/sFw0Ioe6AFPL982l8P17Voiy7J +FISJDNbAXPjIztgZlMohiVE4YMH2sF+qzDBfsUC72jz0/81l9j1wvr8L5PuZ//fV +2GTFxPTwO9rbO4Xj23TikVUrmL3SbLTtKGqq6lZ55i/I2TZIcr2dv7+JxnuTsDbY +NTRh2tzQOo8s3IyewfHkhSX7XvEv1uKwA7t20N1QzhUGcMR1fb7+0Fx09yhzfbBI +hUwnHB9WNicpu0ON47rfWRMfUnCq5V7MdiXGxbdUBRUt/Cw+1PhD/MvnjB/yh7Tm +MUqdBX7F7XrfsD7IPQ+tVB/yQnbA/2Pj0YK7hd8Oi25CnHiIdoYoJJ0YSjCax4hL +6VfxcvZAi3csN81uY2/P4xZx3gf3yDJn7rsLM383JSR0MpOZjcC4TlutqFfmU/2o +LqUbDjafVp5bcxcZ7i3xmZ3LRgf63MvCBiHwe9Y7G58CVDP9dyh55VZQN+Yh0I4K +2CNGdNBE1XWXPT6tDHi6RX2ySnpn6+/5+HBkt5W9ed7P5W2dYUUPcibZDayvapzG +vFJfxgVvhJmiuiUWWdDMRo+T5zEp2R5r5P2GMz5xEACgdIrAYl7z0+ixOZS9XLPc +eV3OhlqgXNZxfjxmTIHQmoJc8n7aC9Xo0r56R1uehN+HwlSWaoL9XDz7U+Ew8nku +aZHBMwjK6cKGcPRodfv3o2KBVQjotptaQOCdI3zeN0VjHlf3gdxtqtXqmJxrWjn8 +t7jjk7t5VCRGmauLnKywFqRwRM3RqB7VGNmEAm57lr5JNv6EHhKfeTbX7ZTH6ErA +O/QIQ8+sQEEZp1joyVrhWgiLeWkPe6n1E6OHgbX6RNPhVrhnjaY4dTLEhy/P6xXE +Ef9H8c6Hth6Mx+Awd4tFSgP5uFG3MrSFG9m6Flg1+cGiNOprRxAHF7GWgWUfpp+o +476hS4k2c67cQoumTcPP4Dmk+jR28/e2waMpXn8SZP24xwdNMCKAdZTPfwm63cH2 +8ZlMdurinKOmV0XehNKuCPXC98v2SQIQrYlsm1qvwRqWRz01ai/xa4Uwumxau9ux +MvtrSoYx4cvc8P7DhPdnQsUE+j8GrpPHJs6XFLlC6VBYFK0WA71JsNhGfbcDIAwI +kANUNCQ2nL+h1TSINSPQjD3AdRurbBc1xzBKxsAIVgNtL6g+K8hJGVKN79x5nK00 +dHYJbpczAhs2vLqqhnouqT3ujUxWXtXycKO9hX77s7tNPZ2IwYyQgCMyp8aMCAfZ +Ms7ao/Rxi7Ul+6oUUsD1ZY9Jl+/rwAL6N5P9x7q860OMWegxthjNOWP33W0j0zUp +LhUsbaRFGnHjGrRmXGWy/A3XeFrYho/yGhQe6uAmlYmUZnOzm7HTAkk0WgLSZIvs +FTfX0c+LPFyYozs7ZI4jx1NM80lHWHoHLsvVlqB3rZKrOuX3kOuj6yMu5j34VLkh +AC1z3AphbnNX8n9+Vzz4BamvLUYxpHG1Ht4mohGACjhf9sKVRh+8TGpoUyGZnsUS +q9QqJxnVro835J+kcXFYhr9KHFMtWjCHlG6eUK3iA8i6QtXVLj2wm1uYqNPXfSHM +V3K/v8oU27S+R1ojhnlXLAfI6eL6YlZgBOXEioKRsfpURsDzWI4NGp4Au/xEFJkS +mc/kawlr1kZV4yKFgHfeTCuhqynn1TKCOWe0TuLlf2ZYq11TABavVpyxjHmKUJtL +x+/ykTEDIwlWB5rQixzyvLV+QXSWX9TeMRvG0o4Non8U7Ao8Avd54Bt7/RIrzZIg +llaivRaIt+dq8qvEx8aRo++S1k19xMNAZp+/qDbtP9P9Kvie9VKQapKhH23FzmcK +aY6pLQhEl3Fc0h8bdUUYrbHzD37Jb/xx2kYclkaTs+GX1t0dtCMRWyvpEsbhrRd0 +ZSnhKQ3bWVpuZg3JJ4KoC+ICFzrOf2V55EBgC5eDaOZGc/Wajn3gA+hk3Xco3q/m +2h5HuzoFE11dplbOmQLCmU0r8J1tBmKdrNAUVx8nqdbw96jAMNmPDIwycs2qkdd8 +SNjYkZyKEc2UWQ8e7UbCr/qhhAwA7tdOXyN7x8FJGKMpl3Xd/klXXzt18PhooDyW +5BFfKuUKq35FY2mGptN/2YLJMaifgiTpT2fhwZdqANI9UtwhxsAg5n6g6cgSzq6h +Hpt/vHJkfUFK/F5+QwdRBI0d84vxaIKiGWN90k2MBiYPrpV6IujTCCJx40wL7TV0 +PN8dNm2wldU6MfewnhBq7ZcpHXPn5A/KsMkw4XhJQNID8kRJBo22924V5X0UmYuM +Mci4A5xtcCzqKzKaaJKstt/dYD2WeGcFnvPk+gunuqKmXfozGpOes0NQM0c+BhEa +bjWT2Ov7OUXiaWz58K2JQfOUY0M/4Cq8gEgkvhU74eENHtbl4+yY0bNSg64yYIyx +H7dzIwuI63m+TdtZS1hLDllXoF9034zSStzREd8cs1NJZG1pAdMoEnun66vLzZuP +sPEyMsv2lwCksikI+jn3ElxvwxyYbiX8P4CuVV8GJHZSXOEyQvcxw+lnTcxQoZL5 +l/HX6GB+mr9Q8Ox7VrIL6U9/bv0xBnA5B1uHBX8anKk5UqZe1Dbpa/LxWDLFqVlf +S3kVmDN57D+wB22ee8B+8EH23IZhziX2kocStEsKvXDqR4AEbuAElPF4nqtJokuR +0n285PzdZ4+LcXbiHaDxsYcrlZt18TygBzX3pTsFRYscPqOr2i7NjAngr96+x1qI +v35wmGdAnZmFYexc2OXelj2iv2Lst02L7powVUsWGhFgMUImC4fbpFatWZy7vcb5 +Xd0XpTsfo78vRWlxvOTHrSq62NaO1T6mw2lUD0dlk/N9iVUHCr95IP6ZeaeFvViZ +fGeya4YL/3gIuqLFsWJyz7fWD4n2vyxFu9pbTcheIckiKNNFD/msjAnXSvMUAAEQ +bqqO+fsc9Wr8f7Crr7astM+cXDdgnQs4Rsn2XhrQtYoqkBQnpoMhCQ2Onc70kUCO +bGFBMKYtnVosWoBq0xtCwIhJNsneaBkcF7ymtVy8FUhf1P1zq/7/NY4gY6MSLHI5 +XAyF9qL3I4H/vDVT7s4jH/btsAuZ3j85P1ZpXgOXwenXuYJg6IqESNRvIvTWROdr +aB0n1cmwpIOTJnabjXncOrS5s0VhyleRX+2ddwPdz4yKX0BLrG1VLmJf8CIEuhoo +CdPypJkMMvFprrUU5FPA/4x6D4hDjm89dsp6GEF5mgzDNiEcgIVzPG9FtPZ3H/p0 +y88lbv7k/mwRNkS4otmR76kp1O+eT/0deHMG4BqrkhphstPtLMvBxzP/7HhH/jFC +Y4cA0nuYKsMUipB8zcmFpaf6ScoC2N4CwDrAcIRh9LAk3h3H1ROV5Q3bR884dRdu +d/Frq0Ww3oV66jwT4IpD/BjiC8ysjd/Ldp5KMgLlxkdt0W5GPvThwHx93XIoFj3U +ZwpK5l2O2dwJW8bxIfishzDNLgvnCOLdiKufqwWYaAfYq2XvELVCSIgJV8vz1020 +lSQeLkrgzzpPIlxDqMVoBFXmOw6hpo9W+HNnVDEidT14X1qjRfsNGT4ZriMBc1S3 +K66PpAh0H5VXkbWTgGsja9I7tSr8couctBwNgvTUgNCgYF2JGoshc/ErsBSLKtQm +X5fG6K8Y0bNtB8BinjUnCPa4Ez4G7QZG+7i9Al5HRJUxTqsAMBU/BSkneVNWTDwx +w+6pHSCYIMwj/FpdoCbSI1O7LyjV3sBDgQI4wp2Ryh/sDIymmDUIe3G7ykAxhPq0 +Te5VczqO9npQDHU/17kXCStSagcg26P64VdegiEAANgphCQC0QulKv065w3m31ST +CDnnZJed7IOrOqmLB63SDejEhAKBPZfyqgqoc06M+2YlOgM88KyGPIG6VzsQmIQa +QNtcJLzvCdPZQu9UIG9UIlfHRVIlZ6UK9zJz40hPTI3iuQuSWfncxoWQGUR1yq0S +hNAB1OmwOiGcVLig49smlTH2dnWl4zWTdUNy1L4gmJRmmd/FcEqQpg217orE+D/T +84/z0qDJHmfl/dCYoR48JWb7kgJMLLsX8xkfl1EGVqCCj5BnmBIx8kMQUvKD5RLJ +wZBkf2/A7x3/Df9ydYRNv0xkdDzL5l6R9/cFHI2xQipurgEJcXo3bNElRew+3e0O +y0hVMaGWB9wm/2pR/fCgcE6id/ajYnc3G+HW1BypiVaNu0tYWPFNQgRjc+sNKaba +i3FO1jh9JWrMR6vLbGxeNsX2vKr/6/1z7SBTMNtFJWYM0Vux5wmInJq4VWmWBIP1 +SPnFVJ+oJVFMPScGOvzqgHNb0i+JCAfz0WjqriijFIDMfsP6g+RCIeUakfCOyvT1 +BvnmamuiV+KSFzDxxU/P52CZIkc3x8S8y0FkvNr1C/O2D9Z8bbD7Y17R0hC6u8vd +cClBgX8f3aUGi+PYMYGzdWZo2IJyjVGOwnPVEIUR75uqi1UAEQ+s+5+roMEpcCPg +pMepVnltDHC6Nt5vN8sEpfK8/R68osCGA2PZRVgPGjQqBAoIiJyW757YI2KtUoC3 +AUCsjME8htS7Gva41PaboYhFnu0tYmF7CU5gXsUL74mvQcrcBK3H80cVIpMXsh+B +pOZGE0FJsz/wAsPEsLuinsox96mz3uQwc9871hjNBNf29P2NLbb8GZxOD+VHCAjg +3p+sAnbOHgcntK6L4FThDwvYxdWxwQo1Rr+W6pAT88hwawkFSRqmlnpEYh0yBafv +vhdRbaCu7EcTxVZLhAbz7y8rPjNzFhSKlXavIcQxiLtC6seXZUw0bKaCrjoj9C5O +n+kQsBGmhdiLL/Agbrd//0E4pxjeY9dWNe/5B56viL1niXHLi6PvxlDllfRo86+F +0CoiGTXnw9OBfv3szYJsSJsOJpXZpa+zJmsy36DUOXHy1m8+XYdAGbS1QprJ3Siw +mVbjQyR65xjBQYFMR0IIs1A298InVQ8IutlY+l7iptQlXGuKCBICZJu6OAKXgipw +1kkQAPicOhxGIU8qmaTE4npVJtF1fa1PITIfYSqBF+7xJdZl06QTmkhDD8PIZ+84 +NaR7Caz2u7Mi3smDKXbYyjlLeUSHFP9rW9d2QKH6cKjsAb0AomqoLaVXLJUwS/Dy +7MXRhoXOVFtF7v9lGUz6J94J2v3aoyZRM26PW3W0puTtvZDHYXzjKz11WIXy96Ah +nNm7NjDl3cS8F3DYDp3Zfh92F3gDtS2voXUpk23ruDfr9E2jCOVmxpZ4GwTqOwtZ +vRsr/Y1ayHvvQ07UAdLGHk7R4qGswByGq6RslGCAVl93MBJV/F2zepKee4iTDZqB +4EsOlIPxoIK0JXUgik+/Y5eVDzK49u5JozrWV7L9NrffPZdrKVLxj3DE5kgg8Wn5 +I+Q2wr8ahW+cBD6C44C/ItpXoP2mR1d5xPynvwSiGjCKO03yT/ecpc9hT6/xHEOD +j+ZHyqmqUnYSzretD0hBHOHEt8lhPvqJmoofK4XvMaWnwvXrzFQuRKJxwPD/Ecwn +En+0MYw5EQ2yRclsBDT3m+thyunm2C87zcCfJTaU64vKm04+jwib0+pYo9TZ0lRh +/fLZQJWQUB8rCgx90b+sOeBBRYsl0EJxNm89RckpZ4tBB+JH7Ib4z1dCg/vJKSsW +eUnb7vpA2wONWvncE0DYkbnE5CL01XrUfS6itPp4Q8pMB4md6Ovp2yVqwS39XtI/ +zlhfZFq1881+rljRdruxF90ZePnIZ9trRWaDuG60sUhb3J7FdvRzRvauWdAxZoLG +AEPu23Are7LPazBtnItNvQHCkSOJVKJiYx5t732ftdFzbri7zVeK0VhUwl2y+ojj +vdZad3Fop2pxCYzuO9T3g6kFbAk0MQ3QddVaLdmu4+LQNDlVrXQlGWnUgxyUg84o +wybAIr4gqI89nAzhaMwmNy4jpdfBVto9avLlgehdNZzaCTWLrLpEkgOdwxejQ3x7 +GN6RwD3X17krEfc39rRB/tMm+u2qg/G/oodrsQ6GFG4eVFy/wUOa51eQQcUC181t +LQVW/KeAydQ6Wo+/T0n6fG4q1Rs/NdK+WALrXbJbamhD+wf7VlGEAqoWrqIA0neK +Lt0i+0Qje4cgpAt25tdAusZZozm+9EZAxgCeTskV4l/2UC/yqnEWvW6nYzuqxahM +hQ2JW5hgHzLIVXRTNSGXX46sMLuwTJ2IN/LDzTvrgFgCSodIU5G/8+WWoxs9u2Vn +XbsqdZMTAE33Rt4g9bLG4qtm8EM6Tapr/BdeJk7BMIK2D5D3zvsP03Ccg8lRurqD +17Gne0lWLbksZC8YQdCj0pg8jsAAjBcyDc+WAFaAG9g+cSlaTA9OVQyTeGRqRnon +WbKlWChC1T2HuK/ZBo2r2Efzf7/KOWsnYyJuK3rXUQa8TV0nD6+QPd8dzr5XXXND +ScGCq7cGOc4dNBTPK9XqkDJ0lpd9xBfmW2ooDVKyIelsHMoocknWGF4mhI93V6jz +EeLNM4umKf7MouYyun6cR1qH784xEUaeR4QaARqzd4a6cgZS7axvaBspiRWntVHx +WeEMzlvWlMifCWhNr1UCfDR94YzOBw4dcU61Ecx7Em0xjpcE0H1kEYruxCAlUtMa +kzHn/x3VY9xDhEcSAyJH654LGHl5MrRYdztzL5ffQu0FvCCrZBj854xNHJygkJw3 +1ctSPK154gzGv04XUMJhzaxnra3497JjxlweJwtWLuaF5of7B6/Ko4A6jSRbr0yr +5n7E06rBC6zxgd+t/SAQ/SMrq0Yc8no/MX+ujeGefiB1Dac5b8FU3/R1ZYK6VPWF +g/gT8OX8nTvgLFPtV7ynQqOI+/c8r3zAvpeoDpSzhccqBYgUFCUzjh0gDuwJiMhu +q+PSpNBkT5o42Tr1bLZq1/laXgGcnryIQ29+mRqSRHvjTnwles6IeD+jhkqrgmXl +jDC3Iqf5RK0nBPgfstQQof2CymKNcT3RUtd7y+c4CAgPeLEfEnm9qlG5evUsC1EC +OmWBhAEHZsgHG6XUU0AlYKIczippoB/2iSOR6r+5JuPDtu4SddBwpXqIqNLPvOAG +xvu9MaTsrYTtDaq9D1FcOLwDEd36YKdACYWEaOgPq9JbOZiWp2VFXuFFaZZg/2c5 ++itQW8tUz8cd/D0JVuX/GBvCXvbic2EtSxH3UCojYkKjD3WZhN5stEQTBmyZbOTU +aE9kHSRbFJRoRvEZBuyp+EG0Y1YK6t9mNYrU+kWfhFkb8OJYNjiR9X5PnfAO3PUW +v3EvT/SGJrRVvEGbroqiAmz2BxcVFBPZJti2QOIb/+FaSdejXwa3/2DHa0JpJ6Vd +A0+mrS6QzewGQp2bcoKFEw13GZ0Epy3ys4rHvfFJFzFISeI4stsrx+hEZsGQSmSQ +A1ZH9sqPhF2wAVR2PKIsDhmZpLjsZvYApRJ+Q2/jxYugq+Xe7FxK6DfH78jcVU/m +G61Ycr/BdXE/U9x7igELH1W31cfGmNi4TFdC8YZPhUG4EbePxA4KQlabYQLMG+oc +k/sDp0ONuoNw9rZ6IGosVLgJu227yV4G9w+yZt1JQAykXtmM+RSx8WvKUoYet9kU +Ex1ZsNSK8qAAeCSNlhQ+UVXEAv4HZMjtgi2A/NZqjFpMRzp1kRNHdj0YfG2vz3Qs +ie9ZACVibTOATe/2nptdhmWpSEaFv/HC1s6ijlMb5Qbt4WDMDzrdj2gouYxpYcPM +DHWeCEDyuA3Hc1nCDsPtnLjPxkcABK3IVu5YpGn2HL1fO7dixgVtq00+m/qqVlqI +r9FfpnIiwPiir6TmMO6WfCR/F/T5QYY5Ne7wuEZvSELWWcr2hL36q1bMobUzuox4 +GMW496DWDv/7Sb5Z7bGHltHdCTig6hHWkSHoAotxYFMDx0ZqMpuhXCCR7nyKAnWc +wnTN1RxEAT8ig1+QlNKIKpEeGKvd/OuSnfvcAwsjaA+Vmy0dijT6mJ3xSgO7zO7+ +V5qf9s63+Bo7MPghCqQTkmXZhoLC1GSx3KM8TLsJz5jFhSWk62bq5VH65Y/TyqnL +GOyzKexludepo6N5/6P6fL+EWOPhd0/Mq+folACcRH6pkFJTzbwGRLJzrmIISyI+ +2tlwZbhzblXqykIb1KMzVd6Gex45reDS47BEa70ZcLOeHBdI7rjXMSCGfPNqztme +lJxHLHqsovy1+Vlh1zPiZlA8YYao9rLCWvpfLxfj6exSf0G+my0McQ3px/htC5v4 +XC3FntY9Uzbfm+EMhFGM/GD7J7sTQ3jhns0xR3IeivZhQFukH/5dhyyXHUj8B3rN +P/Osih9qXnWlz6jK80WWmbSICA0BsH29uSz3/Hns7S3b5POtF7C6A6+WzTsI5Ebq +gynUCNrPz0qDerFgKgeuujJ6/H60RB2WeVhxP8TZgFd/g6ZOX8jObz3r8nR0PYn/ +BzT3ESx6uRrf6ErH5XczbWKtQt2ceFFbSGbY7zaJ/ImEMngbdboC0vgv6t0XJYpZ +BMe9IsBSy5q3MnH+iIa4teVhK5zu/JGxzK3RPCbGBkBpwCwZFggjtES8uEAFexnw +g8yy2D+w9evTKJiX6sN08mlbdMbzriV3H8S4D/AoyAiD0/dbcRSqMUbqWbEP8ZBG +mGxYt4saW52BvqZOnOGWVNxjXiyNcT9kPspXHd3mR510MZyoSpVGekUj5mMBeFtU ++Qs1WvDSLAhiwWOfG5V4WcI//0PZggApgqAbTfFoLMJHAFQNzsCBfzirXgkZGRmX +37Pw+Nw9KLKjX/bB6tMOc/RuK6VMqsN+739upQNE1bYK30uQd27Q4JQiwyxAoM6P +deXbIsImafpjV3xsywkFaO7N/1Y+Se4+8aBGr1hVZewVXOOsmhK5jsVEi9imBWyq +vCTcTeK0K+on8bIwCCfSRdkNH7Q7kye/0dy714E7pFRI2bQ/qE5VirivAM7vHyYx +Srs64Y6B8H0b8kZl2XNx7xyXIEdq3JdNMvZSIvogXwGKBH8wqFlvBkkx6uT/4e8A +UiPTt1hzl/5tmUA2NdBOfkuQeKHS/kBW3M7jdanNzRCiLi93N/Toi2I1NKT7dmMl +00IpO200T5lWZm+RKRmiRyvWB5nfUkc2ilvWOw1sduJiN68opWunUbo/sWeEZ7sI +KmnDAxgZDiQeClfuDA53Ji3okcSOanPrH9dPJl07GjxtvMy5QoDNwM7XzwFMgaWb +Z6GTEB8mgHHgXiAco8IE+0UCsecca1WJJ275yqqqOQDlr4c1vaiUHGr4opzUShCH +lCVJ2I6g8xXpheJQXQitgatkGidYIeBLG8g94DoQbDQOMbhA9i5WZxftSxdJya70 +XM4bmPO1FPAu5sg+m9imx2ZUU23/gVI+/Wgs0snz9NEPbZWo1udLXI6xog7l475K +f3N6vQm0/dkoNN3RhGOFhOk/keuCs5c0pAsjNiucBjVEB98og9wSf5gr8gDaoCd+ ++uIJjfl6HxGDAnfjE9W/glNATcuEnRPNlZAmD6GouVNHdSnrlPE4DSaD42HshwaL +T+vUYGC+lganF/Jz7KluFOUT+GCWnwgNz2ZgXlw7fLOSQ4FNO83zTD5uU1ASG0R0 ++fPjjz3BF9B6GZix98skHqbcBM6kNVZSIMrHMRVDaUVTauLnO9wvYfMYHlj5eiC1 +F4c2UKknDwrdSZRSuyFOu7rhI4UgkbAOATT10uVs34nJElXkmX8jhUaKbETctdxt +Rj3vgS0GuRcAfeyEQ1m1qf0Dxqk/V4dF+BhSk65vJmIFyGT8ivbe56YsD25PHren +wUW0IxZbYJ9FLbm4QlPJyEwmSuNqyJYdSZHS3fQry+i6EV/b+W71UPlk9gihicWI +DpkIAqA0pCV1n6l1KBqf5TAI4WknPRhGwr5/hnsyfpdGFj+Ce+RXPzhaal7EvQU2 +nGGbosAFrigCBaQC9DubYUKNeD2V9NtAD1AGUqfNUPgyW+uRowMBtu84Fnom38Wm +EOF2VhmSm9Hkd4HGwA7QVSauhusDW2DtmOFZtwe8MoCNBK9yXwvmAuCM1qcOhKHc +iZGsOo6pQgo1jPbql3SNpG9DHhH7/KznsKMwsuPZdfrcLVu/jyUyB8NtawpYn+5t +WMuiB2Kg1bssh575he95HeZAuSJlCGyOTYo692HniCcXxw49ZQuq6o+Ht81AyUBg +LANbwTrK1t25tK/yfFWJkKhR5KynRrRCY84XPFRVdAESF+SgCvw8WYEHh9xx0/Kh +LsV/dNMMvGJrWsjmr7yhzI4ShHenjGj14Ioe+YN/5eeaN00FTnerwJ0Ruc5/02Ov +dS81UZODtUpo+23s4ZUuIj0uRA4Pzd4S6iQ55QhtnVsr+vtGSvBtq9cD8GjXWktR +5tq2mgysUllFAnIxMtO3FA4xuCHHJTjyXiRzvekCt4Ck1v1JvlABibP6ZOzihjMQ +l6eO2VQICIHq16T75RXZrdwioqOHBpQX70LJUsZgqigLtvyRfal3G/VsX5t5Wr1T +smvTr3poaJ78i1PO0bgcVwVIQIbs18DGdSRZr1mqWnDoC25LKRd6UBY1ZBAFXE9j +mAYf0NXpQ4xFbfHamVEnLwNBAoDTY0uSXlrb3pNdD0jGQWi6OXwqq7qSTiKbVgc4 +YEhk9FzoeEVj/DitLu5tRsWvnCCmVZW0hYmD3jcU43f037YA1Khu0bbeUYmG1zgS +6FU+IQU9FUQ9xhbo8Ua069MC0ACy6F9JS7hf+tsPZo9WWsmvZ0pXJ80jrXgu6xCx +iq+vuiGUTcHarK/TPiI9aLgwkV0vWbNWTuXcGFDy8jDW2wtXBw1fnbZh/mgXxHuW +YNps+7E2Y07frjQwymlqnImyA35gw8vPAKtz6nkNvKJ2H24gn97Ehsbc0aO2nJON +Rg4iQlq/0mNxeypwaXrP9G5SrDhWP87/2X4aaLCLcNPXiz1OFNu16YLJweUgPLhG +Bkhdw98kcaDbuMYihXwByRY7T9+m/Gw8Lo+pjIiGl1K2lxJJ/tWVsRv82fSVfb59 +pV9ZAGMQnZQHW3+yVl5z1MFjuPz/CIqPQetmmT88LBTLP6h0XmVMnGP4gxwJ5n5u +WIdtrvEguS6rwy3BO//ELNWpHWLwfsrYKgYQCUgzZ/7upOJLgVbfg+vh20Fg/srW +MneczeKG4yYxQnEIYqEaGY17u62VmvvTI3lUJFXbQCiq8NDcTARZQNsOyaTaYzCs +PGWmspOLG1K8wwrQI9v4kXYIQK3tliMtwwZ0oGIaBJ9pk2nqgrkVQRsK0Gfh9D75 +JQUcT/+uwwIzNdvQOlxvM+up4gfqkKDsUEEzf3+HCLHuTgkmTxkg2BjtGt/9O6F2 +1160+ls841RKMkhtOd5bCvCWb1PcPg5rQ04YEn8MJt0SdWbJePDXMNeHjpHKAOjp +Z8U9ioCdOUu5sWXVqG7F92Hbxk95zkaXWXWLzRXTHb4BKC2b5krOw3JBF4diTYdj +cUTrzhTLW5oJRIp17B+b+DsvjPe2d/LI37wnFaZ0cTb720VrNPcnAS9MjTkxZacF +mho2OpL5hU0wfkv09zNOk+d7+0LEs/4c8tRHuy/pqFuFbSROd0UXO96pkdU5ANDy +6b6fCEdnC5LAtZoHmas+GA1546bgMjjk01KdjOOREx+EJjtVywUSpIoqH6b+T3C9 +OFYUXGMDUnIK9q6nMXLU56ceg/uGkkvay/Lk9dX8XLDIRQRGme/aBS0YCh/f0IuF +48oIoFzonZ6nNew77FORDHqbS6tWgxVa728yE5VctqYu6bC0KNy9P48/Vj4D8rQ8 +TQ7yN5vmBwHRpAkBabSdvfyLh6rTLq3wCf9zmIGdJZSFpYMUgTkhP1Dd7pWAyDPJ +soolwDaS8bHDveuUMGnGp8pRup3kDhLyFUtVS7o7U8f9hbPUSan3hiHdR4f5aXlc +11YQ6a0mWldf+jTJBPtoQLDPbtC0FfmLYNK0CIZjlJknP1EE8mU491noDhN6170R +FyEEHI2PrH/+tmnAhgHG5cOB3sqgFcuBqSCWwnN2ybtqBenS8XunIz8EX3vWoFAu +fFz/JYtz6QTrLOccuylhYEcK7muy8E0kmTsxXSt4tmudKogDo1vGXMs0W8CeyVxK +VOftmRjxJv/S6anCsFdOcHpRRSC7bN1nkTImwT9HyjPv6PzDbWC60TkGjSSfrSnk +5MJcXDgpMVeej5KsZ7iSOQEfKEnVlirbdLkJRQJkGNFmkB76OJI515LmLUwo01Ym +FvNsn+SMKRhEWE6/J/vvmgWXaIPNWMO049G5O7Ip2oypw+ROyhKoeN7BZapZKkko +0syDsAvYKV00yezHl7Rbpq0//cYIhnluOBWgYW2sk62eU7hp0wLnA1xeRUnHz+RD +uu5MBQefE9VVatpgcFtco4+SxryQK223pJ9WErl+c57J9qq8E24eDXwPBWEJfMfd +28Ikhay0coch2T8rByuvZX82qF9xrKqxJWc15SGDP1UMG7w+d7eZKuZS3ljoP/6n +s4HZiI9sI1GupSho8UHtwCTVwy77+qMXQ7mCPQ9GXjBEuECRq50Ou4hle8vKs4wI +q2MnxsxjWUo4OWTdGMaaV+meLG40txoYkft3hFkfmjYUE3gaoUYltfARmpXTOIqm +EsIMV6VnGVSDIiITkDVuyz9F94p+i3gitUVZVII3mNpwrEqtac2V7Yr3UJ2aLq77 +7ZEA95OwSDV4iM8TOY1M7Fbs6CpJF+ORaS1d/0l5gY7t6022KZUuM2r0yugawnCo +mjrD6fuv1EuN84NK+8jHrOi9O/OTayUr6YbCR9yOj3YYLRbZKzUJSUbA5OxATfG2 +MgTGIMaceiPw3quRUBAODWoI3xz+ZzcscquCaqmvsaFuygdu7VfkWVQb6giLeNLG +8NuRbMSLkv/uSWOn7SGMNv4cF2QKZ3mJIDAXswSvz/7YW//vk6C3B0I4A8UMc0yw +uqc8FVJVjY5bwMo3hhURswhPhlAUWa0cxQmdwt5HVsJtMwL+ExP57VLQ4350O5kf +YuFvTyHdTIt2aI3ML/juHXpXdgXLttEGBgr+p69n8knPv/V8VC86wIlAUKYNXYaO +qfkd4I1gmmDXQ7B7jhCpUShftPycw9JPmXIiaEqeYK6GYH7NgiOee2pZuPIise4C +QhqMwFRhMHhSNeKxlBmFt1wb84And58Ag16vi7oI8H5XojPlO3mKBDn62RPnmMwj +RYbfSGqMHPZVSiGSZF0D7YzhJnncKpQP8+WSmVQCVd1paYESO3prZKgWIgI/4tqC +Q6dXWAPEaNL2vi/QbCLWLx0OHVG6wnN+n7bueXVBezCkevllSjJsef1uiqdTCG5d +D/kXYHamkprvND3yFdfJiKA+booUM694Bv16Rt1JckOEsvUTbBuyxdFtm1Q4wgai +evhGlPKH89Xz2TQ/AP6yTYx2NNxepbtEwPTC9pwi+vA0jEwzMGHfjlPSyOKtAEmk +FCVnRIUfQSmo97SoV0Iuf+niobYgt9/k+ZZqrqEdb0YmbftOfTioyGSZXKi8LJIh +dAORlk7TtfM9lvM0M+ipNfEy2S4KuZylbRgF2YhmQFZrW2OP4bt3V+2EwXiDL1xa +9lUwZrlYAwP+oaeXpXNJe7ZuHB9kuW9bJeBVZDBww4qt0lHBcxZVn12QFtleXuJx +FmRrOL0cMdHzximRCuOS+yLFjNQrB1lW1uRJFXrHXcOb2URlXIKa8Qw0M7siVwhf +Iwcgk5/pK2V5OQUt7SJV9yQ2jsnZDYti0RZM+5ULK411W/f8QFTeaPsnzC2TYJxE +Er9mXBbb7tJrTLyl9NGeBzCYBPraSDgJSjTk6PrPlZX/NF94/WmHEjAxhtjc4rBx +fhd54qyrq+ZxD+g3Uf1/TWWm7ssA++EtokO7aJjezSdqmDVwbROXGamdSS7vK5zx +4YPAAelbAPmD7/10ixw+TZukRxzNFcB8BR7bTzSMSgau0iiDEsohpPO3RNcHgTGW +P8SGBMrSswZEl/9dvnSOamNeGxcCI1PLRDx5n2ajndmn5cbKO92vXIZhUWhdlZY/ +09XMVZ3t76CYGd0UDXqwldvQIyEpk8VnFFFSx8ltVi+cELUD4YqmBBgoe3pDak4s +uMFj8L+d503pLYAyEmfSjgA0JY3SV3tl/8m/MIlxLxS60++FJ67tAL3KBESl86Zm +ZqEwhUg61JK+HFS7pcOGk+LAlRTeHWqvolq6jwDfuBRU7F6tsg9qo/4h89nZhaRh +pHWrldal3U/JQUiowmoDKBF/tf/1r7W4xE5n3ML0LghuQrDbDiqQ7/SZ5LO7yuY8 +WK7Z08da6+j5SFfVWNZSxhaHD54XN/uSCDGYgLFQM6xY1t14pS+ydUShI4AqCyhd +iT+qoqPTe+TYe7y/o4iFJFEaredQzHmvzWXOMSXVPUg3pmlVqLEY/LEQJ9JkgIDs +nCplAfkOP9B77jlrAyc3dp8QEGSLCMS5R4otUDTAy53V7T/3xrKSEAIfYcgLz2GB +oJ58mxfJrxiOiTrmNxol69Cvxrl3MWHsY21iXxpvxNOQ+BnYuIC13kT1vOavy7KR +N3iYbL4TZXfPdVUzwApNlgd6Khq0jzvEbdhFLhyA0jJmvZXk+hA5HEVlkj5AqwDP +u3Tytqp4twOQp0mIHE0CTQrM3ostGIEUeVCI0yz8iMKT4dhxRIhBTO8z7gKOuKW9 +wXuIt3R5PmEzlBXsFR+nXpCs4LG3aGrrZTCvs/offs6uSg3wbSvm1IuBDjaQA+Lm +owOj9+GMo8I+R9INIi3hj0YGUsQfqu/HUtCT1/5DPA7TOMpYXZ9zepNryySS1tlZ +KF+IMu5hn0Xm1R3YmkCwjX4IZlfZcgbtv9ceDPKRImKUXMgkG6WDkmizstem/QiI +5OVJUx98waDCIgiLmPSONXS9df1+QODBvh/4+1EAws3vrZs5/9zvYHVajPjmAbaT +6Y28OVwWVxcIWVgHFTuS1Nh9pR0d2FOthGTv9hjv2EJJQIoi3iTaiS7P+epuyfft +8gHpgpMDBAnRiNgyDBH+oJghjxvXt1UidVVAuqsHrK3YunT0XAWDWkZNWMqRgWbl +KqhyztFJ8B8QFDCmQBPV4Ja4gVZ56G+amBaaW5o9G23xJwgD/LYmBzqu+YErzGPy +gWxGokJzstZhDgDbTYdomSKwt3nRupsqJxbQ11pCM8BLadL0n19ruVYfBzIZfIWC +zb46jC6CEtKOTEogXzPJ7n2UlPKKeVV58CjH36Snx/qA9dKzega04VgBN8tkZARk +m//dbdf1og3pIkKYzsukbeRcx5FF3iFX9Wg61NKMAaq1AYyrXUzdRoz5MaKuSp8X +VKM1JmNqJpX+vxXmoZDbZOrmuvnFkB1NBkIocXacA8mQaRpr0o+3xD3azl1VLLJ1 +AD5CAWA83qoWRt+B0HX2KXBNj5VLgQ5CHXA3uT2VQ0Bk2/wCs15oyw9pwrwG+CRV +6igPLjFTVuAOYM6UiwU1AtoT1YEIsAe+jgIiBX3qR65UOSlMO1OaGPvHTbM4OJ0C +jGNreU0fePz+9OSjeoM+oBh6M0hQYxGqvhbGqm0YQo2VtNw1joPtOX2IDAE4oTQ/ +MA7+89kHMRc8izXYDW9SuHAM3tZW1PQCGW8R/00c2se0KFonzxa+8rdi1kNwGSFR +m//t1W+1jul9Rv9cgmodP4MURMAgTejcK3fa6KXjgY6jvzAm6gQjWQM8XpenHqSv +qGVjwGrjmJIx2z6hoER09tfhOByc2zMA5CYKGZ65HhZBnqfLFK9BMl85fNGUvTzO +M8/pBjRK2RK0EgOvW3VHLWKWRdcHgNvjooVLd36BSFEG2GQem0couMb9PISLmbIV +7ZjxvssYUEl3OypYWHRw5cqwX2VPfVnDWexjurtMUwGttU71oRN4x0mpkrnRF6W5 +LNy+y1n/r/4OMb5cNMmJJZTDDgxeHtFfflmKESuRsXTd9l5y7YGkeTmZ2wPxEp/T +OzLivVq9GuaSYVc5PWZ1ywHKNiuwqm23CpAwjSqTVDoYCx1s6pcRFWqjxhI8bSzN +VisIvgIOIzkzOcgEzWFREVQYi/gX+mVBHn79Amq27bcHvRmrNxuJvcJjnWECRvFX +1x7NSMerPj+VI/UTFOJRV/uitfH/eQPBelVURgCnYhT9HP36+tiTxkn6e7T9pqic +U7jr391wSWZffg5w/HrPkqi7/s11iAwS2ZS5sfb/iDZ+XFCJNL9FS++WcJC9M4qp +CgWVrAmRSj4Ac2GNdRArqxAJyxQx/XfwJsDrHPMO1DZbwmracMVesyDhyzQDngEL +PvxWu37lq+KLRAijvNdKWIWc9lHHIqjAGJ83sFhLq/Txs2jJ5wibWnESSmWc0JSf +3xZHC1kxpLQJHXDxVG77f/rLiRhQpkd27psaPrdAXny2SE9d70WdOLWkd+Pl/XJH +zQgAJY88L6XofK0iFBO2riM6nwmLIpSn2vWQtR5NxWu6UfXVU30jTsfYi2cB9pBB +jMrAby69GlOTuhZqfUTvGbxXCCVXpqWhFBdxR7G+BW3J3bN60tNhTMbCDy2ftV6d +FPQBHTSw9nhEKDU++7seJv6afjR45D15+JA7hHd0RsVkU1GO5mCXgnFVvvWCVI49 +GTVI7VPt5x1pP/xrY03NG+XGCxWBADcj6rqIvPIchO4XZkbI8rIPB5q8lYQXX0K+ +vkL4OwNgKubpWeJFajvhjJN47YRZ/poQicAEe1m9PLcjeg1Nso+o4Se+SnShDgVU +0ABaNXc2oNlyNTKw7baYYYMhYR5zNG76kM6jmmQ75wb2wWN6Gb8a0v7aLjE1Y2tM +pI5LKvQijpfaGAC7qaxOuUHsOPRMsinBfVs2R++9v4QxYBzkhMgAwgrEcPE7OtuN +L3JOFy3LxKl7aqfbI4sWlxdjGt+KZ8XYLmG+dGnF23+pbEurMDHeagSdRkkd8imr +URzIG+TQfpCnypmFThCRxT421EEQ4U7b7MH4I2xX27nrrVv8LCkWOkGEXpsM2z9M +oJVscimm9VNGwiSnsqn1iCEB9nm68tOGCXLbKOI2sq4fm/PMTbUHYGME5ZdXrhmU +x1bOG+nad9ir42VDmiXh67oPKfwjymQbzERmL5pKbVqahJvMONz8F6b801+dmJI8 +RbW4YRJUE7dIgLK2w3Mq7N8H6uisUjkjL73qnC7T5wpQWR7AfhivW6ydkVUPYmUG +zGVW8SLVzBcb+tSjJyjN+Ni4VUUwZ8CkfTK/ELhviRm5rhB3Vz5RJlEM5rxc1xPq +JQb5Roic7ybWT1cePMNkfD8QNvQujVFKN2ZcKalIhUmJwV3vsOtj5e0XI/yWEGvU +R7mO5sk0CtqeM8RTzVWB+a1CHY89VCuMjauvtqmjZMA5+ViZS5yCKWx3dd5ZWvNW ++0rMrQju7R7BFhj/g2srisEiIjG6pN6K9rZAtWV7nCh5YIk7wA/XtVAye7o8kPcg +JJO6uLNdURwuFBS5hBpxmTAe5kb7RoG4qnW1wDPsrXYXVPDsabWtMj8Xi3er8OFX +AwCR0k+TO3axVRVlCmqZf2h9aRUes5orjKmA1ZRahlsUv2ALYMsnukwKIsKjhXki +L3bZY+5J4KkOwuxCXxP93UmXQzM3awXXxlIrz/4hsTRId3HRChg9sIV4DPF6R6pi +qOvCCqRy79boLHKgal2olE5/7AvNlS6c2l1Dr8CufBWG4X2zDOoaTCT+by8HJ7ce +iivUsYqLmZTJgUHumCh9K3DqC1hwTa+8rKmthr9zmyAWLXeJ956QbiSDXL4bmEJG +S/mSkBYduklCf2zD0orbRkHS1B7lgBJLljFutK1Cbsl2KxiqfBfO63W10toGtNZD +F7d5GGiCRoyERGXpHn+dPEUouziQxATzGoLtl98LjDrmNwkfX1NRTy3Dgr+4THVL +/Dcx/uPH0OUAi5eQbB5VPnsjjMVX4Y4QN1oqD9JEXOZ0DW4YEKOe6+MIbSkFR+wO +GN7B/Z8bpzQUoijl4kGHD8tho14YNKyQ+XwxPl05hytKjeTD9axKI9Y1/Lcx6z0n +DA7miKQHJ8KjUJdI+eZd6EeiIN3p/sIw6SICt+EY3ZT2SvMv6NlgPpAzpgYc6+h9 +j5llB6Ifcyy3hIwEH8F9DV3Rwd2rTklKi83lQuKAWhdvKTmUIzxz6GyJ7eKmEIpS +s57spv/b3ChetMWR3qtsaHaFfMTDjXdIhhWRLYhKy7NHA65SRTWlOemNxEFd8kH6 +ENiUDsKABN9aBDy6xkOYxUMsqAENuD/gEPmCgf2OKXpzAOmQACXuKicUyio0fajv +0bDpIxW8CGbp13KfG/mawj35HA7C7O3qnWeMOLjDDrKAkiMqwYXy701QBzgqePru +DfbI+SZCFMKuORPMnQ3VvgxaYea7PG+PbAgbJh89VlrWyE+paZFzpQHcxykXIquB +an61EqusOZULIwMAjaRoV6a/IJD3v3Nu8ha7gFe6FmW+PzrQZA4hIQOB6v7wstXZ +5UIGCa6cS1VdAr5MxmozZFaFEr4eCczv7zjIS7U3kCwedUeWGPXjwTZlRuKvU0MO +2cyyedMaxIRzbINEuT30YBV+EG1GMYGrBATMUW4GObJwPjrekaE/1JvxKEZcZu2r +8pMV8YJ6zlW0NsD8WutuAMO4i1NsgYvKY8W8zZKylhvkgQnJXWeoALCnZV+U93FI +c7CKcHzO460JNLn387ZdgDf6FQ1vfxGVUaThmYMPMYcq/O0KvhCDAPkQ0wWB6RZ6 +lco79vJ1EyB/1ktbSJiZnRj7C4XVgdg22+xRx57KrDg+0b7UQZD4he6ucpou8cTv +5d8MLCR/ACYKFmLdd1ZUXgVU6dFPkHaKtnn33vrTkT/syKFny7KxW8j5EEL+EE0c +vAxFBJj9MKwNf2wKOgMi1wlSLnbUBu7jAVjGNxDyyzoMU4uDktl0pZ3yWhw9/znt +y114nrncZjb3h5097yEe+wjTHkd/TONvDJpeO9Ra0rMQX+U+C/e/zv3lkgLBFrfC +Pg3CpaWzQ2EFY/PkDyL5GrYiDolIXKWgLB6c7UnXC4T3qQWbKMZsnD70cd3fiUB0 +5eis2CqVxcmpMlp1yeGj8yyLalNT2hZ+0a0ah4c7j+HqQy4wIPt+1mVmcHmMB6O9 +ciP53+JeLJmb7XkIhQAuMluClnSA2k/4ofOD5NcCUfqt2WCVxZAXPcXsMbgi7fUk +q4/JszL2/Z6ostbVNRnodmb6Ep9VV7vOwy3Md3uUlffyqoSHB9WXtpEB0ictbf2t +/r0Q3gIvo0XaMb8AZpPrvNh+zu7yOPd3IpFuOkdd4THn7yvn4BkzFzh1/B1elFkt +II2lWzWQo+dUe/gXX4CPajUXM0X4gMnEaZ/IdtuTvX0oRn7sUe/eZ4hQ6roGv1m+ +J0xKHSC5ehDDjm2alusxtm/RKYyKyLV2MnF6gS9JnfVhE1Oe5il9ayfFiLAk4Grs +IxBbTcLzFXx1WT5uDZUjQuc5Kbc0pUCy5qqmmWi3n5ajt8Rnhk+Qw1PHPqBQuKxt +56SlqwFiSWwl5bUidraA58hM9E4Hch0vIJ5Buu3Pomf3JuvyV4gpBOEQp9KtS9f5 +t/ln8IccT8XJluMZ3dp8CsDuxq64Q+1SEsngGd16XHdRc6fDpOkuHSikNfEsx93X +sRdycZ4qD9e2cj/TPK+xpaU/EkFWHMS3ApmfcU/5gH29OfD06jw3kNaiRKzTWNQl +ljiGu/9P9sdH5aGuwAaG1EIMTt74JY1RAaUKveNwc045VEvnhwMk++mexw/siYJr +7K2tLmwPhPFIZONxz/3XjjLqK8QPLFqWy/g/I1VmMGHbZ9/W0EAISRBqJjnhp4Ok +zDsea4IdYGUSQ8TZ8AzU82mu29Lmx+hrGPQcy2Se+EKzAGEDULujTWLDp70x5R0Z +ohE6utwe6of5gbfbZdEgK67tVXtHg0+gnvdguR5P9rAaBKJf51/HBH3qnjg1s2ZZ +rEn4LA7ZXaExeQhvectphoI5KLKt4tF2hK9zHUQM566YQe8QHuJN9evGYQkBHnyy +/1HKvBZ6mtwu8mmcvQDZNi+PdSXsBVD4V20cwUXuRemlsWwphJReXEIC7fRaZc3Y +vUcEb7bd6kvHtTYS06erD4l1lq8wfzYHr/pp6Ie8zsLHXKd76t/bBRsA6OFyqz28 +YciWA2mTMFDjxaLBPtiYdGjH1KEej7Pyaw7/I4dQ7UVgy62/GLCqrKsLSM1gkQ3p +CVC465iuoS2B9jwRQn77Y2zHXTVG+/LH4AvUudXaY6NU5lnkxRBmyYDk3N+Ni75r +GVgYapqe+T8xs2bCbRTMr7EEfCY5G5GOQlTYwx3UblDRVZKdhP8X5sPZz7Aa/g1w +7ktt/lZdfyF8RWT2QO0Sl6y0Gfm7Pr95k2s/J+j4pi5OgjiRF/FtxSRzsEg/mP/a +0NeccdJMeqG/0KBG/2F91kmo2i9zUka6CPUCxX5+9GoYFrVIgpgA44kRABeh3gQw +COaQAeFpEX+CaBy+ZbMwH5zf0jTTBIBnIQ9j4+P31l5/3PWVk8V2cUQjEtVU+IVS +FYLDrkxTyfh2fSzu8yqcTR7oFNBmg3u6knYIbqZ0BRWSHUAb+hgRkLGLShuTkw1a +C9r7R+VLzNvfSiRzjLMF6OyjbikOAZldi3k7440D2zPtKO9n0AdA92lM0bHoJHSQ +vvDSWLle96/llk2OLEclLbKPndQyLxzvEHGzjoDWkZH2hU+6/vOnvraVdiGaqKsT +uY6gI0FhyfXqASBvfWdPavQLlFDvEA061vgM/OxktWW2QLkxUE/Im3aGIX6CkUnP +hKGbhau/kRrqfx6ZK3vZZq0UlNB1BshwVb28XVXbvTF2LL/+2K2Qj3aHR5n/r2Kv +6eD3a6i2EP45Qh4TMhcDAGHqziw+zjrzeBtWlYZYipWeUQ8mOVe32iNjMCaWBMvO +GsADfOLsrRoVMNVjRauth2zCTMHqOCFIyt/+sxgfdFx3AaAfIETPZOvnsT0faFfj +xSjTFj1+vvDnCypuZxjMgKqM9R5oUiob9Y164oMHQIrruzMxb6D1AZ92raKifia2 +ktdk0k4aT39kbuSZzagGFrn9AVbN4oErGstytHNottHglI3QGsn2TZGpAEg8KTwX +HWxfUrzOE6zpheWQrFZe8Y4C6XOWpj92NG42MyINst3gS7q1fRbUKqzQhxM26Mcq +0cypjJSMeTQ1PrM09CAdT0EZi37LioxdwRIn1lze2JhNlD+XmEy5R5AWOOOowCGn +HwNEhoeAbXw7mLJdjJQNh/uf9ASzIQa0CIoAT/QJ38lDFnhOdZPkxz2JKXMQmlIa +iGNrMUOAolOMLQcUnjeF/v6Tr9GHtwjBPBhXGeR7IHo4uCemXnzt463AZRB5KDVE +lG7/8NMDEYg6rkjMreHtFE4Z6x8vvjXse1PLrTMWB+HYjyO3+5Xg4A+/JpBnBrmz +e0IqIbDDpePfpevlQVQydc+pjh3WUQ4DxxM0jkEyWDzasouxuCcXUdNdQWetIikF +4vecIAUil0zp+2/u/NIpUc4ryedJiSa4GDdkAnd/Irv9U4n4xVqzeyMEaCgDD4vc +54bi89mVTPFGUWCDgGSSxkPMe6Wmh3YK35zxJ0hGpXXgp8f/amN/y2WXOeTR6k+z +5gDoVWoKNJp/RiVIt8aTvr42Zf2AjNpkXT0yc/H//5BqYc9x5JN7+Yqa0SwBO2he +hkLgoJSiKdoxP6VRo52TmW6bPQEaWnOs3tAJLfQrnbc2jAJfD2kp2CeuBuEL1Q/X +Lo14TKxW9kh9aj8L6jFvaSiuh6kjAxvaefkUqSQ/Km5nDtOT55JzCqURW36Lgt7f +hLlzdf06F0BEIPVhbxo2WH17VcJR31CfXHG5ZR8JiRsA5TBKQ+WGRaHdXweupz8D +C0uaR6iS1ZvJO1vuMOeeiJtCMmAcizIMo1MT/LYWdSR8knsZyycH/aAxdbLflEvl +ORLvxb6c6VopSvCpz/OsFTyz9RIGNTw4fTEiHeHtEw4/w4xJV7Hw5KaKMJuWlAaU +9UlLZevzXztkEbaxY+HuvgukUbPyxBcLbKLWP/5iQs/CLhXHkLJJXc0RwZsEA9qP +D2Z5NfMalazbQ8QvRT7pIybLS1KSoyNcMk0xRIlWuz9R/L45g3v1B1iR/ZNC53DE +z71T0kgzM6FVtKgloaEi6kX331jyBVljGdTIRNhmpJraLNP1X+Lo6Yog4v1d0Fr9 +jYiGVmjegozBj413eF0PyKnS/Q2X3zsHrs8Fn1iKdR8/XEqwjAcZ8eN4I8O3TZkk +Zbk+84WW9nfZ0b+D0uv/4MyDjsLt4HE1f7emJtTOX1Y9bh2T7g2APjxZrzLl3XzC +fvba3Lvcy9daxFC/L3eKhZEerAfnp10EkbJ5w82uxp3b3OlnXvogVLZ58h/mZzyu +cDN6tQR/ChK8yc8N0t8Q3Y9YKwc9Z01JiJ5TEAcjg3SaHvQU+DIEZf9vkfF60vue +k0wTTnb/gg1vFuBl/OvEt5u44NlH+B7eAUDkSvd3biReLo8AX6ABvJn5VscoNXgh +se2olICbgw5DZF+JUFdkq8LiH4qY3FJfvgaLkP6WESbGMwGXX0ZSmeEctwkldg06 +BfrM7u6kpO8C76NOOqSS+Qlm4mDOQabW0YMw3T6DS9dDzlxa8hAH0272Z+7+aX+r +X652Dqj8Y93JQ+Oq7quKQDkmuQ4aZjW5fvZPhbwndnzLIkpf9nG2AWWIIY7zVvs6 +hAZTpEtEVtSvumcPGA71uIzydDluufSMz5kuFO1/v51SHyT48yjA1kfJyiZez/1h +5OnZNp2r291PYq+0sl6sRdFHIHKgUgzIQ6saufaycvkq6qoz/vxNigJZFASSWoRz +nPjtK1WL20awn8o0kIjzlRrFWgoPhs56ziF7QN75ihinxZU7M8q9C5kHa6N1lqqZ +tc5BNSZldgV7SUfZvWQvWSKxDhtZkWiaTkjGoH2f1/7BtiNcAacB1qH4NyrPQVPh +/FE2xIXMEpjMRQ0n4DIXdkc1T/jZCpOEjQnEwFOj95EwBTe4NDm5/FvCWOhfstqA +Jtn+PKfpWqnJScMzlOhCAcNtZz/DljtVK9FdmKoXyJAPvuE9vWpzTQQ6ccYndT3M +3E6jmBkq5Om9YtL/3Dt641J5BONrcFUbeoHiB3FbEA84NN7Iwim8Z5dAiAJd/sF6 +/2q30rmpqvnLcalyo6cvjAxcVSlSO87gyJjIn9ttCSbUliR16yGCdwBouMBSjB+R +MUnP56H6V+Eat3RBbNXyWXVq3/bAOYLCf6wNM/JGl4S1sxXvMkGeWDZ+VbUgDaEC +7ISPf7oDxNvo4pFFcbW2LEGIeZDyzR9eCw7C7CAo+gxpogjl8Dqjqkxlvf2YjNod +J9+eJYWZ4Nx5qSeitHcC3dWCQYWdWtjWVKfV5Gu8yZmtiXPeXjo27iFFEVJ+WkG7 +lHGml2i0KFWJIC9OlJ7VHWveRPPuzS7GzjG9XPb4QwdwzCeYeiCuXa71Up7I3fWK +ayy8C3SKRzRQsAmxpANLp6o+G5TDlYgSxUREEpq2gYpA1VX64xYgiL0vvYsQxNQa +LoSXgYUa3ijOfFY+YlHAutcSDamLJyt/RU98c2AIbPTuD+EiULpb6sK6ZvkMXPdI +CfdwjtwbTR3ACbrAXBM/Ugdv+EsudU2GLG4wgaVjklizm7hEf6YuT6gd3s+5vNps +v7WEtCDfPzkzidvHQi86DEyTpWYcSS5YKdIirJCNA1aSx0n5I33YjB5uMTkbJ8R/ +jMakL8Y/mNfioiBzgNEAu6CeBf+LehHOgPkU4E25oAqNYY7omgheuNDny95s/6hj +26M/bBQj9LFrwH07vIk3304b3KqQTSkETlCTwTca4SIAEzmuYJRhjRIqsxhz8vlZ +pCfe5vDzIdiZ6UJu0kNu3kSKUuZxssaSWBUeM/R/NDOa6XPeT3etQqK+YpD60loO +hpo4ZSYUhNKRo/EU1iycfNJNXbfKIe6JdwnKOOcLTIrkp6ABmbz05VNxCoSi6jPl +K6R9s/KQkxzBv1ZVB5+kGXEigzE65k5rJav/YvsoSp8xB/yZW5TiLJdeJwfZUqPE +oyAImoYliSQAgGmnaKVMHMS72YA5S/h8tTaZcUECHMzZk8Irgw4mJS7lYZ5fiFA4 +g1cWp6rFbrPq868LRRNVAztZw7KqOzFobSR3Kqp9tzpO0XhHwn5VJBGV/5wCiPpM +c2zFHAVGhlsR2cj7mjs+QSHOpBgQiDMcaPVHCaSGP13Ecb+QV6wvZDdOwCByOxvb +i0OP1rHsGL/vjEfunk+Ir5/LMesUImse7jFTgGjKgmvvWlYiMkxSfF/InWwZIty9 +rRbJlJ/w/gzCtFAexs+v8gGUdSooqVcRcQJp2Hl0Gl94jfOqX3+oY1lgEZ/649pq +xDHmHsGTzhW+gz2D2HHjJK8LYsIEN0bbE0vCREtCdqwpd/g6kHSwyvh3xjVetLqQ +Hn0pwwO6oXpE1OH8ECGO+l+8c9SAIgC2khwNeyis3i+0xuwj5n6VIsbGlpXPhxhh +f5d2lM95dHVuRNlkqV1uIzErPkiEvs3Mdaiht8tU+FJBoiAg8VEj4WnuhZMYXXzJ +Tt3ME7x7U7yV7ldpbAe8En+hvH7onPgBfYlp98jsfGTLv0bpZuI2NZ1kOBWZuXL6 +AKYrduPiRA/h3Bposc0cQosWjfEHD/EEbPE1JmQUagPqVB4yFbiwxebDB4LxUE0N +Wl0ir6BhQeehYXelmfKiGReEgmUwYwcQ455tLHnz3Np1Nyv9bfDEngLsZ/hpeOh6 +hDVFggxtEe+TVd7DPp+vOqYMLBBnUv0AGOin+ChDhYu8dIduO0/9hAVgwYOEuzyu +Iz9x4EJejDRdbo6WkQ2uy6v8E/7uXO0agTlnsrJshAuUl43vpubH7L8Ic2stnnfU +1REzksYATf4pZ3M13RHN8AaBB2l1l17T/gr7fpkiQB/KcR6Oq2LMSRJJei4NAF1B +u6ws4STKvP7gg3YL5G2O9zfdyODzug1srm/QpnaZsd/tTenA7T6ISkkmaQUPm752 +kuNDqMFcKA1iy9JQtEL1K1DN6IRFIcCgbP+QW8zTSDdoWazPC2gCNxpYLH8GTXkX +4xnkXMs+Y7w3O4HgazUjJqhvQq8ljCTTZEZ+nlyJXMB4SXwltG56OjGGhkf0lPBB +fYsVC16xi25Zv2y0dt1h9h/Ed74MoqPV8doaE8f1VkwzDS67Pa/BFzCZgOxTOS22 +7npCwnfjSAXBHDlPQYDiSAw5eIFOf81wJ1/is3NxOBpOVNKSLKxHg1a3/2TXqBGC +Qa9VDon/rVYwkFJrIbJsdUvu+WwZ1YBSZfP+0j8wCh6O6DmiBXTMnfZPFtwH9qhE +U1qrdF9Wb6b1SAS9NIo88F3YvufSWzTk7w3hP+vNn24lVnarcHRB5bxe6p64PrFj +Od8JNml29M7950upK+Wccp4nLkfvKroVDqKc973TgigG0+YjtyomkrA1BoP72+05 +PWIUkXDTONLeMqECKgizJgYkKVfOZ6eJ3PxbknMvNrcMDOh8eSz9/XOeVDpBW0D2 +4VnBDebxER/kzjhgCIXKG1juGlvk3CVCI1U1rAriFjhjxYW63h58Hg3bWZTn4WzX +dUUxvnybmneaJNgZiArG+BujQs4tTIBVvtDFCUgqDKVEqiTGmcaihPO1Wzgt68Q5 +B5duZY7srvLRIgsRoV2agzcZIfCd+YVKHqKsJhsY3WfPCGtY0XJQRRJOqDfOmwv8 +iYYhS4Y6E8MxlAqNy6QIwl4/l2qJkwbwvv3XQowGrQxlAGY8d/Xt/MhSom/PLVS7 +rlHgWa9/76yVCXm5oQfBrVlEeVbtT65t6VN6MzIvMEmVDRPkMd+MGFNRgxNkdk9W +1CFXDufyjjXzwE+xcuClD6k8GgLSgMsLo6iDAYfl9FQRvX4nxqbMHcYTL1p/W0xu +NW3QTREqFOptARG5CJBKZvFaq6dy5DaPOpWLHLFp8p4LVEydfD8hXlX1hbRyZdXv +QlwKT4cXbAWcKvs1/eeXm5Iayy+0p+J7wdloT5vNvpfIQ1JPVQ7FOlo7jWj8uRx+ +gu6fijyeP4cZgpS2i0fXGgUz3UJE+q9LPzePMZQZRXa2F/BOYiuLqzxA0tbt0dGM +sW35fHcJl7/AfTYiNpXYFjJNIhffDUtqcra7p7cDhX734pyRsXatOXAbB2PhLEA6 +EcIxt6wy7rfI3FBjj+PBaTMbKdhcBn1tgXXSc9j2Dapr8pNrHN1rONHTMF2HRyt9 +L1YbD1J1YU+2dNeSRGxeSN9K4ON0Cmv6gTcEQS8EubHgNfjUMVCB66aPPbSNd18V +nUd29j+LuTYAbXpwVeDEkLyzs8uikqRr1G5Cx5BrS29UOWfWmkHiK2OW4nI6efbJ +Tiz4UvPegyFR4LYn0aEwyGbrhjog09aZ6ZkXJSoTa7jdp/VXfo0MECNLKiS9WXv1 +AXvHFUA/sjrTgrpf9qY7AwwIo7Hq6uR5Tvn3iakQGtUWOi+F1kdd0V/Fy9D3sst6 +Trg2I9SFqyLiNYzJkM26uokdd+bDZYNpqxEKAR3mSCVrCpyFfjL5XzS49WsQ7Au1 +OFzuwPfmnoJaJPBLUFONAweuskfFQSGLDzRq5WwASPuV8TsIbMrAeoZUr2C2RTox +UrHEaWioMHBwcJec5K/XFxJr8uW/yuczSQbfsv+AafCwN2dsIvGeuUaLxnezfD++ +qBa5JFEYGE4A4NAlsM6EeCTOb3xpsQNWRbm5L6wWTw72wUF5TtTIt+N9pSqB9Y5S +V10R7bw4VGqWgcdSRolwptWKz+2dP6XsmvOZvXjEZ625v9tI57SCWq3SF0vBnzJy +yy/0RiMVEavVOwqSeeXYnl51WmgzTAcBq5ipnvxZxWgGgudIP2fnXr9r1EsTBtOe +7TrF6AnWOYeyu/CBB01xDcv7/kQT8SZSr1Ob0dqafz3iX6sFUGCG6Du/EL6P+XNp +vwOramTWKiKdZVwnopU0ojYxtyRyr+cf8+bBMO/0DLWM6EatDQo+Vm7CwkxS5IXb +iW6itaIfhDVPGXgoiVV9f2ooI38kV6Fecyk8bnPW6TBqaLTbWSTn5uqrjPA2gp9K +aya6P70037vEsNjj8+sDCHqURmGkS7eU4o3EUd8XaWDLehUi/xUsRl+m4RM1nT5Q +tzT+h4XZA0R/de4sL3ibKsM8hUhP8Se1gkTI5regHRuAGLgsrJ1lxhVGoGXqPfqX +d1PZPqxjSvfmBbckJozDAndScZfcwZ8tji7BPM/YZWN20IhtqHEgNVkMvOrPjW4c +c7N4BCShwiwK/zifpRDUcTud0LEvnndSeFJ/f01KiLEiThLyFBkiCzTy/hcTMY6+ +LteKoobouV41KfDBfI0qc9tHqyMxOOWpl1xTb7ZYfNqvHP7Ias3h+20dvxhrcg/Y +AaSnatrQ0B+13Bhc0VuwJWQ3JGJFqmzmqu3kkBoNWgrZEAKnhNe4aIo6YuS8GhvP +3RiXuSmrTLNs3geGkd7LJRhLV2Sb2WboqHaDwojA4+g6SzV8fb923lwTs6RIC5Pl +R3htYifrM6FyznGnbgB9XwpNNNpHXVIH04cnWgEuGMN1mLdwzXbk2dfSqt/LxZJ/ +rVcI6x7edL8WoVZMLsyeXC8fGxCm17o8Mf0T+Whaazfy9RbmZrgHfUmNVy3ZcJcl +G61qYIz2RToryEmJNaJgCRdOh2/1WIK7Vk7lFWujfvSGmjZNeF8Danhe0+vmSJv/ +UwhFQ6yy8d8prjsT5UYOcw0QQkb4YRPXdR7f+zJec30jUV7E2LzhFyjfm4+Wg4df +n1aO45ZqvK0Y2mVkyQqjBakYVMSd6gzjKSwrY1CTCXJDD7odQAQNk7+rxE+nQluQ +Q0JuGOQjNc2QM+bVxfIaPL8nf6VoH8BDQOXtblhGtuRzT7zEL2JuxoQohZJSpvWF +Y4PUYfLWGgFW3IDoEgAdUVumJVe8rWjHe8e7j9keQcLHOnvc9egW/kRQyoTUhaoX +P3Q8FSRPL7CrFz4RXOACg2/J8w54ZJ/IY+gk7nb2kxcv0dtXotaJbzwFL2Vb4bGE +rI53uQpDSL0BlOR8qmwaCz9zAGx9zS4iV+R4YVrOCHT/oexeQuAjaO8vV5u/jbET +p0Vwkzb1HAYWoL5wxUB74X4eq3Wbi8jeJksFMxyQ6jI80EoxKgm4o5gSWLvI8toX +sSr5o5yzZp67nlAFbR1ObqZ0PsoxTTsLyKW9bfRyMcY1KyuXVNYC8Yv5e5+2ebxd +9mExR42v7rlL9sgYx4P8yeuqN/sam3h1bGsgzazCXD90ssQ8A5gyoF1DaJ3+bwbM +0TxU/EoleAgB+abVGblzkt6dLE7IgN7Um6uRUfwBJ+eFPjF2VdbWQbv0TaAoxjsW +Cw2UhrhHVvyyVhw6qTdnZJUaRnHBljPRZ9DOz1ny4oJSYFEiquR1njZKvqZPBmKI +Mc/irAQ/SoXoockNmWRP3r5ZfIFMh1hcNzSmprYxhwcRGLe3mNSKTdZAfDxFfOK+ ++sU4KLfGufoBj39HkJUeWzsRcueZl66WKCx1CBfuU3VLhMEjuwnmgHU7JkK/CLpi +IbouD/a4nMqMfZ/Z8cySzazjIC2LbD5a3vm2xsUp0+R3ogez7SOnCFiGqSaBLhAv +2tKc9SfqDNIS76tVQEE7xptM5HZUyr75ScYx+BWRwBK99mFHNLQvk7B0W1aab+xt +8AHhmmclgOeUfTYondvDLUPzABh4RlMxF6Yh9RpuU4Efrpn+9Gj5rxyw4K0wn1Bw +omsLoaMS7wK0dSdyp62POM0vlgbR41x4Id0y+D4K1cyhTgtjqzpaKXj4T0rkiSUs +/Xj9j27IbREp/P6Xf0EAb8kcpMVUJgniuO+aKyNxnbSpggSzfJMIGFJC8QiwTlwP +7xBw5TA7cZpg8ZGum7IdHN26B2xiHwIhTwI9oW1S6gpgGe9Xi6CkA+0VOc1vtr99 +zCDhTCJgfUGx/oQwMcLLrN7T1NkXhdjU9qA5V1jMbLEo6VZuaGG4G3LutzhcaNEi +Qc2Ik3un9Vvb7mb51iEn0n3zyZhi7i3yTtJu6aKvXYAJSIecYRHKfX5HQV/ol4pT +DKB9qI6YDic7ygyMkYLF3p0PQOQFdwMGCOiUvWY+WgN7NyyNx35/ihLt0PnrFFiM +qUYKGdo0GJxrE8sQ96fesFQPTWGUcEbGxJ14W39gcBkgsdwb4BA/GwdSr4mj2k4D +MYo6vGkJpHuqANcKkXbYaNsPTYh0F3h8BekwgaQGhqu1dfrLZHYfyTKVlZd1fE99 +YyIraz/MUZecYKLFgjIKxS+U4UA9kiX8zD0eGXB2zzpRRkdxUnQmg8Ig1gg895oy +w/5xKDUqpCd28Wgi3fILxdpJOxDkU0dSV4tk6avtX5151JXqKEbRW2akane2bS0h +7TxDni0iQXUyPZkIlBsNKTKMUvtVWPn1SAzgNEOOeaOHFeJFJ6WJqC/teTDxIRpi +Nx+DSdsnWzLfeLP+fbJhl0SLU0NnC6pr4fh4Xnjr5FejCvKlBBz+YGMeKREEKP8k +Tg6v2ENsBmfIRP1Q98Jg6iE+ooiOTUldfRCgdaB7ohf9sTXLzSRO77Ews2XzRWx5 +t+ilZ3nbFMX3OzuCPdc9+khk1D0bwglhPhidSo/fo0P/mvPeXsqCpQPBACGXJHgC +e+g0TTyXRXjVq3zEHqR+z2M9xUB/8SETYB88Vd8GSwXe6H0XIx23iCjtKJmBzA/X +pyFLFQn4s4YfCkLG4gPM6wbCQmF4T3/J/CHqb2546iUyoMkczxPg/ItaVi+X8wAM +RgOEYxvZjzth43T2IOCJY/UMSrbuQcBLT+9jEZUj7cIbo46Qb7B/jqHznwGm/bhM +R/2MOUWAoakFdLy3Ac4oAoAZpHk5+Kl7pS98zM/015YOJN3bMHgbWrE/OVPh3hSD +994Upn53TDBH1kkFjJqdEn6LfHb4VcDu5WrHyrnhVkLKgcgE269tmVO5B/ngnrZz +J9DCgyguJI4Eq1IJOHHCNt14PmHC8i8/46PQc07rz1WWMMR/bIifgWwnw8bPW7o1 +z9n68UHhUgALDVtq8iPg0QX/N4hxKKr8h91rbIcHphgfb+EsKDD3MxBw6yA0Hkcp +9/JTNxsq1uI3Yw/E5EZAnu8aPEEizGxM53SOLz1XGKJAWNPYwnCJMcDGti3kkQxc +8kICLXT+T23s+LG1f73tJVfS+WpI1ThjVMP5XWO50qOSyorA5panbqMv+arWmJXY +1r7n71W7RUixOKXuTKECtzbxcjINpqQMQj8oEL+etKoTLkYDlfgwvR2qNRxmmjo2 +PxT6upmK6DvHplExQ2dqfFinf6cWhML/vj/yy7lcAm9fS44wCsjbI6/1WhNxR742 +egSOjt8S4i6tfzr1ddoLsAdGORV5NvYOviTjkC6vsfsFavmnxD/LUUn5iCRyyN0p +kMoUViJNsOLDW7QwbjESZnS9XqWb5SI7p9mvQqoMv3Uucj9WlX2724Ww3HT+/tB5 +rBMm3CcKVSZ2tYvtD/9sJN+o/o5CcC1lzhyk15CxYYrPseRKUCITH1mpS+K1uVLj +ioTeAGx7Y9BonDvp33+sbfQeyGZS32kpyDjKhAn6Mn0FTpIIT/EcdxzYuqbH/b8s +ZaOaVhDEOaGf0LajNzjSRcZJDL3mX6R8kqG4O7J4nYBsQCsqo5VWfCoIIFxb579J +gtBTDYTHywEupHnOaXwJ5jfN7WzDONSnA0lxzE0IPrR+Fdc47jxURgjWd59/YvcK +mUggklQHxUC/VdufYEXB67CwYGxHCBL3tIddwb252LgRZGT5SbjxVVo/d9ty1dW6 +Uy5hf/YDJz95mq6IVJaaH1HIUL37MCbguY//pcHPOYQsSh487GHcTRsViaPN0oxS ++i3v+oB1XdvKSw0Fv1YrOftE3KurqbC7OoEyL++GpUQI+HWTfdUR6lpDdbmTXuD3 +nNNpRpyUqyVNzaA4x7Fy0z6JN2I2yHffxZ9kUxvavmTEinOls+aHqE3lUC+WF1nE +H2xSboA1LQh++8jERkRZV33b5LWXtf0Km4gD25ZBjkmWt7NwRUeB15N9dBDY01i8 +LJdxslJIaiU/f+kPmJNZiuxh71i/FqGf1EftO6uwpXajrtFffR/Zs5+SCNHX5Dg7 +yB++zQ9y4YerQfFJ5GjIWCGmFWoaHhv6OO2U+tseoRqj3xPsGSyK3fhV7Y+UqYWB +t+OLneCS94HK+FwmRYDTizkqOe6VGeaWm2hX30jm9qEHWx4Wuf1DiRT82S6B2RNd +3PhmQfWTYYLMQP3KQikGSCXxTJV2dhGi4fvfWiZklwiqcdgEIOIz+dnI+BDfRZKx +mP5hISGmnnVXbMWjPY6m1QrFM3sALu3TWelzzf08uneJFgnbyLP3HcFtgexaR/Uk +7sD1zKL/oTIXk1m1TpxUWjMc44xmeCqWRzSbJEz8SV6GDO3bbpOO0WSvnPXKRyZG +45RhiNSs0+Az0/4sM5A09hjM1cXczysQ7AN+/wWUqs0dF+z9/fdwvDROOetFf+hM +ey+HFqFxOJTXsSOh7fvav+V+HySIjQ2WR/TKk+Jduj7RhVcOC0xTMDXTErlDVFe5 +mtmYeJovUG8pd9VZKZ1WT8ClhMBbkLZcpJfIgJU6dW5VoRKXejecA9T44BHbBY4b +3K1ll/Z2x5mfMtKe8Oqe5NP9/ze2k+OgcLiG+3l8la6b8n2n+OjqqEhH2B3WsyEM +LewVsQMBZP2G205+erH7KtyxhKxyy1IvU6Tz7oWtXeHXCcNIDlmL7Ny5AcIS0Bpm +M/O+a5UsGLvMOumGltem/uTikS8cHKWFqNs40zeV/lQD41+JoW5GC0X5vV6t4Yo5 +SAph9OIKoi5B4zsU1a5WHoKJgnA+J7ScXa09hKViBW6v0hioiLpuBTPw2zf91eyN +XmMho8IF+MQtA0wq5Gu1Kg7uiOqphNUIqQWlhZfF4d/VXsdC75RxE3YehfOAWfbh +hd4Xu40XrjxTblBfLoyRZStVeYY8cFrEyl1UiRY3NMD2ex126e3j0JihuZgMDF7P +GP75em1RjHn6b07Mbk3SIA2fezjTSST3h0dFcs0+OQYW6QcTe8szVP3dKd2e4ASC +5jRimqzKrelDvIMdy0g8KF5xmuiMm9DorQ0L2/vuAANRlO6T1YVimDAwj2LAGuFz +Qh2Rnyfze151QdAYJvT2szpNu7COusv/0WINhF3fT22kVg+b6ifTmkeZ6djWRxMf +drIsIzvZEENuhp5YDLZqKn8Exb4NVL8ckykFWZZfVI91/TJdp5UQ8cMcJkpIgwcc +Bx3PVsIow+/DNBgzk9+1u6RJ3yPm0yQrF6As4FBsfOD6kU08R0Owk74+cSDN1Les +gDJxJi23ArQaRTz2WU+p/is+PT2zA2FaNY80lTII4HInFRP29dDOPngbs2YpdthF +XKk/TJxeIOF/a0frFEM1MVTb4EQupoLlwsrYijFdwZrXSb7a/7TUdSlUGB0cEU2A +VpLjUw+zsbFEEuQ2+wMu6iIUf1TnFBBsxNA0gtFCWpsLaGHovlLapCO7cuJse2ID +zqG8DMVK6WTcctvhm/EzSZXfAknlsqD7ef3tLIfE7GlfmGodRN1oZYzTRJlFXKoT +rBRQLXEGUh0H4JIMc770rEs3yVNcEC3dSUPkeQ0qz143kCiAwgPpFc0aLXRvvs4L +K5yS03QqG0btLZQdclfMn5/S9pkXknQRSQTnw9snYrNe/hrVMtLEsgh4LEyiv588 +VlNNtfo7pBx+a6OD8b11GbbNdMjEWS6U75BVONbjMDN4jmJMACKqm1CXCEnZjHOw +UDgNXtoPLgq+o+ZMw3QdRjOckfV/cildYuNpfgrFmqnFI7benaS0vQRFdcRjEJXT +sYe3qCI2/YbLv6S/QJDveyIwr2r4yl/qwRq92Nxj6RJGrXU6olcFmuIyTn7V+9zb +d1EuOUzAA4uEwHLN3WgmBhZ0ZMdlec3OvM0E97SCIfJBjn9QJwS8JediQ176lD2Y +ntQHuTKmttKmvRgbi7z5r8kQB0YsPC7ZP7phoIbAd1eAbkxXjBkUbec3JIrsJOG1 +nMB+C6ARv4GImFhnw1phGQEWHAtX0QBzuxRiH4UNz54B54CLawvnBN3eKeHqK6sz +lD6Zj2y6lcqmgseIqtqF8qQWFNIn2C9kN9HsRO5adPP3X7dvL4BEDouP6yVy6Gok +cvp1w736zfm/FzcbB/iTE40LmY5H+/lr7/vg3L3+HElgKTa7oaC5HgHck3936V37 +OLzbMapIOothytv6KS1ztN7YPilaDrT5F9FMgS3qUoHOqsZ+saWjnIuTCWX1+eQt +Qta1aq+Stn8JerhWR8TdYFi3NC7QDJ0eo/4DLDb7BPcJ93EY+mmIRWtrGy38YhNe +sO6cDiCadRWOOh8zO+hcTwg+fAtjB5sivYYYlwHE8jBfdXU/wnLyNcfPVksYdKBn +q8E8ygtzYQ9ku3oPK/Z7fMxwu/FEooYXPV472PFoLICdqJEbP0EBSIad9mtLCHVO +sv4HlYbUK+Qulosm2D4eL+Q4L4hGF1Fv5H0XV5/ZvM74DdO2lwDnfzE/dagvSMtV +KmZXN28lZGS+EJKyRysEJcwYaLBErUjsA6VO99O8z6K2cq9cxw3+/k0sOisoFzOE +HEkems1RoMfCwweyNlHjf0DRRpzHm9cFMPgr0DfaNbdL1f0i/FoeLrb8gkn09j5A +nUmg8Wg1im9tINEOTjUUsv94Z0tiBsdUZ2gDeUQGP7jLcsXAXayVZQm9xIFdoqFL +0me3pgZ7cuSA6Dv5vrMWEEt8CN4RXpyD12mGGOnJp9lggcsac3aweodLAidBBh+s +Q1zIci+4rKTtN/QGNodnLXgekEE+4RMduVrUtmY4kjJqjQj1NRUV/SQvLZZodnFc +AgaKSZARkzwCTAjvCzo4FZHGIDTXDmIPYYsgQcAl4TH5oy6RAfFwQt/P6MHVdpxJ +t86Z0HtA91s88PiP7MIGrDP8G70mOQ0oxpHHj1IoxUK8Nr9ESa0hEmg28ZGE8fPR +BwuHtRfOG/g6EzGqpPydaMNQkjHTF4o6geD51+yfb8nqZ+JMCG/VEk1+RcIatN8a +MJfYHWlEuVaVI/UtODM8UtzxGiiwVIxoqfpyUNR/6L/+aaHKpzydcqoqkJ77qZZC +g+HU1E7U5IRD+uLO1u+jyMNQM4ra8FZMpOBtLWdOwe77q0ATcl9JpmEsbIEbRVWG +oQQ7pwpVne78FOxuDhcS7tEdnZU/lJ8PcsCdtwKmXPtQzYV9P8tKtLUNMN3CLyIb +mdJy4pjemnY7jMWAN2KDC6WiFQ8US4FRMrGKj8QtBpo/lE4ADOPi/F6FaCf2Mf5a +4N+g7KmPd1hqnswNsonm1LL9iq4uSYlZvl2Jn9TGUQMcLrm6P3JgFij+XCMRjq37 +TZaTb7DCeToS8JMFqzUDv8eFFD9u9+MqaU5ztdq2ypeoIPV/x1LhmRIHIWeA3fPW +3FMzdzYs5UojRlwsJRFiD6UEe8ph8yA65UpSLm4tfId7dx/6XXsNXdab3cEe+obj +lPwK1WQdvmylgGdh8pMF238UrMFes70oPsBYGIrxZbM8zdPynErfFRX2nBu8AbKW +M/ZuNTn0YLNSaMrL29NRsBv1WMkAN68RN2RtmrXGQpuZBGTM6uS7B+lkz0unOnu3 +LdjWkjVOYePptjzvhR+KLeNDaSwm+lDyLLcK7azgACvbNnMKcsX7Ekwour5BPpG2 +oBMfkiFGjlFr0IJMRwv/0vkPJxoVuCIQJw0vCkLcCd5u2l8MZVS6/qRXtM8bDacw +1M0vTErlnr/Scb+5Na71KKB7rYvrdoisWkFb/mbz8UMSzfF8T+KwxSNdXLJ+vauM +h4qyaG+XIaewKDAapt6gVcr92m64Ih/cWVug+uB9P4GumJQpdOOXNCrRNWGMu6Ou +AkhoNcMvib6KZqbUppQJ6kIfx0VMJxbCkbqdijwfzV4EzKCsB3gIqUwPjakZeVkM +eeKC6+wCkWz4lVLthi5cNlyEk+8afDPVSpJemhnKe5WJcIck3cN8XDVvwgsstQuY +T1PMmltaqRX3aDl5I1MiyDulVWZFWugJXA8J89UMfBkNOBkzM1s7J0EtWf8cp+sd +k3pL3pQSZatNSmtTnxog3AoNaJAfMhW34YOUHMwv7oF1QAchlMgcFfKSVFK2EGJy +m4Sz2h42Q5gGRGcyVEvsgGiJC3CWoDTRiJPvHYyIWKEA+n6aqoWiBPoaz4uFxFdK +0pHp4mb8wLdQhIbaBYOkYKLdprrby9w+88VCCtcfDa/iuV42V2PQNs904Xb7vVle +TeuNF/+qWSFNxKSuFpeghkuNoPchZycu6iZaRNJJX8c4epSRSPy07QSUQYhmd9ej +o75NRE2gML3O7GGRvCIr+3yP+eBz4/f6SOq4/BU8JGrsI13ynHABj76lLLN0diez +wE6CmO8IGGSGLh1GgWO63ml9RlBKzS+xrYel+uBf9j55rtIKoGP3DQdYN1Wt0JOu +zY0uxu6Gm6JgsaVprPuhenGuB6sHaG1JdlwT6YfOQqNVw2XOUfs9/rPIdJmm1FHp +Db66Cu2b5D/CFE9s2EjPSjNDgI3KeG//lSDzy/1+uJ+pv7oyqUTdrCPr2IgIJXUw +eFMUHD7rbU4dCtpZExcr8VgeJkk86i3jQrsohsLzH4Ao6bwJtAYfHlpxItwSzu/W +vzqCOyn2VZgSoL9qyMjWTc6esyl0m5NDAuYZ7ddJ4ZNot3M6JhDjobxl/5/gDiRw +9IONnMixLzkDQxQMn8uTKajezicuul3B7Yzt3tkscZ5vr0LWmKxV8dYjR9pahe4U +Wk5BS3FZHOLB+uyD5kSgyfDR9j/FHnxcdTq6JQYG1tTmu4/pD1FsNJDuUQerk+vB +YC1WwsXNPJHmY4v9fwAElCWl7HDD4+Rag9m+PsvMgA5X6tUBuWx16cyMbo1+j5if +jPQBfv5Ljy8WA1mdg/z4BGFM2kli854Q2HsXMi05xpy8NF8hxagp+GQyJDR4gjUJ +e/dCBdHX7uQD0qLY7eaTcYJY5f0pxtqJTGvwvuFmLhkwsZEwRaDosgXzoDpRnWFb +T7usuWCJFwPaGUZXhJIRpCqWzp6rMciEDfsD/hoJIjYjnI7tnZkWKjtYc9o7vml8 +5ok4ajdWFQMOMgKrtddr3HMNBn/99YijLoQux5xcrbdbvUW6OfiyORVo0cYo9p4m +OwbwavC+Wo79D+Fxv8K8vAzjYcHga8ZKPWqe2rr5fzu/KK6/cegVibLioQOT5Z8B +aQ0qNssjJmSo+/TwqJJz03JJButjwWqRTPe2XaiSx8GOF6PzZvo4oS1E3OZqx/Ib +BeTilAYKk+p++Gk/HiQBGIgdk1PSKjsFU/WDTzGcmngV9fP8GRVCRtIdHUrnJM14 +YVMMB5hDfPkI49MkxVV/YBef98ein1ox2qhx1TtDOfjfB85BGAS5AVn04UUd7lAw +FTaFuHiNVgaZEk1PtBQROYOHsJcNBNOUnsKZWM9ISubVOFh0TNfqWfap4SwYf1X7 +KlVtjXlnuJkzLr6b5fgXJyZIqwuXJlRUd6durPOhgBgAqGrii2eDab8FeixWjAyQ +BtUw9KSYEeIY/VDlLrka6xla+hYOcH1GCBqvQE1cbp/QN89UBt2NvGJ9IQyrUA4A +EDQGVvbhXZmMtkt5ArqU83Yl9ZNblHvUwxCdk6Eszcv3ceWuNg0ZyvUMkBbGz0JT +Hz9PJhbKrDqXcvskmYRixuHhIObim0P1rvXu9wk/mI9cd9kB32Dns/71DsymBJ7A +IdG+aTUoGVITHHJQWJctVN/Q/mo1fYmF6GvSbIzSb/ROjshSFrDNMNS6DK+MjMqW +Ms/Ye00wr2lgiEyEQP5JLp1ECLESUbVqY0o5O0VNeSGgbXjfkKdjmjeH34HNTx4M +wU7+pn6mi8uW9KUmvcDOIsqNdTeCA/YTGNVtfD3BVwPQl6aO/4i0NK4/UdpOMVvR +uVZhLHOwXDQ9rNWyiMXF2iDp9mxtQRm9bx+A6Ly6rNviDKo+nnXrdY2IJxAX5p50 +br6sMWNEKk/1G9MuLXcdaMxQiQK/iOMgxatuGce7osgSP4FzK0ErBsqMIUEczKQF +leoPal79+CuBOvfYf6HQCZkh8nkCUFtUsbCD0zZFxkNbJMZC0ppInlwDbagupxJ+ +taImCjhO6MmT2DgwD/H6ymC0lg6zy5nMpZdo0VfcHfH9rvPdWwU0+/7GI0dI3mep +IGAU533nY8IN8pQNXPT1Cgmqe3KVifxDr67eHtmfoNx3S8eh24elMB1mosk1o+YY +e2iJdlEf0F4SSzn2SI2X+xbNKwUslN1jKv6deQazyHj/i8KZoScG2GCHOdDAjlgF +JzXPtn55RCpFXj/nV2NVu5l27d7+ZqJz2zG4P7F7Bk8B9AES/hxpRLJLBzmxGg7n +TyUn5B3tiTCo3uguKL2gxURIcLyib3yFD/g5FO/FaHTQarGxB0I9LPvq8G0FmVKg +DPSSmtexsRJfy7e0gKuvIAB4YmNR24bbgO7c8pmH5Rs9jFQ/XmHWe5fMJbrXAm2B +Ab6U6wUFGRwrjLwQ3QhWCd2/9hUTkdgNX83aI1Ll1BdAaQiVAnlxEMkoqmirVjOV +ig9IcPbRi9V2pCsyCKoYCaFUkFejFyteD5gpmoC+kwhb0XawmiL+PwgcjEHqRtFg +93ExJmDB0/twnMGmUWEJ17B/A1YGR5HCN0i1/YJ9lLkC1P54ln/gFpoyMNrglLSa +oAQX2GTGLHQUobfKIY1fwrf+PBjD92OTjE3lYNWc9vvFyOW3iQA1AicMri5sJ54d +4hwy9FAez/mfx+8ClBS4No8Qig9zJxmFL81uh09k77By9ENoHyEeJvsfxH8oOQlK +iW6Q09sz0piu+fHUdDabjB54MPtqX0MRjW+mMNZ8stiCfpY0HYdcCyTV4BldOtHw +NIqPxuc03QoedD/VA7bI38V9zHsxikKa8Kx0KvXjDt8/5CxXnI1pRTWm6y0LjVN6 +Gg6N/Sourm2mAe9/Kr31kWeBa+ZkGZU/njhn+BiZWkOfoNZnyhkFJDYVlQJkLLSj +HYKDalRdxDvGCADwBm50CwRYENjZ48I/iGDDWisvofTbsFZ1IsUmyS1S6BXf4qb0 +uvNIKp0+J0RgSJxKzkVV7xkhIJE+O7C4pmNqDUNjL8G4lTw6wss3wf5vOcdGwSBZ +gXeC2AUluShiAgchigtNi6mFEi4YYFhKvA7veAq8WzyAqkODqUbxp4HG1ydqa1Nx +f6itmImOsx0wYxqj7LnU/3HQHNFW405UfhY0NobsEruepb/cgws8gBb+Vr3CBtDu +hz4yJfQIwKlfrtEmQ4je8zWlmvBGHaAmd2N6kMiJd4FZGC1vdd6n3Y+bXKPhzlu8 +rBa0LFFQquN1ZAyYL1+bQcECO97rKGCf7dlkwsgxH3ltn1MCJNw9R4GdL5QI+s2T +F3sGCF0eFb9mJFZyYjxW/mhFb2ThwNZMiwZT/FUws41IAjJF6fkzpKisNUpqI+Tr +kfLldNz0spOTxJVEFhdX2oPmFSkLFlNOgHgKYZK6e2ydGW2q3lkl55SOLAUyi54R +/+Bxc25dFtEMlsTXc1IhLV40geU3ZJkuCxOYMoDEmLovR+opoylsMotw0GyXY+hl +gGIpsdMsGK2eLyi4Fv21n8UtNrs/OPNRee3Wput3F0C4zJXVWUO6kH3xAaugy7Dp +hivKW5ylITltsQhq3VjkTuUFF0LmjrC4ZLKflsXNhOIwsHsJmOTWwIhrtfV7ySI+ +XB0wo/TAhtFeBSZT3RC+Ny9NF04G/zf9vz81x+KIRYkLMcP6Xr3//4urViN2kw6N +oZFvZ8hJO0RxB6lqZGjG8Gc96FxvbLQR+KCw/q+upAy1a2TSR8+WGMXrIbgGGshV +tq44yZ1agGHhy0RwdYqK3SPLo+ByBuKePL76jCBY3pUDaIFUQDAIGVVgGGlInEdV +igoFOtcCXydpMWiFwJnWE/srwd+X9uhZNQi4P5/NxHFz09vs4eVLDQyVj16NCEPd +cFmTg2O8K6ZOS0zAa0rBF4ZqEQHdpMLgZVOMlsUqzq8k+84821kz1gqFB5kc+T2v +s/59Fd/fvbEZ6DDbgUzb3iJ0m+aP5zuL13p+Q4d7x5h0jXcfk+ArUWyEmBCuvjpy +CuCpDiqyUKvXI4JLT8VU5rM/BVv93lMi8jAl2mj35a1btXyFzA6ge8znvPgjrS3/ +vZq1on1jFEhF9wkkt/YHFVoGIfHwv1QL58xigW416wBWidvlLzl8hMSGAEPPfPpr +5BBCe4lrFbGLSxtIyeQbmLI8K8vkGBN+X3oEbl5iY7CAojwBF6imwOTIvyVtFGCj +NUANr3f5mZjIvFUCTW1N6chX6gIxcyBiHwyJ8j30TPj/+f4u7268OzTk7jYfObmI +uGpv9OLzpad0pyvjGp3lWmFjgos502SV/Teri6wznJl50VJvN+NtkN4CPTVEyX3z +iV74gG5fCUrBDRjMUeaULrha1/HxtH76YQNJv3LpLYKzzOZ9SxJP0yBoFAWAFD9h +6L0KFd0Sm2k4zeNAzSeIdso9L3Peq6j7hymlI9kMv5J7d4FPzVyd/MDKtmRXZNA7 +iXLAQu6QC3jsRBYtBaApvWbyLi8qgAphssE5fjoyHfnGqvo1LGLUt+KKApckCY3/ +tpu/2miV/425hqqd0oM16posY7mFOEtizA/o8nyAm5RFvm+SGQVpfwGKpxXv4vF5 +LsYgtv7rLZAkT/sRVi6SbT0Eug068AXkxbxcFfL1aRJVxQ+eLk6RZ9TkRVfUgn9n +tI5EMeRjCwOYQMKiTlbjgmeEmC1hVHsk5vbWaZZT+d7H5gPTvOaFDQewGOF/Gqud +/OKCICKELtO86uGdQiqkurUDm/2+7uaom0g9i2Xs21b0RcUm0ODcdxXsYHXmSFjY +2fi72LUni5t32C9OIaZ7Eqjv08i9KDIC8sIw1fuEh5Z/thUd/oLEfQ/w7HvxH4gN +lbxS/Ku6Rnu2zMHkvyS8+Uh+kccEGY7HLvfCEpM5ZiHRQOtCuj4gwMTIdCvXWVio +ZBdNBmu8rvS7jPzXRhmpUdck1d9RbsmIponv1/Jzf913wO7GGNpvwA2a4BOpACkC +p/txA+A7kUDbV2eB5KJAg5ENkgRaFRW0Q7Uw6jJSDUM6UGSU4Wg/PnBYASGU4veB +4i2vJFsdNYVSv5n1uH8MM1xFoeDGfw5H5Z3yJEd6JCCCLUceateuo/4Y/9K8LsQQ +z20FybxytT9yRyMishSUlOw9KTsN8leVAJOd2ch/Td17Zatyi+zw62jp1/ymo4ZS +FhlwPe0XJQO02MzQVXG6nqBtA39B4pTPqCHp7kg29LuwEdzxdmAs31riTTwjx/CW +A8Lfy/JI8pslZm+9xMS0kaPtszhYhr3sjd50r0z+tSyHsB/IRzE/sB2hIAWxMe+h +lo03LmDD0daKgOBDEgfD7hOBsGX6zbGD5HC8h30oNjmvI8rBfHq6SvtiMfvLbxnA +kKy2arFakQ6CAScsMVr7LEE+avk8EfhE2aXok1c5ZMJeUdpcjuQYAY2xMzugaDpY +7n/wvylRsrcKY0cIknOViZTz7fhFLH7Y0JWvGQzLERuH5OI8Wl/kk9MvnufKnGOl +Oo5cBVgcGu+y5xqenUZLF7kqE8Bs4lYqX9i6LCN856e+nPdvU1LncU6iNktDVa/0 +NNZjMXR1HQFNe9E7oGibZ39DoiOnpHwSPsuf1buDphuTjojSJQ7weARxyAH2M2jW +Z7cMZMl2VVzkWoDmUCCb0YyI0zAvYaLL/g4hcEtgGbNGMMFIBVgxSRmQHZDHVhbr +z/sGEkDTSlNxqCZW/ZzyH8kFlrouO7jZONa5r/1xmBWuxVTgeG6AJudtyqrUsNOk +mb6QMiMirVnrLC2Inyvapq66IHSbLHJrbAK2r1Q4NGirm0SRR3HdkedsOp45W8dH +ii7GtGysD/62STa3IPCPTmNCOGpIOb0R5nqtMTDppLKUorN9QpiA7N4brR5mTJqK +O/2qApkcOC9uMJJUMZtZEtJWj/IU4AwmQDGz3g/mZtwTqYmSwBF5g4TRZ+Ww1X7m +gAXYZz/y94UsCuRIBHGGCvFeTq2o3oh9IAxmYccHaJVNJwTTWjlgey+i/d6gwPfC +dIr8kQgmlxnlcUBwgkL89FzWJjwo5ouaNSUboh7MXQMFhS1by96UdjWvdogQy7TH +J8oqJf570T+jmEZQXBuH+tDfUiB/E7KjWXFQAVjc2HBCwCIAG/sa0PefWBsE6mcg +LhvIJgc1cgL2XkAJc1WbclbbmPpOUreWvjijkiISBehzfjOKNHb4QqTU3WT9SAjV +D/jy83W9aowKOye+iqVNHMjMhuy3uZa9hGCHuSJoLxXatTipPeVAJGzg4nMFkhTP +uHsYr7O2ScOFXOaLqm4x6QKgs6Ct9Y4n3R6n+s5FC+eLSXnNl36MxR1vqPHMi58s +AzXtq0iGQUz1k2uk2WblpPAQ4kza7qad0G2Tl/t+4Cl+0SHnkEkZTZQj5aQFXjVm +5AqeQvZgAcVFrFLe4xijJ0N4twLy3maxYIPev3KA74MQX4/c0F2K5PF13FaZYEZH +9nxoc0PyqIRuMC6BmCcUTow67M6v+7nKPSSTxdk9QcWzfcE1bvksQn1zpPrusmo1 +fKyjmFND9A5RUlHld27MFPVed2d0sQ8jpBC1ou/hKebjNMEBuB5CgvqSY3/bJxjc +xHzqVG0+xMZ+A8zCuyghcvMISkIU9jrzC7KX37WEkrVDWdhUMiCs53qih1TfWxnj +GgSV/Ee4uC2A7XwhIVo6exl0xZTV7Hx3qqCY450HGEP14WkpBBhjtxfPlL2Garda +kh2+ekx2fsZz56hGAHscsMdArzhmcV0Qz9S6yaDL3Y30YbtVMWk99bDvycl4izaW +56JvD+NsVZ77MBkiL0FCkAHYCH1e2tnMic7sz3ecwyr+pxQIxZeKZOOFfPdq6at9 +E4NtaNMEkQgYGo0Df9A7CiapvGzvtUTh7TdTO6b6S4HyTtp/ypD8woIqDy79ws3p +e4VghHlE1pyjZp30yoWUgi9//xdDXu9K5FdEXVZIHCTnKlm2gNOy7VBmEO1+AXZh +7fpBHieynwwJ/Z1mLP3uShfTDE8Qdoj1AzDmEINh/AvDsXVpF+IvQNMuZQVms7Q7 +r3oURxsHO0XkEUhdGh/5uSpM1GPscn7yNVU9ZOwGzqMJ6veNx2+d5eLqgCIV03Tn +EeNdgzD4qsi9lsYA9y4mpd3x4nPlhiWTbhDUO35hfg6OKFdMVIDW3U9zdGdXIZW5 +OQwTG3crJATOtU00PiFjfoX0SrBFGjt9l04iH7mOw+tYHDsVIhvfUHzlIaAkvj2G +qPp8U3Ar9j93rCneBfw3LC9J359tVJt+kBaWBRz2ttWQi/3mFBrN3blxE8AXHgnD +FDGWUUJFSeaYSj7GSrphv0Fn5EwQwNvePmQIZYWAQVtG94T2S3AHua0aRm50fZrb +cQ1iWqU/82+jLjYpZS6i4ziiMLlrsn3c9yZypAh52xMnagvjhLE6AL563h4r9pld +HowMtRoi631W7I/9C53WoUarnLFlKpqlSXhN3pe1FrDnv/iwAxW6tVZFnrbw1h8D +M4hA3uGdxAKzN6wCTkLqogI4wv07WqA3OWmMkd1KA4siW11dMy8m49b7IrfF/Nb9 +Ug2e3IyUgZhCW76naSI9YZjBjSpDR8tKelDlFlFb7ZJJBZUdMB/Asc1m2s8SuRVz +jv+ZKz+m+ZM6j2TbFDG7DIQfpIYLO4HLpaKLu1/cjndwtg7wB3Dl+HQl2lY51rYB +0DRPxrWD470Flr/d9p0B2rO/+zNcWjPJI9pNgYSIPxRQFb0D9Pwn/zAJRPtoGO8H +fkDcgh4lN5cqeLK0xG6Y4cUX1HlHVru5UC+mr7bxlR4Gqh02EA/Ra/RXszQzjPXE +OPGgbT31M6YLraH5auczTZFxwKUFMs8g2X76TUKvLoHj2dL6AgB5ol7l38aGBm4I +mmr/K7jRYOrf4lItdNaWoK1ejqXbILv/TdwA8WAOO+OzWKNd1AprMBOmV1bQn02t +i18w8/qIeHOUWv7fAozpXIjznzDuCvp4gNQ7soB1FZmmoPZ0Xau9FAHRhQO1eOkR +YjDrE3XHi314BwdrRGtEP3dmsgOSOqZsJzLDhFJDwiWpazbfjcHnOSyNMV7+Cn8v +00rhzG2gH6vuzsMDh8pfheBexWP453XpGJZmFJe6sXg6HypnnpL7ilCtXWc8Izvm +WlXUceUXLoNEIWTkAFUFmu3U6jdqSmUKgRxwjjd4t7q672x0nXfqPPAz4eP7jb3g +pz98QoYVaTtGumO+emDCdDOU02oW7A9QAaWceO2qCWhizKlUCnP+FAjYWHF73zNV +gbRkOi9mRO/RDX7stMR0aVqMKpnuW+HDmA7OXo8m3vnc2EuBp8kyalXsaiLk4Cn3 +LkWF26mH2Ve2iVZza2KkcM38cw4e3N3IUBiFBqt5jSBJ9DW5wiMqySKnlTjEORfI +QLYGUrWW5BGyT1/Tans8zbU79aXi3Jj7dmaXTHLxRvY6j+slCqVAH15nnUUaIgSZ +CsY+bHBtvvDTXfdUx1GKq+E5T7/GCNlTQ+7QDB8VMQnWU1q+Jo0eKFMzhZOefIvw +KAXID4r9fXT8khs5I7nEYGtJiTO+MCpDoHCv2HGX3ipWXMkgd1m9mZTtqhi4AmDN +9hjNYDSZ0963AgIsUt/RM5ThzPNhHZptHqbe1IcDdpnrG4GRjMKHIM3VNybQwgYk +uU+1o6q3ArFrIVUXcgPn1eXrpJst3FY+5lka/jbiaX2rJmDlPFATx6EdmGDyRMDZ +g1czDSt9uTXc3xGDEsUPshn3Jz8dnr6QhSi9CAlg9elW5/yanI4BnSELuW0uO/qL +t9oBZ4TuYHArLLE/3PjpEm/OcMetlDpnfRGGzp+M3GZN5eRF2s8m7LYkaSe7xyO5 +omGo1OXQzx6BJEVYpcgTDBletX98LVEqQFXf+Zwdlf1PFXI7cyP2pL+XIWbNmtjs +LTlC5xWHMxLybrakoiKm84aweIERnl60TZw6zHo6joYfsYfXUG/epOrH4KIUiCHU +zdojTnDWhr69zOq6AZr36H9id3Bhffy/9sCGRAUs7VEfGVHXsxkCDGdK0QNjfQ2A +eTms/dV5DVoutW4wYZD+83Eaf1SoKETNON/fiV2kDf7nXBY66jr+CknIYqjnyKxX +otKtbiQ8yNE5ttUcBWzPCH6hDa6v+YJ8wBq+7IhSSh7dGqy0zvR4Hb6VShtiKBsY +1IPAwX53+CGxfDQye8gWlkOwg/FB8Jb7Je0GG5WGTVS9C0eWOyqsC1h70+KuYLuh +pThU4oKvJVcKST0CUE6vKn622KUMRxVfQGZ6H4rViK6UiWfmZExQ2SyH4w+5TRzJ +txWrJPkSDr5OSQ7hUSWYovwu3hJc1PbxTaI1Qt3Uyb0eN6/APICjXCd1FH/xIBNI +aWVINrC2bGW5KXAS4W71gZHfQz3YOE2hLcM8LWkAsgHurE0GLP1xOtWqSyD71q8I +Hs+LH+W7bvBMTtA3m5WlUYTkBkOu7InoDSJXz0570ucNTGMf6m+rwIVBxKqTKPWi +4fiPwxMpuJp4Bs/a/pJu+M11ChFbQbtEUu8s88jCbmxZEN1svXFx7Ys8H3g0WC6U +3kyM3JATTXMtAdJY3KIKi9VeqwBFlXyoRBS+e+oDnUCyAN1rPFu8q9SqlTsz3M/B +ps3OjEUo4Ps1uqrOYoXY1NNYqPKq6IVWwanxaCfHUf9yqhRii0tt+J3uUL98rG9T +x/q7tAD5vouYiA/fUmK18hzo/iW2COraO2pdpWGEjqK/T/ckbhM7hrxpkq2Dctrh +mrp39Yk2bPQBTOZ+Vy4WswEMqmyJCCnqiI4buZXwN886AvltzMvcgKWw5oGT5G0H +6Vm2rLiU9YY3lmcpjhAAMClqhiUMwYXHhZCajdvNhQwwWEB5BEk0m3RygDCFdb7k +W1fK0ZQgcoqBI9Tr8+MmsZVbw9/v1z0KXRs/NJKs6g+sdANvJZ7P+eQKRDWhlOyV +8pWUQj6O0BaznAecsPya+iuyCkQJ/38ZueUxxIA1sDE4UuyAL8JNK9Z9UK+gwht5 ++0BJKRkDChUKpxnmIDcDMiVwfYTTcRk9HNAaZp7XTyMI+BySpFAf3ST39OnPaf69 +CbUgHR5Jib4FQGxIceykgbG/Gaz7Byv60TaN0yBfBW+NluBz9BmDDVygSeXwelWQ +iFcUMu+LEsVuevXJicFYJSvC+5DS8Rw6lCAK91J6Tv5J9SaBWsbtsNTEY0cql5kr +0jqAKR1H/1xTairyR1WauFW1lryRA5DdbpyD2uP6+SHqxNuxK9WpAlbyDR1xHwkn +DUZHhXo27/Dqnm9HfE1qk4LBTmugw80CNUGwUtebl9vSKA/6+NmC/MRc72c56bgp +OtW5xKcvMrDl8bvQjRxzQwuvF/4FCkopnVkKL5ITZav1vKtzjkrRPEElheprZiKM +/anjzu/+V2E5WwyOg9Zd6PEilOo7elKwTNG12OUwq5cc1/HXWn2ilQ/mja7rNok1 +s+OzKULYMPGZ9IBD6zpzR2lYFKoHCOveo8NffvxfcOaliVl+MkTmZLFn6VsIOjss +JyxLKJq8W9GEoxwpfoZEejoqmknNb5F4exXeyn+YjJskhGVmI8YMS56JiUuzWSE7 +gJCECmNrBXCuIZ4HuXRHqxOM89uj729gaMwxNGLAhIhvPpFU9Z/RqsZslANl/pKt +E89oJfwQfpWpgQvXozCUW502jaUmk6NfIlQR3QUx7iuJUIa+J5XZU/pk08BwA9OL +ydGGs5B156hfIC0iZcdazhZw/8AsK8VWp4oia+ojNAS2FOVN+PI7X1bP35DpTgH+ +3xHSsMobNV9new0kfSL8SVS8jqlvGY9F0pGuej0mps2GjUw3ITxjQReVehMfwjZb +KImjl5UN0xssUMjV15fA1KGy7dKpFfJggmK/r08VUgGoDEdN8puwnfmo+lmHd6mW +5F6TJxmhdb+x8DTBxjicbiRhLAFXNXEbIhET9FJIPPwAATRr4YBVpe8pr7RadMak +vkURqRnGwqCEeF/Kl6ChIuUIoPZh+UEEsTWC/EwPBirm5Lqb71CAlxyzzU7L7cIt +uq2ShVxidPrbnffdymSBuYU8V651X/YnUuEm9e3Trg2jEwls5gvisjn4KJuxedrp +Zsn5yqIiPMbWccDrm1jv2fVQgWorcCGNOLk4oPDtCJbVwcwFVg5xDTpljJAZqFJD +AOlfVOMAWQzKCnpfScHdxk1beAZLbv4IpIx7C9L6Yx22mv5rjOXZk3zBZ78C2PGf +dK1B29EO/JalaeXUwEpRI7UrEr6AgDV6aXRslzcISvW1+E8Pd7CDPYmJ+AWzGggU +e3LvQALcOVZ2N14AiYA5csCzv3tPfrqLKYsmzDVE0t/8fPIvxCQYXhGnvxTujunN +7SrlG6nJxMKDnCEm2PyUGon84bpauCvUwqywKTgDk1aAdz4CsOAi/lc3117sbqYb +G+KM2oHHYaXVdqnK3rKiwW6Dp+4cXQqhosoaSrsHzyj2skRrF0vTD4d3bYDsPILM +Ppx294TMv3Lpolg30pVcx3S75RRvRnXAJmaFf88Str71CBma21jotzXAn2/J09kc ++siaWsDeUxAgL/ST98JL4v62CMTaMieWDQM64hRPGTzWS2Iy0VFZRo9q5o/7D21F ++i56bvlgRxNntZqdVe/mZaxcu8BCEwIOmAB4ZEEK4MN/HJF49TrmhFC88VUhyC0F +9dthGlZKooZ2KWTzkkmpMlJaU9xW6yvjxPqJpzri0s/RIdERknPHGPyyvDo33O6i +dpUuDsnPTjvSQxThcCoz8xzXmSDgzJvwFy7e4BiOdC+LzkZNfSp8iuSit5R4Vork +Laqs625EaPZkZ7fZySxGV/9qgloXC6kPp4YpoM69Xn7fRGMYXWPy9xH6o6TEZ31A +b3IWY1aVvrKfFp7fPw1Xn2zzdWv23bra0M7UF22dpCKFnOrpAwFH/K9ew2dTIdh8 +Hj9WDwsfcGtMR3kx+8f1F56O+feCK8g/a9DZPqWeIC7xxlcc1JBpQLEBQFBfk8cw +/fpVz+gTvg3jROCrg1cWocYUR7gSPVWgp3DrHhMXrGGDgzRmNPtXMqduLDbsZVFd +uO1X38muFIIN/lhy0CsEdXWc9VTJpxqxC0NLUs3+YThlWo4fPfman3jkWNvJjaiI +GBWw5RMlLVFqJKS1nlvkHIhYIFb0I1FYwHMZK7IwpUnjFKzB1lvl1vc0XSviwxkw +Ayt/sHCC5hKyuQ1KulgrBbe9QdrJd+opu+uWbtmGnKx/5JWKux1iugvgcmjvdhEQ +6IXcUObkEwrIZoc3ea1SybJ7FrofMTGqfKTifD/w2/qXE8K6p4BBOZbx1oz0KhUf +Ej3w8L5mqYUVRkoMu60wDXjtrS8oKZNDNoK5y+8kHqefO/ElEW4Jom3ynCYTq+g7 +Ie+KsNn68J3zbgPMHLIad6niL5s+RH3g9XpROi+zmqFBR//pgqX+0pfVCt5dWT5f +iSQRLg9N4C61arAnt8TdszRRanFd3TtlguDgzbcRXx30FFV/YPWuGnwknsdIDs3t +CECPMrbFcu29s7NXB907p2IDWUsCIKoDYFFcbnPHzQNAkmzH+IcCaVZA5HCbWnod +2M0DM72W3Z9RBCywMN++oWlIjze1m7sNPFhNtGSnh1e0sA0bHZmcSUN5+tCiITT1 +bKVh/MJK4ANu1/Bvt8HVaUqCIN/14Um5PvKCB4+nM2qRJr1bZiRBVyrdNOsru243 +zegAfYwmYuQARiNbPoToZwCHCTbIXZWRxpi8pbwl2uKrzd3GW0KPQ490JqM2p8H8 +fsaaAp2UqLwLJATSaxSINMHabAiy/Xho4b+d0H1cKKQWn5ZXOOrycpCGgmzHWsg5 +L4tOojQ3LS7C6dts9XvkBOqfU47SAzSVEIe2MzfRt4L7yC9ZyOL1vUco6z0IG45h +VVuookZrfvIqVM0ccGyHYDBdYxgZ89Hkb/DEvcflyoLWUKR/YLuGPw3ORGg1q4dU +f7OOOe6d6agAGJAgHbMgvhg1cZPHDD9XZ6zs9xlhIAsgXkTAtmSfUyQHB/+e1teT +VIkpMfF/vKsoHduGn5NSR1eJi3UjJl4xH5VRrRRfxAq9s3akvD2Oow6KGVYIPVpc +mH7Nnr+mcA3Qyl6xL9Z2JasTrLLRgEWHD6nCV0D7Q4NQOT23eysbZ6GSpwCdWCNl +1KY/ffKFtVr21m480coUMO2PCjgwyy35gymubyZkUMToAbZuZIqnYLQsFSy/jsAn +uK0/xNgHD8rAkkG6fnj0IuB+9wHx6IJVmXIYXrmVzCf7evKNa9eFkVkJieI7YIpK +2zYTyBaPPgOjtadgaGipA+7gtZYlGAYKX7ofkvhHfHPCPl0U8Wh6haVoBnA86fUD +aPyBDyuE0ud3r/MKTfRt9R6KZd86JR47b0hI0NoL84TLW/ZfyQSIuGOfguOA1ws7 +f+jYvxTIYxTRLIB/pq8lmIZaqIFSvBpQjkynk4QEDZSmoUjklWdVvIilQHf1xJVp +WOwNNfxnFLE+UIQ5hTaNi+gxf0Hpd5I7BlItHN/2sHHZpNRJhpdui2gpJddmp4nY +idgUaByYliNCTC3hsdsIdD0l07ouIm6843c508aCcUNin5blnHgE8I4igDd/79Or +5f/1NLpmhidQDNPUpGpLOT+c6qGCEIs+wkyKSIJmMlsrBiOv0Txh2cJAS+qsNZCn +Kojslvr8vM6NmZBUwX/PBUGFYOxi32jnE88izez+Wc49e6bP0GeaKQijfge7G26x +8/xG3vVV3Qgn/quzNdf18dehmLVuLLyrFqQL/mLox2/Cxs2k4k+r3f+dUPcjydpQ +dYIgzNDE5roD8SOjl8fEEt1fnQA2ElsYOtxDQZVsBbs0UKppPYFwsbDPUPHLiMY6 +vXO21UIwTP1dsC9wQMRYO8xTLrrbuPiqfRHNvEa/NYj534tT0fSLhRiGhq2zRsyE +B0iI53DTqy4BLY7cm8WdACd+QKHkm+f8glwZcce2DLCJYmUzimIs/zdZqKOTSlGQ +cCQV96j3WwaKb1wtiVHD8vNxnnbIs6n1X95cLao3V4mr5rg3rd9Ptt4QL+eRJnl7 +X31qGQbFDNh0H+a0Ikdvf3eSERZ6OxR7xBJahvn6/dSi8y0LtO5qlWWFnyYH8Jz4 +OMqzwiUbUm2RyVBZzpS9se2dQfpZZBRvihbEoUohcXeuqADDjsyhKjWoN4qoVhUA +XlWitLMXie4k2sPYA10kFlpJW65JVUvZL1J27sgLTBzcpxX+JTfDwc7aOupZ4qcH +ppKIMHyilCQMfShiQ/pZhPVKohpV+jpRjKFvxdj7VpaFsBFt0MhySo4tMFjT0tjD +QsqkQ5nUUhvW3GcNASt0Q7jnwyr+Uz7cRIffp0XGlji0CPJUESawaKDkSG5oTdlf +TDRpqlwTswtjtxYMWHEpfpX37hKE6tbX2qBkPYohwtkGvUIbWjPxG4ELN7Tog193 +Ki61oehnrcM6/VUI8YXfeMl2yU7LPi5c2FIRp7FWdNem79fzJbddkvwQN7UR1Ssj +KXZ4DyazohQf4q6fJzUnj0qfO33hiUC2zi9WSzGsloLbnhLy0e/MpPIvMlEjC10n +xj28LH7O9XWOn/tfMLbbVRdUpd7/WH8QZz+y25IiTHwdaLzRK9EDQupY5jixoW4S +vQoXTjRRwT5HLu9ABYIta1Xbn4iNou8Ej08hGG44u+okkfN5G0JQgKr0eFiI7Ysw +KIEcLuxuDTwcOTJM/YCkKdpl0ZktaybZshYOFkFiwUnrkLMlu8mppl7DWeBQLujx +PATgdzc6xRooGcvx70I38fqHzR/ubVTc19tqNeOhpFwYS7gJP9pT6GpVYuKjSG/u +7nCWatnxzCnkyrZH95RTyTIjJOKmezOJs0kQBjsSa/nECdQ8nj0HA76F++GHWKT7 +do6UIlSdoNpwyltWkMulenjFsS+r8tbHgz4ArFy5L44RwVyMjvffHseci9OkNhSI +x7mgAkRnpUHS4kFfa8ndijootGDfAiECDZ4a799IBY2ECSt8L8VeFOwkYbuxyQ6P +dXa0QPZRV5U/1JTGliVwnsx9s8sAK9VpoUpYrca/q+wp/tXEQuhilDxR2nzPaGcs +grY9YZHf9XcR7UOgQCGNUPHgLrkj03C7BLbHa7orgNUuhuL5Lwav2enhyTymUjph +he7Mi8Cz1wvRQ0o6X30sMUVLANULf1LLE3y9oEbou8W0XpVBeYsUuhAW9+J9Dm/y +yiAfq6JW6lPY2P+BJwoDoLKyIt8SQ/lWCZITAumPneRQ0h4WaMimX7pLM1qElc9/ +M8y3u07uR8KRVJb88P7FnyjZkVWQq0VbRBK74z80MONt+WC8Clt6ZQpr0wSIhsKe +bG6GVQdtQFW684in7mCEl4jJlUrk6e/HBbSqGdahjcHrQXASRN7r7zED67bWh1kn +Qvp2sohiRdXcLBtTVBCb8I7FgnRYWJlp4DfFYD0VDe4/Nl/pmSls2yp4N6IfTTrs +tXw3kmoPn2agawAQBpsJCf+B2UFMtForC+GM7lrolAdWJOkcaymQmAPq7ax8171j +IQJV08f0//pWKeSNvcueB2MWLGflZ66bnflmjyfHKvHUayGfyDKPD5a8UHn43sVy +RhYpEmt5L5nkSxfFj85OkWW61yJtXXngLnVJqZSaPszS9l9pwtLdN5GIfOsGr62C +lvPSEuty8EXd662m3k80IeG61rKQXqYJ05jCUGAgvxa1YAI8qmBR9ca4cXcXAwtn +L6X99CoyYRNG2KsP1q4Ne+Y1/os3LkWwkGtsraUteKgUsnEpFeo8IjQ3gnKtbJ9j +AvRiUMB0HG2Yw84d/H2xa3505MAcS5+7BfI72HtPLPf4tq2kT0HVD7GaLUYFVWig +lh6xf1U2ne1kgPJqWvEzQBLdPKF9xjnUkPSbrfprDHVeCjmBaSOAV3pRAdoMrymB +g2ohSCcm0yDzqv2KsVBoD9UZACJJAoc2ky5If5JVKo9FI8n82fW5ieNbCpviPznJ +pPDzEywYvXVkEhCz7valNTXs2RuyRaJ6veSPoeutH04ouy3pGYWwHHIbP+rUHovT +GCcxtAUQHUemerOfmFkv973iPNsOe9ckp45KsS+om4dZLVKbxhNJJR8r4NU8ipSD +DShe0uCVq/Z3mjrFUfE0SjmawWBkIz2ftdX9fCw9smqcMlwKnG+95izZlSSz+Ozg +gfUIAHaiJOQ/FVJe2SNie8uB2quJdf7jXmq4Wy8kjeqzYcE2NNXIWSXUJ8tHGjsa +0nF2ocat6xrNsqZWbhIxB54Dry191tkHxMSWw+X/5a9SLS6t/KWpQiqCCZaLdZxR +t/wIcpR84nEnjazxcykPD5q9EXaQcJti4AUxG9R3FGOm8hIwVSLu0/HMMPZ3BMBU +vySFD0N7EF+0uqXSaj3tbssr+A46Kn5xjzTI6pi4XP1DAsWvLTw6TSMERqYwRRDN +UjxhOMd3J9z7Y6kqEa0sYHb7av2nRuuABFAke+CB8Al74nr5Vg6ovTzOMz5TkI8N +ww5l6oFUMu1FS6caazzq5h0p7GO1N3xfvjKdd7jfA+JTYFBT++bouXgiFk2y3N9G +rcZENL7bjURlNH61/POLIcj83MHyK8I1cOEAu8qQw2bCbQgZLdl96pbOfY0VQFUf +gEe8HF/2sE1I2UNPWL0pJaZcx6ZHvyY8NazeUoPwr53oEjDqbcu48YdMlSwPsqRc +w+/wwHsxw8f1t8fc6rb0rMkFiffmK0gen4n469WiUnT8S6ZxnYdPOW+kZdzVm87E +0rOk6cqEW2uWBS/RqXEYbqQoGoPSvuyu8cHKo2ys4dDFrBtwjG6rEsERA3qkxt0d +nLXwsfDCLF5M0SoH7Hgo8Ac1WPuEWU7FY2rj2NZsxFJYV+D+Z9WXVWkAISQ27Ms2 +Tj1FGxGyoa18xKMbTzI6KTQoRkeD9BspXU8N1SRGLO+7O5cG10pe7vcBDe/pvxup +8PuH3J3LHkosOMOf8cd/HrDV0qiKgN0sv+gGc+OVH+1hwlDFJu6qzglAtSNSJ2C1 +2pfCyaRLyFdtCd9FL3oYjZIZnmw/irjR2XsPJe//b6G2eUMALfpPL8+Mxk1PMs2M +m8RRYQQKWHm7DF/XY+bq6/CkWoO7Bo5NiImH5znDkfKmircSshuk8ClnarLmZ2XA +WQpUcSmpITsa0qrBqgGn/I01WrV2r87mZRKOhb/u3Axz03xJJ4oETNVBU2Isyp7J +yfmaC6nrm6WDCUpMz6oEDK7Xf0u3HL9Qm585J+h8rmv6O2EyHv0ZzVnh5fv2YZg6 +quSc94IKuQNRWSxYxHIyFnqQJ6NFX4Cuu/MbHvXoY+SAJEXVXQNzS+2wdiToIOW9 +HPQlMjDPJrNUi7kaduG5DYHg6Q5x6wXRtvAznTIvrb3buP5p/OPbgQ1z9ooWCCxD +F36sDJhmciGjJwFQgnxvjZIiV5L8+YKYxAdEkyBMjVL17HNNdj9roZkxRQLXXyi6 +B965LrQBIwS/dlPMJATh6OP0W+mswKQp86Hd8qiTKT0/AAxbQ1EdX/OMF+/2uNvS +L0b9P8AJlBipVBpSMdequz5omokqK7hBSgis0xx7O/P1vKinl7oZovh4f6I/Gc4T +U6KuqbiWQlM0iTkxedny4YZbaikD2pqDrg5l8FZg+UuUx8Tpx98DFPTqHDHJqvOC +5sDc8xLlwMKwAr2FuI7n57FXNqs1dJnqmgrT4YSv4ZR6Kvz7V7msykx2iNedDWwt +FTqr5gXmWIyTx3RiFDSO87OHGM9bWFK+DDmbXCY4SxNVLfCZzqS3EfNl68M81hZH +WO+/d6ehkVxlf4JynO2xG8alQtFxtAjVm2lr0mwkwrhH/sm50s+tALLsiJb/MObt +JbIz1zBld89vtCEUazkbrntX8duRkE+WoE82kGSMO0141wbUaBMYF47/1EDPVLGa +G3jVDKVDUFBlPinJGW4uK5TadhJ9eVvqJPwLnGkEGQ5f4MQhub5U7kCaTpZQCEq2 +jUNRCOiUci+6NdJDYD1w+VxLcp89j1x/PMny2HbJheJnJCnFbBDaI3FtkE7GLfx6 +0U7u0b+D1pTSkQe87NGbe7fmtoG0u/fW6V6B+KAuL+eyZ/RhZK1aR4CZp7TBordh +/96qzDY1Dap7vlIfvdQ08Xq3VHapBrMA5bO0Pzg45DpDITRw/36bwzrtP6CMlsZ6 +wsbVGBCKT0ZUPcDTD/CDjtjO/gTGu9e8Ztnh7WvZnxUwTlFgQFfRhyhMqRccKpg/ +M2sIJ6Qy/jWJTWUXixIQD3uxxPeQdXY3PC28fnnBpjssgMwT9zl153rEDSn4yPyH +2LTHc33KrHrHbzs2YSjMfrxsye0nB+mqFngtJOjNAwNO9a9wLgxuJKeUL6AjE3Jc +2Hdgy6NN10K80G0LiBXqV+Lt3ft5E7HxTjzaialcj8yq4iobUnxcnrcTiD38GAmJ +ZSkKnOLf+bZ4kOIJe+eHIK3DtsWEQOgV2HLlwKb2uXe6C68SAwqeAPAbKfqCNOlc +7YE65lPw/MLo0TaHrAFjLC12WiCpx59+ZA1tcN8N6ZY771q2qP6oU24mQLFz3nOQ +HBE6JAV51Z5Kj9/weHj0OonWP9NxR+cW/q5gY2ZkZh7IlG7GInyc+ETXYi4Wo1KQ +wz2A1DEXAViRjdboDKywkhVEDvNGTwbJUoj1HJJNJSCwgJYbGrjLtxK7lENJUThH +ohH36WTA3ZTNjyZ65myesHBf40M2pc0SnMtsSuJpQBeJK2gtX9NZJleArnFqf9Lk +RN0UwW07pXOjduvf6FtPp6aNMrUuJSgFpcjXqgrGDycHJBl5X8zNdL2wcO17NJll ++tDEPuTrJ4Pjy72fk7sHpn+fB3nXM4b/GxkLfvNM/zkHyWcSs7v0+R3dzmJvwKfu +5mlUFjbIXhafhnd7hsHbnrVN7k3HBCWLNYpSBsQIjq8StcaiJ6lzRVTrHdWQCwLX +vEJfDb4vEjEJreDBvt1QIWnGN251PK7dmc2mI5nJVOhiKQv6R+tDYH2M35xlKrLK +MPQUrePApRe8QLR32iAZELsxg0wBHJmgOT18xrHBXg7tp7aw6thAMu2v9CZlRpnA +1A5Er6i61mKDHWBo/jFsJJg+B6NZlTpM0ujWjBCwo0a7KgCuO8zyQLW5AJ5uUVW1 +bA6Vmh2iwucDjMcyQ9eFg9Te+Guubgw2NW/lnfJNyNGRWZgN/iM9JuhOgQFFodfD +RzyfOklJj8z5St7W/Et09Y68ss7iq0nd6sU/3qwqshWOMr46+0A2tZ/QRTfh21ac +9f/4zgabG2jlIQBwY5vQ5hAcyDg/iSBdIIXOvG90dr+mjVw+5j1xZUksBHB7iPmf +teB/edx8HPe36/ZufpzwzH03PvvwFgMsE1Zcym2LS4b7dXfeXaMABjLWxiBvicYW +ppWT4jkVAqqcGtm7xyfmzfFoNEHvRO+qzkhQcPyCc9UROlbAKOoiNlBK+1bxoKSc +s/nHuN70WsnUnCFEXaBf+uhbPvHt2Zw5Rz8r4cw2gmbQF5cOcaL4MjBGzGFwQqtn +gUjw/PKuJsc825hQdHZtgw4POFT+GTbSpBhysWiKhnJygECdmilSiT95A/UHZm84 +/4AcLuTM+rcI2OosFVKG6cPnf1+UubsTB1mBeEzWhEOQPzsuCreji580SGNhNdZ2 +wm+DWG4Ca4QyMZaPdmTwqJqAVO7L6KfszAB1PT80mwcd8J+R6f32zsocNfNb0wcE +DQAspmZixo/WHAcPSZUmKWUN8bBH8F8L5O2K/xiSXYNJqQW/iJ4iHOYO4Hk1M7wI +0B2FOfkYR4QL7iI4aoVhJl5mg9V1BqR5MEbyJ+J9oHX42sOlYehe5vHt6fN7AFou ++mRTWmOAoA0Dcl6MFY+8L4mBfxiGjgmcFrsPskvpBA3F/eb4qARAmbKr/+EfrqSs +wG6Kr3oaHhrLd5dFMkbg/uMrOFN6hV7KCNdL5zERzdgGWN5Lk9G6T7ph47FrUXeh +iSzM5QTLRp4lvFqSF6vpSiDlz1E0y1SaHCN03tdsNvvVipp7w7vkDsmo/QbDFcax +OzddeVCwVJP/vgeUmXuCh6C3C9k/lMo/EV64C9niJGxCqIQz6hnnDm3uyrjgxp87 +WQxTc8vTRxov9xr2ceaEGx0OlFohzoHPQGkg26YAmnAwSMzb5gluo0HY0zOXEH+m +UswJ17g+w5RtgpHCkcRXzevVMwhSGHtJtvBQhPMhXOgRkFLCWGoPhZ2DwkE0Qbg7 +E7xgYBjcgKBvvTnIldrS/FgQPduvLQu/OKneilF3YtihkS3B6uKCNqH+dAhVik5u +hLUsbchDVtY9NBDZH8cbSzs1YxLy+822E4tdZDlF54yrrUwRvFKdNmfJLSCQQAIs +fmCAd+FIfkKM0UHPMdZPAD+qbkOKswvqK3yN8yUqBYdGQizod349gV3YVn6pysLv +k4wCFDSSdW+mf0s3H9rgyaoa+rY4+2v0qtPiAMujVj5XyFdbPcSMnRGbNby9rcCR +Jdxoysdu+1AA45n4WZQxWvQJIFbCxd8ctD7iy9pAnjKG/CHfv2ACuMvmSeBq7/JQ +Lsm2JFjYwuyfebJc1iIWME8bqPMSXCGVC7RPIWpUi52H4oELN1m0qrty1pGxDdpC +xHoGweyul6TNSYeCcGTxwby66fCZ4Aeuh7Hay6QvwZJSJOgMu7frW71Fx0Y9HdwH +sZX0GF2Cu9ofjcCeMZuV2eDXCi3WIasUGXLQvudW0GbA6PbEiZnLSHEKYzdM96K/ +JiXydhWuykxaGou7vyG0T3+UCspXcV2dxqSHLztipf4FV6zgZPyYAF2trfvGgwhH +TSxoil9n4Y+TmwLKtFZimtMohbdXBGnKqeMplUHo2gbk07hq2tSBgk3dcMqIpfRa +YPStTQiGGtzro0Z9jTNgOkBgW3UJAcpDn+9YQ3B3SiYyiBGD+X4E8bi1TobxddG/ +lHiziYK+Ox8vdxN86j+O3fWKE9pzHm8iDYmWFqp6HIXNYUZr78zvdnNdwgCusVu9 +SVCVc+R3c/kpEnXZ8LWgKQaWjeyT9dvYXx4bX6dgiJd0SenWeKvVb0EiwHW8gW8j +X7JwLvkOGNa0SP4CnSNzdjU0tBj8YIb0m8/XS0hWcW9CpL5ycjihRNcw3LUasEZ2 +MT7XW99uvXkXm9A6GhX2UXqm4tylXgw4cMdzV7EB9zcdHiTnvnfp8eCe/JvU0nvG +FE5arBjIghbhI5VSo2mqz4QuFxqiXhzURXEnvjAWvzP3D5eiuHlW+2Qtr2hhle0M +vCdCJyGcjaTuuhJ7Ot60flIBw6PLHHnc4DdtowYT8JTXX3Z4ivtZhUV7IXzdPwX1 +PbhKr6WTM47W9Ve3GAwgZmiYLAHx9drUU/YqV4s0U0iynTe/yoQ8X1rtvhmvHw7N +1PLy7cAX6MMPpnbPiiYB/u8kcWlzcYw6gFdhinkx1cMOyn5ei9PIxryqnrDgbTIQ +v1uY3Hzcg33KgWpdrGCIb/+PdQeMuaCHZDxISrHLLVWwDqB/zHjZ6XO7/WGwMNhR +AjyGIzJAZ2h1hbLFHhrAF/e/T0CL6bRrKzFQFRynuULV3kvoro/rdzicYUoXl4SD +bCEq4CFkbF5uc3ln6uzsTn4W+0K52Bu6rwk9xYkyyere7gfFygffBQuvAdWbX0YE +IJp6IB5CuTcvcCranJ76qJymZIa7jdpbbmaL6jNNRpzi+WuE+6MNe8rtgH4blL10 +F2UOeEeP1Pvn+xdjtYCR835WJi0qUy1s+2Zcal653Qn3Ps1jjkZBY1d58+l824wM +uMZHrQ/LqufNZo7sRp7nH1SH+F4evucKklx7QBBcMb75fUxHrQGp88raZPx9dgFr +jz6zza/3B+JLwBhALtjIie4lqSXjkj0Fh840zMcvwwpWSqBAQTXyNlUSV+cvWfjP +Ig4W2rVFvvphrud8xcby7WD4ohu6YjXTv6gHuqZxUcPRbByPucUYhZ1S9EWsN8zZ +wiXKPf+4rNHQleO7kr0eoYfj7wfeWxU4dRR3SapiMffRknGzmZTu2LvWizF0U1+j +RncBqiD0wymNjQwtWxVZzNFSTllzgp7r06nmh6hAGvmGWXB+Cd9mpIG+IrnRZO2T +Z/K4z+8IeMI0RESHfUNO+XLgrRkUtN2QSczpNEqqh+1iygiAvyOG+akSWs8hXS+X +OtjxKj61JMOnhg+fjHfHOAvDncL9JW2wEYS264gevscw0RFMyh6zNWa2Yn/+ajrV +/Mqpx4fOelZIjpSa6UZ5RY4+o0JapCc4yVXIH/XjcGIVaRzazqzGymMlNHmFgQ5Y +k6tel000QAJMrHueDJHSGPhoJ9GKPiOPWDM//AZogrH9UeRzRbUG1nSMb9+Xp8WT +reXNYjQfl1enxG3dcSfNlU1vyi4DxWlj1YL1Dd4+bo5REjnoN/6je05+IaxcAFtd +vGdIpgVWuNCytrQWlA/1WI+O3hupQgiDm5et5yEAiWumcXzdyb/7HBFXjioMQ/nN +hySqmZ1p7IHYvwg5rpzcm/ISXTLNI0IqagDzZpOHo3ump8NMb6I/TSfrAcLPdra4 +itBXpZ7IPtBzt6tI8SBPHeNxBEKGep92M9PHNBFrvcUjii9oUhORYZmaMMsE7OTj +yBH+VqvT5+ecXe3paLnCFhnKh8AlxkGJpAI9klk++uOfhdjXdihAG9yOWn53xZUu +nojqAroKqJLkqqz8PrPKKw+iRK5eo53Elv5y1XyjRxoR+vQ1zxF4ca46Zm26cWSb +lRC/PcOn6HdGO+AysvRfcsx+tJu+cGY3s5jUM6+Km1p0oURJGstn2o7mvqMyBGYS +4O0Q2qsSdK/iwIR69tr9xbN40cyGdCdhSmy27D+zkdoCRWdeBhRofIJYzHoQFxh4 +VgIh+vkQEVA1UEDMIgbY488RMkv42+V4FihQfNNEk3uPiY3E+g28ubwUm+Dxfce/ +dJcDdZp0j1s7oQx14c8XnRxfbVnik1Gjjq03ubMyv5FDw0VJkDvvoV/ohldiMEvw +YJ3z5xwfIM53MU4dpzxCL9vqiSUhd2p8NqcOC+fVd5YQjkJW63DRVuoWPdYsDttv +IlLwbJlbCkoYh1+756mZgGLFBaJmCVB9oAX7+EmK4RVn4FCU5euYvAqLAqrGOA3Z +YpNf1dCs22umMtI0Tg4/MwpgWzskLCQdaq7xwHMEF9AXmnuy7aQ08rNQ5BHYElTe +luKnrHIj4uqFZuEgrvcp6zu5Na9BEqkx2B3tUmdx1VV+Wr1m1Z6oheFixEcUPHiW +w2HrpZ6mDbUdj9A4X1uGoYb38YBLo/u4X5ylXWiJL+7Hw2d++RBUHfYdeIIUL7yd +89AeiD+tnPAwtYJ4hARIuBlZno7vCNJxTYRI/apBitP/p1y2gwqDqXC+nIIjbtzC +tZZuU9xG/WTYBShkdKFT2p871dcB+DOI3TPLPG4GxNb0OID5W1DmRZ28urds2YZE +oQH20no9c/X6D2zGnrsjFjKw+tCKNAvzOfrD19rGTFLrlmTe9Tq/H2X7DYJRofxR +LOn+uFpOjiXBFAy0axVUtdAHxYgnByEb+EM5fwh4M1ZDeNP6o2L5t5nGaLyBAhv6 +CfM33Q1UvmxyccAbAN0G+eRzYF1mbc80LVErwZmb6wwWak/RYkRq163Z7+Cf3S+a +qFY4aW7TpydHI97ydF4OiqKrg+4o/HX2FxWKL29xkL32EEqZEKl1rd1GIIsTHD21 +dUJ9OuYBuUkUaYS064zuzd4sLg3ErmAb4euoN+uFRgh9VjIFNeTOJxSeS+LkYL0o +rY1ENE6yQJ4i6FYIL3+0O8iOt45dQDgryza45qTEC+27mqxZPg1Ol1JoFFCGasAj +xDKd1bJ6cs9G0bc66mt83osoOLvVxWeG2NV4MBs32kONp4p3FmkhHu9s7nYsiREH +I8rzASOeQULEH4C8+5TOUVTB+XYdZwc65UeYrVZGpjGVRwUxwUdZaO2dHYZ0qyqN +mcWP10XD9sWAv0Gpb+V+HurDrnsbPRTuWkWLeMF4CiITzDNp1WoaiyGwQ0ZANvxU +Dhf09Fho6DknyyC9Utc3LOpsaJkKgiOBpI6Tyzj2D8K7MEBcDwGY19kkLxpV1BnZ +B9o40nI46o+I3IaeWj3a7OtvDhYOTWSqqdF6YSXReY5ytrS9MxRBogooL+Y0YrVw +e+pztqeRHvd+7FEqvQHoxZdwK/S+0qTWQZs7pb5Iu7AOyvAtZyWFDplYxAIChhzw +6c6l8n8W/JtK3ijbdw7oz8vXlnSWU5Vy6IGngGkDfHhvIgUmto+J35MWkCgDqHxK +m52PXCB7SGGDivQRJQneq2kjntF7i4QbzTO9358zkAlEylMLhHXKw1g/9V0QqaM9 +oh4g8vEUdBCjx2eu7Ug2ROnjm4S79pzH+WZNciktNANc35xuCesZKFizcIVDjIDq +Dps/L36GhBZsmaZU5klTQmRCZj73ss1/4fu/b6qiAn7bYEkweVJDltRoGJ2yUT6N +LmF/jNoWfGKmgddLNN5N6HODfOwLrM/iZ/ZD+j5FOPtzuyp5zL+FEkClxN6P/BQH +ZCvoAgFh2l8eoSh/s2bIdp4zeVmSjGtCRDx5gHGkC3pGYre7QImFcGtLzX1XT971 +wSkbQYLIxrtk6UuEGPOK9Dr7ZTm5dToPsOjUbUwtfbO2qfO26fPDBRGhxz+RF8YS +P53uWOFtZSz0kuFHbzZLuQJFv/eR97G+Va1cobMLDu/1X1/6jGqKnOIc3j6192d+ +ajjXyOfsnzq/SGlKNMB3fT92K+XwYqeqTW7Uj01Hhilb6tA2ViOOtxm5JSm4vYAp +ZlbBxplHEdk103w681i23Zn1VVWETj606Mdo55aq1Zf+QQtb3rxRhpii9C8VoeAN +C6PeXVbLegD+82YcN9m6FQn2Zz2/lMQ/2QyRqu8wDbgg/4j2+6jKaZm+NCVsK9tN +Bn6Q+X2yH+/QwupMaf/0BtlNwZ2x3xBBwyYTYfxTKbmmc5gTc99/zuSmTvzYvaME +bRHP7/BHcAvNv5Zs3ihaPmqtS2ggmBoiEA7r12+xxMhCvBA1k7YlWgqu3q4+Slrg +6YSiXJqhOapp1lKny2DocZG2+duFGMKduCFMhKb6i9UgXtv57LyXT9ogfn9sPrmt +/kdP3/zcwTXcjUTOJTy//HdAQURDRCRCW7xEULMiTWUJCz+TnFd3E+BLeSnTYDj3 +IMunhCfz7B9c5VtUSSSGjy5XOWHLZU8rub39qcNG2QCvCn/TbutlQOw0kq1xDDTP +Qd5OG9JB+mUdE4vs8CcLjCaNsSPnYbL63Dyb4IZcINJSGXahYslZ+cGuO6ErWD9G +exuiNheBppcjR5Su/YyoL04FaESMs1wXcEGTv3tCT6+fGZrrxTNEh2toxOJ8gwjz +VJweiqjmP/UgQhG005RAklUpVD6GDxS/8NBlDbZby8ZPmK8tWZhW+m/iFAt3wrj2 +QVJgtz9fclV80ROniZslBrIOSDuscf8xyIny3Pc96Mm7hCc2GdoRc1WPiIF0qvoN +NaeF/p1IyL8QiRLyby9I9xL/m89SQoSIgyPYeTCcruyv9+HhTW0pmnWNdFhln3cI +18sEdsfnqRcMm3HDe4MlniZJRj+T/Cdw4GLQy19x8Qi4ZWcGWAnOrDyMUT6kh72o +DvlBXefY6ii5Qp2U1801JRkSKYJiHFSzQWBHupiFJNI4Kk6y8T2tTqdTw4pCzIM/ +HpoveOBnkEwT/VWl+xWUW5S5ElQwf+3vtFgs73bP3vnpi/ZO6XLP/QRg19FECY23 +WHfdLPiqLjpp4ixwo5OWwgdmJIjTdM+mfwV8sw/uxgY1dL8IlRKmdrsK+FWuYhzV +JPYD1GhFfJT2Ui0sWUi5ilVLiRCHtntktm7tq4RIfpuYLIjOEChvZWm/6VwTbzOF +A7hD30/EIzT2v5r3ZcvLjSuLbNH3NGysqytocaupPnm89dbcco0uDd7BcLcc10pO +6iRx8EpBYXfgz13aabVha8LxdOLgz1ssL1O+X6lKRyPYkF/0kxeCNn5oNs2xPkuj +sipNAKWHVnSTbp7MZQg85gCuWcxtxuKsJXbILOpwyxOGsj8FpliYRy9Bqcj706lh +L65o6VkMD8Q/5IfJhSNF49/qNOHN53ShhOOGRECdTZ7TgoxdDid+bUc6gA6aDMN4 +ti9mzgOKW6tQ71vpVQJMDg/OxQfg6L1H2Gf68XgW1Tj3SR4bonjEu/LtxRiR8ob5 +R5DVvp5sY3DgU57zEzYeb1xUIcgbxqaJZzoYgq+5HLs/UiOXtQAUVv/NdJr8hxHu +ZWEaOMRiJT+xHRnYMlcvSPeN/l+jIOXqHrsFUsDvpIfoqmzd8U8YRR4GKGyOm327 +AAvZTGzLntRKMw8xgx6henIa0gYKYHA9r0Ilp+GAfdgi1Ufr3UnvzDaLoq1fFpkW +P38Gtiw0sQw+79iZh56ptnL0qyQUy9Iruvz0SLXgEy5yuS2SOrxV5XIVie2FwR6R +U6N02KBWgpObmbEjZYLmx/TXzOaPziN1QKY6AD6+JYrkUHfqXr6Sa24ZNUsXPsrR +OmugNO9xL8WPjvphRynNGxdbja52mfT2kgaijdsUmwomSyOB/sIX/dd5nsoFDRSB +wPwJIzwNVrsxt9hHJQWsRtVyiTbCkDGCWvLp6rrTVylsmfCQIzMgOBAR+hcwxecR +ai3ow3VhWGMtu5vQXsJEg3d14xDJ1py+F+/UGl5Kb4JrTEvWdqVPiMVqwMwx3z6N +vS2Xi819t9rdbKU0BCBZUA4nsI9moHlMJBv72zSnA4Gg36srgGt8xx6CzI2wQCkQ +UlAcSEtmr1iKF8jFcLD+TA7C4t47alM5D7W/900N5eZmB0DuRJoy8O7ismO+qYN4 +eW3dboCSsK5u7nnv1MHMIXuRisY/A0TFMhUc/7qZ+fKxcBAjgpeMeErxzOys2dR1 +CCwiG+7HWAQSfg2f0t5oK9EN3tDOrmy46Y1ubPg7ONA5WnYD9om7LykRTlTaTHqc +WIDc8A1ue+LGQISvSbuYd3oaqgoDwtLy1WoAR6VTEmFrIfNT6rtMAPnMWcTDYFmw +Ychjcq2cvK87eT5kCtY2dnAbHjKHHQ6BypFMhKxutuYrLjMzdvXlZ1Ns/3afDxuv +ztBZLBHfR+pc/RFQhS9fjX/h3yC3Abi400W2J5urReZD69l6+wJsTNk49wp1edim +sWogWn8zt67kogF8pNbr5BQLDupYFdytped3brD7aV7W2Y+ad1edrnJt3/UZRtWd +ZHnkXDJYAsEiG8g3K9tDoxaFt157tpkURzCdm8t/k6NqtJgudEpGhwzIaeXpzUAa +uI5eNdCYX3wKLuDDhBJDWYmrVxJuU6pDBE9yMuSSC863+6blxs7H0610C5BCq4Qx +WFW2CA7DCMz6nD5YDr1WLyUq5XrHL4KmA6SE62oXl/sHML/VlndTRYLpXqv1FKJ2 +QDRGBekMbYLzNjuGmpHDZ47M9wckzePG1t16VwyXFYZMN7cxegazofYgjj7XdsVU +EMB567q9yO62bK4wevE63mg8xyn/+vlgZaqJ52qkW1wS43XIKapUcwFL69K6emar +9GpZqVT5BJ4ANh+Rw/T8YFTHPqkXHcEjx2zneKcxfuRwhGjCTtho6a0n2UEMnCpY +PIGfBVLhcweE4pQVXZkYC8p2A3g4cWZhRjvoLcFv8NJDWea28l0GmLZWetLhqbyi +0IYI20uVOoTKsiiXied22rCN+YUabTU4afgfVuHIyoPkaR09L/u41Mccl6QeRUg0 +3sqecYMknPBKG0ISyVDyANG5K6TqP3dCcq1uioLnjpdm0dnPipnLdWFWlQTrKOFY +tLzk+Ha97aEY/yiNsOmft5ITI8EFV82191fZkAS2V4HqndSFD8atptJeJfETlcBS +HiaX2wNXF8PIUWnEp41UzTDTK3VKOMTdXB4AbQLLcbkgMxUcoc/PWkUpb6Lqx3Xp +QTIz0lUbPuLAgTRwXdwQZ/+3Hmd4M+hnGXA3qIiziJnq8+WDiKVaAEC7v53p5WaO +yakRns5+KH+34K3D4CqiRb6bHOCCGdag8SgYTa1fMm4gyYh+oE4uLmcvtw4CNE81 +qPGowV970XxcSOEwrvS6W0wshUZctm3Xk92FxRfKTuILVKyJT2R+FJYZ7csevrPT +fs7ePRQH5XZH8gJ8aPcPx5/lE7OYdtf3EmxL6BCSH6J6E9W2hEnmu9H6WWHJLNd8 +fO2lBvhi9ayfMZdPcaV6y5+UPxp1Dxl+8tSNsbxlVzvpphdpXi2rWYe3YLE2LXoU +B5yI9MN4Wvhe4RzroJPODOsilueOs/3N6balJ6nPeAB5LuWHdP1oGnL9ZHNx+aSb +3X1RUPzhk0hotbQrK0fc7jIb61fp+bgdyNC2kqmtB4kRIe7eXz5jAH47JZG1u/13 +1th0dqFw8EpiG7RDVrgjdILq8jqoQO55FSWrwFkpyY3H5nEnCdgkMUh41lhj6g5N +hHvumcJcObtes62jmRTeXZkGkKdAY+1fHHPJjuXFaJBVq8z48RYGGY1DNVABs6FU +d0vFIySTw7s2NYk76bwEMDZuQwubm+dyYXmJdyc0JcNNvHAnlo5TU74JFpcXJGqD +faA05Eer7+axW3vCX5NR7eoHYfht+19lk+HEEHQ4538ldLEWQ+5CL+WaH33t4jYx +oZ88Xe/iqJuqPhnSOT/mcyyDz2lSqQYWbsDjxrO4zaK+WklLCz3un8kPGiJAPFcp +MAmEQzPYgaoZKDsjTsGZM9mLhjwdG1DY37AF+OzmsqeciFH5hDS+bgYuq/gcs529 +J40t5I6lpGJf1yNP9/Y134pIkeK+fYpR8WMSPNeYW02z3rdUqGT41Fh79Q+/tBWg +xKc9QL3V8fyc9pTqZsgQUMLm2hSSqacSsYoEqOf0HA4kmaeJ23eZsK67k+eGW0Vu +6Gi3DsJk5pTWwIm0vWuU7HAM85B2d0M3GztxB6B0SPduE51lUEza7mIaFW+CdjfS +m/VbH+w8aTJM46gxatJzZUJbbFBRCRK6Wbmvot01x6HKqVc0CgpOvMUaQ/M+bTbF +8BeYWMZ7bRFJzUpNPt6lqkYLgx48TTLFn3aT9ndMy9QNitDcpOk0HXqGUn7RO1FX +wHSC4MSRFNZUNsV6Xb72TeJnUTP2XCocWt8d1pmVRnwgSHuSYbSvjmU+EenhON9D +/dAmh9jMgPZ0sFc7rksymT1+L+PEfH7VcA4xSmAA1i5JwqkPJ//CF4zmHlfdYZj1 +vL+/X/M10nTTYVU/DCaiXF8dJzaN4swTdc8P/19M8AMm8oe62Apij/g5G0YMIImb +DH8XIl+ACVRV3cbtRDkbWe9RWs7idc/ZXBpEeD0XTH9yA7VECGAn8FQnf7nW4Wnu +lUbiny2rjbQzKWsTjbGjaZLqBHWQWcs0OM1ClKEvSctuJgNcgg2hoaGXkhTNWMfn +j/tk1JiEBAUyqkV1DtonWNAl2QEYVDwN3gDS8uy2AcMeqAitxLAoAXO5Ch75PIRW +7j8ZqgT8XvLggTmYU06towopbIfVk+2TaDJ55Efab9tndVq2gz1lTAPGpKIebKxv +OyaFgJzTdWD/cwNLEADgUWgdxBwDz7DIag/+YTSTV8XznjAVZTy1Y5FgKoCxX6BH +KQQhm+YLMfsutlY3upgRzi9gfS9M9oxWdwE+mmXjROhnjW8ryYqyDqTwcljhLBtd +IKy18rRbDpm96Z1uGUi7HvnkbWuv4lSwSm4m6/gS8yrAtPYONcET8e9dtrYY812p +4tOLwJhcNMgNdwkAltpzZK5iH9g5yM3h5SewVuNjXTfhMmEUkD0fqZjpdwCcC4wv +yxJhA0Kk38/1q7snku/3odYzySZd/OCyk9kYh0VyYiKj0NHc7t1iwdzheCmwRczx ++HDncV9RGKmXNUan7KBclWpGhb09Ox4lIhrG1bMay1GgSQxBgFp+iufbInaG4JsD +V7uPLbm5XsCWsP8gE2UwDjWb+Ut66v1jwCUXV7+ACHNLp7wuTOz26aeGYWRVy+F1 +AopuF4iimW3mARIohFPHYfPOTBBaPtmOzMT0TaSOMVKzC8zPxad9yv5hVqWTZJ5E +79QmrO4lisfPnyVOiZISExqpmyNzCCIarUD3U46K04xutdPIxIgz/+uE0If8zLSC +V4NC8ulfjwh1sAnrPLnTtRafDE1klgdJnWJklB0f21CArZLW9wJAxoaAmopX1fq5 +eMa3Rrhq5QkMXi/gHAAq8om209e/UtAtkOxGC9hpPlNsh7sl33BeCZL2SVHNDVt3 +gmIz4BrtRBjvq+RIHt34pTHEC2Dd3mn2BV5xB/cWP/rk+AkvRdGYaloF4o4P6Qs6 +fwB/8Sgt6JrM3FMMCphGN0YgonzY13a+jyl8BsuYLu0+BKmAxLgdTPp97Rgm50yC ++irfcLjo17J9N7fPRXWlBgoF7kgT6B7XKJWiU/z490puYDPwHwo1k7QwBqJoKMDD +VA/OVUx9a3gb+bRvYIPXbCp0TUF3IMDbvG5Hd30/OcMKYxWobzPXH9x9FZsxE2sK +N4nqDqAAso96qFPYSRWFtP8Q7mhDptBP4ELLAIyKVH1tqqkOCquAvd8AovI6PwvS +mVSQ3kGs75YzJ8TMxBZ14ynVKVXluioDqnllo4JGmciR7oHwhJpgFzTon0x/qhtT +HmXbpyUwXSJT3pGqYpTmUuzXwhzzheTwLlGcBwzyqwhtpuzcguE6Kek+0AqE9eBW +PnizNbFISoESaNy5AyJwTnyNSTsLEyiku5GYlJBr8T3no7t6Fj0059s3YlEWTuuk +iVqutQWcPzz6qGQre0dXqaNU4JcBN9NT+y8qxY7dhy9+iFX+5r6Q5GynAHAp/UNj +p6kqrLYeFGfoQSugGKHD6Gq3vkmYRBCccmn5M7qjyikdBWLqOPLPHOZa84Cx8akD +wdReOYkz0K8jbVy2gXVNKqp8f1fC+yRDmM+zX8IJTrbaEouP6iNXRzuvy/vjpbMT +il4JVJMeCBosJiPRZeSFPXNebS8nAN5Hj2joQhGSCvwewYMy9v+RDGHADrwU+ZPf +ZwOS7pTsGGBca5dpPZHgHFKn09TfJUO5k0zrvtr3ToL7itJ+qwkEivEzDvbT2xiX +i9e1/qYrrG+VKlbcqb+bwIo6LY4+zUzVCmzuHwQO1hvAoWTsX2D9cRZHSt9MwRgx +tgbAyXXoa9HT+y7sg4nUCzYhoy6bz7LrY0TZk7u1vER2vUgO+YNkAsSn5Y/Ax3Ja +m0YAWr0lsUYRIOVunGossMcAVOpqFXeNFiyK/WSlpObGAgKhUJzJbFUXHS53i4pg +vx8SYsn3uPbqSYYEo8tt547VRUE7J9x+Ss8+7n7fBU2H7CGfuAWnKYVZQhrR+yGD +f1Ndrr32iQYjInkY5d3oOyNHrFCWw1NsjFDEw3VeTJ/DLdHhSQiBIRuEwP4esSC+ +/P+7U0tXsQ+KWdj50BkuqAT2dFyo/DBFlJ/FHmI777yniOcMaQ+N1PGAh+bRYmZf +6Y+DlxLZojJuI1DL1DUB/2Vqr3QikgzpCmqAhwYQ8jIWAdGCThRUCEM5qEbwC/CC +TcXgGrGV48Qv14tupy+0sCxB/LFVMmjpgCT+g8qfx1k6XZpJfGzOZE5aPKZnUPe6 +vFPe6QGqJGqN5TO5djr2JKuEWtwPHNkS0Ztg46s6yKxrKzqkVr3w+RKljnxMuyjh +QNMCY2l8o3AwXxVj8ZznsocOa6Ugtco2Y8K0VzZ5FD5yWu9QwLTJUubCriEwCcs0 +du7mLFROSi7sSN9nEaB0F74RA4QxRSHYK8aIFEK15btHY6mhgMNBC/R8xQok1CyJ +EkARRWnxUPBJkrwoqdgjHjl9M3J+l22j64bTw4Fo+M3VuNtqyd2ggvCl2NiiAsno +iB4HOvfQY4k65cj8R7gy2joUXS+w5oTdsOXSqxBVF8sUufWXFeaXLNqlojxZ5Irk +2Y30aDA+DBU7B3Fpb/KZLQDB/aJK/a9XMPvQc+IPmoP8GVAlK4yuQ7j0orF176YZ +xvXTqYhNdOLO1QmiXLh9kGZko2g5drUmszCbEj+oaLTNh8O4Ik5u0cAlcy58hLNt +jEChEtrPu+fpnZ28096CZibl3hOagw/IbH3CJmNdJaVpBG2spa7lHmX23ylQne1V +yQlVmgPHWSitPhPiMaaZfG2hC3QYwtR/YS2IteBt0JOR2Ts/x+mK1w0b/Q9O+vWy +8w8VfGTytnIqD/L6oOPFhKYQT8g1iFoCeKejt9O2QrkIPp/4giNnVTWO7EIUqn53 +vSS37YXxffuHSHsT+riI0nGkWiLj2qMMUaLHsPxoqn7H+4boSUbKYcM4G7q1jtiD +IOrF1/eh2j1CKjtQJhKj189cVv/8MUmWENxogevc+mJtZdyLcnzeOfmIc/5NPDZd +m/ApPm/Cy/tRmdtbWyGd4FsynmuBPrnhsmtMSY9f/b8+ZrSkBM9B+n6EwOGAlTUM +hljU/bpSMWeVemj+mPknAYvihSdv3l1ZxKgZysBcC1BuZgsYkz5qtGPWt5kiG5AF +IW3jsYxKc6If2sjh83l36sJ3FvggRLVAPehvMZAr20+M18lQIU+2sgn8F0np49QJ +WOImWPn01zIP2BWvOYM1BRNi7oO96j5Ma0gLyjfD0Z7vP0zl5IOXhFNNKNkmbBaA +QA94fbqjh1yMVmJM0PGmkOV6GcJczT42aF2umUpfgJu2D/hEjTMLFXGk6WDKaRG5 +yAUroMSS1CeGYpKnQ2X1JxIntld3q/l280Gv85XZfbT2rdf5kFU1FWqmoK5hMhPg +LVSuz2rm0XhzJeWASDbT5X/4EkTtVDnCEvZAQOlcQVUbso9OVGFAPmtwsGF2WZZg +OJ3EH3Bu/iP7skFkXhXhdwHl5F/qPn0ZIl5ZymZ4AKovJFL7CAwbeWIVRRV6i/Uf +I1DMWN8lowxbTp+PKb3+KQ/OEPwahqi8O+VzZSZ1EH1t4B278O9ym6CCAq9WoxZZ +2lGs5UqLKbc83efoX/j0RvVtq/qcc23gLKTwcCzcFsu1JDclkOksXv0VnLi/cSH+ +KWbaoIrbGsGCyTS0Jg2N8FaCGj2ONEskpiJO4THUO1zSHU63mrSEBH4tu69zYgtj +Du5pJNctTSXQBIA1FaRFcOSOjImTxxvQ+qCQnKbPK/DJNhp1DGTVSccymNs253L3 +Jqat1Uo81gorOEjwdGfsQaxiKBxLoDln0nChsgySgxYpAqa21Wg5jSSokXrmzMgr +h63u2e2gP4U+e5MaQJ0MraTxD4vQ5yTnYP7N85yyq2H3Rm3DveQ0v6FnuBXHma/a +thJRY12w6Uek8JmR2FXJ68Ylp29A7YaGiuzNSMEayGpAelW7Ws0YFSakiIA8iA0E +7JFTvfiuNO6kJao6X7dzVX4f75ciSoer8oKAQvDQldd+vo4YPpmGfpW3diSHjlep +6H9Q7T/Goy8H89hwCYifqTKleTwG7IjB32oVTIdrUt2dBoBVDIcKeWpEG/627b9o +N0I8ewSntj7en/HgVDSJQHmlc4VI8i/Qww9qXZH8qM/GaqsaNiNtOFQ9DihPpFK7 +xA8uQ6TWK5I2tOLG5caNmDk7XD5uErPa3g7EUdu19moiae4/n2MZXG3FVFJoQYZd +P7SYAmSQj1Q4Gf1Fe/YfnzZ7scCBhHimgZ5mxaaVtIAAv3P2wY/wJldlcWFr8xHc +5h5MQQzMR/SrWugtCLvIrkDLXsEFq3Uwr0jdXq9Sxag+NVOP3Kcyl2QcN9hrMKq4 +cy4YRNs6qTwQuGyfAbZM4dScTNroLABU4tj+LwWDXpDNhzeKdsEgH9Y6MTeA+USz +ftcvcVmb8UeiS4hugbNaL0rJNyxoiW9XjbYMcTsHuNdSGyp48nqaqDAkYtFbF0+U +UKCskUhXybm/iyXAd5qP10LZCDdnNJCTdsRhdIhdZQKuMhRMi0azrCnJbuaVqQl7 +TES21E7y0mfSLS0hs9+Rjl5uz0EN8i1cOjImZ3M+uzQZaSqtpo7nS+ii4BbWYLcw +5sqGXpfGvSq8LGVOHFOhi4wI+Iywyn2/m63cKR/+AiKaBYcKsE5l1mdtLpehg9bl +1Sb7jo1ha+SBcjQv3TKa6U8wby0IpkR2sI7WwxSJfNWcuC9z43A3lG9Xuzwp5vjT +VoS6xhrroq4PNfi1bTtEa6N/JQFuNCx3CSDSbHwBcwWXOKaFSa/hkJA0z8nzbpy+ +OG08dNpV37R0E77HxAIYL4zvYZkJpPxlSKP31t2BFXyAJWu7mznNxIVMDe1TH8Np +W3zL8fnn1g/lUE0aZ5JljTC/MKjclccvEbGiFEhNJRaUDhY3Rnll85IURBtF4ld3 ++jXgSIZfGlVX8g/euRnBwO2RqsuY5mTZo89Ls6r585XlwdE06fLOazmONPUDcLWr +vLdmZzmV5vDCTaaC3z4PXOBD9tnTbNGYMdxw4aK8hUDVmiYIUZjq5K/dbXinBfwv +fEeFW32UimykHJgVS2aCiosbNZ3m1fThDorKfiQAzLwz70jdMh82umX2AUOzPzaU +xD+bbg7NMJOF6A36ryt2PYB3sPRn2iWI08xBstsSHCm6gmmt57uAO/sxkA5eyXyW +kEyDsViVL/yBSYy/d4APLVd+QE39t9tUj0y1D8Qi8+RQllutDBqXAWVeBnbtoWwW +NNTD9awGNDJJL+6kFN1L62EmCpYaygSSg8m0T6uFWH0+kHGXwxAYI0jj6TQatlfV +/oyZfq+w0kYu9A++ThlEY5ag6JEHajcW0gMWYnbT1YhNy6W3ISCdGXrm/oEmsE2F +QwMwxe/IenyPd7MMmVetP6AAu9TfmwFpZj0Ev+X1l2MLiHJvNj2TSQo6Jar1G71r +YhyKl+35FUMV+1gtqQqZlp6amMdAiP5cYTrzpD286gHBgU9RPLg6HNUiOXE9OviT +7Vqb5Rla4tC/qi8r02WaCrhXCKaPmV+l6sd7mmJNXYne2lH/XR0cUzzW14ier3ue +IwrUaEdcSe9AOtx3A0uN3F7gB6MOFLBhnUhEu9j2jGodoZaT/ZrCJ/9hES3zgjNQ +qeN5J9TPM4sCJ+V4n4GIiXZmLNU0r20/OfhZaOVmYt7n5vtwhNJHKxxoSAWno3hq +SFuD1oWwVodxuT6mB4d7eD3qAGyuUrGXiy+yZGV/l7m8ceq5JL2Sezp/b43iGZQw +Edzk3bG3r6C1TUe0dGmk/DED9OgkR8G5z8/A3V2prkcIyxjGMujm5oIv01iK+d0l +0mviy5WJVKAU4j3kSp9L4Qt7kThQwLgqcMaO+d60I28AYEMtjtNmJohnq9N38Hb/ +ByJc2/D1AbY30c+s8Mp4oxwidDIA+b0C8snYeMh5vUPhVLayRmr9LK71ok8JjW4B +ISdDnQiRSbhK17YtttKhEwo80P+5Q2IKmm+N6ziSU7qz4lcYNAsflojLxhcPLzfQ +QInDszVy2NYPlIFkYRfLPjk1obHylkHmllYPRDjUk6s31gSDS2vgwp0myiZFvfAa +34kee4WWIDIb8FFhSQfAzy7pHrjG7y/SBsI6sU3+yH0B673rOsr9LOfVH7bHqz80 +MSVh3rexGJda76usJ8DDeuUkz0glSoK4xx89g3AS1wAtbcHpcDLTzlAwT0EEAIU9 +S+LxWBPA6K+T13MxURXUj3V8F9Po7PlDl9/5zoneJ9DPnpUSGNVAkIIhRNKoz0bg +HYPU9OoQzO+EEAFxUW7kXKkWQzWfBACRAc5m1gg/ZEfilzcL4mw4gsLLijKWcUwY +dgZdOTZs1oWy3osOGS8H/NkU2j96C30C5mgf2W3+xzi50aAc7RuaoTl/vxKx6d/4 +0GVApxCc9ERasrDkp0o2w75nvKIYPm8ilKEXJKj/2uEcE/Cb5iSX5w8ulvoTNoIW +IplYCmFEm4eMyQCP1tbBclsC6wGedRpEJ/VssR3rSsIyieYcq/JpUDQ8zCZtq8ba +EsmzS9/UrlxjUPqwIjaU5B6xcXYry4Ju9uCZQJwW0l1hs5YH58T/Pg/omvus+xJH +Z/k0mQrA5Eus8EWiEcDcMfJUc2G+1JR+ll4xJLgUDXDguiSeWkiL9b1g2/fSJlj5 +zPAE4vp67TH27BcbChvQM2EE/fh93vMHAhHHeFBN0O9U7Z1EZcBEqDW8gupsJ6vE +WlpUfgXCaD84S/NeWyp2bhuZjM9M664zQcPCUVCn1W7loInaL4Ezo2p5raVOil7S +IZIm5uod7ixU8O4094lnvM72WsaRaHSrV46FficE60fKf1Jw4A+zF25UaSlgilpD +iDOsuLZGaBrsiTXJTYPgfP4CP9ins8uuAh2sEp0gu0yhCTnbVf0ajvGN4ZmCIisy +sk5hm01pJDXDS3Xq6L8y10rWl5CO108rS9hq0LdSaudzs2i0YEC55cXrKduQ97t8 +htHVI6txkfx9KSbx1AQhxDHgXxK/tU63oeSmaF8G/PW8bhEDFgxzCAEGwQLkmDf8 +TtFbDlZ8Pr97WCReHpTWdabaLtaJD3EBY1rPAPT1dml/oUZ65TfuASZnA7aYOT0B +9jwn6lnZQP/RfOsv59EC+h+sX/6PglT4RBFEyQAji0Vb3r5worpwusef6qWMG+LH +lLo42oHkOI+sNQehlsNUyP1Mmk6ZCqEJC3fZ2g1lyKsceswL8Ip3v5o9UY9FIn53 +AePmJHCK0HrROz/laCdQbl8Vv26OJ+EATa+wZCPCO22OB0I5V6jPkYmLpCp41su7 +XjHrGUozjXVRIl4P34fAplxEjY1kAM4DkTKJS5uC2GXbYfvRNzX5CBED8PSPCz60 +o2c3FAOISGQwRb0G/O5QG/d9TH2GqyVqsqcxQXF4S08jjK+ZmWilccYib3Rvnhph +NnaQz/bGbPFyq+NousKpInwQyPtWCx0G3+9XMK6j/+DBJHxJm1rV/LMmYBQnvVde +UOl7Ec5ZLDg1G7lSmFC+NhZzSRUhSpwP6MXJroYd3ytb4juxxAif7JBVlPDFW8D5 +oRI3GOEblOrvHx81tMq4HqGtsEqZj29NoW+Hm6dCShgk0Ss4rm8EVIuSzDXzFF5G +CtCBBBbgWxy8KCfyANvQrq+B3I1Zt3E7fTrsvTP+gkYkmXX5ojyjb/7LFOZ/QvLp +D23UBRN8sUyccyleFIv1JjnbLj57YPDQFAk8lIxSScHQmgfEpOTszPj7ApWlecyR +aYPGgpvKiciQIgTDVpEdB7MACQBc8xXNixYT5zwLcOy0wTvWmCIHdKpMTKgOAWxi +IzaQots73FAPDIW7wwZ/Y6MYoJancgEtyFnK4uB2P87AbK++n9CQBcGBAXjj+k8Y +CH4FOPJAtdvrMB7yY7N6L+q8YxcEDpn5d9Z7EQsxxnbbH80a96B3BKCOKlNOuX9Q +JcpdsFp/6Oh3iynmBeu+jTPTbuIfIjpeAQFcDH0lG8F842+wUG+PlagtlPZSSma2 +Ekq9Q/6mD/xDzJKIlIZHnDfxjlHoCszE8V30T5Vi1EGbYxklLQsJQiPdFmK8NSgR +nVy4IbSXZY1jmVliLxVunzLWLfIC28ke9ydrRuFWhDXHawIaz7VXYrL9+Kxk0gfD +PPLZqxm4yX1JbocO4pmjZbwWQQUOTYATSWBDSY/m7/MNqoj2iksCBq6VrIUam3gn +joS/AKVknPkcGpBQTCFpJo2/BTQTWf3+NtR7FxScr+6Td1HGKxV5wEbuWj1ebCSu +RrYhFjnJpaKKFPdn2JkWAv5vWpyWrKYHzYwL51oBQ/Aa182q3aeljRMm230CadUC +Ao3JVwqQlYM/wdKDXxAWUe6YeQjXj/up+UXNSwGq0A4E7ggFwBOU5izOqPE05u8V +0zMLqUirW8LloLI3gC2xxPyVAzMFTibQ5ur0yy1nmBbHjyUn7lecwx/6FuqgUKkc +9diFEw9CfF4XF6yvNSYo0WMrMyFEXue77OdP/ic2Fj5RMlz+oyrETcxkYGFuDlIG +Pk8I2J1QK4dcmA9suaHaiIv6wYgHg1k6bH+nmYfFhZTKD5x15Li3EU26gUeyFY3U +lZqrIiTN+Mf10HslbXIP32x/nXmu468V+sqQ3rILtEvP24mCDu2YU2w7DriDe6FC +vl+MPdOvFdVA9zPlR/GcYWRd+i25hLjwIoaPRP/ukZWwM4gc4xjQ2mR9R0EAnwRG +qyrRwbLODbqyaYJad0oPWFqiAfFdryCk5rr439T9OItK8cDQfVbYy2HmKvxjnYGS +3JXpeySgJeZyYDLrmrtBXIzaqiFz9HlRe99hfGlKB94HYlfMgORtK8xhi8lXaetV +6qGQVQuxTdysp1Hss2pRoY2ZLxpzeXhNeD034NqdZctpF49Bqe+qf6js5yQiiZeW +NKBveVNbCuhzTL0pYNI5NPucCNoIhAHuEYpzo8AodJ8qkJDIK1HPD0Raa4vFVJQ4 +vdSJd0vSq+QtPkSZn9llPCBykn6Q13uBi75mLWmhD9N7BZKzzW6y6erQU+FArkxj +LcopBUw0gEq769kQHkc3xAZk34MtGTSiGXTj79qOSxL2lVH2DW9hRIjWmUvcXTBV +rDezT8YApQinzxcLVVSN+JXnUnwqTovf62w2H9Ulsem/SGKBZSzCRz8uC6rNf2Q0 +LuUzamT1KN+u+leHDrhXpEdmhL6wLUQTWTwWb/vcv+idL2AkxgTWxzQDA2AJ3WlL +hQWZooFEnVVNH+9z1uIM8GW4bAqJETGnz84IKO9josWDmOSTgfz4VVBtqGh4sCNO +JTIhKS43gKUzci++t0KeyB7s0RIRCj2rD/9jakQuh6Ab96MotTYywow1N4+wurR1 +5zu5/Y6cxY1b4vC8iULLm5fDCxLWmhzlvTAJp3/s8tDptsq3/oFQE7836UsnhmxF +5pHqs6rapJzu9O09PFeFgdywST+G3DwyLJ1bBOU0821QXvNBWaUEUslI2wbqJKU+ +8QrTgprPE85YgcjBZWM25PuMKeNz6Cy3zkgryXbv1TI6N/jmnjLYgxBAyf8Y87/K +aCAWmKDybtYtkJl7NKtO0usQNlVj1TtiiwIn3P/Pokn4Kl4CQ2crSOC7TVGt+kmX +kP+TbW2rvwJH/zy6gd1EivyKoQpZDEDtOi3+CYvKLasEOt2qQeaY9a5OdY65U3QZ +38rWFPIvY92jktERsipZ+kAxfD9tBhANDlRBCE9CazFLdwixTQeW0ECrmXqP3Jss +mD4iqEzx5L68B2hEO5PwfSFzv8oyp8D+jgK5sEIFs0rgxkUiowtLlKG5sWrc4+GD +lwQ2YjkfKMa7FO63hma7xVpvVKsyFplWGOuo5CE+VIoFcwGuzYdVlo8Aenj0XA1e +FiSGrgFByskFV2QqaG1/xRQX7SgVBQEP6bF9IZnuumyjRHCXX7Yvc1XV2nBsobe3 +yPmVEiMPhNWEtXTq+1K4FetgcTInjJlSsluJYViwtCRxeXMyTjQ+7g7or2lhQvEC +PvfEnuO99BkTTsBzw83YyE28zn+nZUMQp65iBYyAVKJ8kXCh0bOY0N9aDm1Yg+TB ++HThT67agliHcmF3h5d2BlNW04XFDw2bMsYEZrgfQCL7p1OznOTL5L7ymjX6nRkc +/tXiy+oeawZY3r036k/WMex/d8taaOE0qBV/UfVE3B9OZbFQNQTQazk0BKF/pbaY +IrjBlO9xJd4LtvRpSxggZmwy6yy+1Ti325CIZ9PhOowAABj7Kh97JExfRXjjiPDY +0CRJNIMpaJhrc4LTVpFgnKCjaQ4bp1T33FMXeoh687qdUsvDjl0/tctKV0zRVafQ +cB6gH14vuzX6JvGTr6obELhxTh4A7JrwmL4bPCu+krq7GJoBKuqunK+zSJjKQQab +RB1V3Npn4tNn6fXNY5PLyMHEGnXWybKaTjyjw6NNaL+xEEHOOYWf+6mA49Mbk9QF +rDxDVJPKbBPVt4/KJvn8i2P+UChvMj54+2pZ/i8+rQOG/tZNpCNP/BognuU6gsmj +aORGjNLtcmsxnTnIo61u75qLdKOQSuFuCZsGbNLiml3snnEnXhn0hsXzEAwD11mU +OMI9XAu0q7r6RslgtyVqSSMQ8jadwBAILxnbxgTPaWpyTkvz9WU/uwhBJEALqUUi +2gaGCQ8Xu6/V6ANIjrd2bn9B+l91rhTn6UIHQmwCvGM7Zv/sg5p5TcbzDTNrAKqE ++kjaDhIo5gPQiRSb08AzmxyubX3f62v7B4SzoDbgcUjPWsqOvyxQVy3E6p4t/DyQ +WC2aC0es+cTXtt/quHutzwIvwPkSSIwZzBmfNdRfFPNYrohKKAO0BoYrndkeYnxx +g3gM+6e+CTe5Dt9Ab6yQfoZcDexYs01WH4SO86+EZwr97628oyfasIBGM7+Yhyzb +SbCfhdO4x7thWq3/dqAQE7wDqyKDJW0/e/ThBqVqXRx1k2D/djNYxvEhaNSBUBvd +61EZa5IybUXu/1scNNOafqQZt3OiEfNnyvlOfz8x9CnWDuJbbO9n/ZWkFWDimoVx +mLVJ6CPXOJ5tkE34D/n05uQ2w4BXaShwASPAYrqX/yw46J2/FB6HTiUFDLgyr9Id +f5yoJ+4rXPQJaIbD+EV6CLJ1RmPg3CICe3t4OWL1tH7RjkSv3BZ+JDFQQPn7oW1Y +Dj42zXHuNn/rbVwbtgWCcb+Llq+HUaQvz1VLwYeCmb08PTUt2km/KZ6OZeyd1dR2 +UTFcovt272bwBUrnnhM3fq6Bv5xS3FAKgMiViqjtSvPvMiKl6NejQZIBms4q5KWA +IjjpTN4rnZDNjNaZGx/0fgrtlQzoSTVRUwpmuRqtfhbCrKCTO/sj1h2KIDqqjzmN +WWMZqJ5W7+kZjFW44lD/p2aqscEOBPFlfAChHF2AccX9bi7bJfujUC0HO/LHmqYd +gdqq2RH58bHPrbhAM6tKeFUkCB3dWA9pHPhj3YgXoLnCxYLM7ns5QaE2135YVFPW +X6ddL/FHu1ss7EB4FRFGfaPD5zFND8m0SlbxNApBr/wL2IwYR0qKZY5yGdCFnrYf +XZj6qq3rQGs8Eb2XU9VLHd6J8makudNVfZmbPQE7Z9yP24zb3MT2Ut5GtHVqIqER +YX4eW/l3lOC/Z/rZ7mtV71fHyOu3hwsGyiuo7TTrSBQIMhm4if5Ery4L5U607dNj +I62NURFEtKxL4h8xJjgP9SLnClJGPCWUfL0eT/phUf9Esqkjsw1OGapRVFLzscS5 +4q5BtiNKdN5mmN5+hmmvZb3xd4ecyvytZ+gBYlg5Sgk8ANsNCddRnoDLkbDLYmvi +18XeyjAc+3Hz5ex4nNkwMPlWHyF2KGs4z+lBHpUO+o8I1gRDEn9CE9ltsyfF4wGx +vItSLbpScz0Ps0G4Uuic0v/hAEWagSrY61GtTl6szhz62bFutSbW/d8lAcgF/l+z +H9etMw1mUBhdAEvI3xeli3x0FXTYV2fPUfViy4Ey9EOlDKl6GhbsetcfiuDA6U+O +UZ8WlgiHs45JqknUFA5x4xOfpL0jyMB/a64d12kTl3l9t1sfgYWabvfjfqGMwiBx +DJj239OII80fo3csxDMU4PFgPVG8czsbbXUgzQxiWy//3x4K7DV5fedGRH/Mz4s1 +/SuiWEuNkiILYrIkRuDHdNo+lk19+R13EDIowiIlA1vsX9sC9+Dq/+crxwfux6Z4 +xWaGEBSOOKWrLW/A1qQVGntNMyrn3C7ix8OV5upyjJixG6+SkFuGw4nBHU3Zu4Yj +APsMqcULAugi8GWoL31/JvkO4jJAAqWO1HErfWrO7Y2Dh4P1+YdOqnAQQDFxGzRz +gIkJy4PhLZ8Rnpf5o7BhwSJomqW1nFFxaeCPD4hNMNmoXIKb4lxkqC3cLt9J1+ih +zXmaS4PY8GAF4e1Adify6mnkPDyHMs12FphTXTqupQGNQHQ4fXf96GvqW81pzK57 +IWAIcA6+eYfTnJbp+uw3wNk2Tln+aRWh77ZFqzpALX5htUFWxM0W6x8EBezn6aed +I6Y3AKNu2LZR96ZkWwiboo8oWL1KMe67pgQa30GI4ArF+FcaZbjA6NCQPu/P1IRW +bZH6QkKux+frLj5aCtwWzuMazKa+urJUGwOjBPfbS0nj5YV5xuXcFGw/GjU8H+Km +r8ZFSAyojlRjV/X5dgFIN8WUj490FgyKQ9lfN1IkA55vuwfuu2wWCbcLNrSs497o +YFXQgUrYmSnYhULi8Hs07ezldHNh9IcfFm8gWcsWoYMSgIf1+RByuy1j5rQENpxI +vqM1qT3Dqt0O2ZTNfpNUZmOmwFlqvPR8HxOFIUft6WB9vtFx/V8SLzozx5DEEKV7 +XDNKhKy8IieDzq6fuacNTssmMvRk0z9Ge8JdusPrXwanXfEBIjBkYMVFMsWE7Tmw +EHHCoyoED1G20Um8AeiWDbLNv5P+uJkS81aLmHQOuuTHFaJQJSmZuIfpX2Jzxwtx +ZOVw9OHYHue7CDCWORmoymHgmLNcFuUNGbOrJrwynbjPNs3+913GHaQxPcq4HS8a +L8rbFYohlSAK5C30HDCUHJdPpcXUTEWA7hcyXmUh9SFDiVH+7si3Tgjxr5yskLik +wMgfw/GJ2olrHfV6Pz7JADpj7Auhp2zYeEwqaUBWyE9Ezvmr6Je1fokHw8nnMeh1 +mtwv5aZUDvyMYYZt6jAcxDaFhnjtBFs8hyW07OFsDA14IUPp2mkFHUCmFAMufo4y +PilknMRE30gziKsP1+q3bALJiebAyCx+C5vyDwBSyXgHkYSYBrKnxgG9eXBQxbx2 +aynqrP7DJObo4BXZd1+e4IpDpjUb9KM+4AAnaivsDeN425a5qeYdOej0qUteX7cz +HAnpt5sEazeDOtTopPTE0I56XCPdH9wzLM+MGqRnTVh8auFfv//dUi7HQnR6tyL/ +YGyXlZQAx3H4mtykk/RsVAeb9pJFGifYY35zZ4SR7CovnZajfv2fL5vGwCTILQBD +7jY1rgiQRUCVoYNaFiPfXDOHXDRrHwlONbCoMXxeYB46ZmncZSSqZKzwfo1/B/EL +SqgjtJXpB9uksllV6ikOBOpmRDEJI2lfaBjWMNjfVdG3hyTvcKKi6aPtV6LtiT70 +QivtZG8b1S/E3p55BEW2novNiNAu7w0zgpkGB56it6br4z6d755i7jhHYy1v6Q2e ++pahgy5LN1v9B3ix+Xwk1sEey5iKJn3WIE/kbZYrWhHeL53nC7YNfOVeqXQljJYH +9ttpd2U/AHRHNmlRU7rlQhR0FPdhmRz+OFcwP1tuPwJCROpWEBcBlZqT0slJ/sq6 +hr/ATtqtzW9fTt6fBbGSgv09Y4Jp5I+UxkMgFcimY+WkYSK+VwGJWc19Jr1haPQq +KwPCxNvZ9XxXwCKeu2MNLYeTDaSCniV7tdjeUiP4LbYe7uzr3/zxwzM22wADn7vB +XWU4PtgMmSACLUlMDvh2ymD/gykje0bDL6pWgN1Xj4FBabPyqu8+wqfwC2Ce0YiZ +bs5ggo3uUPJxeVOJIZLt6oz9wMUJ1uUDrV/hmg27NQ7ZuPaaj3QGNJ5YyEnfy7A2 +MaW/3fp1ydnm+RcCn2eZfurbZ/GEb42n9lfwAtjNUZQQoqF1glnLRPJ9cA1PhfiR +3lvEkCvELZ+WcF5owRvael6UZdkSmlAqTZklbe6yhZTJ2F+Rul19RjbtzX/GcU6W +RMZQy7mBjjvzZ+rcvK/Y0Hbd7Ejl9Mz/5rES0MoJ38p5H/EpbWyquOfBILMoYKn8 +B6VKLM4YyMzddCFavHfmwrRG8c165aIwaIWl3AGr0JJ9A8Y9scFtVkvx5FoYICkx +bCwv8m58pRSp2twHGpg1zppqv3N6YdWluiQu0PZxfQy0rCvgYQmLg4eXk4NyUOJo +P7PUsQk9x4J4XEafpbIOlEp6Mx6YRJq/7UoRtv+jSOfW5v7vKG6CtSu1B6iuZRdD +p2dQJ4KEGjrTQtiMV7LjCJLKYnXvk1s94lmRph0y03SXywgxRdrdzIWGPOdXQ0fX +mnsLvMN/LoH8eR0ijcDV1HyBOMgJQMghh8EWyiVH5rUHwFj/qpXojfAEx4dRcfWJ +g5Qj4yzQBXh5wt3Gih9IjqgAPq6dg7nnsysrPTpaVYC2MT8By8VsxPlony1NfJ52 +9kHzaoILt7EVunWTxN1MPMhPY6/YAaJl+iZZUfrScdPeEqKwFjc4jMHCiCyFdaMe +oeYcpvVyb3iqtPzqS9wtGpPRvERNQWouG2RVLTpt1rYFj1E13IQz9Rju8cwRsPeY +AyIr/Yet3ENxRt9ALIIL38c0fGq0jjtdnpLf8BQzllqU34oWXVx0tYU8VlNT+sv7 +4Qh7x2LoUV2ak3V+1Hs+22Kf0jVa6YDTIH/d9JqKQFq9uMmc7nsl2ZUIJYKrDhXC +5XfP7YMFn3uI8bCAWudbvc9QdwfsRAR9m9MA7Twv1n4xB/ijb2O88OktxlLro5HJ +OKKuW5s/n8SBHI+RvHmtfISbCEVbT/vlcxrpNjWVnQWM2DoTSZXVm8tsnL84PE8G +MSwadOXrnN2qHvXX9elOD3Wtm5pyE0uVEdankA1P/TLXBW5svSgj+tyvR1Cw5Mts +OplJb1BeJXrhzCDqxgMxIByZ8/DBHci/MpXFlI11ln6v73EUeJs3oA4bGWR4QCgr +8MGyhQCUrFHckm3sSv8ISES59oQh+Op5VNSgmGule4Ng8cUfF8MD7iGIPKpzlk9f +oUCW9z7fHEaiaj+j7QrvCCPyPzbq8Cbnvuht4TakkmXM4RGtOqo4h8q1xPL8p75Z +8ADoz9FaUQ1UGePfgCoCCz3HCJO29ExZzehJJs0EXHTyRoG8V7+ZJwYH9JCGw7nD +SS7/cSg4kaXPzWgkeN63urjb4XVUeObfJFtkmqh2gHJLOQ5UqeRCQCtjMqDgQWMH +yMk+vGVT6GfGEZz54BYk5wCbK3dkkqy4VAZm+4Ka2FXdPSkUWoUjb+2PRA9JBS+Y +hljXJNpX89nGz/fSLKYpR9/WfphMyASv9MFkOUNed2qer/GwH78n0unUZkBwDFCU +5fxntPwRsSu54QiPIsUNbElHuyqdzVOzdVcoE0wYtDiIrE22ejeRhckGX26nH2MT +fmd5xzc2VHw2ptV725NdDxCmkuquItqJqYbuCvx7+pOaNqHNw5W8Nz9ZgBMj9Rgp +6To/NUnFYmbnYpdz53iaa5r3ojod1te65MNrh4dX3MvWDWPAeoZWKMS661nlBhbp +kUupd1qNoznXsYw9AYmysw27Vx57udhYW2/+yDxBW074Bmgor8FtcyCn9iBO98Vr +S2e5dt1prLy2YJVMATXHgdwtrjj320gRXDV4qcalbIvjjEenH+6jnQ4GQBDjLM32 +l+GcdWrVzCYECd1jEv2i1CYzKc7oVXzynde5KgCqUKhP0NAU1o8n9MzKdd4mc8Bw +3IhupR8WZQPraIZlSQT5MWh1HaPM1zZy/OvUwpM0rDDAEzwueO9ggrnfct/j/7Eq +n9aeeI5THff4UeiwLsStmtXH3F9tM0x+y/CQh9/CBmNE9WvFZbEjfzopjpvR4rmR +VWZKiDgbW2JkexmMm8yFdR9lCbi9WljRrAuyYvjIgAyr142CPKXs8oeR7SdiplJ+ +2ejtZUqUsgNTLatc8Akw1tKoA/iOpxME8bRR1JqRw5mgVmwxiFFCxrL/S+6uPZtf +wldYlp7j1aUuLYYZgy0I6zuiy5oLNDn1iv01bEzfU3QHC/CewpK+4wAHao3nmJLx +OvTNKdNriIHI6MZMoqSZQcXLzz2kwdWGInfpKZO523Okx3iDq34G8wYc5jwHFyVa +RLOOSWRbdyY+LHLeKGYDmuHVXFsFff3SyET9jwohw+Zr2Po0B5YncNdwbsh/j0SV +hFfWgf18B/hdeOhwnMCcMsg2YKGxYFK/05HTyHqU2Ek1xSpYhU7IUUGTnIrfQ1mE +3mRxEpLqY8/kUkmQAvTBEMZtPMGIHTPYExRhWYgc6HuXfbxyCzf4gI2d2HA/buDr +zqJAnv9d5R1DdxlIhxUU8RSWytD7QC/dpIyVv7pXyoZYEH9GFDn6dgdRrzx/s2RJ +kCwrShosOAwYFa9/AF4f06kg6kDf3EnFWlUZW6VB8xaTxZ+WBViTFzOkoPvTmmga +rkDT0+XmEF9wi7vViENcpVAEnPRsYcxGb6Cutq4umXVQrYaMRECmHVlPBeRUnrWY +XLus+2SBuqB8TIHZ9+ozGmUDtnyuCR0w0CduRY1J97r9WZOE0Czo0P2pyEb4RSRn +EF0/6jX63DMDrAFaZ+s+cO2BwcWW9QOxCdIg0e7+BxFwxYoL7D3cgXAoEWIgVTba +YAhdOiJF1bqYigvQh8dOMaBN2Whwv/hCyUuVZAXLZPF0d7ptsqyabd0BKd7DAN7d +eMnHgAnH9+3iNqhKRbU/4BCIX23h/Oi8g24XCB1M4H+0rzS8iQMMPcr1bXRvwRQQ +Q5atcHBR+h2iysDMX+UehO8thuNzRQHL/VuKkbk4ZmnvYAtqK3aSHfCKkaTNO2mP +r1pff+/GzgycRTVqI6i8DB58bqajdxi0TNZ6jil5OF4NHuxhZGEa/pqXY0/EscgV +fiwm9L/ezqCYi1/VlzX0c/zg6oUpjKQ99AFUMh/BgmaAB13hQw5timtONVHe0KZD +H8y3nXvx5wALqV38Y5ofDo0wDRulTCMhyJE/uHHhUF0GDGXHQmqSgb+j3FxlsyUL +4qrXWM4/k5DTN3UK5o9vsIdDFRifCeM25B5fm/Fz5obCKLFYDcgHXQGChj43sv7Z +H+QVdiYsZutiZ0533CX2Ncso6YxGbv6OcysMWE4avVzXUd4edDlF/9TjyWNyJKNV +LrKlgxBrKCz6c+KD62wWf4AcL9ioOi5oTnOKIL1PH2sZZJYLyXeYyJ3td4zX5SmY +UGh2lShLXPGnLiDeLVcJw/nPRmpS4RoR1o3uiSf1sy9pWhMctBA9AcvpqQWQHOEb +Q5tWEhTELXgGjDsE7yOalevlQl81AOQUgT/69Lpphimr2A4ErS4zUsFbRNFul9XK +E5jrAK2HO2ph5SyMGfbL9tea75RKSnbagN0ZySj1WLLi2VuXhjkZ5Jr6dnFebEgq +3JD1jNt0N/q0qJK1b0RylNcd6z1kj/R+15uXi3CexmJNcI+V0MuVBNEdZ2Z3EI8J +O3Nt8eRB1eiEvQZ1fqzBQY5+rZxEUpYqLw+btayqktTSVb0T3pGt3JYt7x7QUqYG +Qiur/DiaMsh/9B46jq0dwYuCqSM2AaGm6dO3IbLwKKA7dtMio6o9c5cq1Yvo86pe +/1d+44SPzYY0tOjRbqRjVJ1nmnkEIohgsHn3Cchu40dcWprTmgxgmiRrWjYf3oE1 +jvZKDf35bRZ7TXV93sbfBlX8Jz+v8lRcRwY0lj06QClj3oC1xdrM2w+eVE+MFLGC +wZmCfwaVYEGvJsyk2ArgSbnGPJdkz6abBuRAWtpX6oFbZJtLbjtuRKNEo5tJFsIr +tGpsLbUg/c7hWN6H9N+IIPnsEpi8xCMntIKYE/niRu89XDhJPG++P9uSi067uviW +/uk9PQjRYsXXQ9Z8imtTMUcuSg6dmBtTINTiXyUFQTCJjL0em5HLnKGZpUCoiqOM +bniLmx+CGicbL68172tS+RfDH1y3tTSPAgmVqRLdzlBTC9HuIuVhXtde/moHqtx4 +eaiUfFLmhE7T3Hfl//DDfmUun/n7612+BRhnb9+MDS5/r8VHX4/jsb/dFyHvUKQu +r01h/qATrwGOmXfD2CcVny8YogGUxq+8ehgSZGl3tybFOS2Vg+Cyjn9zMB7c61Ss +Hn+ekL2uw9547UCih9Kkf5Xb0XAbb4fCE2r7b3HNCYnytDUlPz4oAiBaP//Tv/La +0+wV7Luf1mWnW29gO/xbvtSa+pg8xZS0ylFXhJM774ZZPisIx/xo/RlfFlotPxwa +liu4E4NpBD3rtzpIL1RtJXZ1xAlMoAEqbrvmYe2EZfHxV5wpnwwdYQ1Quu3hzg+m +JKhHbW4KWxeGJFlf1lKRbssKw5o7W5NI4duTbRx5KOsZyOdqrkJbdqJ1ONJ4mRiJ +NnnQKyBFy1I/LqLiVWIT4Pxz/W1KbFkh/mDghYYfNjSOU1oZiI1QhmaBce159MC7 +vdVAdnWsqw13RpVyX7iZ9a1tDr+uiaNVRD0fErqQr2NqKAQm+kKQEc+reCPtOK3y +uDGUV/ArGmCnVeqXmStSqRpC7MPW4PUWeluJqUqSz9DZeFAp4sF1AHx1RjZ/7RhL +Qf5Hs4Q77FooNuvvse3tE+b5rvKzdEpZFXyD+J6mxYlUwKKrJtM3nkV7bz5xfR3I +gze5HMKlxmFq8ZPkjga2tosXArmkSKejhF5vmB3eJnAAtkYeoE1iZH9e21U4cJyh +4Yh0HjtsXL/wrt5a2U0OqQTbhQk+jx8KxxG1D78YsyUqq5LRk3iivtYJDBt3k/D5 +62dL/1JN/8B1KYLHCpXwPzffze5A+femXIwdlzmRH1eO8K4u4xzU8lOCkYtdixbN +eJmAfHu+iC6Vh6WWu5ffiTrXAT/HFi4ZDA9xyXzwqGJMJ81DaUIApgxhYJ6skuCL +bRTrQe22+qDA4HwB6jBg+52aUmSiBoVCbnsMoGZqW9vaaooVcvw0stt77BR87tZx +AsQXe+KMc1KvMD3fBLPaOs8TS0E+Ygm5nBuU7CUbtrCFgse1Q4kkHAy7I3uIz3Hk +iPvpZukNqyW/W5DpLrNri4SyGLjvgpMacXG4optd7gOZCdBO2fE7QOv+fyl1c1UR +M12UXLcCQC65BZRz0Fp4e+qvTBSatkCooNDsVoKYyr75kRtVEE80/Id9dDe55Xg7 +ygbB6yFl7QuODftHSGlQxyStD4i0cQYGmEGUYZDsaMvITvbtGHIW+Zp04+vasAT4 +qk/kl3Zo/lCHxtzqdYj4Pdz2BhLLXlMmUWIPlgmJwzviHmRdARECoAdDV/oe0CPi +Eb0sVu1cyg6TkBaBo6w/nA5b2qnlioHNub8Cc/cb5vd5nY/bRgGrL8IGkDSttsCI +EGlnuyVwnjkCPrhAEvw0RCIUd2MEwjY3nWko9uNoJRNfyreIU2UOLNPgJKfA/nnW +N4ibrEXxtobb/dJIg7bv0vgrlbIAQACH54owMC/wYuSxHf3W6LdMx/FFDKPzPW6M +ZEvHv1qD6FlzW+3jnDBRcJxsZrua+3gYgayeD6TwRpKz0a2VkbTdd57SIIVM9fHy +gWTzKCL4/CaC8QLPFh239DXN6LWWF5VZT+slIWiB2xAz+x1JYNoeR1IcYHezyXKG +ySuks3KPp3LbLzBojX4K0RfLrB6IpOtvXNFezb63lrPg5GpC5FaC3LO9TGZ1isia +Z0Zech5vlta/FA/KjlrVtQ9ZcC5OyOfdufP1PEX4QHt0EahDC1GQ8bk7ZQ4j/qgX +Xfv/QrpbnDh2Gzt04CtPHvbl9Ffjvp3JrW5avKUiJ8ZGXeL1PM/QNcKYKFx2etRt +YR5mgfIe9BhEDN3s3bfBWZJWUnAtM4b8W+nGUKOJ4Ph7KWmRPwL6x42CZsu+yucC +wzkKKAziy9x32lMuCQINM26GYXfn3RNNM5UqM2vDsAN0JB3X2BLjBNURG1qcYhKF +4Sp/cX7qi4mZnsbihn7Fa7lUmgopgv5cMeK4tiE2iBn0Te+eirtNBMw6oBdylATt +Hz7JTf3x8BSif4oFspqnsyj5JBbQnFDCtPDPjsOnJE3PDuyig6ZIxSnpdIu/N8O0 +SZaUbJQntVlwz9hCyH/lAsmspKKS27ushhLhZs2VGWx6VJf3fFWIiQjD94Z/CjiP +dsJ+KrGc8k7qQqrcMazq+Sqp9VunX0JJimqCHhky5meJ7POHbQhk9ZCQEiXqc7PY +LN3rsr18X5MLAQjz0I4mWIjuPx9R7KOgOq9gkl7BPgGUWP+WyVIAzoLiQK0u+U4W +LwVTpHvjd+QwOeAFcUq7Oldj00q514FGpglsF5A3DSYxnIjny0EEvboybEr4bB4o +QXuHznucVYsv2aHACkSVJguHA14YVMWLGzm8WyNVX8rpGI7OCqkrD/d+ltvZzp46 +HoB8J4thL8D7wIt5lt3/7JQ9Us9Gt0+gYdCoxJ1xRhlEeObeaIdijA/vQVpFy8ai +3aUDd/dDKvD3/y2bed+ll1ievVwab9PSU0Nf6nxKazxU+9mPjQyxFD304IzsLb1p +6jCQ2jaoYWGsk3TCq2OCdQ3OtiQaVlg7nqPDCuoqJbwsCFbbsWKtT7qGJLtY9oNM +YBAw5Zmu2rUSFuWgidmQCs02pG17PE9sHEbOz63IlpwrB8FrZdOuORV3ibWHTf+y +OenIsrb0LCjWsKEBZN9qoVdyeszyfimCLbxDmzb3us7o27CWl0bIKXVnf+ghAWTf +SYa1BbTZ+eQBJHKfK6ows6bVyUdk3BnRCSkuUGOovXuOPAHBqM/l2tLYk2b2LmeF +IVtvMlSVN0AZKmcSfhZt/1FjunQ8gBdN2lgx0scnJ9qJJQTSDfEeAfHRlb0Q51k4 +OzsSDOaJE11xjh1QFbn2BKNYI19OypXVhuvE0Ule3YOW09Wl2nKCqUmL0U2uw3GQ +ALuDAEclDBscqx3rFHmA0d/8UdxcOoLd2jiJg+tCnY93L789WqHLIlTXVuVASeax +eRRXlhfb0NmObMnE0xAN83cenzvuOFVS+1+K95GX2+vxG0m0Tkaak/hFTwN8dNqn +E9ksF8GXkS34Ph6Cr1Qb+EKjT6wBmdSNqK197LpqLMi1mSHL9DGFy97d76dk8Kz0 +zhkvSz3Nw7/z7uNPlvWRkJON/NY/Ys+dPMO42zT9hypACVDsOYpejM8QNv6oUhNq +r01THAkTYC5nhVDh47V6ZpnklhTY70X3f/9+97PEre09s+U+n50QGnWhmR8oroNL +uwGu7+Ci2cZ0pSn5lqOD1MiL88eh7/F3jdZEZeA2um/jOcjAOzePE2WGnVcAWPEi +PmPto/Vrs/5nVYsAbZJ5r3BmmOKLqiYu0Jf9+KTACx9zkaA+ag720X0FV8Zi9RPE +0l66wvwWBwNsNzkteZy9PBXRc6dAw/74XGgModLWD8RCVgNiSmX4sJ5+7QXL56Ei +TjQFqBlFRcHE45h96BN1NgkOxKrGRZDj9QRGfmerlTyLO1RN925yZWMVn5qZIA6N +FyA3ppM31V8R2sqpIwzXOyiEUUtgZe6QrRqhm0he7nS5Dwink/IH2vlvizFFP3YS +7qdYhzcAsJTTqIHKuNw3Rh0/w14MywDrtV3uJfdQTiDHl2OMYvWhWGpHkXgpXSvk +VBHDKOD/kYYFn35xMg+CoTUdimVVm9MUr/ZnuPhJkpf2shT1gGF4BTmfEZTx9RIS +mt5GlkycgJJnvCcfkxSHcajhln9h2KWuoXRKMdFs5Hqu8ZjZc4XNCQL1xl10LjFK +xtEnGyeU2RGaJ+peekypHW8lPTDBPH7TPCyOQekoSjUiCuCo6SKCXjaS1Mqqhmlv +HEQJmH3a1FDbQPtDl4StwkH2zx/nzAuVM5SKMTLSk0CBXCRk+hKSQdUEUS7eAvmG +lvmVvFD8t1/J3Qxl/jo/YRl164KZRlFbi1LEVZoTFUx4mguSG+50yAUty5RlEhsN +4yr2YCwDrqfzhrV27uSKKb+P4hyrZ4u1A1F316I/ere79dUvfNaoEAwaZ9M+LXh0 +Z25bQRG7FYiWhkHWMtdM/k9cP2OzDVYIDTV/rZL//tfDWetkBY7HO9IFptShTKc7 +rjR7dSee6/y2fVzTWMufAYPx0+Sa7RrxHNbF9jjkivdG2fKDsXP/cIpmMoeKR4+c +qYZXTH3HxrhBW6aeH3cKZb26BfWup4Re1N/D6YtTzoSwLH1GmHzDTJ4n51+rnfut +ZumTkluFFBLVKGQub0HhC0zPC7PkE5K25JlhQahg51vqU7elVNLbhKMPEZb0Lu+V +RLS2aq1ZJ4J2uS7zTSNj2JvhEzGixjLAwqp7k0vBFWRT5nDgzZNkv92TXBYuTYAz +sotbzcvJ51ezjpDwMZK4RZFM6PEDgXVGiXwnvSchGLVNdzpaFGicIMzPKKon1V/f +oOOOJbvHERSeOTB0nRf+LFupjZeJnJr4lLPObr1kRhX0Wu0AuHV9rnH77fz/KA2Q +ZMTnXNrhXwNqWT8ESQ5pg++R30ORGfz8ud71b3R5KvmanoD5+AGjwOYTlf3Lx1+R +ZOA7IipDTxdwCslQkOF//ytB3c+N0R/5AG0Jyrpjgyglld5NNjHyt7MJm0Y+YzHN +CIJZBaSTIySQGV+lyR4niu9NbCw8g1/WaLRecYQTfYvbUk3QfrWkGSkIH3FdeqUK +7rHUYOiBbYQZ2UzMES14j69bshMXMIj1INM/R62jSahfse25ZQR4cNjYarkkSoIb +CP7IBRJkGmj3OVNcFjW8gJFDdK0N3gAKT6ZX91EjoJ5GrtIwSo5+0gn4rHDYBwtG +1qJgPvtAqJObkHhXmn70HJfUYTU7l+DlAN6h51O+3+z9hNFR4T8lIFtTJw9bF1FM +EkHDxvl103Ab0B8Ce5POP+fL8Uq2QDFFgu/+sbZq2GyH9z8TVqHhZ6X7LjSmBxVu +tTxqThfjQwLl2/mgFnVy7bk0OIdZ64a5wvZGHIypGWp1E2ewNq0Klu60oCm8Bk27 +ZvthY1GvWpp38lKJhLlGSYFfOGePFCEn4gBwm8a0EzHxYp+KpMwHUGMh8m+vsfcR +xgPSael/arkDg3cC0InJZ7WOfVHrI9u2NPmKdvtiFsxtmyO+JgHQw48IODeiWrQD +Lo4PGLL2YRb0hhBBvg/npoQQjPAk+MFFgrbWUUb054qav4SvDVFA8IFPL/tHEU7v +63lQZ+XGLusys2OkxXCX3ryUqZlA2+NjafMMxL1ieEwI9SRswajs5muaz1uxCmun +PiwvUyyUyNlRS89kbh8eFB26w0NK+cyFzICPkXXoGwcjKxACDLin3JAFvBqpuMBv +LmvemfiSz8P8gkHgtWpvP18mZZNucmtOTgnbNzrf4em9fKUbGj3m0c7O3ke+9SJh +5U7wRHSfKoAO/ry7NbHNCq1qUr83mLB/e4/OweE9RtNX7N2fQ2pGUVT6GhnHso+H +JkwFaulJ1uXLOU53oKxFXAd4C+lOUw4DZ87ktCWK4cfBCZ3oIAnQ0JozgcWUOFq1 +rSPn2ic+ay3IA8Yl1jBAcmA4jixRwmPx9RKXM4JcyDLQ2BlPSGjdRHcRnzMbPCST +FsXd9aPHYGFvsAmbHzswUyKSpXsZA2LrH3IxHpTsNbhr7TYO9gyugs1eFUOyvFPA +CmtlR0gwcwPGqIwFIUUNtEw81xlUfJvGEQut7aH4YPRYz4BQpqIL11xEZzWoWLQT +o+z5JiUox+VxY8vjob2zgcIpVA3gXo7UirbLss1Gy140Rt6z1zgkkCRlzxDswrge +a+iZJT2w4nzEdKB4KvdDSiBmM+VcUg46dqScU+sUEs8ohrKdsT1sq4uOuLP3xvzB +0KBiYb8VIA4bIDyf0SqO1jUAxGpXJxF1KBiFukIAAsYud55jPUcjHHwDamoJ+VCa +orXythE5UqRt7Vs9Uq0gak9hiqpYb6llr+R0mWNw1mTyGOeAhlVrOfc63Kh/ZSud +qgSqEc3AmsJ1zUMtZ3dKUj+r5oQCv1zxRh3Us7TbgXXolb8todawVtxDSXXGCRhO +mmnDvyPPLQ/oD1pc+WqlFGYkPhRKx1jPiiuRJT4q7o1chFMKcvylTYc643FHtRUk +uUPwjCATFY7idM2ElDfZ46+ByOjgBFApKeLlGIrxZ28gx1gT171+tInMAui7+XWP +gGrnkllVp0B6/JKI3Z7O7a8YwEbz2z8STNCQY6Ak3oy3ksCELVr3TJQMP3sYf7fp +9W+PTOLThhUbLfJl5US5u0/ZmEBJNGGMKS/WImI6OCAlStF5YNsIq5hIO4BnavIF +EupT56ykC6tYNiAM/+ufC2wzV49/+hyCBx90L1oefFK/TdVJHnjRb0Btvzb95O8q +VCGFuRkdfNRdB7TzHpTiSO8oCqiJcMdfSJaqfTuayhCkNPvl2AZnUoXOnooqYlfF +TGQwWpeqJcdhXzBusmKotXeKZLT701oj5zgXknIRqJByRugZa3nPHC1I/8tAAzzL +vtV/ZJFV5MENGcYbR1n+u82mELEnvT/QhEbKqUV/bqJYcF3aA5jEwe3Fod87V4ct +CFT7+8upj287kVs0vFlJoJp+h+5jIcMk37e1eXYML0DWAgWosdETMqbzCnGyCIoU +mm8aZks4E0c2YaMgJBFdjfExZ7r6Grv76JAbg4RcFL7ftGDM2MPNHNESHOuMIffw +71V7rHMXpIj229Z5UQeHWozb+76MueXpep/f4JHbx/JTZxsCSZEskCJ2x7+k36VI +FZhWHpa5eJlD5v++kVZXsPbghf8PhUl2bAYP12IFb9pctwPgKYSLIHdKz4tq026t +zOqfYsmOsS+mTJVcMvSjy+fSLiB7oVZksSpRqemyV4JEo9TGaYUUxsNN3nNHfA3U +SNraZkY7Xic7ehsQZcxlFhme0+PoGhn7Dz9+2tnKg1Nzg1TvBPSbmnXERGJOQsti +qNXpsWRf5FtpxtdjGJHAWte8IKQibAf+1t3HKGnhQ/FaoR5Vs51QvW1PKUAWamXN +E5Sq43hBZJhQIedK9/XW15l6VzQCUVjCZsBtBaaTFvdsooWIk8Cx3VJcpW28oY7x +cYZH0EKFBScTxBLCRr32QhbVW4mVBbR/atCng5JXG1k8DE5MdjmHCL1UHq9KioKi +8hvLX+QBwrkX5ZSA2525OcmhtrAk51TBsLjK0jcrC13t7DC+jUrVpYrWR4uCrReb +97UTxnuqU5ofvH7AIQ6NS/LNLxAXPBQXMlf0UwpYZfGd9XHVHFf0bNyHt0KaGZqA +TF9AnbHe8D1YX5lSuvhfjlIhhYqXsMGmlhThGC6eIREvsCWapcPOM3uG3E14SbrC +NOtXmmRz6vEeyprSFl1mJBbW10vBenF+xigSUTf+IuodD5jJJtsObsUMSqQsORYX +anvvmyNiyLhk9Z9KWi+OIAplZcy7H2rkO/UzpM7xflSS8gX5aPu8hKK2wIVOoiCg +BJCLD5EhjjuU+MUVFnD9756iEHwBn2E+TzlT1S2fMXYuDSINwvVyM1PfNHANzz+b +5H1PlASpeUgpbVEnVnhtTD8kYo2/lFG7Jv07y1XHnQwXfrssWq2/X4XLn6MWq1b6 +FRMN/Zlt78PdX4wYlDk0HQ/Szvd8wX3aykIa4/uD7jyH133VI8Es5uDCN963AT1+ +FG48RJblPHnsMNzBRWjIuXnRCelFusZ0F/3TjpG3OyjEzU41xJ3hMMi5/0DnbcCS +ZuHLgTNf/rBkhInYdJ7o3oMzQPcsVwCNXi6ocYq/7TWEerkywRJl7LM1tZhqqFvf +9mzbAiZdhvTqXaBADNc9HXekdIl1syUCIR6mfFZQYSL3fiIgKKP9TxwzoqI1J144 +V3h7RrZkF/8ubRtM/vTlkols108gi8ZuMjmHljjSMsHKBVuigLdlbFC7zGUxxmC8 +rXo0oLwqamI5bQy/Qekso49Zf+11FObPAEs1jf63hQ01KOszBMABA48ZA1IomFRG +uyrnazsLkXMevn2MSNZgK2O0Yh/UdZVeRAwTmXNTx4y509yj6U1o9SY9muBNocou +q4TL42WH+j+WFU07ynRnGjdjl0JukXpr6o/Spupjf22ayP50FuoxwKQbouSSEKZr +gCONaf/e1A6wX65IeQYcDpT6sOGT3UzQUWOgkzi7VfH0U9eumLcguK8zYILf6iaF +W7LVv45/IcPbRRfOTIJaaaQiHRovEFwzS/YJsLA7E066Sj3sKuH7fdwEATyZ1PP/ +e3pamIM2/41+NVK9YP1Da5T1UQr0RlEjN8fFxOgA51ukmTmCIwzuMDU6LNTYM5ZT +MzxCBB6h0kI+1OGmbF+xI7ce8APfX6ztW+nmz/o/so7VGRgWDvbgfPi37Kg1ZDDS +OBRj7x3CrbHKucp4As8AWO3xfDZwiIMcfDgbYFoYngZzqtbhL9ZPVkcUIfTqe+Jq +IhLk5jLlDLKdomSXAdh/K0juf1pk8G2IOasjoP6YdJdu9GPYnA1fJxfA9O8E4aMz +aNUIITwz3sYjqPTKngCY+tUhUg0Ej/Q0nLwo6p7HJuoK4ZmiFvbCzwzTSaBG9jB3 +XCZC5dLmvZODxjKEbjZHmbn/lzmYpG50m8DZpyZeQHQ5UPYSDeXw5oNxPa0bzs6a +DIFKiknrrql9ldsVMW8iJqIDLZOG2qZeIxBFcBf5gphekSxsG9Bxb9Mvq8YVjCEk +v38ZO2tthzzP3zMJUTd19cCm6KcZ6zrRh9TtaEkBJ6aU7mpL/FjpRosWh/eZBuGr +5GNHyw2W3k8mkpYnkkH+XHdhtw6q76KNFgio8DLarR+lNCHfgeZouSy5prYoyi8Q +183ef2efKPRUnrUhCzJmiJeTylNFxQ0nzhaBIKH/3tltkm5Weit0Pv1Rw52gC3MT +1ygetTDMgLUJKBiB9m2Wdzt94Uzfzanl4ffs+z3fpCwizklOAEmWVxrMXrRCc1bE +WIjqh/j1XI0p0q7AX0axHayCbfdL3ignK0nZ4Bsm8fwSJhBeVEBDviFrJ5R4fFeO +ldkS7XWgheHlQOlQ/ynWkjFRGQ0r9KDE9whl1x9+zj3H9mulOnstcpso9qzR0mWW +sS8+P26lhTlLzTURDhMbRysYbqWtmE0CPnggzxwmeMDjURM2SfGUvNCd3QhkJ8N1 +R1bbIyAO/Bvtu7EkHEJkecnsh6nikdcHussBhRfi6XH2wcQxsYH+cAsN+/Bs9OdK +LTqdrFhgxOMsOZ/XygKi7vsV/6IQvlYHUgeaNe6nfD9xvFmd7Twc9ogR1gCeo2Nf +yG8z1fBGMxHR4PugoKXtzonkvdJZUgAXje+XVEYDpTRPrrWfyVBmwjMNoFhOodo6 +hHfPJg3Ba4aif6Ct/r8jeJkfuY3i/owdAy2pF6Rh2Ux1ZHmDmKDaU9a7t3EyuyO5 +ralc/TOKjfXumrgHJcSBJjR1k8rUIggyGkwTaKgzlA4giVLyvi+MX3w5JnqWDZSX +uo+zX4fTa1pm5ydvm0k2RxarqyP0joNgTrBWChqu6h4HzUjVhmhpTOQXtJGsDNus +jFrKdcrABUz1RZ871YksNi0U0p/O427WxTxtwM4Pii1O1qaIYNKDnZsIWpRb/DsV +ATK6RkReMSBQL6IVaetHdBQC5Lw2L3tnIKxJDMg1GybigOVqanZIjh3ymKDrlxzf +O9yKPGouWzLLfXIlmlIJNICGfThg8SNIUIY0Oh4a10zQBMoNlrF/2Rdtof0BLULy +H5tOZBxiZfxR4le0gE7PjBpF7MeQJXN/qx3UkYQUAMGdPkWv7PzrKmKc0i9d9ufC +xkYb44GkPqbkwzEY3KMUEyQQK0B7hnNIMKrsyznwGAQ1QuvlwoS/ZCcakSOPf4wz +WJ+d+axk33USpJk1nOnydfkBbd86N2ZUoLhy9Ggpm8DYEZqH/DlEZyOzOGOrWTQm +qapir+zzMp88DYsrAQomcZdFSkT8gcDYEcXjjGgZKAqazz0Y5uwUxCWTeauumG7n +1VedfSgYYdSBmAalCc40kXXN7hrXkprnaxNRu1XDa79FQcX7x8/rn8QP507/R/Oi +zRUjVqimzmLQIqHkUwRBO6O1ddCWaL+y2fP2xmtGjP+bAKaCAERhpRr9j7O6JRFU +oGb6ehTpDd5DtmeCOlSKOdEzLnyvo+vYZ/eyy/UN5A2I5ctzwkIgY7rXdT1OaqO6 +ZzsmMCwnwkOL7EFzjflrSHFYwrgJ7sUjB2fZNAMX5w+ySCa0sGQKcntmzvvZZI5G +tOpcWVUfBvsFqqR4tp8WZAtZLcfR4OB4x79yjSPlkktvLSwQfXEsakmpzCBzM9kC +SDcPWcICaupS5wCJwuGPbKvUOPPClMCs/j/aX2H9BOZ0TbW+AT7KWYrpZSdWiFpl +q4NK7anh5PYjXgpNCdncd+bUNH9T48AZcTVZWFbgc3OvkoCnQWp/+x5GGH8x2vTm +y7QXaRvhYvB7TOgkelZmMzl2wU37Mh8Ab2kk1HOUAoKgiyxeMpj9nM9xaPPkvlEN +XPI4f1MAqYJCeSjwJSLxFe/lb6SM6qdR7n199OUs3PLUm1S/krLWvPGjcEzjo9uH +IfABfOof4brcTse/ew6zTr0zreuawT990K+3GtjJrRy1hK1j7x9Qx9jFz3uhDL8l +BdZSzPggkkgirrP6oODpz/zaB0dnqulB6GxtgudIfNk7QfHRXBQUK3tLT0dlleY9 +3b8/sgaypERFIkM4HF7C0PNmrdcCy4oZXK3Yl/znhjVy1L4mb7/s9zZ+P4a6nuKU +qNmQnWbgVIGNFYuVuOP6ghC0YoFxHxQgZttqLE0mEHkmCRtdFrsXferDYefLd0Tx +w0l1gxv36Xy5sPC+lVIQwOQn4UkfzHNtItDEgby9ZvLpgQ+Rau4986/1t3pA3e05 +P6OveeIHEY/lGNqEFGUOpRC3+VgDjScL4B7aWIFrfEQO0dQO/l5U+RaGPgKUzozL +MQ4XDT3AIjRmEFh9mT7Yl69PDSlNRzawlghinGZ0Rjd0rNIsJgdSIgFkaGn3ePfW +1QNEAJOS8DxZw/gJQXa8ZQc3TnwdvgdbwRiFnH8NDbjDFdfLvR7/fX+JBp1SfJJe +s25wZNiimhbwjHjjnQFH1rDZHwtW0P76lXK651xP/ZqiOue7q6DBJpnBgZDpg442 +uqGauw2Cfg+JaAtya+kJOnzsh1UD5wDanz2+0ojiPZENOKRakAZe3IDM1ZV97tWc +JzHWhqbItzibWzH9PLAPjarC3w/T3qM3+BtkeM+JfKKVKX8cv32jW1ojs0G0jscv +uppec5BDicrdjxKB5+TBu0tAOi0nHkdxIC68HG53EZ3wijjUYREaTCtI2tuIXrrX +SMeIr5asTf2jQWYyABT9ji/FITjygdvJrjcO17c6j/ZnlXZ7BbUiJcTWakx4R6tM +sgSIMdROT6uGN7joLpe+IGAD74ENTNZSBvTkQ6VKfSixzUr0Re8TjUuG3zUbCE3N +WqlTrvgERh/wD0Eg0IxKytARRmqAqDAO6SDt2I+sCQqJRcylNbtDh+L8bbKeaRI3 +nIx10HlEkb75w1KDQJ20HQpPugo45JUxdMxV3gVVuSD6XSDQJpuwRPdxgZ9t3T/i +Shej0SRnDKVb20cOQxEdztRNBAU5tb/E41eFlv9ISxSqOH4apo7PYI9P9tA+A3Ok +g+mEk4JNn6mZq4veoBhkosPwzRi71T730apS3yckKIzlztN/xF+Szsfg91L3Dhl9 +DLMuq+iF+euDaV2z3UKaCfqXMCwxLY/IjJXwdE50iChT3ErXCkzMY+b/sl3Sbbyg +WNaRqp1vpQBZIlVZQkniRd0PHi0b8+kWDhyvTylT8S7QTBI5fhFs7g1p95b+3+TY +/LJGqC9f8jUMX33+Aw0Dzr1pr/BHmb6BuE6GghD3P4S5e3ka89+UJ3b1HvbMziF2 +FCdiDMIYQmW1o4fgXEEDTQDdd7hLv83EjIpLJTpXFheGIQbN5pl1CY7J0+fYxqmC +pQObvl/cASZ6bgMcxs4Sw6WJlzKGht29Tj00Q3i3E5B93YXb4r21Wfgo3p95XBhQ +ytKprJE1khX6eP8xRKO7uuEFleSrEB02MlVgC2QLd+8CSXsdCT/JtIyxDAvQl5Rk +BUpkHKiIsT+wdfK5+NXR1ouMkmlT28s7sFIR0luUkNlG8BTUCf9quJK9kbGzcwO/ +fDNlt41Z6EkCsHKZ1jNvtxBxfh8zZ/sLp1CSSmXW8VlHFjZ2N5IKprcmlVVkOSAt +TbRBlp4kFDxwgnkwOdOgfBzZd0K7VULlAi4Dbid1PriyKao4aXAPXjdEcotBocUo +RB+0sIM+CJL9FYN23NRwDgd/ocgK9f/IqGkvP6+FtFUcIwXbC/Pp0bMEnMLjDZSM +M0Qy+ygOi8ltU12BCUxXiOlsfCNBt/jQEdG5Xkx4/71KVyttvyQu96mK013JMKMG +zwRWFIAs6Ck16uRD/2/9gOKLtDCGEzKP9oDSYhsgFuOSTN5z89K5sOx7azN87Zgx +Cxnrux9xOYTQRfTWl1CtLENLyKSPThgZ5nTFpGm9zwQtLB4gBXeRmI5kdr5HmcIo +bbbyQs0ubYEkSmDIYWLH8KZMVQmVHmbx/iMGGtSUC+diU1e9qvJpmmfIFiHpYjk4 +nPTNLQOAGwJ8KctGjGRg6BjFsgD3YEBgQIlDpHUih5SHRvc8w5r2gAPNGYHdm4SV +EEBO3J8Wnl7kS4TzfDvjQEjGdM3nW7mUy/kVvXBceBAZnkIm/qowdsdQAc83yzYV +vz8KKnsDoDahbAoZQfHiKgpBPivVxLLwhN2lZsAiitXlYWfpp7wPDAjtROlPxOVZ +yh6NwCG7BdvK33GpGUNNmuHmGpTmYtugW7X74RGxikhPEy3FnOjNNKx62sXvZojf +0UyLl6QD8/V1BJ2S+ztkqF6csBuTApyUKqB0WTbqYWAdki33QuT+K2XZjvD9Z5j+ +9hjUOrswPVShZIE7YW3amoP9huqPBkNcWGIKbCrds8k2+stIeJIpNQus3+zaWcfC +tVKsntoBNTJzOWSiYvFYWffiCpl3o+N1nDo7PdGMjx90YMQyzDLRDMks4/4Pm0cM +Dk/dUvnUX90vAHRsKWgXkgEkZbWTa7sWKsO8j8xBlGpdugbc5i7E5wtdjvwfc+54 +EkuOdZeXbFDOZvVVf/ekPLWsWf1b6Tc99cB5fmzUKl0k+ofrlpdKysGlOUaKWUTs +/nBJJky2u9ZjLZ3jFF2TAPL0RSDT4oD4D6O5MPk6h8dHQwXuZIEvCPyyUeeKFmeh +52IG2LqaxLp5GdQk3Kw/a0IR2yQf4o7M1simM+6T+xx7Lv5wSXmd8S+RXSNFjVZ5 +YybC+DK9szk83T5OjDy4u7EMCIB+mCncrg+qr1EfbR6FSEg2lI+a9Mb4ohk949Cw +kOxRtGa0PIHPT1MXSaCzNnDQ/eiXe8MB4anvoBVAp/6du8Gx5Z1blfm65NFQIgWT +oibTv1CAtJqYWwYYCaCA1VFV9GvRXvxTlTaKktZ3t7qvz8u1XAp+eItu3hi7o7x9 +Wdslcwr9XkHxnhtEIeU96gjqgAZPhOQ4+7s/GJSuLCLzv2HBi4TRqp7i7/Lmk2pn +fNb2MCrRm5lq1albM/JGnlBBeVVql1jLnEUUkWZrNnyfg3NWh4UJvbgwZHrAFyP9 +1B+6tmPnVz/bnv9wJ1VAXpbmGGeQal9qoBUUjFjnK8UzN1wcYfq42NZ2cPzYQpOn +Utv5O+WXdO4Y7wjztkTypmG1J7+NYCRqJYb/WNGvRAmE2tSNYRDYWqaVbV4txpVZ +71ZIoelImE+unpmLq6Zzee7GiLjLzVqPrUUwxTut8KK6IyEGfzMnm0haAp0t3JFR +X+XzghQY1MQ6tCFWlRWlfL0dG0bCysi5STP3W+oUXganlkBF/MUJ7+J4jxENyDGU +fgWvyztgcJwI8MQWiPHjOCbUjjCSsmFvi7O/rJ8cA4BZaWjRAJ7aohJqy/nh/rCE +e3HMHkfTYJLGCGeevEzxAw5S38I6hYabKT7ubrFZ6c+j6x/NQ1xU09BGV2X7Az/k +fRprUzWzlk9z2dcEpUGW/xxrEpAzHTI5DDR40AdFy6ygXl06PJUdRoFUqR9/cm8Q +OewSowx7Sw4xpdVVkVzhC1oYZhNoLYvfpWFjAgsTSh5AAC2hB0IroylRIzGKiPv2 +6wZpv7g3hqAXukk9bryYVYVj0gC1IobTNKRGDoipC9PB5bEf/Fo2SyW7LxDogm5L +r8O1hyolQjvTl2/2t5eY1Nt9uTaC5GnuHvFyCKoCU0RhNhNm5M9nvYRXEjVJOv8u +0XTYJDs6uYooUxc8cj95MGudvw+zu7dhpbFbvLO1hLFtF3jxxWoEGQspsKyYRewQ +FoaI+Wcj6Ulow2t2zSZsHJl1FuedvPmoFdJnPKWr8gsLQQhy+0fCyWLAB1tnE7+A +O0GPgsspBQ6zvCqMPRBqJfY3CG7CvyfXpWYS4AJKqaTvY9VOR6WLfk2ALRTFbUZK +Bw/edM3M6LJk5HNZjUpNI8SLWxyZErALMSXu7CoINBDcs4yVD4Iv6U21FBlkXS8V +NaNMcrSKnIXhpSXXNGlvn8RWBLZi00L44ZiDEqBFwTIWCf9k3A0pMGJpy7CEdvMi +AisNiuJUdAnxzbMgfGXrjGRkaMhWJKVOrpdJh/L8kBOd+nmemgf5FQ7R384QUz9r +XtQ3UrRSpGB0jzTLg+4YTPAgh0INAIHUiqInmrSwGVQXRiYso9N/mklWoLIcGex/ +cdlm0LrP44F1FnsBSlusnLG8HKPZK6QjguJgs8kkeaYRkZ3xwiIRx6FkMC9kfjBX +owbhhQ58moHwsS857v1VjxzSe30UT3dCaYJZpOI6NFVnqN7SoCogmzK3SMr4Q+D2 +ENV5rgeBxYMDGkDmU8P4qUHH3KMKSX8q/D1QwSybz8Pvv+CT/au4QAWIL6tJz/A8 +bif/lO6dzbd7/sX1jM5xlAyUr7FaoOJ9reaHqKPWb4YJjVXeVBgsWQwoVpn5lvQh +/A18zwKAzNO+/Bp2vdTHs+w09zYPnyJai8uXfLSrmjiOC1Pd50uvU+nhINrpYvl/ +gVGdAfZdnKAXO1niazdbm7Cs/g5u3PmJIyzk4WM1BS7cZjEmefwOSpvI7m/sH5H2 +DDpJGBcEXcAGRvdIqlEhDPU/1GdD0n6Cnpx1kN/HJcTZP+PEK8ADQhb5BeN9fqyA +BOXDDbipevZU3YjlxbREpGPs4BYluXmuH+eWQm/aWnrGBoRIUtB2FBnhnyuOja8x +OOd6vM0kZkVI34rU3FXszpSWY9HxjjZrds3lMhz/sgV6rwFpQkyrvaPIRpJZMB5p +MIzF1fnw1UhM7h5ytvyEyAK3gJhOuotH4YxPMLcIsIli9JEI/EJegbXcbrpIQ8Qs ++3dtiU7dU3XoVjyuRf0HTb0TLuNWs6I+XoCcDptqHwtp2fLhHn5CTB1d0Qlww10Q +N/EAJPV2t9jGNCVHYvXsQEDIePyU/XugapVyh2Nna3Y2VsyeZ5xGecYBGfoxHDt9 +odES8iFnKuovUFtgdvjoemDL1elfw+WG9MHhDedNSZVvPi7g63bEc00u0nyOYEAX +0V/rj/xz+mKzbbd3sEyVymJYN2mcoXE0CB68/uaC/aPatqJGOMa9u8xVNOzfUPCB +SJw9RT+5x0G5vnHxaK09vYELSMKEVvFTxx2Nq3fhSSwa+tUi6okCaLiby+qImrhb +f7ZudgyoDslNLWlEeO9d5ydRag8ioOE2YLGQQR2e00jxnFGUeFC5Xyf7fsUuXOqT +QSmNXp/zEOs2YPtvE+kNCzptW9yx4/LMmKSlJeeS/cLD/w2LRwWa6e9deDQfOJvc +/Jx4itkakEUWWY5wa/CPspdYmH2eN6o6PNispKWi9yrk+mMPCtbjRprvtpWNKXWz +kadq80wua4EjYLsQXtlAcpoPx3tRq96aLLLR+vaPP9e6YTXJuHc/3NQqUQOs+adS +IRMc6V4Z91NL9KPA/7V5bymgkWEhDI4OH5xjuaXeSE0W3HF+QhAqn3j7QZ/LJj0n +awGS9+6MTaGY/3S8T8Pi1SGDqw3++20pv6vxU+w8QsD6YIGUDTvIZnMC3GmbxkZX +wqwT8xPwchU7szEohaqStJSe6Ipvp5a9ifhCtgCT8IGVXVmY83Do8/jazXXRlQe8 +6x0Y4ccqUd2FGO5Ry4kWJgWcAI/9t4biy7moVfIpH4wFuOJjrRYPFme7Vi1GgPoC +kPVwmlRIzwEjBNCwj64kCDu3DgimH1uzkeRCa8oH2NxgAVikk8cVAFQ03qEI4gy5 +vSLHowNdC8XWi1EJ/eDnCcYhTmLmdMCF7WzOtn0Sg3vhHzGZngMS7gwox7ozC3xM +jdboCnh0QRuGKc4y1L3rVjVrX+OHyAhY0+87Y5zOeiZ638kW6ceBUkd6r9l+mgGQ +tnKToCEO9jfsatMnTkj73gRqEYd0Lk8g2fpTAKdny4ZWH2wBdPrYsV88YdY/OYUX +jK4TsUktNJip11FsKtqxH/e37G3KUmJc5IdxKx2X2EzFlTbl76tKVMDbqBm2pyAt +V3GIYQrFkz6A9gKduq32/VB26SStZw9RBGR/80SJuiUmQ0ZXazy3s7dKtMg48PVU +/2+txHK/wISaivIhfdBzecv311hI4WNzrFmfDDXUqi660VKtkV5rxq5ma3x1FHwW +D0NiJMrxZ+Vmg+JjIhZF33Gi7GDeb/0YuqJ7gR8kUrx2rbVFHfCU2KlkJ442S50t +K201Ld2MLwowlrn/1MpcAFlvXgrJEANBwZNNzjyIeOggDWQUFJ4GVIyEIZ2NnZs1 +VfghyDsCgLgSs1V6RO0Lu3WAbwJpHDd9uhlxb1OHto2lcfUEG58sMSqarNT95lzJ +LNJ+FvP8lCbbYVhNl0G1sjcDljgF5au1uKevK/ce7XVux5XbIXByZq58plNNPcLo +9NRbPBdfUqRMXqIlVWyWwpfQ1aiFjKkGfWTyY+S8Lo2xEJevCpA/lwJUjnECzpPY +DvwnW4ze02+Q6cARX36Ese86vl6XRfa0intlKPUIKDFOcVIbT7CT30Et1Ry4927K +MxgVIEWhIO6cXFgrODrlenrFwzhwiPS61+0ujvYRceZLmWKQ/U07upM+FcGr1Ti8 +KEasVdF51j5FnGrqfVzhZ1+f7eC9XATYl6jkZCfGPl+0AcKSbw825BgCEG9al55D +WOe02CMoaGYJAc0evZFXAmo3AOF4frBuZMSegl1kJn/Iq5b3BQsformbaPmttnBV +cmOisGb9z/+tAhhVD7RZQPaKeQjVvdUY7Qk41d3yo25CKAHYa7c9jxfvgNR/M6QK +VWHDpZ1/9RlAjSiitGiOp+vAufROMP+PvuLIDMS5mclPTHVuJG5Q/vnuDIbToeKF +ee7eJl1ovasDN7LukexQ12Y0TMWma/ZYAKpqh8PRT32ZlrZZot+o3jBI1O87tR6g +4jKkUszPXdDlJoEy1+8h6x8qBx6qagLdXS7caoHOlpPeWShfnkyYmnIk+DwWdHwL +TvTLB/fsxRYWRjQrtPGUkFHHRUMAvMDz86IKl+1iCR9SuQRB/lBLucuqQbzel+2e +CgomeqTaiE7MKg7DqELxTSma3bBjFFBV0OFRylUu2zLyftLkR+O5hd2fUmj0BLcz +HxMXW2Xvgns+u7SMIFfEx3KWDVjLmrHT+ryH47ad0udLRxAWz8Uh2jcPWYSjz01N +Gre9XdIvPk36K3uC2BO7WW5T8GFy/O+b0ElRKfsuKfQRXjbudS4Wpalc5E4/ec2o +RCawiLU+JJoR2XBiKKPt+onwU6Toyj/AgFDAujPC8iSnWkzxJpbJ8b0tXdsos3p2 +0yxlYfCN0IJu3lIbf4OZzToSii9zwAKnHydxi8eZG9BmyZXu2q5wg9GqsmUf+bzc +lLBIFKIixoebFK1qlgb3fRBHmrEkbfD2WQikB8HJCipFTh4+Pb20SQ5rWNz8Fgao +wXZSEQQRN05RrhGiZqydPVZKH/KJ7ZZhQsmWLFzrdmhZ4g5VQBMFj2aChWrUVutg +hrIA24+emHh/HZU6SbUJMs+vhjCAt2IH7jADZqMOnuIiG9cDZVpUrrlrzxZ4SGNh +wy9tsmnH0sqx0lyOXFIT39J5Namn/wZrR16O0HwbWbD1pljz1LQ8aStJmiC+nDGO +gq6NuXNnj8kPREtbRoHS7nakRXQ9PBieM4kxzjBhUcEKHhjsiANXzQbRQ2UWnbor +4bxO/H78Bos8Yx/J1pcieerprRF6xgUwpeQOZJrxJ+iDD34vZ/aJiw/qk2IAX+qm +fyIm+rBRlH5KvX1lzZcYuGTPHPJwAFjufSrjU6w1an9Fhl2iTSgbCmiUPsyGwC6G ++0shOi1rRq44iCzItE1YW0PTTDdmJnOBJ0a40leMV8wTDf0hCwSvw7R+2sPWJFYJ +ktngDcWPQfOUAYQPsFnUEjwfZEmgOZa4jOwxX/1JiQaC1mPeZVjM6SBeWRCIwXc4 +RUc0dvnkDOrJanUiRTWJfEBVG2C5ZzZv/BRoqskT1IINDnKEJhd9TNL3HNs+/wc0 +pjNmkvj2t8prZO/za92hTo4KNT1XBxLFSpE3LWublBoMbovbvMOOOTBqwu8YOCiB +UZmhMTXW3T2pIp1dd0eCdqq7wMWAVxXfu3yICOyx7htk7SLKLV52pGLAglr5LtlO +ZOOioqFtOjRNFJOW9WYI84irzEIVbNbuV1IfsjNV8AC8ujEMIA1qunPhon2kvkBL +KSQyNWDzfu4Qv0CB3rJ326rIunVuCOsX2XMrhBQsemktlu8ZMBbxp0FPaVRSG7Uk +aARJlMDyQOCw6Q6NFJ5oJHj4jdLSrMtS9dm5O2DTwlSuQnjHv3S0bwaJ5P1sI9ru ++xjTyAwh1vKMfgn+egyjO/KCH7XZa4sJaOzb2wUqnhP7vJt+Y92zrBTYrJoQBr3H +bdK60ZcpHmak52ph9HxqZdwFF6+Xg2885LUjZmOI0k2mNkXAyAqgN/fwYM8K90Ya +gLwjEV2EJ+xLhDXK56XXuWAY4Tgu2Yp00609yB2uuQufcPdImHdqVCsjyu7CtCXy +hZOAjlAOVh35PLl3mvYsEC/7s0r8mQjl1l2Dafqg1/UoP1DmkwwI+p9jwzs91qW0 +6bYLGwl6kmofAC2lVaoybv2wkEzXIxEfASYkAW7heklSEQ7SeZJA2kFYYPGxYEzC +t1PcrEjgwdPDsRQjaLjklYGaMf7mL6eKn9ioxaAsJ7Y0NgxgOk6xpOTylrG8+7BN +Yyu4yf7h8KRhygses0rp1IuKZyNcup1xpxUFy4Bh/heByIyKPValWJw2VmgIgq89 +/Jc+5zttAQNsUAVqC4KC8zi43kIjoD+hK2TdmNBDKoPCpFp5G8zQ9lzTB2V6PntX +W/Yg87mUB3FnQIyCOvI9piwZWupKYPv0EQMUyVM7wv4tfZpu8R0uxYFurGPo7qkG +TYRbqdxoTiDmMb8i4+PQV0yyb6vBuRu/zJfDjswTmjYCSVwxV4SjombR3O+3Kal9 +cI4yRFub7w8J+95V2h/DGHWIZM9N2NFaU5O2D4Zop29KBuew95mQPwy9omjHWStu +y5D361xwawiUl43LZA01kFKRJTQmAgNrzOMzfO3CvulBjX76uYU6Q5PQvsbpBC8q +I/VjaOPm0atwPZL/bITq+1flc3dEd/T7pT8zHZMv3AJKsTTEPoBX3OAawZUm9rsn +kcKqgAs8BVnb9m9kVKUyPPlEHmpusO+Zpqa15CsJFi+P/ND6++R9s+KXOllZETaw +s+ds6anDHGWH1H66I3KkD+63KQgLHU1L9SFnvW/+6E4Y1xKZwux+sP1a5wSdjWnn +mBL9u2896oeRz4h7aL0/dW0F99GpejCp7bY8ctwNOV11jRh9Q6q9aXB2VHJkd6z9 +TlR33v7Del2YQf9LeKbMYtvhffsmkMlVbr01sM8uSy6DteS7jjsY8l4FOgG54UHU +VwO0lstdWYP9SFq7yDfs3XxwKB/blIVPzEwZOe9Brm8TfofQRkAxSfZwXGzEobXw +BSv+T2RemZuDDrfwBJ6+f2/MXp3dBTpNMqhLSUQR/d1lClhZocZ96MvLk3enLbpt +/5eQZiDdu8jDAiOIwCc7J0X5O+evzv/RNhZyy9QDvl0zAUoM+MlWJ+S7T8nCFIeX +06mMOxu0ZTR+hskTSsmsio29woplPepWExknfjQ/QI3A2i8AA4T6H1haNbrwgIv+ +2CTq1zMzx5xVFjIo9uUg/x4yp9u5K3Ymoq7sOk6FoEpKUl+pQb0fnQkQmmWxG/aB +Lq0KrJmT+GiToXVUF6QYhen7DSFC25fvAw2AhUOPxAbBrFWG/EiNbcZvdAQ52R3N +UzIixXo8+NLWCvZshT9GZKMLBQ80ydBpl308VrGvxkjUAkANVZ7BlukUgfc5w2LI +PB7wHFs+ArXIm/IDl8BQCF0RWsnM2+BXaPkRH2MdvVxWFMOuvEP3k99xUkZbUjYA +5COjRkRBcutYIaNTstxwEWI0AIchNw9SjcZn7EyWMt6nLpJXY6Z5tCkDbLbtHxFx +Zt8BE/0kKtoyC/aFHNja5pQU0gI/qZPH22mXnLDS6yQGB8HRLusOaXlLqUGdXfsp +f//wsacLFt60xjdPoRJMipkLOiGHGyIrO249/JbHaZEVDP+c0G6b57ml6oE8EQaa +anuqC489sffb0C+RJXFxsxxV53vz5qT6Jw4eVab/6dTcoVY5Rj106XTOsGz4Ba7S +gYH+G0E2+xwVP/I5jkvcelVcgYsnky0vWl+/k4FWVfttINvYA+numuMDkxFaoy1N ++2ce39JNwxIyggHjkfVT3c8HixWwf3oWmlN44EUcwhsEjhetZcj9QU3MzEtn+F+2 +3juNUuWC2Ipr5w+2jRAxt2lwrMv1yXHpQS6fpGkf3wt440olmn+xmfP/dexcTdsz +9jF/JGGAj7RVU2FWw94lPQCIHRGDTZz4szaIsbltFjEVupMTEpO+/duxBUeeB0w/ +fvNDMUsWAQcyepMi3PRry139vKDGtD0p3hoZ+ue2J8X4yTxf/8UQmmRub66ozNs0 +A53yQIAofdBwmQZiNyCYddRQqsoEluZ3g3aWWhpZw6HvPlIQ9x118aeOt4lXyzhE +ppAJ+OM2PBQw4wHRlI8CMgPdxR1QzTFrCZciQ1TKkbn4YPdNsl1OY86uzgQ84p9U +/nhzRTJ1G28hQHCb+FyQ6Tj1+EFOMr9D0TRUG/OF0c/P+xfgagv6ExGGqFA+HqU0 +4NnRCDu8T8TIk66OWz5T1d4r0atQajWw3x3YHg+p/hMFATNf5PAOdPUirAq9CYZB +Rqk1akY0hARVWGmKyJZDeETla0/NHwiqgKcn1VzCaxAiPv3zTj9EFoIxDTXvEMiW +xEyuFW6wg60v9HdolSQEGxqLqhryMny405EiWfURde8Ll4a0zZcMWRhLnOYO2U70 +YRVnlsyIjTNeEwbxBDHkTNDNOfBg35GXTtE5h/C0yifoSDCRDxQz0NGid8ofIBdl +Yro0lRD2OwROJBm5Jfl6wcOjC1yHn4m3Mywb3A3tAxeYEFKmItUQ0eVA2noC++Mu +Yh2hs8FTsTRluNlqAcYOnLRTA/w74UtdKsFGgymnzw9QjUi+xLhExjWsMU2ME2di +JV113tI/kkCdDdF7h0fTxqcg0mWFWVdejR3L1KucjGoeMeyKdrlKunVngBOn92Wp +TVEPug+F0Sg6cAQfrLSPcPINpl1xHV6VzIb3Jz6E9cdWNrQv/flwWb27ShjVeEB9 +ZE6mqRPDPCU/X9Eq9SSlaY41aEiBHn+twZ2GIo1287KlOJIVmFir6vhJAQrv2Alz +yYBd7YVaACfMlqMO/ULMLYWGE6R5Wqv28T+Gr1xtQzMz1fEYXzepu4gYEcjbz51p +n8j/F1DVZ5n71MK/oiOyy7PfGu8v5aHVR/4Ww6m2O/3QsIpoYdzYXP878B3O28Dm +/5SdYF2j1mRRMrpHOIK0YuslUhbju59cke4ZvVSl/ln+To8HEPouJV41H4uSAzs9 +ub675NlCd46Y+iAXuzNdbezmqxhkZeBKC4LeM6zPYmc8v77mbveK4cj2OFDYR+Y5 +sE2s+uVzdaJpTfDHQUlgRzZeI/sQrCFwbBZDzimOi6SRwHjb0chpkFqmqZcn3LPZ +g+YY+RTHFy4IVxTGfaHPjRV/IZ8Vnf29WDjkdLJBeayILMJ+gQxQ+th0/5in/RDb +Dee9tZbS3bczXElwKt5rqlECkQTnt8OVk5/BFTO6Ajhl7cb7Qs7UL8XNtoVnbpe6 +wVMiUI675KYqpOmfC29u9sAjTIoTjNOLdvDGti5kIslbZEGCYPnCck4uzZ1DMqV5 +gDxJrGJgpLh9prhjT4z2CAIs79hAQgLNGmg4olXO4WtUb2gfok1rzlNyqkjYAx9A +gPfgLNwsCA4XBikIakkJ6a7Q3Oeg7UAHFUywcKOLhZUPE61LXKX3YsWyBCIYl8TM +gDdRRvNavGIz8irxXzXvFHfAJ85ZI2U+sBM+pSKW0gD84/F6RIfAQBPQxViyeyDI +D3cgqadW6FgvTxxLTJ+v1U3i/Rc9MnUMFd1RAx88lSJeJ2C4jGjiGy1o5pIkDMYg +/CWxfMGYqdT0+o4rT+Mqsxgbx3I+8rNsKzCkstUaEeufQ6n1VWYVD78ZpDMSlf31 +qRpooC1Q1AIzqLxqZDs5EWDgD9kUQcWDUX1DU1w2WR/Q0YDGZHcOsNKe0xjKzBkK +x5n0KdNpTqki3uT4D8PPS991KwBO4fzSTmUvkXjh7Wb3d2pzvXMYLEnkkyiQ3c6e +j654gY8WZjVWrC/14j3IUT/I15fJnbFf/ZswcqWItPAJqGTRdkZnRljqePfEV7R1 +zz7N8W39LFvFGgvgLk9KVKD4eZfcNi+HA8x8p0GLU0g2e4ezQBMxww8W9F8aRhLk +P4kUrAUXxsYfLhuZ17K8WDBWA9e12Wx206U/Dn64CraeQ6/MGyhe+sRjQvLedSHo +iVSG6wb0eJ0tz/1SJGXtADb9lbeouY/NZxNWRCrG8629G0nOprQC/zaETO7EMWMu +uJnhuJt2FM/y2fTD1elA1GPk4D82jaUlBE2kn/XAvi4p+KCUYkPMT6KYp6Akgm62 +b+VBkdi5duyBO9oLjHQRFoOh4cTG1mgjY/T06OHryZHrUbcHRtr7PnagiyNQ9ND9 +ax5sLf0ImL5s+/h+a8HDtqGg/8aTfWrgDeZqzdGcqKubxgFkgPtgAxYpWQ7JQk17 +FlhrMqmXqeEd5z+spibKq1tRUFkgoeRl2WeOYdKAwnnGeNK9rTZGAex5cRX7Ebfj +LHYbIt2sc1M59t8LlRF1sJrSh2fr6A5phwdgBDHl5IiG3BnFCl9ZAxbglRlJliVI +dXhXiX6KWcrD97140Y6X/v/rnMUWZSu4EhoY/bKBP+mULdfZh7R1j25qQ1n/x1EX +1QRLOsafrTlJJsjSHfUg26UXVjkY0Pu1x9JdvTYDao4je5Fu1fPtHQ3uSc3d8VER +z7qjZXlsWtiO/jxq4am1+cFRFL/MZ4NLZ4YMv7mQuPzG4fuGUhQO100+ldJr/3up +Cm7x8MC4eZ3qN+dvsofaAvdbRElkmJBEv3iLHl6JDX46+tRPrQmMK0EX/1ef/1D5 +KjTpmMmRcPQmIhpLyHazi5thukgBQ7CrbC+CtyEXe6WxfHC4tmY3mduq6fuv+ffD +kCRavr7Gg1zKGPtQTYsCA/I4b2Td+JFT9/oBcxjEnPEE4BWuaLhTKxrD26qburA6 +Qk6JZuH5ge3PagTJLvHcesOkD/2XtpdWcf1BNO/Qv0JwRCE1L5W8Hy/Qz9bH16Ug +GEVNW3HyG3ByvKGvbuyKi005gQBeilXTPQxzh5NY485mRLqfff9J5xBO5ZbnuUfw +t73lkDJUaO6oJKl1Qg4iYHGQ2MTVlWny39oH0QEOiw5w1BSX8kHldlp7JS1wqDUT +ohRz1Oe/9SbMkWHP46fOyxr4FR1RHoZlSycxVG1O3R5RpfhdF2YgTc3/cR351A9E +TqVR5jknUFx1AidGwcy1p22SyarcJSq/9xCufeaNqsrlePdQfqjJOl22ZxhtqaDE +WG2IG8jmfFpOeSGvmmQrA0VIeWYNfExSCpI7MAghLfzpHJMRoam2+ImlbIuzDhP2 +7H7k0+1Osk9WeFBX/5qlv21H5s9DTJnkzHB5lZw45FIl6nc2A9Z39iIfbBwWQyei +Sh62yRzhID7/XGhe7V+t/FPGOff+0ie1MN5O8adKS4zoLns0tfuO5hgu3AkLb8Nn +vdehU5Ee9vhvPLwKV6zy0OgTT++hNiisc1DNBFzExULg0mYHCXPMxAxifJuM1W/U +dDGXN0Y1TSwg2FxglcwHKa0X25a4WGBJUWxP7BKbRx50gX++gm8JtcvsUJnxzY2A +X6ARSxINPN1b/+dup7julS/g6a6yvP40GjaH14DOGEi0yPoaotpH3KiGG0npaHy7 +Gq1jPjqd+Nk8MGrqOMqKnXJWLVVG75p586CW0APBmpd/Npl7HU3t8qWtEJKz5kRe +3pcWOrTPFBkJTGlA6f6iiiF/+4HL1I49HWbbYb3/bnjdsj97/jXxhcPwJyrkDw2F +HgOZqaA5iXj6kfhd5VBnXKMffXJavf6RtqYz4VmTVtBEQJ8q6OPyFH7HnUfLWfAh +n/AHjSUTnBZKSUQMxXRXMModPyBbiqaBQTvHSjlmy7WyrLYDheErEEAKJMI+21f3 +C5KEl+tv2UXcuTRd9sbOCsY45XqvQn3XzG862bNhGUqnvYH9HihYO95roU//HyS9 +Xe7rnQL8BfO4kS6viSG56ARvKdziiCdJ4NCDawAyfvlC0NNI8xaVPx7zFv9N317+ +U8iPzpF7RcGk7TISazKyeSsHoSNzSuAP7huOBbej7h7jROANWkKePjlnVF99LoBp +19lKyQSXOPIfYOkctETXgsZkkcDoJyXVR/W73huruZ8jB6xXiogUM3xx4it+Uhi2 +3B1q4V8pSsLZNrURW9vUSEKugdsX8ysjPCat9RLBu+lfJpUWDidU6pMCmUDQmwcn +lvngrSuzzlleYz0wqoNZD490DZIqobQCR3Eqeit+fXLB62DDZDAoAgen+YqRE/T2 +zZj8aZbC5fwawRdye9sEjId/LGQ4lsY0BHi0aneHrS+XZtndih9X1cWG32WhjK++ +lA0ipoPzpzdlHCDdTT1YDsf6YnFYVlyaFNpWl5tbpSrQsb92XeiR3ZSiSUq+LjsL +jGPz9es936EAnCVUf3FNX9RjT2nA6Fitk2syhdpZ0SfqOLr1sSKIRFWDqE4M3SKz +8huuyIx5jLvv3WUU3VNlSYRt5FQoZsJUc+EwtOR9b3DxRiE4rL/NFvAo+KPSZoXs +PQFyBZ6shmoBXaW78rtofPGu6NnNwarD5nr2BcjVulicUE4qumHJ0Batvr37A6jx +Tl+C0b9NbLinplzfT7GboJbh+0AkKhctKkF2pKvKTZSGJl/N4G3H9ngRgy9Kalik +dXdlKJOZg5vfcdSUmzPFm0uBTbuspN0i4L9zLEPV9hSH6ydhhuihyzsFbNCVaBNT +4JYhah3g+hIi8EACMxeXLsKbJl/sXPmMXCpIN4j+aBF6KAjCmXgjtY0Y/HDIBSN+ +17ddyYcIx4IjaW3DSqXTOHVQ7Xh6KoXRPAutEKo7VhGQn8pqi09jfUKs6fjF4O1Y +uRm7qQXXEmLC/iutAsVLS1rfUcP9x2QLAZcw65KYG9BVybNqKUMYNK8t0lB03r43 +y7bTeXPl7rxnTV29L67HvcToFsKkrC2zBn8dVi7n8tr8Fd9udY3JdgA+yrCItZC0 +o8T1KCgqpw9+lEbZFB3U/wfcYKDzeyjUVhY9JKvBi/sm3bnDaCr5kLXts09FSl9v +Q6v9vjuuNhYvYAg4XdbbR4oKxf0CVBRI1kg8xELj556XPSJXidAtRpdZi071Sc3L +oyqNSYT3UvaulZ5aWGiGSX+19SauI1FO+p51csIuNjsfAbcMSWSKKWtjCJXFgriI +eyyswGAIJ4b4cGN8ryF0xVrpiojH7+TTFts3aiwXPbFTAy5eCW8lW70WylHUDKM2 +Kx3CZH+VUVK3SdTGdrWZNBXTOvltfsOlWI4RQBLJLFg84+NYAjZdxCSLD7ER5uXJ +8bx+xyDZiWrvNTHOtTv/NeJ6TgZ+8DcWJRnL67oAXWM+x7ja+bbKTTKsfy3lW1Ks +sWnB0j7DBdOhVX4t43v2aQtqhXLienwFTQ8gF8VGqYxXzIotje5iv8tNXy/+beYG +5W7fYRo8N+xncOP4kmxoXIJsu8OHvzgJIJCh024cEUy7u3kixR+YZwNaMHZf1j58 +D/nmgcVvTsM2p568PNOFcruI8z8WBEW/w4jYd3itLmbgiawA3npS0Q5vQWlUj/C4 +cbLk4QNZlZ7GyaO4GrdgGIBo9YCEiLlslAAO4Vui0BKY3sUSrxitiXy3YEWCvKrZ +l7bqya1O7ttk4X7RlqPt2uNqHoskS2T4w7WoCG0Q+EbR8SCTFV5LtuR9Osn4gYMm +mp45R9F8DGV4al3efE6vb4Sjv8A5f+TZuLOu3l4JULt2tl7fpfZtvPtSUJ2JPkOL +O7urE/p9/sxBjPH75czAomRBTjKQxYpB2RagjUzrEf4zcKrxrGV9xMKyLYTou5ck +g2J5gllbcWKGlcm4tb2K9o3l1uRwF18Z+1S6poiaLg1Eq6Dg/t6A7aooJ61TcsJe +vSDHTXnMYwr8zCtQMJEjcslaKHX2PYOXTa830MZXvoj7PZirhz048dWZMQhZud0V ++ZxgfEtRi2KFWq0p6v+K1t0taSHiWsyFv+dZO/m6RtBdAAVDzq3uRVdfUnD7QNVI +6jfQxWh5ghUWRZ1mR8XWlgIucPSCEKpXAXDFdgeyb/LSk6j8Vorn4o+Q53ZegJhb +WGBU/2kSRTEvbdz6jk/4gAYgsrUnBZtA4Ngx20RMIDniQzhJUJ/uZa5x6+2cRD92 +3ZIHCTq9tF1v9Mnsn4ClgC9IqmvcwXraK1RxSwiuA7YqAgsr7n+KjJBslVau1FOO +sIAgkyaT7wEj/Y7b0+pfnr83gi1tiHXk18u1GqOJVbv6J0vyRldDD4p+GNShjFcr +f6JvC6ZG0sUQcmX1R+91pcuS8s9Ucc0sVffCxzosn3P0EM3QUoZEXVDUPnaXbyU+ +W3wAcJsQWq2CPMoPDz1MyJYhwXysFkaPLW9sZSjc4zaYOF+ISgKqkkysbeZ6FhLv +SyoWPK/NzG4zDPmMZMGvy/CUgEwwE3D4GHVftjRl1gR4fzjlkPCX+LpKGMWJ4nML +ToXge/ti5quO76nt9z2GBudjg+GzdasFPionjjioUi1EPHosv/VEp+lHSzsQgA3K +zKd9YvJ/t92xmZjDpLosN0DUD9ZUXh2kVeq3VyuPRR9+9+iy2v4hPG3Ds7Y6yDwW +iXgy6/XH5OYIP1nb135D8YzUz8ZhBs2SiYSrkPFmhn6KHWd1R4cM2KJMSeE66Jh5 +820unNYtQvMwh3TLLBlubAfIE2j1yMZSbn/bqQ4y3Hf3aaQrxz1Nhk/vGl7nguRQ +moyJclm6ebqddl2nxJpIyrtjJhQiP1B8rzmYEmv4OrVSCO1mbKSPYeY9q5okbvgV +nA23BKvoeEaLJgLDNRGmL9PqfBEb5g5JWKRDvAZQP8IInhrMgLl8H8at7RKvWNHC +G441cHgR/VMRcBOuOg5rmE1lw5GMzhrubPAyV9M2dw2TdE3CB1Y/DZ+q2IuJ9TCI +rSJ2Axwy5abqCG4PYiDJY2E/StJpF0PW308zpmU2dkKvakBJudS/JHydARnEqJW3 +8S90t9vCGIIIpbsK+26M3bOyU7cV21WBNYFXSFqzlpunHbbEabCD4ThgnJ1bfwz2 +gmnaHYtdanseY+sMJLcQwx4Tbp7DevAoyNuF0tLZKCT+F0duMM87yvl0T079Ms4Q +5NB58dUZG9Ch1TmYVaxN5hTuH5p99OoRRzKB68CSesJv+/NhMdgCxzU0RFXvHIKF +HENntTVWXGUPaTlxKS4Y699eexhhdxFCGjUOUF/eaYdBDWDXXVJGgembiIhDJmy9 +rx+3WdevG1hY5pJp/SebhBkVKhF8296XuNCgGDzsHF+lUzDNpjdXMD0dGKJbOITW +HTjyFpykaK5SvAFIf6eIb8N1Krou2Uql2E2l9wxWUrDTDJv5TETsWbbEdz40vi8a +SlarVv3QqujIIIi6fRSS0EltO8OJPHrzZKDaBuVDAre4qhx0SFZlDVTi0jGMNQq0 +AeXQV/hOuZFc7IvXUDU+4rB5uvTn4vjra3EhiYj6Gy4Bs7Xo1c0aJfomhm4/iYyc +PrmgrFhObBlQu3Z55WLQyrLWrwneWuV0vFp7G9Gj1W+V61DI/qlEUmOU3D17EsEP +OcIs7qrSIT1jSEEXW0x0f/TOzzvYSvBnOQfY7DCkloexaa5qhvVWas9usfG80NI0 +s09XPQHDQZd2l3n9Eega/8M/yfUJ5Kk0jGyhuGwx0B7euFcX9ArvvinjSE6VD+TE +ZWNEWxYC4g2zmxxGKyFZCLlPdXsNYeRTglZPEi0JpBpa01kVGenPhf0hLbPJ6YRB +IjWQXxd6/WRQvjGYYwAJm+secCysvKS1F4IRkxnueTc/x9uOuVk9C6dBdhoJpsrq +99r1VAqy4Y4TUp6rIHoiBCb+vTuzEw4Sz3wwNs981u4yQfr/3dCaud3Tq8HxoREk +ykWf3Xbb31ctgEDuACudx74J5miVbShs+jZIMtqKUjcfbHcqBNCihtuZRrM2CYJQ +91VhmRRfEQ5lI/MAyKUrlWEubSN5gbi5BstqmY7FCsHjB0/i5UJVLbsf3oX+8TdW +bOfLc8rry+HW1tR8qiVOptlPioV57v3hrtHlkfCrV4yeJ5DFxKwJ3wIDCArfx41a +BEv45vU5EerYm7fk7+pdfDXxobHdDqDezhp2v340omq2VfIwouciYaNn0j9cPDIo +6Zs0TnovfPhsXPMIgm9UBG6VNfQYthZ91BT/S1voxFTUYv9SIpeBg6SfoAOSaFxh +v4IQoUZdboUpGFQgXVke00US3vHNcDwbj0OY8M7avOXH6hklbJLD+Y5OuOXnAQE1 +KAgqvswQnsOT9XcKpSPXZoXdDPNCK+1VQd9AIstxzHY0XlLi3Ut179gCkOkSDbmj +Wu7RhPWh2BpqtA6e9ISkzO4sD30mdp1tvjlnbmnavnDt+CCDJozliBCpY+UsA+bj +SKmnnWiP0FeGTk9sjVteNHnqE+Sl6qghshKSa3wjwVqtL3viGVG5Hfc4XulP33Y8 +x4MXelEjxLDN9pI8j158R2cjh7NKn35lZHJ0sj3IL+2Ff29sdETJVV/XdqMtw2+A +mV8FN4eTk6xSp85APixCu/xAu3HCa6AVD67L/JWuioyHg9jE/z4ea/wrUtHnrYhN +IriEtbbcJ2SPv7DVcQV3rPjoka+NO9O+/2dGaXqYB6EK2J7kU7XwT9ynA63t1LTG +sVN+K/OhIOt+EeP0VTt4dhniVa69c8c7a6MUO6pMUq0dKUMxglxjAACjVqscBo/b +voQwaL+oTme7zyxoZuibi5UTkuh7XzufwiZNJIEjK5tXH772+1M/q7XEaqVPyB+f +9hjLWBv64gduNS19exCd2N/NkQ0ymElFUk+2b/PMI49aC1NFbMA5AnpQayquG/gy +P9njYa7+g0RhOKxQ2BboFAd7nAoklF5Q7B9tg4Di/iKOi1kF2YLbydzWa+pJhIHi +EHAVWrYIvjTIRGIXvv2nybm0nEGRmoZoGCL34tCbodUYzZ/sUpgztJapcaS041vn +leHW/5wb4D7VrzQZ2WisJ93rYnJ9mJM7Fuk/Ax+7CJ6RvnFGUROda7qQb0faJ4od +49i/sADpwaeaPbnLdPp2WV4Cw0G0t4n0pfGx6hob33aYxIv2d9olxRZu6TbqsED3 +VjLhBs6Km1br/0PjaCzfPkALTDCF4W0rQSS+Xq1xlG5PUV0TVNDib1BZ/w6NY91f +vK3gMXQCqTnKqGNVoosi9VNTsf9KGaMq42hgcWa1yPunQk6FnT16dBZXfnT6pEW2 +MPw5DLoP4/nUTSSWjdYPCwiF5TO1B1B93e4+QnLo5aM0N1Eon1XAWipijLhV2XAR +kTH1qIqeMWLtQplhIAB0DZM7soQFIp+qreY/NIqr2JuaUtrpmQ+vlVW/TZ7CZdre +CDAorM2QRFZdgBtWOmUnA1wAJ2JoiLhx49jpsmihUsCoh5mJM1IjUDc5wbQfpRQr +rKwZwqFBX5b2D8ta9COj6KQz0alaeUwgMupFLByo7Fff0iuy1q0GhwWyVEwHkk6g +Tjce4ma+zIX7XNqJHzr/RweG1WlWtTFtRUnHNS7BZjmfdU7m3jEeRKPaovvinClm +NqHUbTdaFQS4C/oK0/e/mvOH0eVdsWOuu9nHOGalwIRHj63HJH3WN+jEAwAcjfmL +C9z61zBvYHIOpDtTRN6GJHicS6tCqjzZ19BEhIu0YC+6k7vFu+owMnKRcDDJwbPt +5C50oWsDjwnqteDqDWOeT/hxeiszmFfRTdi9lbM21GM0F6C+fCRcjZqRKQ/3k4BE +/A9aEu1XvNvDH92D5furm8S06HoRPoB0Usy4cQaTZ72L9ZAl2lQ+PEha1oEVfR8K +2jHC61CbFofSHbE5crivksLTOa16bN7AJhdf0BdD12nQiAi/CIzqJp8oJJMEb6Z5 +E5GfNwSdACeHwCgIQFYMX3tctWUL++hHO/D6mvnYFNuaYz0Tjwfa7kaeMe6OX/nr +0t1kkD+1xDHQuymcrg6JiyQOuAukIV7riI9IFYYHSfYsCCl6MX5GNOTnn5iIZ8XZ +YH61l1caFimLLihLZ12Oov7/Fiejk9OsYOynhzOH4QMiCuXa94fLI8kdu4gJwqGL +GkwcIXU6NQurOyovAOKLryeaLSoNBoMRBPo8Df2x4pZ0NOJriyBCnSiOBAcIc3av +tP/0l59x/VIrHyYrGfkMJECoXg9rjAYKOjb8y8l+bIeJlyQvgWFNixy4Ofwb6qiy +iE/XDGoUTdGSPerK2lGus6mH5Q8n8zSeuji0wfJe0JF/FVIXNudNCi2gLWReH0cN +7KXT5Hui5TyZ7g/QkyG+XidXTaVejGSl3aqHGEg3L4sEqEgO/+SYCDxy4p5PP4cw +f8AMsfZj+iaAi0hngYRCbUlOeUJpNUVdOY2pq3nvgy5CVJY4rFQ5+3FvaqLzgVMH +HOdLI2iT+7QuGwlvMwne+Lf6jtoJkyemVGDecLGCixdl5JyMiclTmfswz5U8lPoZ +av4KdukziWv25Nv/JAfraJfm4caVw3LzrYc0CD928iaselsrZhwzpJ60j3rIJGfx +rBoFkHNVMdB0dmFSEFKj/fHo5be4zZbq64+m8A9NQ8eHawfBu5P9pj0cbi4W7/nD +pF92sPe4WG9Bpifq5JX3ojRwwtMEwI5bZylZ71raSuKTMG5adhU/3g/TIg2pSGMC +K98XpY2WRSuhWkWZlUALT6ngUupswErt/lLbA9ysfCBNiD04VP0NpZBnUS0+t7Aa +7yV9yrhR1rdAMUsQACu7yA/Jhgzhd3kTpSslX2KBWIsbvzTW8xBYecH1A/yRUNcb +Ocqnmp6kJc987g5QbTfJLxWA4wB7QiQ/yjiS9bIQFjpXbnn6R7o7mW4mESR+qWJf +5pzQ5SvNDboOEfzj2/DDzQ8aD/wDBEOV6IUBlyeEOIvpVA/q0UbH/VROzm4LyL/K +I2BAFkge1nOffnvctQlVUMcH5io8UC2kMKNArivhKOp6hlzjSFF3vzIADtmdSI0N +10Hy3i2k/SnnM7LLa7xxt9Nq8B7dv+cWLXA4H7MmBIxMnM8/XRURw1VCavdCXaaz +c1N5T/qYUep9nHUqomo60gCX7rdaIHX/ign/jbJK+mJhhXSUly7O5rzL4zRiqUEj +3EJBMgA11fT0w7sxsW1Rb1pfoTvp8OVvmShGUCRQXKgHGenp0cANqCMNHJHtqdxY +toFGIpPoGL74geyU6nSZr8o6Xyc8AcuGqN6b674VxViwZTtSZP6hCE2y9H3vDEl1 +YIRPScdNs0LtENyKKp6okmdZukwI0d151iOtlv28/YSXhUZxgpzovppJCRNP+F6y +yCjZTZWc3pWNfTLdLl3JONVniu79hOspHE8dwHlvIBvEU4YVilKw+Ldum/8lkZzg +tuX8ZjTGFuJiM3PpToN9hA9/rDohhu/69X/IwcCxPEFLlFRw0vDwpZy/MiEY1Jwj +KQYvkk8MUTfth7Jy2onlZkP4xOkb5NBlrhuXZTKMYhApyIMuf8xjVTASZvh4XHBg +CQRjEX6zXUcSNFoSaYOcZSyzdpAxt/WkFUiVrkOm0I/GoPFHXQjEz8PGHGtbm0/8 +GCn5IeFGEbiS/Qjdgs0DKekq84oeIRpMc550eNpf97YY/iCTnbbJle5HM3KuStZZ +OwDwKCkgZTRvCcBZMWiWf0bAiHMoTiPMKe5x2KoWSou/SrajMDVX3XV5tXzYx6H0 +mQU4DdiN1JnW8GIZu1LeWLWzAP6Fm4TKCoNccOM0Czlvc4vdut/m8xkpcVzxfyAD +qnyT+KPPrLiyQUtGiQREeL+hWFRuzW1FIPde8KXr0tfecIR1Qc2bYBG4kSivA/49 +ptRHShNrFSpqwbJNgn4VrecHX0E4OgwWA2IxrjO7OHSDoX7TGyL/ksxLygDeDtH4 +7fShC4edooKqRloL5w6Z9dkqHZXcF/oMlhLE9SVXVXZdpp1NQns+2fg+WclFnnMJ +31yz/V7FafSYi5pG8UDrxWye8p7Fj6+XtCRMls8a1bocq20wKB/xaNjtkcxBli5N +FjHQoUkifJ1Qlkn24pn1Kl595yNHgnspNYXDiaVLaReN3K8sLvRILcbOaZtwCSAk +BZbVEMcFR51Cqe9kWuk7Y2bFvlEDYLOwATO6pt3Df2re1aOxA3llW411nvzHhiza +jLM2X271r1a2V99fjRsefpt6xOU52na5IHSijdhaUuxzCt/gu4NA3wpdqHEHpSrE +S1I5YCS7QFcAvHJjW4dWV+xMtWwCZSpxDI/X5sA3vEpJSB81D8j8W950o/cial/E +vHwCp0EYGbaOMlL/8UwHfsQ02hzMNr8l5MHDdHXiNCrzSiIEWogTu1fIzBD8vcMf +NLhMBs5I1YqwQw7twL7PUrPG7UsWZ///kL45+93A+R/U7i4dYzHLLTMAguN491yJ +gX6Hyt/aJ15W6O5KDdgxl1fvxmcEnXddOS8d2t4KurP9ineXCPCmM35sSInwx2Xx +uBhysUvYqR5tfgNf9r9E7t4spGzkIWoNg9/jTXqeoGfPw4J6J2dKpxTDKqq+faV6 +3cIgNOqNaql2acMOxhACdYlYAR7Rpcw09iqxdmEWYugp2FkF3Hav9E1YUfaWVKHj +ICA3bdSvSVPllIKW/Iw7yURAV4Ph4T8OaXoOqCOwxFvOuaOBRai222cdYB8AU0uf +71v3fzrvp/AAW7pCQrI/rgSyzoA2Na2ZrwEjefPRxBg4NSkphdIrCHjbFaV990ua +BJ8nurI7VAwIN3YbKt2p3Rx4rAGJhy8+hhkC4k0NJ/UREa6ka+YvrpKfiK5zQKzL +C4oZWky6TAOO8WMGYmfBXGEktF7wmdR8O6+m0jg50TUv2OZobUUarrAMO345TXJr +FN7r7QHBcn2vfQYuFlOUEDFwo0XZdVd8y6nlkaE6N8o6T1u1CWYifJatDF6LeWyo +f2r5/k4HTeWjwGmrWI5Z/Vc5QszzrsJ0U6VAIE55GwQ1vo+4cvD7QUwTM/0gG1a5 +Mj23tXQLHuVLQd0rsrlnltncQrljXZ0Yl0jLElG1RR5EDuQwoAgwOfQeXSteYUZH +MQNSpD8wwebV+b7p1/sdu1sei9kxognSSNyp2RV3uh9qb67j8rYcQ8LBM7ir9xDi +M74PG/8ekODMmt76Xrf0YkgFMvFSd/BjG2nyaERB/cCpa4rnR5kgHc++nn9xmwx3 +2tg/WF5abVClFUE4LIiPEhSFcdHgIWfIu9Yb73w4u2OEXoNf6s5F1vuJcK/9rBoL +YFJWL417Anl3ipD3P3+ibZPopQrYUlLWg/rVZGVAUXW3g5rhKgZMf34HI35nQdLR +xIU4dkD9r/laYzvBOJwbx9gEpmCbCXfdNORpV2glMj0DF3lP6gEazkT9eZ6aX93E +dX0sAGy5E8pLEmyEGuTYEDAKsBxQZrIdKG4YEEQwZkJ0E0AYEwHNYU6qbis1uV9n +jHA9OZ5hGJsJqzE5sN/jSZfCag4qY87ZgkKm8d/DYxHT8yZyU/K2k3R3Nubs7Ipn +G3Zsg3+dKaC0cdtTnjMIYbt1dQ89upM2oOwRbAIpohD8jGWgacurwDdVNJ46EdrR +ZeRPgsQ+HCU6JnPgycBDEZ9npRvHX5zDQ45i2HCKlXTwljh3Oef4OdzOYv95PZed +LScslWUTomBu+y2g5RhWl6E55YGcryEHfCKpKgt4z9Bn7MbhRozi4HelayuO/ADS +sBWwRD3RoKoiHrakBlABDvjQ9jVKzIGh55T53srUDbf3HTSXsBQJ+mX6TJwl+nIJ +ZgTPqkxRrJo5Pk+VkQ7tbzh20YKblGY89++hYqvFvGWyw9mMS9R+gtIw6gw8DB5C +KNH/+k8JJYF+v7rBLpGZGrUGQ0KqH6lNYeVRs36s+GHpj/TYRLiG7tU1KB3oYDOi +pT0gnxwL4ETdYsAJgXkTpGfJzMoekCp0R7b9z+gbi5X0ag4OdTHuT5+xapULJEAV +8f+R8saE693Y6f6I+uIrZ6c+ftQQL79LAB6t8gqoc89xY4WHNupJbTeg7FGyjQUQ +GJhcq+tSHP6x5ZojW11fZWXSFT6hD9j1XSA9lY6C36T6+HANG8xz0o+Bt51GPfnZ +5sa9GwmZ0w0NN1h61t8JNBScyYFjdbVafM5WyxTxbJlC/j02v3Rybx6meMDnY4SB +AleRN81Wnv+zmwRitENMi4WMOwNqOTdOvrVjxu86ZT0j7bx3gb0elXvBKbAb5OmB +RnePKpNHI4tfgjRcgFzLbCI46Jrmf/48MXyrrp0Jhyf/V/dgzCqssR3GjnPjpVQe +RR0cEkGUhifl1bIGh67httM/mPvYlJOY+4OyVO1M+fJNMLzkHj+tNGt8PbdBSWhm +nC5WYY3sTf4tnCyXrwMZ79OYtES46PDn54W56Vu/1db9n3i/jBUN72r/rQMKBhET +Ej8QEwqWMtINy5L6B+PtGicKA82XncvXik2T3uV1gmZTm8zIKG3NY3V77chc7bZS +xSkMUHZGBQmobT996ReZlUX9m6NyY0I7hJ7Ps4dwT3whevPceKtEvvaq7LMvrkEB +mhBA1gBF0hvvmg1wuameWeTYsRZCCcv12aJnFmKmiumoH777SyF291Tj3huapIwk +V2Jscx4tr+X8VPI9KQZsr1g/nOf7DqZren6Jvp5R/fk0m0JM1/5C1vLVjmtum+kF +q+m+KCSH7k9bjj540vJQPOvn10yljGRmi/N01BqA5aAp7V0Ae11TtvALuCUbvkGh +W9Wwf10bPuNP/R3B/5NMBlYD2IZhQBNRobhR33mShET/TpwhJ4SMWoZ4/DpWbmRh +ZUXHlDI6SwnNoQP7EHDzfloNbp9Cvkasj2VrhC1jrrcAKwcieAQocqeh292GzzkT +pCJBThPQSSJ6hLbl//57aFWRnPd3Dn1L13Dpems+L/qvo+CEm8bZe94W1Jge+4Ji ++/JVPj62MU0t/sLETjxqGey8DFeTyzegT4C5yS0gJPtIyQIwwFIjw2261D8ASTwh +JEv08o81CEK4GRul5fAmCQjWaDJcHxWPb9pYsf//AqjgRagC1CJ+DaY/6FfbWdbN +AYrGjZ1t9LIOsJsmZFhpKNi2kOJ5g4Zetqog5iL8zf70ouaWzvzsEFSZ6mjzKtuK +RRwHns7gNbG79FMwci0Vlu6ZG30I1UUG7miN+b6MaxRKbofjWAgML5JEzX9vH2v4 +rG8R0fDy/D0zLuc5lo0wu+/Vvc7/kaEG7FZtF5IWg+a2Pc6naTBopdqdzDDzOu8p +/RoHSXmSzZ5n2F/gYgRjZ8dtT6ky4loYRkFAmJoO0bfgmoax4mBtXKLAJ3eoKQH5 +r+7CzdzhZrtxWdXIPa4PJ8SSv3Lf9icheXRg7BY1LrREAmvvhChKef8qS1dyAJQt +v28V+hJZklLeqeYJKVlEMSS4CNo+mq4SuRd5EJ6mPCVQ0slBjqEZ9RpwhA+6ytcv +PSLUqcgKh95Bb7D4AV8OMbYbBRfHXEDkarFctC96YTGkprfU0w60jnDVUPyAQ+O3 +XDyr2Z5s4IVIuUP2BmEydAD+ZuQ5uojkWwwDckt0neTie62Xu+t4Yq4eF6mGHmYD +hbq1ZJmYNffwUpghgu6AgphDFwGLO0rmSIXf7YtJS+yZVlBm6D3vwOrAuRiQYfCc +N1DM1aNsv8tCJG4kuTDAWdZvNjac4sgCCrr4drle5J4BFW1j/6IKSPI1T53nWZmP +0/Jva793Z0RuHWsPdkoD7MHI007j0ZBD2bbT4811NB6uQ/SmFQ2LQh5IcZl8dLOB +Nb217Tbf6ZlILt4pr/z3nRBvDrXNm6gDNRV2EBZ6L6P+YdXR8ls+Knsxb2XQrASI +vwRsyVwZWiSjWs9NKI3tB1baR+JDdsdaDoOJ0klgcjUyN2Zq9A3MW648mj5OffUU +6116S31j6CeOke34sq9NFStQLsFC7JAPOPS09FzJvOH07Rk4kv+BghmpD3SOnxmb +O1MwBBaQ33cMouj9FmkmTKiCsFc9jDrpEPISXiL/sdEJuS8pT17mPWonRjSydCbF +UmSYGdrSSbLMQ1QSyrdrYB/2oj+EqI771FqmvIhVQUpLZ1EmBpJPyvdQEbgfHGhA +8lIfGKEEXv9A4PacPaMxaTZV6WWORJuvwcJ8a4+zBnxisaqUlTVgoGx8PGPbxCLh +hwxiZpnpk61Sy1rv9txNQB1lJAcCXvgFDyWQtM8ChMuBTAgrl2j281I00pGQ/JP+ +QCtCDTv4z9EsguEguOPD211LxAp/VMBEQvWKKkGrHvs9+FgWV9ciE2tJ+Mv2iCgr +UmjWJ8xroHJw0APD0IL9zurgL+nUpQuSgTI21y0CjFGniV3ZQ34qqnvvz2sZCqTs +58YI0ZLDylGJltEEuCzQSbqPKcgmbCwk4IqyFI6jT+2MU7FbjpA3sPXpMAvYIEtK +uHgvCa1mgW2phry1neZ3MvdoJf7iDroNnayv0zfkNb3L8022CrwFGXyCxn9kkSUU +0FuAmSGN989pWp4w7TdlMWZoHVoCR7iO+QOP+EXakGobyAKlCM4u7BnDdMzqfc9D +M5QNW95jKgT70IFq1NWVHR6J4OELZHfGrsUyLcf8E1V8BV6up4n219H/13ai/EnZ +VJgnF0r15AeZ1omnQ0EzAiCY22BDR+mOfH0Lsd1JlKGwaRVRf6SR05QNR0xn61wV +En8c3R77petiVixR100k9GV27SMWbO7rc94/Wt0d/W2VQw4j0r19zDNAuV2YwEM8 +NaizvGGW4meJfxWwP83vXzsmAaiwevAVltglZoHVSCCuAn6J4B/LgQ20uFC2brPP +8RWCh8ukZdM+lGHXznVOq94Pz2dAy8KPc1GfDV0uUI+W4pW8hvzrno/JXEY0mFlo +89kW4LLSfBNnhuYDS7WcrZ//eSecIttxIHrP+V03lRkTyYjZHAX/Cte1uZ0Zpi3J +ONl3h2xTqR1N59+XLqK3npxbPVHHvCaz+h2kJnYuQEPf04mdjBqJfPgxZYerLa0z +qZ+wJ5bJrOVElUsoosYsnX35Ce4I/tw0AMIfJv3NO3+dDWI1KW6hwrHR985uk1nU +dwtt7R01dpdhjdTLOa3MA0e3dBTVbunZLvqVl5kLiuoDfE9q7wAWuaaKvFoVPx7z +Q0VREHwzFJnDwDmQ5g3D6W/LGdg/qF6i6aNvGQYfnYOVjpgLOf2R0kYp88spJ84Q +3NKPSai+DDq56HqVc6b9/D8NhR1/oBkqj9bf7c41niKvWkE+dfY7fmrxnSaHUUQc +j0h3C4wx0DoeeAd2+YbRod0khJp7QFilq/JPsNXOAQe4DTXc9xoLVqe7WaR17Sai +n1dcRgCO+Iyv/WejewHxYJBYlMkvT/KIbM47wGYi44o2YJMJOQrJbijK5/mWbqAV +QzRTj/BWpIMEWBRMFY/d8X3uDV/7ODLUNZhOemSosvMwo/LiUKrMTjJ/5QhVmDiA +s+acjwVwzvTcnH/qRTDwtDdhfZEtR4c2ZLKK7pwEDCDAiGC7cVbuUjgkhLc+6qaX +KugYm5jHlewkFPA2C4BKr44dqaAFdFfy3qBd5RlZSvdlPw/jSpd1bA53m4HfcEAj +S7y9d4ScW5PN7KcOxqt1FzUQzViYbgpbS0ieG3tSV0agIVoS6j7mYocnWji8HVf5 +9ElB7IYxbCeCN8WZVvYmRblFaVGpnpwe5bwlw9MuUd/45+rMK1uVBrWAR/PIfdj0 +qgSXon5/5GRIueyE5RqbOLPJUfmT7Gs43yZMjV03+JC/EmgJXoupnUPCdPZHypco +R1kDkRaIAHqgxK5pfAIQb8rvbbfMa0TcwNIH2S0y7dWGZshxYDI60fMumiEiddf+ +he+flQVEs6yKfUnSKelNUBPnb697IuqmUu1gf8zleicIfd5yM+lBQX5NQgIcXD6F +zy5uK3ePtOzkZ7SrnNl/qXVdu4Ky+Pwh0e8T14HNLnJt7xhnafWel+Z3WjqNHAPE +08M7offJf1jgtVz9btuyZ0WgZD+7vQFYUpyFSmK77DywVEu+XQ3GJcz8xKIDQjVR +bn5NS912/ddzEOWmlPh2Eopsc6yBbFhc+H3ev1wEJetBcEOdc5Hyq/B6YY8BtQp8 +axD6jirP2jkYyn8lOfya269HLl6CrQbgGnhlaxpN8nSXFzW38+ePc4G7rcs0bTMt +hfSKZ6mXZaFVPw3r6SjLPS7qAqf7n9C4AxN3EXLoEfSFqcfc5itToIlaro4v5z99 +ksRrINTONmKz3+8Rd0T/k1QK455JkG2IffaSkmCtoBxg1q6D+6f5KeFfKF0ttZSd +9dGv7l5QdiuwKf6TtjqoAZOUSS+OfPu8ctfV7bseZHpqi5RI6ol0rEbbaYvqUPY+ +XjA44RTqm6Qi8ArsHWEH0s3kZkI7TI0QNs1ELVmoK42OIoR5TJpsQQdJpqqaMgcN +zyJWv0LTKDmI1W7/xuADH+lGyPQpuefrl9T5rSz1Oh2mj4+gkF6YjS/n8uIwVljV +Z1vz4TSFL1aUtZEeRCIKMmhrF8jjY2EUU0p3ZWXXwjNg+w/UU3bmsHvfMzbIZsIB +9qNVfh7S1W1bwX+hM1GUt7K626D/x0szxnNwsEpyzhRiL8zDW6zg+uQ4pamB4spe +5p8wgxZzCYAXPUoMaBr5CHDVYNxvp0hfPkEVzBFb8oqWnN3FLNdmPLZ+uOgja9f4 +Rlo/mhEvdEJCGMzcB4DnFfExhDmNDEStLueeTPUTaHLoAnwWUVg5W+5bXIOWQnKP +1OkNspnFZG0w/7F2JtjMH6t6sJ4FwFBbO1wza4ZScM6k62jTjTM5c+tRjhZxVB8D +wcBO4/oS/yRJFjAqciEhSm3edKdC4lEksHTWyHf79McdRKsB6yyqMYUHE99prT91 +Epspbz4AQjJctWiJWazcdkmstjhdQNtKGV8r4HRik+MDBoD1NwFvFQwxgQTkxRE4 +T0QURNZnL6oIafIjxB0llOW5vwg31KgW3dRZHlOdIeydUy834Ngp3DiWI/ntcpx3 +NhLazpwLOq+hjxhednYRJRIeds4VMPjd853q44CX5cJvg2Cc15bX9tqdUm1qW63B +2ePcZyBpaefMPfbrONTQgqXH59v60FhzeaGib2kIeNba8QE4MaTSCq4AEEaI+cg/ +Ygs5H6scYvZh+O3UM04+YvqYV6f+mXRL3ZJ4jWFjJIdbVR+s4Rc7gl1VkoTFObkX +b143wfeZ7zDvClProWzVb3IESNh4BmIvVJ0kNtDSSO39XSskSlV10DIzdWXQW0dJ +Vl56rZPHtXcgE3Gr9QUa74N6VCp/5mok1PrGU1UZ7Xuq4vUmRP4oFmESpFJA3vVC +/A4DlzyBcXWo58fR3XmTc17/RFTPOulXTzPolbwhDCBPirEnNIkLHI8R5aiHKMGm +Pw7MF4ygMbY8+3wUqpaa23Y3rAjODFDMoOPsFCR5qu59qK+91xtYUxFtiOgnOJ5x +Q0GQaUrzR0V7G2XzyJXJu4WLRQ9aTNHJHrhbOU+x7pFP6cNtrm1k9Zbk16dpmuHA +z75lHaHwssMp2RRW+YIb0DCiaGzFf5mXOOJ1OTjvrx5z/I8g+hbZH0Em68kg6k2h +sjStKWDqG1jIDNCKjWkd7Ssqbo/JelOLRTRMvBl+d+zw4GysP1S1lAwTadACWXdo +ri09C/cvJKczCF/enVdcJMmsZTJpcow1SevjgP8BHOtfb4ZcUvYNfFBvR4WN1ni6 +7351zs3ZB0r7U33OYip99xosUV4NcgDBmS/0I9Ol4a9yYNLeiDFp4lkF6Mp5ozb5 +hCakBndei/caCzyn/pcGSGcyQzorAH1/Fvl9DqQDEeWYf4EjYPPYDPawv6SO8Nx/ +XEUetoC7fLy5ESCVkOSjG4ygeUBOb7HMSV2Sm5+C8NZ64f/lRwmXct03edkZTHom +EqPfWJNnPNIyW/dcGXASdNBzpLml/nzeQ9aQg/nxzQHQyL58VYXUiaQiOpipTNib +WG9Rqx/42Z3NNMd/alem97hcTTTDci3MdpZYGCqjmi1xHbeB+SYvlQalhcMxygC8 +czL9CntN6ZdHvM6gNMgU1rQbUV+NQxhNoMUsHfGyzi8DHhWawr4oi+cv9BZUf1mk ++xPld4+C3GyVHbkIAz8mI43e9lyO6SXVRM4DfWAi899ngiA6qP9TOw3/W4/ZHzL+ +d2yhUSk3uwVF/0LXxvAXXF5ZZuZxp53p1iryQRjjuu03bCsGEnLoVgQh8Y6syN+U +u91zV0sYRvl6teAvysvYb8X9sDHZFHj8Und2CGMABIHsFDpUGToVJ5Ah7fKaxfcj +M1PS4HBQ0pdKcnuytDnX8dgi40twglsQYMJaWbcWQX+nsMgxmqKiYgktAUfD7dqy +PmsZDrweREBcx2BG/L2sM2NM6aYLYzRuzWI7igDmWSBMFKGKyOz+1WTw7fISHfl8 +Vg3ENbEJYeXvf4SmtdlvIYzpLg+imZYxKgCzqEsaNPniYzGgGGgd/CU5eqyOKXEt +U/CRPyjGKD5BhbaLCnKfACZX5ukGv/5BEiyPcipw/WFJSNcr3bCeE9mx8ygXIG1j +XSm3xNPF2M4DLiboGu9EWvhf+ezhGGFXz5aqVu22t9X9mYT8OcqfWHOF2rvpaNo7 +iBxh/GUEePJ2dH27c1pqfcYpqX7tYNPs3i8GTGpNpNFIO920w99L6+GIzpMBB77N +cLhBCh4MZKLAIHC7JJOOYpS+BGM1n2EwHMUdWX3uvsUmQLSx4JB8auCEltyf3J8k +AFl85q6fuwvO+QmsdLbDTTq731FigHeiZaS321eVTS7iBasSl0qjjPeJbNPlYdUj +qyJCkuly5avrMMq8Ih2ZY3mPZwRMeG9++PT6AD2Dr7K+ZfeTFOg4EcI8wwvXvxz7 +SEkocc7iNIfAI1Fge/3GJnafforbghPjmIYEoZnP/H/53Y0+xitveyDiT9+GZiNw +vASTusCYuEFyHc+NqaI75Eaf73N7uZVpuX8pFcxvvbrYO2D2jrDBw/2ps/nlX6WF +JIjVxIBZjRziGjDHRjuIGulERVxhQwGOa0SKl9ILcovRlX0v9Arftnjw9HaoppVf +OqiDRvbU7vWiGGyItKm9WwXUmr/EdHb8WPknGDNzNxKNJzWDnjXTw316L/RR0J+6 +Dk1J59nAZ9kaWMglSnrj5XXPqI8ZgiI7hqonPsqkN/vynQoOIumz9czZ0d27lnzz +jegRda9/SYcgGCWpLz23KCQQvGDfis0Ku5lL2GoqiDP5zJP7gKmTq+YbhMsxp5eB +nxX8Zx6Wyh9iZ+YTiq3PCTE6zFhQx2PUNljIXUzfxYXgpkxuHps1A7j7NY6SFG01 +rhdPubrNhFm17yduDEOU+bqXgOdw2Y9rij2rQgw4pMximMJS3xTBCZIy01t8btKQ +XJTRV6w4gHWskrZzx7mvRZdStA/rO9pQcAsTrG8KKRew4nK4cty8MZGvYg7IOiGU +HgG28Udofr2uh8riRoWyI4eRLqCXuBmGBWPjTUtIUtfUBF8bbHML64f5ovPS6Qv/ +B8+Xf+2jJfT8d+EszLIwBr9bYaWMGum6OQ3n4kiK3opMyv0SkfzneyYZiNytnQ57 +u5riH3dwPai2vBA29XlUqPspIz+LB89vQWIRM8s93/vUn1xIAhZpte1Q+r6XRqAY +sfTMk5VR8aTYiHLcu54ROPYJrEUcE2MMk1DG/PaotimCGeEvoPNYC0eYFMP32eIS +Wm83S2kYi4v7VsdbZZvTl8eHeVN4i+wynkiJzYveDJkKGKzVW1OJnrAVRJnxU2v/ +DfPKypwoA4Hl96QgQSWOcO0XRpEbZ2N2z3CiuTCdZCEIZBlPnREmEgHQWYfuHU4u +Cc/ZH9jLCFIstpG3s5+kbTj/pWu/l9XNj+7P1ntbkJ+ZLD3V5ohgUddNmXiUwUM7 +apd1Ofy/U5420UjNICzH1Nz+BJCbGzwF2vNMWKmErjHsdLudEx1+S4+o+1H0DtCz +vrUsJcluO3xYt/c/Slpu38SYimCU7zifUtSdOnXJZ82+rulGE8Ddr0gtsOc/WjCx +MT8BZSxLI7aj6IW66q+R4luJ1QNG0vG9gpQH8Zzy7vC203ZbQzu490kjQQDKthYp +WviKRpHI4sP7g1kI2SEWGnflukfoWneaFPz+uF+/x+IWNTQuMQmrbnh81HSeMM/W +fENF28vS14oeK5Klu0ydqDym7a0U31UWR32Sk6qd/6ntuAWhunfqKsYQVTFI8orC +/0mmQakGdVcNmCM04OedzW2txudDRuR/hcKsvQyJf103ziGUAPAcFnMKQdzuhb9K +OuTkO/kdcMcW4n6me8STXLmkSn2pWxmuwyx4ASt5nn1IwMik1Az7z5A5Gj4ANgtK +wjnWwICeXKwVlDXXEGwV9APGqwWbRLfCIYPWHc8X4zB2wyhkIvJgP8a7s0Lfr+7r +cKpRP3jDgGWspqMKBJFc2X3ZlR3ejQZ9g3uDnXNL/RoKGzVeGGYsYSNC8dmdJsYG +7THm1xCfroxba9YRw1l6dvgA7dzY4mBFQ5FKpMaBuEQxutnTcBzJdLigK1V+he53 +/x5uPS/5R5//AK8JlwQRL/UzDQ9E0HVpWnFwHu+iDhS5DrWmIf4ePd6rgrJ1LwHg +HuJuVWyx/YwXoFN5HeG/7k1LkAEA3FdURedn722C7kt3ef0kAPW+ZFEFysRziT+z +YxLPj/G7Xfb4aKGB8UqmziH/R5EGxfOdUiIgL/54zSmU2X5fgjkMLQ36Bq8oMrms +NaN6b/q5Ig/3NUqDcSsYMvxdWpu3vLsryAl3aTZKnngygDTUa7AAs4/U2DoJ1Uko +Zk12vsbTG49y3R7hvJiin6gJVtBUe4toc55UcyZU8GYtMRjO1Jheh2zvOe1AfW85 +Dsgairdbjbj+ga7Cdqk0sjHvQxripIFIMdkCNad1GlLmeavPoy5TQ07RMV9IM4UC +IPWHo+JZCDTrmFdUS1l+btyIUvMjjs0pbeQ3HArU6XzlETC0NJWvXny5BKfLUNz8 +S286nhDQDtuj/1ksCm+I/ZzZnloTngUSJrCo9Tc8fKkT/yyLaA7r4cmbdZR9qNm0 +H+Q5pKtrBYhwHvWAUUozNrIIHwPJ8AzKBn4VHObNCOTHIH6iAvWGYz5DPGe3eVDb +EEnmp18BBXDsl5cdwBqitfcXe3cxUHYQIQetSDode5h0mu346VBIZ/RaVRBtELcY +snRdiOFYVeQm1OzJbf8YQNHDtLAVKzj7bfgkOCmWvmtpyXA+KLqhNY4AgEVy5Hvp +2PfsEdsVrXi1AvWsciYXp6nLBa4CUWKRKV/AEEnMxR6+CH/+vNIjNkTOG3RSMNeU +Vx+8tS+DbmuDwSRRkHm7zWQu1QFIuO19SHoQmGJBS2NpqTBq7+Rvk82MzaaRM5on +s97J/gfE6KGqjIDTpBLwf8LmVfMGBx+2L8zoGRWZ86uTdRVWIq1QzzEAQ4yEAZpj +cAIHZ+0Wsx2vbEcwiRXh6r2wIGVIAe/Z+OkVbgA2p9YGWfVGDbUjH5Gt7M+IiIh+ +LxFx18V/jPjXid80GkH4q96yJIRP8/jU0JtAxUey5r213t/NArntyJSCs9O7iJxh +PjVAqNmxqpsx99FYMR7/Ls8RnZeSzqVj1/PbYF0+QR9EqCMKJ2tFFk58GbuFu741 +hBmebHieMwyG+vnDzSsRIL/Y3lBjy7Ln18qDt8DIlwiFk7tVGlwWFu9F1VOqluhz +CjfvFIy0CkATIbnMn/6baEqwXlnrxWd5a9g7SJcmyvQx7C5pV4XOY/GZIHLEm9Vu +TAUvymg+0o+DviYZFojB8eT2Nz4RVzB0SrJLkUx5kiTOqE1LLDemohl4wYJ8hkvN +Uj43DoZ+hHEnTxWUKrYTf/4nJbyRGNFpw/WUVg+3oGR6ui4/WEwf+qdvbAsMQm6F +vC8ZUscwfkVYTZPATL9K49pGh8rd/t6yRUsY8BSu3JYAgzFyEi3bdYhd1iLnhFk4 +nxC5aOwUmV0BxJotpQy0W7ALfD9rx06AkNZQRqcCgKj3rc2fsENTOGk/evPnF3tw +KjLLyJxAuSvMq5HEN6hs+lBzS29zI+MgBYY4WSd5raAJ1Pqm3tqsBPfwYU24VKXu +JgQxj0Ta4TzMA+qYKvCRncusH2bPir5dsBx8z9Avh3FnjCzhDjZOl/nb4fyOdWex +xdKKCo8rx0/fmhhol41IUvCWfQr7Jw4hQdeyWusSJDn7aiwDqdc9Jek9kEMDwFbd +frDA/9ZT20rv9RL5c1mxkb2sok7tNZqSanpH8gR0kizyuRG6tdjx97RbnPVxu06J +D9kFYHD7AXBfOmj2utfif+ICRBaA9RmyrWY5Nn8jLy/tdQ+YWKnto3E5qyzW0Yw3 +9XYtLzDFZKDMN8lHbbEvSHKyXmfDlx2r6k47NEkDCWxCk/ezgTeuuk+jdBIn4DyB +saFXlk/pYjlSBZ6z1LA78OyO1Y4wjITctIlVKj0BEzZ4/LBdvq2ZMogmUdVgwPBG +aDCzd0jkV8VIph0HHTvC0Ssb1BIONJttJ/TugdOVFs8/v3ywcPIkB9KJNEptYbH9 +MFHOItr5mPLPJHDvxG7kPs4+Jo8a/LLVa2rcER3R0ndfjqf/dbGiJgWQzBPjiJhY +OPiCkdEzwfXwOukQJy8sWr1S9vzDD3/RABAYjUvoJP4YXX6wMPGZcfQ+9vckGqpg +5lidbaZHhPYLJ3EyWKdRAYE8JdSI/v1d+KDWWFRmi+llLL5pCv87EZfjG70+oVrc +r5lit2o05QwuwERHWSfRjl3AMfq7kywEgCAPNqMcR8e05aRF/osPyD+8zTqBdOnD +5WPjkcwOAHyM0ZeZo4wVntU5zHGXAh4U5KeHvi3yVXkHV1V0hgFlgs09zHB5ZpAJ +SFiP4OCJmIj/z6WicXVGcFvRqSHxNWNrBUeNkK7EStquG9uwuyqyDbWz9zewGtXX +M2OgphbyR3/aPJV6MHnwnsdlzH9S5t1Qahh5BFWGx8L7FT9VlpNZq0h+ssvSfi01 +Ylu5SPHl2rkrKBKSxtKdhlbFJtU9IEALQ6l26YErLGay1Ot7OeXxZIbrr/AKP841 +RXLqqFvY1pBJGyYJkeg6d3F1XKwRpThF5bwP52rSM30ij0hVLCc7wO1W8bDoK52c +fRPRyZsaccq+OAdZL1JuPRvSeHS5q9Oqv/rdN9zmG4Vo4+jfUWm9FVSarnpOFKs9 +JfhIkhSRs2WBmwCXo/h53areYyy4sfTXCWseeZ72SDC/EzR1s4X0DRZfGc+z4VVO +4eEoh+t3MjChs0VQtrNu96EevJ+qn+/3P0FnErIqGhkUZk/8YM5RWkal6fbOpR1Q +fgUSbKnAu+lsbAkICMwqpXDdc5IaSris6vPhK6cKVwpTtcPa4kr2Pd4EDw54cUMg +hK4aOkE0726uoG8jauEAIQOENg36GWrtevYMOg52wo2BKXPPoeRBbxt7uMH7Yj2c +3bU+qzseSOeKPXrP8rY7xQE4z88MyZJZX5pOmPpbLCi4Ysp1IqnZAdZ6/wC3chhx +BPJKMQgurEA77BOhoKfCrSmRBL3qakjl/BmdeYtepwohv4rfIe8ySqwFbdoVCzAa +GVe8rzXANxerCrhb83aY/1Rk/Fk8hA+JughU0Bz9jOW2/EHISwJaJN4FtmAsO8au +9T+jzoKQ+LBTZgMXeyng674wXPBG/kOFcS9Ok3JgBYmWQVkXIQrLJO2FnDc/1xKS +7WoK92zM/d0W9IuCjaslkCVCBCR5F9TM6uhG1ZFOX5YzV1ldxk5Ig1G2K8j+Nf4Z ++MEJsKk2CWI8X78OYN0CuxDCsnlBavy8p0BTY3j7SQqH2vzYO0mXxUuU9luo65P+ +n7CMRqoRm61DrzNKEfmSZQduPCs5tO/+1PCUJPiZbr/upRpzLL/PWVB4YRhthMpS ++1uBCWTHNxauIlJinpenckfA1nLxeurfyYxls1rB9H7UiRp5WPztDuSC3s+d7biX +Qmregi2bO6umIcLjuOHbYjCE+D56xd7CSDsjolx+b+qlLzLt6PvXsCAeSjWqVoVb +6gXwba35IC8Ie9gjW7btQ9v9tgt9Sl3kbf/DzLTyNgJtme9Kd1UK9iZYEOwZ7ujD +n6ktZ3rF01H+/3J7NXUQ/WxJMi4rVSteMS3sQOGnT+Sq3TtppcVkKTd72oWqEbyj +Qov9aCgqQ5waKN1qPZDwUUq3hvtFyaYuRiDDmSAkDEEj05LbtRcPS6DGJp0xzbql +JcwmJvNnZ6SjSGSYsUBaCPbOEBZvpq8iLhAAuHXLngdvgbBPJ9i6M64mqMKT9xtN +dRojVqQmOpZvtdqAxtdm0HBwT7+DtBCnOtR1xAOtytDt3YpkWw10r4WWfA/cAqt9 +BlJ3dv2kOLuWQfP8aVktEDZqEKTKGvIp30qeOz1WYl5q+423YexC6+0GemkNNSwM +Y4WvIfpcJpZ2G2sGsu744xIBBqdhjfqdDvH7dhr7DRHnA56CVw9WBM1v7NS/DlIG +MP0wDXrQ0KY+Bi3HcLxrpG6vBaYDtJxee+i1GhWhruKicsRX8sY8LhQiaoRWjt4O +eKWrOZSssacFKVdYUsGGfQZJWBXNF8BHxAfSxOvf8SEwmpNN1ZT+6JOKFFI86+5O +zVJG2gZiCIf5CMoEXzYrMB6rMDuCriM4B6gE44VnM1wVao79CjEWFIznX0nZ/U2R +NMvhWqTuX+twqvu5p2MTApdC2Ft8DcyFpyJGzza/20R9EH22EYkGkGjq6X5uuAwe +Qb7bow2+InGEpI3vJe7j1AKN583grPl+S+oOzWF93ZjZAKbd2z5o8huNPw87DcbC +dM53FQl+4BBPVKGx8aRY/l9ceNRzUpZwgfOcYqABXkGnalnnGy2OeeucjnY91b64 +rG0PaQ4CmZc2rx789C7fXZ5gfI67B9GaZ98gFakhr0Bm+XmIzvdbcoCiZkhnAD5m +EJ4BuBIpC0msQZegnz0psGbariWup6hwEatw+SseTJZDyq17p5j2e4TzAuyXBil+ +qCAXJ/4/ozmHQ8KKLRJrpb//lkR/aPzmg+vMtV0+Lnwwdoh/9gzIuSDohlwJ12gx +KeG68F3Jq+IxWtcVd3Xc6Hpusb6v0GsPvYRNTR386r+uQY572eJDgF5AlSt6ieKj +H5ZydtiHNHQ+o6paNGjm4S7mTvYe1gKbDWFJ+RhQmRR7XS13DY6ysnpR1Vheq5eI +NWVKnLwtBvfNvtCmkbTr4yzXnz5Rgw4QkM0nSB1g7sgwx4CBQLC5S9z9wVb/vWRt +lZgExkJBcdLoM/BQl6PPe/ql6mf9qkSkkjGUTbc5a0g1W95liGdt3RUtQabIt7rD +VfhWZtn1lvHdxikmaB7wwJqpvigNjLP4+4zsPgfVj/ID0WNWXTB766Wg95xTus4R +X16MRHZOX1x3mGld/AQ2Rmo7mlXWGHeL5ojLoaRq5vPUhL7vNnuxxy0XVochDWP8 +3XTHroNcIxsrIIwLAWDTo6hj0EPUjvUpgE85Bu4cLLdogGg0Qa1SXECrRjWIe3lW +Ro5XU6KipRXSoAuUmXMh8BYQU1BPUOqR5Um/zG5kqxV30buIC5ZomVgwTMSTe0Gu +A6ZRop8niswA0saB52R8ZHMkwhR8wWeQpDCRa31/Hnjiz08pYG6Qui7b6eNqgirf +aSo0WKviu1rBU+fO6hnGA99ZiN0Bi1X8svI+wG/vTUAXc1YvDeqtZ90FgKLr/yi5 +cUf8eKFqEzHVHVitxxva41PYjPXC9BzOXWlL8iYoQTiAJvKae6z5xOcNkmbgzeFr +s/l81Co5GdYuKl5VmM8fYwjDxJr9tY+utHk59/ypcXx+GJI0k7uKJP80Cda8zIFe +hK6OWcOASCVqGJusycX79AKoV7YbBgtw86ZUnekjv9U6hSzGfMGyBHvyX208kSv+ +jaJckRUy9cDmE3p380H8OLu6lzvyzCK6/fhogQ4SEZXsdyBCHKrrquMtA30fa9wi +sX31RwkvXQRl4Of/bpLs9ZJZfLxJjgiyILECpMriph0NyegTxVYp81svZrNkXz9o +ZSQZQ1wY2tnqKmodOPTvph7JneenEMGioUNaxclI7QRdZtFjxDCsmuShy3bKNaxU +i1WSr1ofS3cnEeW9Jt4sf1VGRXZAdwigTaS0uhoc3TWnB5CCvkeYJR660sYu6zBq +EV3WT/4ideV/4CRgBa9Fy75k081hju75ibkIjz7Lpy6NfJVUnGp/PdGAn/I0FbbE +epQMHEsUBOrYRe5jvce7GOcHQIQRH5lxoReWo4mBu+LTYWrnfcS+/QhaHlLmfb+A +urbQXG4JLGs4pBq1Uyl1RDA/ugGGY6SKa/epyghyCMV4uchOp0AgN1Uh1Hwjrfwq +NRmkEPHbpFqHzAr/SLUTLJ0M0zR5sRimkX+mkfhhEefiSXevoWSY/zYgbHmhjT/l +M9OBt/VkZGNXtz2dF0TBu7b0P7HhXX4VKm5V+Ul5LUM5rq5qbchaV+0W1qSvEo0A ++u0FOzo2qIlCNvmSIZKN92XV5DHgBCw4msw32Oq3FtidnrEWBf21k5dO6DcUS9U/ +L4RKn2ybn/qQ4uUEtSiMZXZ3FfqtuQcAXX0WG+/xCB1zcCH3XBsogPhRb3eHWFfE +FBd/9otLLbLhDyKaneRT42BYzGp5gd5BYqFM8g26jCC0pDsYNkga2QLCxSw+o04I +PxpxyjOXJlllqV9qFL87ccKuOyL2aAE8V0IRvT+t33AQhyDJ/iPE1ydb3gohYx6d +SfQnmckb/QbjDRb4pX5pfN+uxkiy1gJA6QyQinf+UeV/BXNC9ARzzIJaJiskHGkJ +mC7MCc4vtq7oLoePHY3xayG/uL9RrbY2su4BSpaaFq5902qS5tbC5onqzEcODPZG +OAvpF1LQVCW4F6gb6VgUT54rB/n+n3aUzxO6BA3J72VlXZugL9R+7H/v40cVXNGB +B6c5x5U+mYF1bH6maIC1c7knsoDTF0kx6a0lER0XmKgo7/jQCk+MZoWcVbfjBM4Y +q+CsAcsmVu4ecihIPmnbqzWhMIMpmCxMqqiexUYFAGEYdm8x6xrx22fFyswyzlWX +rzIha1XRuKZrQeI0LJ5ErwCjLvgi7Ilrd7P2glIrl31uMs5nBoRCwDaKC2CblVe6 +NLVauwg09z/Js5EvGwAUIEcBKEM4mN6jRr1vdC7yP83WCKNfA0xNsBEBukIX/mIf +r6NosuX7Ty8n7irMfF9Ppy0f+Jl/b0B7MtfFigPgaJitlinI7ooGmeUzVkWf9Lqy +eizwT++anpTAGBgXdvkJ831kujP9YcdexmS/gg9uUZQIpwQ2WrkbAbmYXHr9UCNy +8G5dyY1+i85twvschGQJeGlHjur2k8NCN2Z5ReGMbsmbw+Wn0rXrcByL9V89xubH +P6LmURhYCyKUac1Np4muNhgW6pIlpfdCoGkjBvyE962D0fAZA3NgVCRXQO2WHq9H +rra+Pd3cG0EXspYa+OX1CGkx5+zQMk4Rh7Fbq3CuTSH00lklfbMX+cABIGzEOSWZ +KnQhBdXCJNf4HbJ2ybX7T0Ch1LHECpmMsiUt1Yoq5ebXPecb4MCPwyiV0AjtL4mN +T5gQaAnyQjD0tVE0y1wMxmrSAE8WiidTPiuajBaX3m6aYwyMqwAZr8yTxs/AEeof +gUAfPRVtrFrpPomY4v8ZAH86E2indMySePHyxBQaujdYzWKjMlmjaY8CE1LIRacT +Or1A6Wnh6ePohTMODFpzIB6CWPCtNpAWgg8h3M7Phgh3rfeZwcc6F2oW5JFaHfcx +/G8PGEg0Hjl2O5gXJrEcMf9KgbebtW1gsH6NbzaJYDlc0Ozei5mnPo7vH+Y7sQDw +QDV3FwK3Qart34ae2rc+pnFeG1V+tEGhD6rwy9eqLmQWEJrc8UP8e/36XNEm5Bfn +SmIRN67NAALyOw9HEQ7c5Zsd3PsouTTXfxutU0jH4CLaWM64m15Wj+mesDWh9cUM +DNLN2l/UQgRKVqhzjIYY6/CPa/wUVkmNkTg4nDDfWIE74/nLjbQqy7Zymp3xCGrd +zOSUKL63xbTPzpAvNuoqrol3+Atc3+lEhI059x2KzXKHyTdsKE4DNblZ+m1ptgMJ +EBUee0lF4cdBB7c46XumQe3EcmLa2H1hZPS7bvpMbDcLtBmxZGj/ZmkNAn0x0Jxo +31oOrX5U1iimJIz7KXMXWiBquvkWoe+QNnKNTAQRVeLG8Haz1G276izHnRNai2cX +4QQeiHscgAKs8mUHX2BJtWnSNdvjbIw82NTOns+G53kjxWlq3n4Z5F2v3ZW9v6qi +vvMFYlelO8VLEai5hQ31PhHsM2iqDIuJLm3Zan3r54g2PHtSIx7dNMaJ8UcAnyWE +xO3RCvN0UKPgBxB3GeOHlqZPikhcBZHdhsmske4QG856zeKJzQnpNTLZy78U+zLp +I95aWV4paEpsi91Z6IL3I+kLbmy7N5jaEXLJZUDTDp0kme85JLegYGCa/pSqhh47 +R2fYmOLW5Ep+sgK3pZe954WjJWwanztt32a7kThJhYntGitKstO7oCRiWHryzQZM +P+00f5ZTdQy/wHiTWCVdfMi6y6bWZGdWIj/HZSBj/9E1/4VWXhTlIOgNMuLzBzBq +Jz7rDnu/siczRcDeWL+3UDOVulXGcYFaB1wngsp4GODjb6smeyprCJeEzjZF9iYm +JnNJLxhY7MkLGSFzDOPOxs+9jX29Co82Upai3Gmud9HeOI8NTNhT6rVvBaaNkhTz +b4UUg1TFBRpGKNsMLYav/ZNujKO2muElqNWwRIjrS8lFsKBUGeueY0/HYr/pedTe +rPxde2r/mlfl57uvPwTIq/67iP8SFj/1ArnRoWlifr4VhXb6s1Cj74R+Ho3fHFtx +1IYwwT+NtKyEudQU/AyGytmcQ527a3lFPnCNlEJ4RrPOpMHu+W7F0Du819GF7pPI +kLqO6ec1i1+3slytzC7H0DRUSHcu2eeWIcqY5GaKnufe9A8L3m0xiyg8wnS91133 +SdPsjGbUyT6fjBw96QNsg1yjd1F2IPzU0E8bcE3gr2915kVVjj9C471yTFJPgyCZ +q8lzhEF3h7j82rxMJKQT3RIKwCrS1HP/c+uQmQIa4qWHLSkZCmKTkjVGWxfcUTmt +VfO8EHe6l2KYo/4y30Za+IhXoW85EcrZekH2hxj5xpPJ49Bz90rZ/Cn6q1949Fqc +2nMMmCyyKRXhXt09f6nZRFiSKq2cSzDjYm25+eB7jHsxDGD/0soWQdvQV4bmcs6E +gfUK28gcnlWQG3IE1EV5i9fkV7yV2gSxj7vpRchp1pjAaytI4ZTdO43aS4Igwe6V +PQzrqB3BaGzMpSWTBV48xiKyZRE7e5Glm2Vpd0HzFWF/N62xGAVAWQDQoj+bn2qz +G5ZgekbPCiiGjFhZ+Jz9VMwLFIrA/qQPiEw9DkJqK8v7Urf3HSvJjGO5aSZKIvhK +XDMFId6RlUUyfNK4bv/mIMyT6reLMOtGOnHiFTmYi/GgWlAhOP/fM27ZsOVEh5jR +gbjDSB73LeYPHUfhcd9p2u8177BHlcpCv6yHqqu9Vnr/B3f92FhzJgcktOupFdsr +h6JiifBkzYVWkNVu/UbeOOZrorPOl3D1HcnSbDYRdJXC5AAvpoSGVOVlDf8si5hW +ufEJYN0g6syaQHBNKAgfDYUjRmWtCLyA2CHVl3xmP5CjQwm2c7o471r64W9293LR +4ut9RC3yvGDFr11EsanGXDCcMkuAaBP5yVS+riT6aIn2Dkb1bF+SQE82x+XJIXny +FVB05s8SlEh2UZxKgL6w02FzDN3Kf+q5iDW8qPfnXD1/CyNPGlMH9ueuLDQJGwn4 +BDJL+0aZBiureyeNARFZE4Nz6scaV0j5hdexKpVusBOLLl5ddLDDdif/OSY6dYUO +u99qS7nxBNFQBpVLmpz1j1n6lmJRIYpSIncwors5+7Ms0zIGoQuL4KgYJZeJn7je +XHB2fc2RgyZOi0pIj7oMzPRQPLQ30tGFKt15jE6r+QhHnH0Qbrob4CtVGoereLHY +K8gFFXdIOfUcSuoIZXZUwIFO+jH05oxmDS3GoJJW1BUt7cOv9BYqCHwHCj7ZajRV +pCoyhliPHX2lL9/gRsWAbAcmk9V+cnyxfXlxryM2/w+bPoHtyLAW9oxJnWBUg2hj +rHupi3vcz4kF6iQ1MHDLENLgRBCxxcLZzsVG82SKGOQj4CN5HnzQ7Lqih6uosawN +gsYnz8l2U3H6/r4m5UV8CNE9PwJZdhbtFQ4QbHsQ1fAQ5GzEEW2y+oK92YbdXgIT +ZPuWoYqGzVkPZWNDyx/w0wCn1F8bTyxsgOkauauuIVx9ciUYp6vsFzpJY+Uew7vM +JmzD6QifBezfkKISg8Cq8cj+lyICFhDuEiUis2JUy8FhSTIp56mmVfPwoJyOk0F6 +thj2zklKmvNNjIG0ah5p5H8k1gyencusZD9dNvujG3YDlb0k62pH48spDUYA9vkw +EnBnbG6WABUCvNUkW+o8cCK2proyiwDCK1L0uV12m44eToapJsewKkUMOTe8HAnN +KZJzj1/DehbNWKAQQQ/rXIUaRDa61Lqjh4V/xTnJz4m8wDR4bR6dzc4waC9MF7U0 +kZzaJChIZYHnMcPLqFiDPuDcwuwnQ4cjySJ5s4JX8HJI3emxcHPVJ/B0TF1EQsYr +zBl3pVpLzpgcOCbf4Ru0hziCR9bg+xD7A0G7EjwAFN4w+lcducxqmzw1PsEc08Ps +87Ljgr42FDRAlASvGvZLk7K9RoFdrYG+z95Dk7Kzk6LQKyTnmmM+N4uuSsE1z/6y +FgaSpFik6oYnqsof7jYj0ozqTh8q7jcbOTPI/9GhcddV1kVp46Y6fQWGzRMM82ND +GK6rNG+yXShze5kYYYx4baoxDmsyCjsefbGZko5sfkkyxes5BYr5Oq2hBzOzx2PF +EuASoWlVyIgX6ZKGsCemLMD56w6+qVhe6iQajtIM8qmebsJaDMuyIEMkoAIJGN58 +e2Rdn9z043T0q+YK6B7d0Mp4/Jny6ny5j9KHV8pv2vhAUI7ER3xU9Kf1sETwO3K0 +5r7SiqP0c1078FStvc1YJonO852BKAimLDvHM0S5kPL6zg7vq/Xz0Bz4TQ7N63EN +90ddCGHbsVmGP7tr3jH1cWM58NY+fHz04I1Fbsf+W+6EjcVSu9tATRao6Hh5Ih+x +jVJ1qEPvCQCxxHarvaM8kxS7Hw3R6KhHDMysWSlPmDnuxGoikYjvBWUTEJpH/EpZ +b4Bu4dkuUBpUpV9q4X7gXJEvwF6iV71RDNILjfg/y3mFi0sPv2B06Wtgq+mMYOgE +JmCBGD/fUoa+MngWCePnrNKubMTU0lL++kv0Td/Mb6e6L2hIlz3TRcljN2kHgQ9X +F57yAUc0oaMFH/h0CHXtuZQk6Mog3JqNDZLqfCvhbWOspqz4uMIKiyyW2eH2V9Au +sew3erHjLeDS3/4Ie7PSP8MGX/3KyGS34VVQIiP2jv18aB3gdNo8YsiURAE2gM47 +pvB49nktARXLTgw2XKbAO6IyGqLQVGdDNTynoT3F/QjOVX6EigEBgNiGxmCC/t1C +QSYnBR9m49gMy4FCczXekYGhM7vVJniYzBdsCUBbGeR1J3lZ8YkWf7xLXfe1PR35 +UAbDJrQg12m/JRJnvqiwzt+rduJ7X6FV2DA8cNvfxg/J6CWdAALW15jtS7sQbuRv +hRVLcsTq5JRrw/wR42ztgKOLlekkFJ1nxVLI6b4vpXoGEz+RFVm5eWsMJ+5xzBk7 +NfXPvvu6dSf0DnhD6TL16Jdf1aXo/WIP0tx+Qwe29KqorHo4HS6lcv5lktOMbORx +Ewjl/7HN8dO7yUrHK9itIOapgv6KZjE41XlkQCDcRXya+Jy9HB8EEtjjrWU0CLfN +46eEIN3d3ImhCpr43zg9N3U8xmoL4cx0yX44LHZKNyRqT9b2nlkShGO3kFTWI8hl +Efn9D8KSPkJsEM7wVRwRbHYL3OibaLrZqUhqfc4GPvSNJ3cKL/QJM5wlXBRf5LT2 +SLU4SuXB8jXEZwPOCyB/heNKJP0GclwLcbVwOtP44+DHexzEm1weiSjWaQAH1ggG +D+qBo9CDKk1v2cLDZvt+B+lEjJDyVhO3WceDXLvz9c0BHGwdsSMuBi9bhfP0G+Bt +LOokWG3k8dFVOn0dk8sLpFrYwtc138G86Nz8QZ7cB0cS3bUCNCm1SiGX9gco6+pG +wDIPfQDiuC8JjXDWybJ1jgfBGzPGwHBKNiJe4UJqcvn1oyo49bAXeaNl4v/PIBms +Vseedym8TEtQnvkxWpnCp29jlxHwEPASASA1GcwmSmklVLSRwhNPtZbR8JMAXr95 +iTXsOzVoBIXzfaH2B/Ez266b0kkqjMMqFlozEVKE77b41xzoEgohmdszY7WScb3M +P3a0DLLKxRyCVRMmNSYdaDcwY9htz4k+qy2jLQ+HOEOz9YqvoSr72lTV9zwOFtHp ++L+lkIu2HuAoUqPFIj1ah2efnll9V12qqcrEia73xZuiR3EXI09thQEfo5RIpE7e +M4F9w05fr5KQk1z8SJPm/9j1s3ATzuGZVw9BHF9Wz24ZFYC/6ikPumsW6yRRLp65 +MaKGete9hMIRkcjzsHMtNB4V8ST7vhbznC6LA70FJnf4AZbToB0Ll3IpxgwPatsM +8+Uw8geFGuGqkB3uzvVUc66TtK0y3E1fvJqaiIyzoFdG27ZlnHHaB60pqDURRmSb +V02m/t5AxspiE+UsI95l6JmgqcVepBEos3BVc8kGMDHEIP+/AmDBfV6itXkJwkTE +qBTXmrc2CkOZN09hhAGqgTcC3jQMlOPvMGahF9w2M6xSvaTnbFE3cxyNJYeVpycX +So6Aa0o3di4E7Q5PEDQA9EyI6FLubNZ/tYIQ30W0eqyo8xlsmAm/vGbPZT3NkN/W +pT2+ZYAh1huP30SEHKYpR4ZvuWc7M1eFOLCrRjZaxUm+/oVBSfUxgg7ff6TXG3h1 +B+vvEn0lhuWejQWYuUvWlOTS5XTp6H1fG8mpRyy5WPtSpRqp1mK4Ic5/mCaVKweO +Eni2M2g1cGkRX6S3ll3j8yCyNMSuw8sbuOP4pm6R54/hj/AhswXdRPLnXLFLussX +cdqV6SZ8oYpFcAOitgZxED8Wgj+JbNz8q5YMWRVEjlqcNUcWqXOmQ6GDzfUgzL6E +Ta9Usd3lyF0L5AVKU+ZWr+rH1ya48hgFbKaEiBQB09trsUFKu9BZB98I1tdPO8a3 +p1ZG3PJEk+d12M9KFp8UVtrVpFgYbh5Imr/DaDaTYei2EmEDs+ie6YM5fed4SnXP +Q8kPHkDisc/T+QRpgZihkEFg/LcAl5UY4q29P+HtE1Da6g97oeFGlj9t99tGGxW+ +W57YLT5o47cZIk4A9P4Uh3Z+EzZjYkv4ikmupKT0iNNi1qMwr5DTCb7Wh9xWjc+R +nNom9z08/DT2XoSkL9FyBXkScjBWNQKhO75IFqGDldXLp7qDSsSzP+0SixsDO/T8 +xWpqxP1VdK79dPE+10IPVA0aU11u/AHihKaHi78Dqj8nPzvxE9h4fv28h9EAllIr +VoVHd4hwCmnEhcacQKhoFMGxlFe7WfEJ0Iqae+g0LshufeALEMHPkt3Kc617YlEp +G6kiwzNHXUjosM9p5Ga5A/3V41qEpMAJW8Yw6Lxvc0ih3Uy//VY4tuxzL4/U757N +594QLNYJbKnUVrNatzHQuVztMOEXlujIWmfgdijAYnLZyCO/wcnK5iJeuCZwV76A +Z9yx2O1QPVBs2K972w5JF81FGgvWeWnv+/TmVr1bwgJJ06DO7MTjI7Z8elcGYhLn +exsu1IQ5WtCpOc2FE1p8irkXCC7aJt+30UYSzuu5RxP+OWC3bpEALsGMXYBq5Gkn +j8/yoi5rM5JMJy5Sh1EERuakEzWj/I6k9/jVAwfk0ABND1H+oUXcHCpcBfokxmpw +nQXsQutw1ODQBQ07KGkeqfAW4hNpi+m4CXhuL2nCe+zI9aDHcUypCGjPzNZT6w9O +4JcB2pIlXZCbonrC7Rsku9WMBSwMTc07Dbk5kwQs1mQ7+9TMearO6vIGtF7/SJNt +1s1OsXKd7vuFXV0nBpc9uoYVMpGrRzj4qujetotLri+0arXBSLNsWlE0f7Snqqac +V23A7MqFd7KjBNJWOtTLaW35/CLIrFJFZt5z2FkNNd7212wDui5WfA1DvgeBlaW1 +PzNIDufpPVsqIi1qAzTw70MlMk8arlmQb1hmZ1x5/MXNUGmH0nwkJENLT7B+unmC +mwskr4YNdIGizlrplTLD/GUZlPtiuG7LoqrP5xTRC3FhYct+9yeFgxDpTFxYX0zl +wzXY8Z+SQ/oyvQpt5DETuI+iOkJtIXTOYwicZhhj8UsffMuR0/wwntczhAWCo9Wx +fzcR/OmaZaIPKJbX0ZjXe0oZWB98sLcvgkklhqF7umsCwgXOuOx236S2uoBBe0G7 +6a4H6GkMUZI8j3UD18DT06frr4hSIV+liwYnXL1oarMQlSRF5MYoa2xfW2/ZOm/1 +afdcXsfQDfBcR/kTaecCZmGgfd69wKA/uaboozPsph6E1e48vucINOavQM2/tQ+h +IcW3AI4yKfErO/EOFOcx0YCvWjbtcu94emy/IBQgxs6J1damxjxMnOlJ5iTWzd9B +wwdrH+i+Sy6U/yXOE8i07P8T6G7oUfJJrPQ3KK42ftLxTdFPPnKpdldPr3vS+FKm +xpx2s8ZQ9oR9XNnm6FOvoopuZX0ve3JB7pSNccW4fYRSh52ForERsPyxzoZTNloq +3Hfn9hfiitwHKKxKsutnnv8DJGWO0bEkHLq+BfSYrq2Q5Euh5byWDRKPAvsAm3f9 +OOAbvlHyxEuHPJIuCt/Ti4UwCtUd9Q5+BU3mV9Jox+LHGzoOZkB4L2yYtXzc0P95 +R0SwOH9+gAFyZRb29zohoKmPEtXky6CBlETTXUOaGbOResI6vEwM7mToqiQTRHS9 +M3hQHOpR0n9LYf7TgSQ4kp6vguGzFC+fmxH8UcdcVToRnX8twgTJMMqnst8Rc1lC +et6KEwSr0b9Jj4tSoCM6hnyHoj562hYPM8Vqcz0sEvrVL4T3Q4y5fqi/lNlozKW/ +xRl9xR11mmmEtciV/4lPtcY6OaS0paguI5HBYrKzrvABDNvB26cZq4sF+vvdeYQo +VAaEvHRPF/du2ad5M2oq3LlSrkBLh44CX2Ismr8bxzRGlfPtDgQIJ354P6B+0uTb +PC+6kukxvdM3nxmC9vlpOxeTP/AyUh07ls+/mohmyg3zXzfR3/rT55QveJO7BJkO +WmTPfG5WYTQiQZ+dg36WnDi7//8WLPLsE+TlJZXP84ptsGh6qzhBG/NcMoj864uC +vUobPnyTegiqgQNzqL76xJkVxxa836GQpQmuouJjLCf1b9GhmmCeg4N1c30y2EJv +9AzPEZUwdkklUtUdZxAzcn2N96JbCVKnK7U6gDXBMgj8MfSS2RptuAXt/eZ9toLX +8yGSqfGqIMgRnes8c+pzhhkYrgVZCG7P+mWAoWvz1yRKV1znGMoI3v+5xEsXeQry +vaSvjJF1nWwje+DOZVgInFHwGNfeMNAQbzGfTWK4BIdK18x5vzd0KJ/zGSHX1+PP +Q4g0SkFiaVu58pHtPutmeJ06vYZgwz4B8RcPgxrcZsirMirWp0NsZfxvS0+cJ0SH +J7zTHj4mxQ/fY1WNGvVHRVFGqKTVruE54eKpIge5UXIeZu54KkSGZL1upnhVt4hK +dTYTsUrLwjxQRNGNTgQaXJOUns3xG5/LY4aj/KTCPTJBWC/N56XxNDVU+FJeu3fm +dNUKwF39j6BgKv3FaaE61AIH/Q79F5piXL8hZKKcYdPxhMj13kSeF0s7GTGkV4Wt +Svpu4/9DRa/fTAt2hpiGj3er4srncyxjay1TE/JmM8wT2M6YmqTxSQKbtvvaXF2d +d5P4ScH5PM+ELyVsp7pFaK2yiO0vFYeK0ukzux47ZPPVT3+WNCWAqSUitVVS2a4l +Fg3HFDLByvVesf0obNSZZaOab8+yyH1VRdQ9YmoKpujGKz55Fz2a2Gzp/wLX9Mik +NkyXtpgWD148S90XbTWgfWbp3iRlHVUwrgKN2n4SxXt+SaVS0QCpU4esrxrSyorN +3c7utqla/Xmsr3rsHGEcTjJCm5S8JfTkcTQYT90GTsocLYNj4R7Sdqjt3F4i2E7n +fHEgPj2xySI0R1DsBMvPNdxdM3drj04iCkDIo182CaV3afVDpkk/vAl0vqDte0j5 +xET0pSWfbqn6DkTYHzthfMGJZXgCeT1TQT7Sl7eWwV3QaRDm805KRoUXq8Cl/2cs +fP1v4K5Je3puuO9gCasfrUXtPRuUSOKqMYPZXps+VrsnlEn0Ceu8balT9rmvuqyd +8tBswbsbOcwrZddzPd43rgRFYCjjt/m92s3PnTSuqTaAdl4Wt+8h1TD5aQdD8J8C +WrxqB4fOK44T13j7KTmdCPyCmjGANYr6kOpkYgFc7Eig4wPD8Mu2RZ7SycJUX/NS +NI7TnRwjO7spTWKrWRbZJyrP6rwyi+0QINTZ0Xnt6KI+Yx7hWTpgLGU/0ZVmcpBg +lshVbWqEEpb3SH+A7bPPqitufI7innbetdTGDyBSYKmO+hRbOAK6KxtdSYjScUxE +D4CqzCrX+0ZpVEIAfb+B68jfo44b22Ytpf0S4vEE4B8uQ4WUT5+Vi3ChwMHHT0In +6sLYQ9wbgKCVf4UnJj6IV5y8PC73DQrY4onw7lQ2zC6UNzFuXQbYG/bdGwpXcjgl +khdIoFRW/dnHFs4PhxvUlITeep2BWr/edDnvNa/kn+FRxe7AA7yILxj9UaOASltj +Q9DXNYDgHMZE9ezhz/J8X0T9oSz6dCUr56cswREWlK7jtUzW9CrVgI1q28GnPBWx +4AYT98iTLsQ8NQtdQEby5wm+ILymDcc8MLkikSTqcopm9JNAlP70BId6KNHpV4U3 +rOZFwjStPoORurB0RGcnNoP2iakH5zztJTrmL21MOPc3m8zgFDF57zly6JRTzB8e +rY7zsL4XHGKz6vCztcr8abP+9yo44Z7/QewFloGiTkv200dDBeVIAHTI8nToxijJ +WyKxpDI6NvzUZOGLPySCE0a7ecrp2aRuxgIoVs0DgJbiMNH4n2zSonh6R9bJCB7S +TbzmjtNuDbunPvgq5wmRSqaOanjRs4CN70DdVelKLFniT+yhpDyGJrYFtYnL+YWt +akeDk4kpZxtXgxdAyY53r84NPXyiUjVYO6inNp9lesKgHYTFfh3UxhYrVSI4CbKZ +L0uMqy+tqDNUF5ZTxvMWemLa8LojG++7Gy6Ob0bmKBd0a7OeErsR2S4z35V0NzPh +fqnYudifrXFWazmctNKBz6uji3BOutel7A9qcA5sJPwjXUh5U/Oe0qJvY6t4nyaT +oMVhxavbMrgef/bzUufzKTc9vqH35q27vsG/GZ8561JmdWAcONCYS7RQIxHjBaRV +Rlt9fPsGQEXZjmVOh3SFZ7ER5r0cb+/ejofv8q3ZiUiL6k8ExtS7jvPlJwDlje3u +aBTWtBoMcHyrq9lQYuoTctYTjiLy+NYcKgljP0Sl3dl1Giwj0A6aLOxlygqArGSi +8iB1HJ47cDuXdWUotKdcuImrBCt9hNSnxDft2SXT6Ewh+OgiouZb74CbpWOz6Eml +UICub8iUKrebFdLExdTe7b3GyZGte0RfFrtfnKYzyV4ytiRx47P2REIKPb9Z99BM +sUAH+WoYel8VG8if35Daafhb/yoQcOu2NSWGJEhE01RD4TlN7Gnu8DBB7dEqdnvT +JvoIC6GMlbhC6+m6fIVx6mpCrYz/eG4+r7FbR9Q7dHp2gGiPr2JWTG6y/RHGfMyh +OncNyrfByyI2fzCn6YeGF0fOfhIWaKziSk1HNugpxdw2sKA6ViKRomNvsA0H5h91 +wyzVm1PsMoo7wBi6FS2Xi/9qaDdlMOqjnF5YyhOUTS8d5A/BRpbr1PSiCXsl6oBF +AhAEy/Ajz7FV7Qsis5xZHS4TVD0fafeVBtCTWqXau47gyTRA6DDSeILk0qqlHaPb +m47EukvuIUKcghLKwoITlegyd46+D20WLWqnZxKhrjjp5mYSwiEtu6SkWUpiua8d +E6AcUhWvgUGTeg6e3TyhjnOn5RKWbDYy6RhowCNfJ3mFmY5pcUNabW282kU07INJ +D0mbq/mxPeGDMsz4/AFdmfrLBpaNkjgQki/C0MnU3sRP/NpVZYTrkY7iyps+u3Dg +RdIldNebL6EZvJuvZke5kEz5E2FUhJJ95P5vRCtI69rpjgQgvqhwmEysqmhwtshd +6IRI1eMlPo2QdGUtLM/v+UAPh+FcL5eAt8M2FltxF4IfHcptmKItxLuMRYWuzHZP +JRJjzX/1ApWQPGuglKCMoJQ4S0997AKAy/N+pRIlR3cQn+aEZ3NgfZtj6VKM/9fn +bBI6ovBONfvOwplvK1xaBZxKHiSxtbeb8ANfNFBIBuA1RSnZWHhfvGSt3rngEGLi +nn0gyindy2W6Vjizdpt+IaU4nz3BBagOW0TWopl8VW/2+L7MFzZblQDLwdTMCNI3 +DRWWTFd0S5wc6HKcaX1UDz6SU2CfSHzxvwuNxwMqmnxdJaAXAleooEfZYbyjLqOb +lbJgMDbJbsM3todKW3KjXxgtOxSCihwoyOzm5Os8gvklrgLu7EmWJXCkSHWp1zGb +I2EK2OH/hIV1IKvrEWE03drGZ1rexz7q+S3Eo8qOlU68cvvo4q39gteYyk+2UUDq +mu6lNO5i4ili3RwORcw1KBoVRx+slkqkwyKBkUre47hYB3SKBXKrHZpHk64Iv5FQ +weuds0C2YAurD3WsrfMrRlrXh6fvSve/Epkr8t0QA3E+LLW8qLxny8hyR2uuzG/X +Q02WnIk4w/lgZ7LjjitSknHd6di7gddKO8Yx1PVd6y2kNU8UZM1whbG0sei36QEG +FhqStD6TYLTYjUepudgHA94UsqRxgsxBC8oaUh1NyevfZ3RHc+EM6AseDW8wCHEz +Hg2loChWvDILKWuHUJ8x1X9Eh0FDgoVFBWsfjlLFtiSFZsmNGZctYnW/UZvVCcEy +JYWMkoyRswjLtZc3M9jEJeH1zysU7ETWZueir3R/14bFtLlo43Vxuh5UhJiQ3lvS +JoSpBzjrUqfwvNggoy/WvGc0HBYrExItdN/lagYI9ch893yzdCuqCAWgzFgC+eXg +jEcf9A5l9/ScsqVabOYkgN+nJSirO7nutx6HtbfrVLkdOCh77D3p8vZkxBUA+Uyo +WIE4dFsMi1KYWT3GqR2CLvf+3PWbZwCXxpfyFs8znSa/k0DHNW+1qeoYoDT+r0Cd +iqvnRc2wun42vC0Mvn2/chRxqcmtsthBE5KmQBuZKXcWvjLaCubGGHDGjwe3kA1G +/HYpsztUWkutneRN1KgLZpgbeVDGNMHcZJA3M9D5zYz/tmiU1MaJlp6teDwEOgIB +3m1krfLdMThKUpIsAoCIY0tdsVgHw0Xe8YPF9/IK4KFnzFdrj680drRCxi531W5J +JfvkZBarEoSXsVjV7i3ZZsKfBRCAZgLbHPmiGqRop6ouJykq05pkCebN9TijQRnK +ZeIjr0x+JBHW4VHX1f5WZQo46tPNuo091TFlLSmZAegRIeosSUIjKr5PQInqAfEG +ClyBtVg1GuBZWnKdkUPqxoBhe0dqqI6odLXrn3+leGAfZAHr0yj2Zq73xl4JkYDp +N1/LmQiof3T72xt+GpcVMWVxGXzafhiOHDu+5y0SDa5mOFBQ8VpdzFZ3HCyq+FLO +T72HBLQSdrAp8F2pcHw5vhSBGlpdaWDTsIs92xiu4YjnZf0H2xNGYukXfT42GeSc +jnnfcQ5wW/U4Y0HZ6L7INgC5f7Y9UK6ZuxehYog7/7uRxCNCq83vr2dg9NvNrcGZ +yJi7TbFvoJxqaYGDfd2I3au4+bm7+/h48MpxdvP9wZ2Kq+5Mneccxcgqjcyl3mTP +Ye+QEtLys1JdrQyttGPRsbMzVf1e86oi9BTT1WAr3W5GtC1xRL0Vfo9/wGOM5RZm +8HPyIsqSQUoZXlRh2H/WlLz2eE96L0W/EWlFFM+Be85CjnIHoXDNNvUdjHimK59c +7NxuSptlbOwCZiaiUby6zroZSP0MRG1/w8JgRjlmxLeQWmEofiLFMILRin5Guh8p +yWc6FBiws+EVa4BDeE3JTOcdyHd9+uPqVXc2vIkjpfzG5Zo6J2ux4ulhbsRCmFbX +dz0rO1KeYAlklMlAkA/4pet/kOy/gYhXwYpn0hiSn32iHEAdJ8P5hRu711eIwlkS +ZCfJUCKX0+ERkJ5GsspJ2G7MQxekglTx0X1fuY5tZRVloBei8VI29K11fvJnk10q +6fcZdsZrt4nXDpof1pnB5H2fL9C8dlSZhJmFM+0vy9cKtzQXG35gFXC++stFpvAI +Z+1p/1cs+JT4z/ZWrPhIydaF72VqH79Qwe2z6Tq/2RpE/mUi3QZdEaTwZivypHGR +kC/AwCnBUi5+D2uBs8aSxP0Wba9WnIWpSetf10haysnWkjVyBwhOOyWLcFPCzzH3 +LaYOPqDz/KSMON3rxceEihhiIXVEJJThamZGhoQb6cruYEumyDQ7/xQSi234b12h +FVYrNKyDqc/aUOrNlo2UKbcseHI2sKK5hP1joT2ulRLcdc8AXFnAU15P95Xqok5f +g4uKDBmYvvomKnvSoMSZMYVgYN3O8xTX+G9l6SOGWIbItoZiTBlyRp7ZsyufpSx5 +30Bk95REqABDU9xqsN9Jw5XfFBgxxrTCehekQTWLGtZoc3c/QaCGTBvlwNZz0dTp +1YG/fw7uN0ZOs8NpX09XjqOH8hfEXGo3ZKxklZapGCY2rQdKKeDXQLjTu47TagIO +plbnRgJtXv4uhGdhOz9XLQf8VHLFeZPnNUkIV4A7CWc8q8Br1r7T/nzEGjAEgtD0 +qvfZKRWuEFjoB7L6n58AbwHivtAW7mIiggebNaT+pFKURaI7raBkdNTdCcfhSH/f +xzHFU925aLETsmZS38tCLScHA0gXfBQuKjSKJ6rfYGiWeZ0CdlJ5gQSEVagGK42G +rxNagmVcVmreg9tE1kWumr/UIJXhZyuxN9V/PYJuNPxO9Uetia1FUDG0rgK9aBr/ +EhyfZcjR5VFx360g3MpRqO17wcMZtNnUmwR+BcELMgsskPlKnminsvGEduk8DRK9 +k0pg2yYAAL/KbUbvryZbGf6tGHdCANK9egih3iImf4iFEkqsnBL7RjoYak/Kp6Vw +E6m8ReI5h6Xsv+pj/VyeMUlc40iWLfK0gHjW8mnm6ZwtzHuaxTBS+Fo2n4kz8+TS +Yx/pqHMCArWvbSP/0p8PfbyWCnCuvZXofDE7S/BXowEVK4Zudf4h5rqfLexUzMDo +LdDmuZpghfW0EmdPTMxkoKHJVyHiDCREJnEWmullsHbO7UCmt3rwBwZ9O4oRlpb7 +GpjDDdPkhNukIk5nmNRN1B8AkqXTulpgMXmEembs4ylkwkZllJ7fUeWRaqfzl2fr +EMYC8kKrV+TgscdlsLrtMl2LbDhOKv8Kx0nmVpMP94wS0C2R3oG6zM3sNvWdCLCP +mn2iohsvurNXwOHimNu+KJSuL/rYnGllery0ryPdz6BNRSSckrbbhIcwYwUdr2c/ +a0cyVxgQCj8idsMaJkaIsCp49Zm/3F1EHEkY5njYPyEcvX/NW3CpCoNNPgCQZ0S6 +zIbuvjLszck8P5FCJMGPJceVgI2mLtSnqSFoxHc144MtisBTZ/+69E3o7b6+8zsd +OXYbawdlhe/MzecyXz32LuyvX4FbDoCIaYVplLX+/3F+KpVflbxjxJo89av6tWae +gPYiBUC+qZ2eFJTrRIlVF5X/w7aoV90+A0mqOzS94VmYL6JrHi8MeiIREkHzZucH +Gm2xdr62Iv+sz0oTbKSMgM/JgKOSAR/7fRMXnST3jGvts+YBi6ysPZb7k81emdkk +WPhUvIuWM0eywCiQ4cw+CNFUXyiKot1S5JJrykkWEqd7cRinsKqoP6FnReuk6Bee +6m+ax18KDSgkRl1jwuD7BCI3TeMCMEbEwVx5AJdc2l/VP/0sXfZTzTv5iXoQUEf6 +7rZcOCZ2D7fQULHEqgL18kUF6erWDSpmxexdnWgK8ZoPP2RgRIu8opqXDQGFdRXs +p0dVY/Cg8WaUBkhMxVulfDZK46ul+aHfpTH9ffX96FmWqno+fDAPsiQHyIO3g1IY +xCOjdxGS0qTLjjaJ/Qjnybv4ehtq6XXFp6njDcZZ2B8xkHCQGhYibIFQ0JvaCnxq +diKnH0RBuiN82dPb23a+G8MVW8SbB2NfPOMA1TrekPQ+TSceczX/gaop2kUmKuLm +K5DdERwGfEps6MXtyXEt2/d1/phlg0R6XumVkzfHrI6McW9o0NSXZ9Gm7s/Z9+mx +vEVbtWuYjHyB3HmRGBcsWerUsTJgeEBpkj9dR2VeC5veBlPh1z7rySczXmBhNpiC +gnYLkz2xoz9Vu/aIe0UBjRJ0YCZx8+DGjeBA9T95RA/jV1IUUc10aWqPt+ii9wr9 +a6fLJJ4KAA50KoyDbQCa1sabtK5Z49bRkTWzvMEHzVCsyizXRiv30eqKnXaC/yXN +1ewQ8o0Bh9925TiwKjpaXA1Azy9xEs8lKuOIDwyXvXjEWaZ66ys1uePMUN6p1g/s +4cZKQwafmggAAul7mCEuPHvkEVWUGDK5HoVi4o/qXEiuzsiAyDiBJUkvUwoKf0t/ +UTTSgHJpwTYpRuJaLG9KngLc46wIo+yUJY85+O0HH9IDntm92Uq4Oe4S8kf0Ujap +54R2zNPvIVucj1LZm87v2Sni38aqGA7n+WFlWHxHsu3PWLui2nBPKOYG1HKJ1tjQ +BuH1Ic5vxqFxFZOvnCK17Ciwoj2QBmvZUTu0A7pgPiSlAUd9CWLSgKHMZwG0kyNC +63scIJzBuhJJyQf+FJ2hA/MSwGdBse9VZ/ywbLJj/le+WNPFcp2zhpcfnNMfI+gI +0lBROOhrJLLZlNpFPQXhJ5dlBbNApttiTxkvKt2psce7YKPIoqAnXvZDURVlguD4 +zvL3t1DYy5B91e21FQHiSd7uzVJ0X1sqZf9dIvnRvE4jRNyIDv8qCNn2PzoyLSoT +rGQbNcwjDFcbcLiVyAh5J+KODD7GdtrchC70UPAGxmtmWiHuuSm7Dd9vUhb863Rm +E9d9ijWUqJkbd9qu5EDMuAd6axqswJmQvEHQYl0PhMh/9+bmxLjZRvqmE//Q9xL/ +bYpOJw804BW+m+bngX/ulJUhwSnA99xhA+F9Pye/Sbi5vseSoH7JzkYbG75glS61 +nE9HVa2qdZA8HD76MxaTMVKWkMpg0IvGF/pzwqROwfcEI0D5c9elcr/hAyYeSy2F +rFDXJI/nimqlWCcdMjKpCTN0DLHnPvj76JO6rp10RsiCpkLuSKaENoV1GWcyudUw +NyRKM9kzzCueEkW9E//RB4ON2S4FcIBMpnkL6O7dwsoBg2danUFxXTrlpjfvOEkb +X9h45HL3kiJx8573rdtUQhRbFzi70TzlObUhx1TpG7g+OBfur/vyvcPEIzBh5EQr +phyEKNqfpc7RE6KzWtAfJ1dB9Nboq/wEq8UTfVTLiCtge8GPYEIOMEyqy7fXxtrQ +a3XWAiDInmkcsrxuHt/R4dh5M3yZ3nvxxifzhCclcZ6tDemgFlZYzqCcXNzHLsuu +NG4aZjvMJoMl0s6jNrYq+sRrxuLCX3ErtYQ29bcxPalRznIMIYrCraaHwM7QuaTr +V62Lw0WZ3W0jVcKvp9fL6ME2emFORBJLpRl0vqK6Cft4lMqyysUeql5yN74sClih +ON+OWCBgs36Vxho1J1+IMaLQLSpuZE51mwQmgkyGo5ngIrucVX9cMp2+WI3+L/vW +H2587yvi6Rk3rBnf4qmPxlYU+eH5rMTGDiy0868TlgzY0OgIwZgF6k7R3JL6/ciS +rGm/vII8ZWgkW3zkeLhzLOUqGeA70N5RGmJz7X6dr8KN6pNgWyv7MEln43xGdrtR +6oIAytRD8PARWRgtkb8rZ7bnTVJujHk2uNdn+zFe+0nNaOvUGe16iExfTfjrzwi1 +5WmInE0bsheCJ9SDMKBqlWZLccP7L06EXNYRKm9wfGLou6dLEeQm1lZigOTqQiQN +ir9sjdPDK5VYXmJodnC/L3luiKfINM2jZUjnaPuG+umTEFHMJ1Rp1q+QhGsYel6T +w3xRCD3xbLPUGkdJUwJLhi5ErwOp6HvXgPhupXv/QrbUw8Gt6qAZ7tAgmO3hkQw8 +nAJOwuJrI9VR1Dm03jBXHPXFRI79vbxtLO6IWKb7Aeq9thePsEQiLBTsKoCg+W34 +1UUKvI5CryYTAOJVoPaiTYawyAZjKUNT9gJ9uoI/iWXYgWw95ZEz85uvd7F84lPz +jY9TgNcl60CT3ufS9nTPVoIn253v15/u72FJEnFBY9nsCdMBdZgg01oephA6YoVb +LvJaYhZdKCeVsQZSGAFELrYNUekgdv1ZR92X2jWhOdSfVKJXm5ZP1yvEnXDOMfPH +vU/l7b7Rt/uedGEfZZPv07GhM7Pdr1FFSQ3lIRkTf1BcvMtw8k9SOgCH3mk5EYWM +cz8JQ/iGeU6wj1pu/HWP/zlHweMdDozm3H77xwMdS6XkP+MFUDPtfZaiBFwu/2Fw +gyuwbpmcUMPa+RkyU4eipbGgQROMYa9ptyp+zDCfMbcGKbV2C7WsspikZ+xrozcd +eU1YhIftcVs+DuFcrDG2nz8CJWm4jRXRtXDYDWzh5iCd97bZlQDyymvnjcF7YS0z +TnxBw3wDgWmvK8FbiA9UFK+cPLNpSQhRTCjCVjlO69eUiTkld61kzOOaLf5hY7OO +lbadLfc271aclHSHy8vGM/i3P4ALw4XYkbQO1IUGZGdEo01LhJmq3V8dy1JAA8tf +2aRPi4Ud4QCs46O4S3MMBw8toEtJICXvKca2FH5B7o0h9kganFzAe72fzWKA19Oa +Vyk/yqiw77LGvspjaTKFkn2F+wowXyHbBKrNXiIWPkuQpZ6C7PoZnqSU8zhYGfCE +Uc+jFRJaiTRbfn40WW9Mt2CLB5PwUO3tUov6jRxCxV7U2eWLXJdAlhQG8CvkOKIN +Rg6gVuS3vj9BGWvwb2Dr8zYC71wWtf27jpUpv9E+Oc6LopqUE/Y0WP4KkTYf1j7g +x9b0ja2k9EkwXW6YJsUkIuWPbpcl/2akUyOwnnuEAqzV8yFDCYJROshf1ywKykHX +dpXRC13p6PK8iblDg4kXQeHWFkAy9eGxOVl9LaJVoJYi9BJkXvx7XCEpMkz6ijlZ +noeHEqn6GJGyeGMPjDbAoZtXlGxdyVMIxzp46bRNkFko/vGstz6+0nKoqLYNul3V +IdXtOa/8sdMc48O7hprkrOncqWmD+1KdLoSgr/6RDATM2TjX+ZO05lX/ubW4+uWm +kxzNtdPogFGMSil9mmNE3c93DMbkAiwgPTBNn4N/pPmnAnOT3yw/NUsl15CeqzvK +dW3Ob7Vy3hbUlD37dLE4M8gNQDNKHQrYpGz/zZXhgIjy6OjDN5Ssl7R5muMnYuV3 +heJdYXvMvqdux8UOSXdTqdHkW6tYw7Fqd7zWJaBOjGF9kv2IPQ4tSpsr4QmktQAh +1D5MrFdRdYxBHyYI8q3Y5NUVpaeVmSx1xboKS9TTjfci/hJlc8Z1+gyd8Y3kXKk2 +7ssCVnitjfJ9H4tyVpqF8xrP/QTQrWVg+OavsLWegf2r7TMfte59X0RBGRGM6r/Z +PpBsHiqNEUZ/Xzw5H+7NokKsvNfjubyD0fjoxC8sWZF/QCYSkzrWI+MQsEmFNKdy +Q/pkQ7jXJo+hpEKlgbgD34os+SaVR1+q9QRSm25LZN2WFVFRqTjQ0M1EnRyqlnmM +2LqL5yJ1503wvfAEPgqE4I7DasMEx4MPsHYYdVSjmvmhgzv6otDHhmyvXt7NEES8 +S0eP8mmuyHCIuJWCROqPafghCA9nZB096tR+bcAwL48c2DoUa2Gcg8QV9gHj83GC +f0cAVIQ66sCLFxipxVDmrDaXF4qQDDHijotJx1t/nTOb9fBIFGkM49Mn4i5hZc8f +A8FllYHhlhDaQY0HD6/pzxWTj9OWJoMj3XpSGzQ01K+TFTZKHQSKDcyoy2ENUnsd +ONphaNzJVK6fg+BgqD8jepnIUETTRgL+u4Zpn3F7epDYk6V7gR67A91Y8gsGs9XH +j8gKF+fZiyIecfaxFirFqaxlozrFBCoEAl02qtnZsm9fQEEo6sEzAsI5iNKMZ9JZ +ftHyQypdOlf5K2OnIoMzACMxi5IuXfbOdjqHRKOm+eZQwFzXK4jcqS5yP/ozQ851 +8Z+HyccIxGT05Zwu2iJzRyhAhZZ/Vo6pwYNmksmAxl18DijnXCisBrso0w1Asc55 +aKgzi/gQik44OTfg8RVa42OYvnx7s1UvthAqwyHfcgyqNdvntpd1l1OYWAk1HVkP +eCHisrj66MHfHaJiItPrBQgWffPaes0B4p7zCPsq6MvcNRREMpP+TQfKvJPymDLL +QD6JzEiu2OHTI82lDwpVoWYp5Yw2UeavqnTmBWoGzzcop9Ny2Q7zfRLrUNBHjtNS +D/OcYNBLM4SQd6ahikYshZ/nmDUqJ4yXsCIrwLw46uoRcKpCi0ZlzPcNeq4UvDu0 +5SDp/lmlD9cJ1S5UuQhDrVSw6g/khpJzWzCUpnxuouWRZOajUNho2bvtrZmg4khd +ZpF4ii+YLzR27K3uuUXidSNmCw1xJ7NDbJ8qJGfhPMg1QJEDn/0ENkXRtBINOXdm +qgnjt42ULCunz7b986Gs0Swe5tX0gZA+9dHAd0ouZSC+EqB8wwWaR9y3bMn+aCQl +m5XPxAMh6mDpUn7btgk3RKD1SRJAtfCeok+Vp8zg94QRqwncABsYJ60RGcUSmlcb +H1si0LQ93ykNrO8EtvDMIxzplbyr6ZTB5Pqgkj5hvaeGXbeVui2nF+bq8LkRnoo7 +w3ZeDDtj6bvSYo0OU9XWyelLb8TFRPn/TXrp++gyHQVc55Xn8F91xjdzzislIgmd +OqZs3Qp/IG7l2IfRcysshYe8DTyslAiRGNRBJbVEvDLli2ecTfD96Z6t+GQOZjJN +I/Z6TUF4Ck7o/+fJEU/Fy9qlEHURYesZSHWYVPxaK8CyldZgpVlk1RNhwATtJ9QP +6WFqBOtbzXFplnFksb3UGsbpCrqp10sHPr5qM/oNMfFb32Q2CbziS3nAoRVC70is +bvKPGOOeAn9M+8LR3/WpB5l5Qq9CuupzoVSBwFdZSQCjFwbpSF2wsJS4EtPCmibj +r4J00NV9qMkYJbcYLitxICWEtdw7O+3eox/HFwkNYuUfS+CI8FdAE4IFYalXJchu +F0l3NsavYWF0Qk0r2tCdRr8bt56X6KL8LXHomS8nrCDMdYQzm28ZZ3ByDszISNOL +B6EhLmfHNOXIM0OtLlrnzLFg2Z/YzgqdfiZ8AgIPQ1G3OePhXal8DtE2tgIjX3g3 +v6KD5FemM7UGomsZwHuaWdRCRokAH5E745igURRdOnFYebsJ6ENbMThh7VX90oX3 +KdyEYizueoFLXtxkJqvpJ5xcEFrbb0hebt+is1dDFRRJCFYxOcvBMsGWSVd9Qh4l +X+vHWmelEzPCfBVqPpf7fdMiXfH2oLtyA/lqP2ulaudp9LpTdqA81C3DAI5T0/yU +A7RpJwsGAPIV8c5YlZzp2w/tV+hH3/neGRY7CcNAZzP2iiGn8enoCoEYtJeuWNKJ +QGi7qonaKd2ktpAgTrzg1PtScGjB4b6khBuwoEqXoWCui9dSVTBkseUh25A5m+Ab +//NgnMeWP2Zh+QsmS6CfMsyVedW2UQdKp0B3EV8m9+qy9HsGfCDZ4PraB8tWeBtw +Oe1EEZfuN/AJgQGOYt6gwis5aVKinUkVKolildjr90EkH7MeyCi6V6Uvm5pulqTt +LLVIdGWKDJK5APBxdiUB6nN9TLvjSIcTnp7wB3egLvPmTfq2f8yQaQEF139IIIhP ++o7Wk/7+ddi6RyOVPGu3MPJ/8LO+yXlNHbHkT7Bf1gMmFm8vZxhQastm5v1xv2+g +tlEWR7o3jYgRt8wiHFwferA0lpqI3ACASHGI4uVrbwgUGPIN8Hg/QOa7pnjsL4Mz +686Xg/CvAXO/GZBGJVabde3JoKtcDg4NrQOaUh3nrLTGqoRxNUB4LHZez8cWDloX +/klMI+FLfEJ8IhOImnfJe3vAPSm0DvctmDVUEnSdqe+9Sm1MC0O/NScEmdlpQxFT +tYWJI/odn/NJMV4lFJtAMEoBNKCrcZ83xgr3zLA2p0OnmUEtYzWXxOec4WDUMs86 +gIoQ/2whY46iV3X6IHogYp4dSWdcPtVi0xdLn4/67ruz53GOu+CY3mhTdpqwhqm5 +nylLcZfxZKEAorNUyPBYLaZGSooTOpGUQ4tqVR+9D/7EumzmeM9NkHqyG2Pxaftf +6qLMho/sniiCsE/zmIC//WDk3q14YZ/0Rrp27JW49QThEfucT+bbGTrDiY9WQ5Dz +BnTr5ovT9MZAUgW8K/+F/piu8Q/hxupzhv3zi38jKPkTpi6eeK4j4XpVugNMKQGt +6AF+byTE5pnuYjE9F71JX5Wx51rHQKheYAAp3yr3v+c32y9wfzs4i3+vDsb1zobt +86XN+aBREvmbqx52ko2Ul6qqQW+XpK/eLOekNF1CFanJS2odvlEt6wGQ2KFRpJXI +Gkc3FiWr4V5VQuCVngFdc4L/WwaEkaV3X3/n8WDr2z4p+h50hTl+QfxVx3RYLW+3 +8P7Tsbk+GupYeK29MtkbV3TJtcMu/TW0/kZf6k3IyVtoOynIoMQ84ge9Mzmt5+N2 +ETc0fw66bObB8E7HvS+QCw/sljcm62+ea8rSfauJTqg9F8MNgIAiUms3SaNeecF7 +apca4BIrV8MEV+4x9g+quBPk9mbt6VK1sYKm6cT/HU+Azzs5DQ+ry9PSyaVxsaQD +vkBCdnvIli4B/1w0BRgDEef6Tt4MlGUSCieS5PeMSCDowZ6HbxcSrp6jOqG+T3bS +CcCRk38RWqOeddF2X41QRjn5sc3NavvuJ2Szs3J7aSJVd2Pn9/318CFdEsys3cyD +rs+YpyphoJ9c0NpkDbydHdiJr7ollqYKWsQ1CFNqT1dKCIstfgDXtpoPg0B4QPLH +DkGlc0Sbo415J4N3RtnuNzPwPYBo1E7w9rTGzwKbBgyfNdU0l0hhIdesQ/koLvvf +vm7m710lKvAdMmkxNvV5GIIiMf/Tv8TnirLF8okNKDW9wWYlSzyJJBlbvyVPd203 +o7aElV/LOrAmrUpn7bk0IETynuFiD7VPvzoQVduOmLbGvLel04hHq9lBHopmWX23 +7RC+/BnAOTYo4z+R2nXHphqJwb8nKjk8KSsA/T/CGtTEbxy3EnEm9N9sI/Ry5FdU +zSdmS+GCAN0vtrVDPp2c4aZw2eAz2aog1m+RK7Sqn4yld8WZFh8/HiR3rfjEAAcR +x5ge02vyNWnzwb4tYQ3e70d4iD1QKxcjnDw/WDQynG7x7xtBqP/ncHU3TIIoicnG +hjduHJgldbzi+srCVIXSrPJYvZ33RdOFi2gM48uBPk7Ydj7NZ+shXi0RS5D1mN01 +V8uI8WWB+RbGp2t7rwgWhB92bB5LL02vmsYNA40lF8DvzdT/yeAPXD4gholZqYbt +vxjCaHhiSriMtQNQ6F8htXKjc3BhaWXAnhXORdOPTVFGRy0Odo0f5NymuO291mwE +Umtepkwehhi0MEXjf48fxKtIyMgp8TD1FMg6etRpwQmgJ09aeDQDt/Een/QSKgiX +dRj9Ajlvna+lhQSGoQrxtNCN84JmHbxN/nYligWcaMKanT0Y6CMmVtvKt/KLwrbA +202M0vHztvkD4tpyObcMWvtKP/WBctJFNzY9w/M39mctotxcj0UHp1nX84/zZcSq +k1wtugG5/zTqtMVPQDkU77/dU8P4ymvDEhOw6/aNgIXx4Uz0OkCRNTeZJjH97ftE +Oi6m9e3AFax5kflAbJOYMDngc9VhoKy3iMKh9tQIdp84N/uBJogg2Lt6Zxeg+Jt6 +jZ5OwbBjUQYTTxs/knQ0TCexZZyE9e1pnaMjFU9rVwFYgmFtq27pcdMGDRnkMK+p +yNeVwHyqoqlg/kHEBh1xx/NnmHdWybs5yKlSizLNb1m3gzYlYE1JWs7qMgPasuWD +DZIf901QKFyo+h4VVY12BoFkQYBHQ6Ud6FFn4SzvN522vXCO026+ScIEgT6yW2iU +cDCLOcWtOhstDYok2y/7+tNUXhBy1vhqWYFNJ6N+B7uT2b7LZWzlCiGXlGUNWbRX +Pr7eOrdwlmG++FqiqzpeGA/v3tFpqoDDVIvlMEke1C8LyQ7v69obKd6ZjMzChvs1 +Nxq2bAwUSDZrbuZKAOzvDZ+gD7WKVmE4qzs203tW7VNVhxqBImhCsqsIhM2VdQV1 +8/la/DLZygDWXUsEbedPggVFinyUkltE0j96DA45dFyYh8dNr1UqkukbK6VNbX4r +SG9uivJz/rcW5v/LZV1K/kAn24UdLfEC1VqHyyWI5vgs3n+d9N77/Dya9y7NvOH9 +sGf94lO537qO70oJ2rVCe1TROu+uufiGROOWnHT4PQ4G+pg6lMWmRiQiO3uNMFhT +M1x/bTyQAFo04zOonLRYqaFdYvMJKfbmUCuddpmNrsJSS3NGquKyaRWaIIBOpf94 +As16/zGGrKUAqNFl2jPf4ZwgI1wJQiJIMJgRiam21/daqh4RjaCYkNEUxKha0hCK +O4RbhSFTfQdmL2IioWiIM3rZC7m/77uhOGLI96s4uwlmOZba6OAqcYAOpHg7eQa2 +SF3Qob8mEhzKRtl9dzjjbpsfANotiVOd0AlNnM+boNSfpWyLeoWZuEZXjnHeXjbg +cEttNAg6kUOaPgyjN0wkGDZHuppfzuhNjTweyUYCAXaKJAJ6PefEmH/8stQb77S1 +L9NsuoJqOm/aPAYTmcN2c0993JW4oIzE++fw/0LHZTiPDIH8XJo7xsYEGdre1QTS +w74i9rDMUo00XbjKDRtYrKH+PZn/Ck6s2Lk5KAtJUFuu9+v6zh8QvHXHE92tVSU8 +zWmI83akEXbvqmrxksZMa1CDFEjPu4OV7D7l93nd6nTvd6to6KesRaJZ2sOgiDJF +BqekMeYu8juq7LlWJcKVdFbouuNTHeBNzJUbgsJuCOW3GVbZ6Rb+QZojZj2fx3x/ +ibuTux5n1XyN217ZfL64EV6cEe42r2TssLBHFgwFxYI8cHeRGaQQkdUCqJzGyZVb +V/NXCugtmfG8+F48e6Yo9Mk7Mu6xxTemuBPPJ27bEZ+ho3y3ccYrs7Oxand0Jr+Z +VDgoRmLLnr0uaSib9JmJV8lXUv+A+ZqpGFEBhq+6XSzYDv1IaSBCHKdKfJlIovOs +H60YdwgW2LQ2VR9wo9L5wOzSiifDJ6ZPPz0Xy+/rVkgWFSW28qG2YtzxdRfc66T3 +yaYpU6Ztkh1dd4GiYi8zXaeAkqO2BSamX2eSEFFfjG9Lb+MElA6PP7wXICp0IHCy +uBBlcQTZeI+vxxclDcCmfC5JFEHeRhTss0fuAeeZG+3NSjIPzUsyr6KkcqYkbfFb +Zy9EBleDezm9UMwfAmmyD/mMm0JfUyikNuOTFjtuXrMLgrv5CrFSt7oaYOUKTBMD +5JLsrvwVklOPg0iWzY7rJ3z++PHWI2PanDwMDGQ9uxADvmoZw/55QLCBILGtegJE +CeVAXZiGkYz30qrOLPRmV1L+xiNTnLCm82dUAaQOv5amAGxu7agSHBNltJeAEWI4 +t+IFbBV6lBydRNp4RzyQu534Er0M22uXwCuwq5HfIcQO/aLZ2lkaxg+PznW46D2v +xbGqDIQrDQcgGYPHz8/sFxES8HTXI24D9z+dpVsLcFcJk91IKuH1mzz1NrOZ2c8h +9tSYMxhps1thgYDgj2rVVNIBcSIwswONXFaoxps2wl1uhinfIleT8skN6E8FzD9i +kI82tlHLHh2MM9LMtX5cwEw7BBsIbbfg+cnn8Iqsf/6NnW3318dLMxDm6fInZeMU +6kJCN3Za+mff9t5640LjjMRAQ0HyXN7OeHun568IfNDXv8HIYq7tQYOzvKNH9qjR +Ge+eNt6hWh78oh9cxI7mL1Jn72ED0hSAWTJxB1m02F8TXqs5qu/rvXHehYKqeQ8P +j0a08cbbTuXtYzYvjxW9UJf5AJo6rFo6WREClC4FNtwjRejuXEJazEssJQjKAl9t +AIPZwW9OJP10dB8rEzk2NF2cN2XB+WkDljBN0V0+XLYtJcqIke2/nhQmmlzFaW+v +W288gQRTzkvy/754Q80vHDIIt+6rG+VaLtN1vlHIh+6QXE3DQFPbhc//oOaSbNsm +HaXWZ/UlHzsgpXBe7gNkb2WSkYST+v3jQxGbGYjdzx9IHe3WpPHwtrilAMVrMFih +U6+QRtodGIx6FeAn51H/oWgNphDx9NoiWuGzKiMauKa0pPT1uiKimJkpVrE1eoWB +O9a6Br8sU5cF8P/eD5aZ9bvlwERlZ2GHY2BHaeCIlhAhluy1VH+ApUke5G/WUnJ8 +JduGDcSpjVwv6OjW9dkXBScUY7L5wrG0yUjddcZXSTz5arhyFxVDfa7sSHusWDsY +V8uyGrJ/NjskVA49Hzp3EGvuUm9TO4XQCXjUe5j44Fc09dIfxUAwTicX9XBLoW6o +unLZbFbCKugW/1nYHGeNwQ4QPBqvUQUVPAzZlVYhcpOui8Ko59HcMhRryR99JiGl +1rioFwE31FaKcf7lLoRi9h2bUkMGHA7scA5K4TO8AzcM0LEYtJaFH2201G3Wz1RO +ElSD84p/+wbi7CVF2RtE0YuWGrmteiDJQM8wSPQHW70NGrjHzmFxKUsNLnAsNCCf +fDmACiQduHdPkOTZg1Be+AfxDKl1wOP7X72//IDfp/VwGa95LD4Yd5BfOZv2gxgq +++JVSZIQLwjcpMCKQuv19zkbznce/orIMPbg+THwwmsEXbQJT1B8w+tzhXT8B88L +HQQ537vC8TCTy4sOtiFqAYkgny3cV8ISU3DPCmDiq3vhspVwTwyoKtA8rEAk3fyj +1BSmYPBNOfMmubRgOytdmKNJYVrcpq2xO21SpVKN6eiaZEwcZDuGG5tNfSMrgXIt +m0HrvwoG76qrh23CfQTopKM8x6uy7DS5oZtFXRkaDETap7mS2eHeky9UqGrnRvoe +F/TXZ0Kmj3W9yUhKaJIxhrJYsp/saQruP2fV2ORjJvUj2xC91mGxtgYoY93qVZ3o +YLpRhOqMtqF7vPqcF8gTXPuEZNsfJTpNfgpLy/PqjaxthDkCDKkKHdWkArRWew8D +zMRnRzvmI7hjMrO8nFOy8ZX4pxULLVGam64USSpIsWm3ty8Os4wGgsFEAhxdrgf1 +nZUmjntOUHi080OH75ubp0TH8+14waNeewzXSYyuLuW+0zLgGUDzYnY+7tB8+kLv +J/w8k7U0SP36ClABfMSFowtf738QYZ6a1E1/Ijl49vgpbdW8JM1BHdUjrgoXRbHT +tIWVPZ7Hzo9w0kHn+HRwzwaBe/eTcyGidt2syf5DUOBkp832jNZg+KJHwVsmoNMa +V5dNesbJfq4dVnKpY3BIAy+3H60NOaqh1S/mQGjhRUvsaPFVt6QZ2ufmht6Xfuxo +c+FtJiYm+Ue8BLNDmV3xzF1C1cVO1tHLLoG/nP1916E57p7LK0gjvjak69XzbUy0 +HyCatuk02JKPTe/C4KKc4hfpW316n5xl5dMhfF2RdefAoYrCmLRo+FLpMzfwwosi +67HpQotFvS+7ijirH2XNfcbopZ4WM3G18YXu0Qr5Cflzx0QVuRU/mJfaGoPlQipl +bBAj7ATb0nGqg+FgR/74PFhwUNr+l7yWYZIviqNZGbe5FrIxHguDxbRXM2gtiaT9 +eGaWem3kFF/UcwfS/fVwM4Zvixf6jwtUgnyZjNpeCimWdgSN6qQVhqidCFgyYvCY +wV6HYu0oqxI2Estm9dGvLXtpXRp4cBjNdPr/joF3lUS1XgFmlN54jMqvVjOaEhkj +Id9257aSY/8wGdgsjjaXct8sxAEKn35NWSkL/zKTzoibFjRmIXP4ZSicMdutxffz +T19CHUjjHgTQvpTJHkUlVVowYJPmyJRzLImvtlO650xHSqhIJL5WIHpWHDRUTiOe +AOMMPntyXVgLTlLAI3jT3vyfzYzc8Nlbyhz3jSMMe/9B94BtlLSKTVz5rd7kKLR5 +G1DfS7+Fc3fU3oKC15mO23+h+CtCoOC7iIPfMEOv40TerQ3+odgWC7qPKQuRwx1r +Kd1Lpm0CDfdnOKOJm2zB2p/nsXo01Wr3Y0Hk2HdIAzdfIh5ZbW0jmHpXrn0el/Gd +2+T3eeTETletlnsplSsEHLNQTcLdUMPWMNigjJj5E6NnY9Clr3XMLf0vS+ahiHE5 +5eFtZo+p4t0UGd7Mt0fd6iW1cswexOEK+Pwq/k1okVg5pB/utBR9TfhKX3CklfBe +d1iIsa7+pkmF70SviE/CWRteeSNtXXgQNjNM+OxwPL7GNiVaevfadtXTcjTfqQXO +9xFtp1DSjzVKSDwobEMfKlQiSHmM9CYInHcIa/pe2YMLPuNkp8JiP8WzF9kg9Rl7 +/vMzMlfBR4o/ErQ487viS/qHdkYf5fmnx/CfzCgmOPAS7IdPbQXgaZ7i2eNyi2pL +Q2Q5zw9DsDUYFaEOQ1+UXSXCRwmQ+0hY7H6Ei+DnXjgUhpjWTWbcow2sNVTORS93 +xCnepqYFUzZLQ45RZFrW165r+FG6gCsBFulki+X4MsfmPcnnhqEcVEiyVSPLQDux +ytvEJnCy07K14wYW4YgMfXdbd8TPT9g+6PQYdaqa5T70m3Nl4D6pRq0cVDYXG+XI +N0MdVKTYod6tRmJBmxR3neC6v5xhaB14+QpviQU6x2fIGy68pVDns80e4YQd1KaH +cAR8ubp565HTbrrjtFSJk1FjsKlHqLbhDsLAIYq+qXZV58kSgpHMMkhuenhZPyv3 +rMsHbToF+Sefrw2lDHxO5CCvE7CAXF2GHMQ14UzQv3yPv3dbVOdGVHWvrKz3vDJB +pulz5uH3KuaRw9Gi5IJaJwaWCuAQ6xHBGgKiI/k5jflTa65Yg18Xw7/ecJ0bVG8b +OcQEFuZBz14FOvQpOssYa8ocCHykcM9GWcR2drrX5W0JNeI0oYDFnwbUv0N9siVN +kkEpszt3Kj+9XAFNQCTcMOn2smfhlMbi7Z+Ut+QsGvg2ByBkzlr3ehYKI0NHNmaq +Mur34XNRSdKg0UFpBcjsnnrK4wHutKAMA3kge4hURL0nhW6pQ4ZCWoOPW81yO88L +quIPtxPHDqFPEQhmvs5t6zgO/BXlbKlRJy71BkjfBeys5hk5SIPWwgbt5SJsMda5 +SZu4SVEEfzrzMkjoykzMrm3qvI3AbhoBmHSMSxEREy8xNyFvAYQ6OLGiqWAKimws +EnLrO85lQgJFPRM6bMfBQrEd80ebUj0ApM3Y6QB2djHAg3hzoZdn1MzwWbKQMbVO +4IvMw36ynDa1pWe8tta9fTYtjStdFUHX/v8FrIsWDcXdGXT3vl8rIgpGOn1r+ZkZ +Eh+AzruJtkDN7tFqrT+4zu7rVnAWqhA/4F6nCr+Dy31iqimI4tsN9AEbFkxR3Bkn +XXGFIssLT21VB2d6Z2Qqecr8TRj4bJ3OpgaBearpbeSzAcB+oPxXvmr62r25JKZL +mOhRp0jX6N3RVjffihWAczmDg0G8p756+O1DyUVMWVAmcJTW6Lw2pqcLlHZtaVkF +5OaUeLFvckz95QMSCilCqSRCaosvZPdDXgiyMyQsbHM2W+lsW3KIQZD4ESqJ0Go5 +vYQH8bjhqv78IhmyGVkgZYT0q3r43TLEOueFwskoFeuUCMG6TG+VQxCWdoO3jKqr +0zwEWklFVfi9EUlMyqFgxvza10M1Bt2NfdAJuRN5kudB4PJC92xib1GDIIgJR2QM +pkdYnCI5xfUysFfozvWT8y5w+4BwM1y9v1JWYMcTABAvOiMqG/1X4Dcuswel4vTS +5xPoETR8NOA6La5NNOz+MqP3egpiyjFGxQNLtiXt4ryIM2ByNZpcenditdZjsAfq +SpsaviVlhQCdQAstmBwToCQbEQFPT0Tc5scerILKIs+yB7U/PMdO4FUaTFeGk369 +omXA+VWFg6Tw7ig/uRuTwZY3XUe2d/ZvGDSDIEYivSiWezgXXStcn4warajPvLQz +aIJfox3LCO35yTpVO90la1PJKcQaXhZOUUUP8ihCQQwuwoeD1EpghkZZSUkJAhgI +4M/bmqtozld69Pama5nzIpGin4tK7AO2eLLPvQHSLoP6S/lWIXSssXVYvFFams3l +1JKn4ualNjAkKQa62xIgaV/Z7OspRSSJPUsvItO61RYeDNbV4gdml/ohjPvth0sg +OuHatwxCJYcZKs+7SDlc9IXzR8nD7apJIDWOdGOGuY2Exy4OS4gUO0UUmh9Frset +8xTfaKKLKD5emQAEApaK0X3IDXKgf6swXzSr6B2TpU2NCQ76TE0TR23d9cF3XuDV +nSngybiZdduFWTMMufJfyelmsplMLam4lgcdsyQDPgmqSsgYGmjmUeHukqet/Cq5 +/M99Y60smow1bwii/5EqUiPRV/dtuHh8cU35rbzhwJZbzbKiMRPGYJ1+VAMVIMvB +aM7prG8PThPYFAiT9SLMidG94U1FMG6sb45WDc+YDW95blS63yiSvOY81fJQnVBd +0779mi5sQuZjLnpjGGYVMRcsUzTRsYzAiRiaPNdbVHdzc/+p/flCpyNGX057vl8P +9graANbGjIbhRnyIGjHmff/e9IrbaX9T5bLsAfaVUDw++DwKWEIuqrEffwwH8qiN +cvnAd3mOuXkYROu3hPOyEsrTSJ3IkRK6RO2SvpoG8UNSW7bqE8Mn3eImgF+TEnLN +ZyZHqgw4Fx89Y6GCXSW7+9UNU3nPuIqMSoJjx+8ZIoA391g5lAelen6sDVgZ9Trw +iPpTv19fsMA+wjNlOQeksFNK7zsywfB1AHem/OrwgqAIDAxbRHQDlGXuEPZ243eC +5P8X7gMqxq7VaxuDnQT59K3YfwCITe5qA+qSTzyNjOR/2cVHZCiRJ/wo4Ld9p+uP +7jPefOTWnlEKkfDKNhQO67H48sWulWnNmY5pTkp0K1y3tpYGi4u5ksrSS1KmfyPr +jrcSLy65LZBsjjRcRMC2dt3nPxA40lJVFaKI9BGt/Hgdg6zc5jc5SFXtIizaYfff +lj41I52/pf1MuxYByaLGgGyZROAS/x06/JGRJreqUSCG4f0X1ZPeupelaxwzxFjC +mTWUBfoo2zVXk8RP2zU5tUvRDsrsziBkV9LKmCz2LX3n1btw55/4UIWloWvsuWk6 +JRk6sn82eYSkkPU3fSnUkCKPce1xvMMjijmRD4wcC0yLVwf5DK+wobKylX5RUEFx +ABQSvrb8nCRhy3ql6jR5V2KxikTa1exzxsDeMjbDoUPgpwA7C+DgRjT1H/vJmevA +lQT9y71rE/KHhhrgPYCyGwx7wzPEP6+4PEgaGv1TjRR10ZlZG2JllLVgplOqNk9t +aLSTILZamGo/wz2YwtVypj/lS25+wSsWgPllg1dtnxKssyKOsoLxqEdhlqm77ROF +k1nIsT+2LxhS/ivVpxNDVrQRnp881EnughLcjPTIx9j9kQ0nmMWPz3m1Rgw4Z3WR +yF0+OOZaAtS78/O1/bKgbi9j+ZeiftNhvYadw8I9aJdl/NzMjD10E7/pmPHevmHh +mkVuSYemxJLyYHg0CPOy4oTWvOYVKs85uMqXowdxyo6CTlehtosHnltEUdGctuIi +zTph5LPg7+vPjLEZPwBX/ht0Cyiuhr4o0v/ftmFzAjH6CFBACyYxcfMfZEHxZnc4 +UcOoYuBrtu65hqU5CKevElzm0tl3B0JKGuzyZ7G/f7cl7mCYH1AdAjedp88F/a7u +u6MY4EWlpWEPitPrsyJzi9Olt5jcIwvOvHkMayiDggr51riLO79hdUvD9+SWkRyg +N4OJK4V0PFm8T6riufBkqV9B006piDpi7EJPmyc3UVGEoqMRqPPvQDX8h0Ta+YB3 +fU9nCTD0lUbBtNsF4+p0tX4U5EZqNtdVtmzITqBUH10AIY9brgTsy4gGk6XKIEWk +8UQK8KivZDAaXNUIUW5BZ44gWYGm2/vQVVOYcYJ7H5ltkPl2hgSLsU2awyR4FIkn +P9XddQwGamVSFLt4vNY8vq6NXJ+iJpO752QwR0HBtcncgShpcHW4NMPgUvGlWhhE +9ux6DsFwkpC8jyIC6Rq4KfydDmlX+PImAviOvd+EjAkq3mU23miRcCJCUKPgjkNq +dwYiS5BlTHAz8a/MBm51jaGkMc30pyFTlrvUCvbI+sISksPYSRn4RSaRElevKABy +nr9+Zv3+NdNLaLYLWoHK0E8NEm7YZUnek3SRgCh/POf6jPo6qRwINWBbb5wEA3eD +PxgqrCc0MjFRvODAAGDCJPtZriW4d30TsuPdvr3J+4uOBtREQymbT2MTDlRfxkfK +j1wcqBBVajI7dWn0C9RH9tTT6doML4+9Vv6Y+efdV0HNuSIByLI6b2AjcliWCBf+ +0SjjJOzJfSEpUjfKLe+2mXpYdOmO6uhrXrytgjvUHHL9vgxZQkvaaAaYmis6EuCJ +CySR12ywCYwyic4EOzmPh+HYVQrnEOz3zqPXMYOh/GntRCrb2GWhepbSd3UUEokT +HrTLjp00kTQaY38MxHrYxLT++zRtyRPOX3jG/aYElsoNG2WcpXZNDAT04Zswep43 +Lc8oLQOni26Cyd2YsDZbgtmgK8CltqVX9K4yvfOdcLcxYDs01wu4rtVQptlLpgQn +EPmtrNiDh8lOL2S7tiU33fBZy/flFQ2i5pce656yhe2X/xDE/uU7/R/TKvzXDcJe +hmTBlGFOtJr+1eJJB8FRQMaCjh3Jk8CK+lX5k4Ug2UyXo+aZYiQa8TSCdkojFy0D +DLyiepRanGlUvWkDnnzGbw4FueNC9deroHCG68hc66IoTFLFuxwlkx01yOEvXQe5 +JvngP/zz2Zk0SuFdKjd8h5iclwa6OR59Nkket2JrfgFzzlZaBysfAFLW5IIFHNi3 +DqPpY7z+qCD2stthggdxRb+X71L4qeXueYJYvejJKfG/18Rymg1CwALIRPlvLoj3 +mWVrq1Ov+OEKoTvLBJ1WDljeVB4v9ChISs8XQTtD0HVzOB7LWo/isMbw+P757Bzt +R3bKa8g02MhzEVv8hq2fCuUS7a39wvz+03HX0y8OhHpw+vO75JVSyVXeEPqBadQi +/ZnmPu3DjNiIg8PQYlyVDAs9bQw4hbyBfTB+xNqJOZ/915pL6uDH27daQis1DUAK +0kixW6BUYomkhRUb7LaZ9VlEHnAMl50WLIf1jqjZvdNpcZ1e0SHxLrkBXWT6QDIU +7plYKpDRI9EG45WUXNiSJUFUqoN/ZxjWZxak4adYO7zeT8s9wHlvHTPiTDLSS05F +ar/3xPijnVei1if3mU/vMebHn4koFqU55U3T6Gln6YTy/B+ey8tAgLwMkgr+KXmc +Xe0ezkSOazK39l+EjM+xhHfFqnFqmKM6E5eRfuW3JCE+MnuisfAnxd+x2vXbgav8 +ewQi0B+3DWEUdk9pmeknUdTaTsgXPxD72WrmaO0r+1N4NEan6VZ1Crl2PexvX//I +zxvFmmZ146M/pVzqm1eltbpkr5diQG1Fxll37mt1OSFpHvbxLEIFtrXkjVanEVhC +obxxnu6V0xnciAhIwehB302RIFQKore5wEFvYIJZ56xPA8Ch7FvK5XcrAgYiQzWS +V+Nq1kVRPiMDTtoQ9AiY75f88X6K7Ut9GUzq10EKGliOMvSv9wTjQZ6ss7n/gLhL +qz0jWe2tDqp1oK3oQOycNaxEdqUDDIwSl8UxdfJBs1cfEbrpnAhWQ7fAGDAWvz7w +znWA42grf1kCbQM6eF+ZIY1NZJ4URjn7wvB78DZ6fwXEGPu0ymaZ3b4NrQBHWXf7 +LsH1T7xytKowa+fG8UhmMzyZW1MKgTDdYyzOXWpEew/oipdHe3tiLfM4QQ//o9l+ +x3tEdQj+xxdUk+cR+Q87RpUZ0A/anD0Nqx9BUlMC/zGh2VM3Clkr8NUSgcGNCvCu +/GaQ2/W4ZoZ3mM233ke0Cdk57g3WR/+xC/XlV6GBxzd3XSTgaWFPAhZE2vCHeq2x +3QdfhX0x8wLQycFrJzhZ9LWbMi7HzOjhlPYHNwZuZ7Bh+Gu/XGRxkBjJD2skZBTm +K3dccO166hTpd1FJ3RNp+WLqpTABb6IULh+72pmjVRtQBJWMk87tuzrIq3couZPB +fp07E06yNVzoi/CAbjBvneFpwMYjuciX/Csho/LNJLCKUDMreGj/ia3We5zAvT5B +w5IuiQ//fN+LMolxsNyeo7d1n3drZyc/VbVlgKGCXI+PwRpEzEUMlbs0S4N+E8OP +6JVDoAX3fjTc9g5lH0QAFwNk8Xhm+2T3OgZMxstIsDE3E9yPXoNuAGgmq2HQF05v +5ZocSJ1XnA3S8cny8T1qMAiui3pu9M5jNyITU4imo1NCw3gwSPUYtHBHS0qF/KAT +eudWCFMtag2aXXiliKoptoPAhj+9fsLPC9lcFwc6Vwo0AM30jI//ehbpJ8DQFQip +BMfgItyHYu6ogDeYtYtxBxObyEE5QIOWXDvdQLxtUf0r3BQE54Uy/aMQlZO+xxSV +D7OsDsG+oh6JHbe5qGB+T3FxJ/k+HWnRwPAag7eexSQMhp+HzmU4KU7rLyrSU2M3 +wGoSXKfk1SYfC+uzcjrUrpCMMpDS9vob6s/k8MOZLSilH0y5En8eMqnoyHL9eAcM +ztk5JS96VftZzvW8RXiWZ/U4mBWGUVvY32HQJTZ1nahyksS/T1a8RHbs1kypfo6Z +VX8SSsQ0mnSD5GtEwidsGK2QSu+t4MjXLzAfQqKkuSzzzfd3ueWgWGlcfDPqvMr1 +hmvwA9X7KoVAphePfmuFO2stGx7STsomY29BjiAXnruYVDoR0AnR3rTz0So7Coyb +K+Itiv/KuoXw6isdGK1RCiDVukMTveo8ux+P5TYo5RauYHbiXRPLWWfXw3fTqwhX +C4+T0RYgqXjmEmkmjolGNNXhxluVaIbiXF74m96guFTXpc03w+9Ommc8P9/ottd8 +CU2Z24NlYy52YZFtZWlDfP2LkYhzFwx0n2R1xpaHo54/5Q2NAQlQKzAZkBYmCKzv +H0+OyOczK48uMMgZOQGN//DRgegKbdMM0j1l52sBE3heeQ1i9c7862AySdc36w6A +FVUAj3iAyCOCf+0oTW7JlluLFiM3iQg9hiPPG21YSHBFrdGKGp2z5cZ+NhDyuFWP +6PVB+N/bLVADcUkdT/onGs6Pg8iyeaZd6W7/tTZsul29ApD4XA1IB82eoqYJ+9Oo +7kEXO4z6tHp3T1IbXGpEqG2TUnw7CckKDEB7Hz4xw47Jvuv3dpcYAkmYvzRc+m51 +4zGobO4pqdR6z3t8y58x/9PpyydbqlAJzrf+y+Le/BOmnzMMaGUcdDQrybAYIs7R +rK3TChAdsT+HKg7W7M97eoS5TYpHhPK88o3VCV9iFbBGmRaVLGYRi2QnPIFbeBgg +6svQ0SAcTcMdxTwXNfroMEcM8PmJm6FD+0Q6lDY3thxRmazaKO2rsFhkLQzx7kNt +CFCPU9sJQFKeIU6GGehq4SDJXG41mVIcQ6mv2H5hpsnJ2ISXM2jWq36TFkF8Rc0k +5EXOAFezTVMVkOaOg2UtclaubV1phmKDnymkm6Z3lAVjswVjMV1LO5Ok14/AXPeE +m+K2Ttz207LrdktNF0yYyCbQQoI/fMnGzlAouoF3aGBTrR+bSC0U5pj1Nno6bRt4 +3hhfhCQt8CYtbJQScUDwcigx+ZIgIMRv+7gIU7EWgEbQaWZkXahifrBhCHw8etCw +L7DOapIyqacyhZ9qXkNkxt39S9mNMdth10M4twN6Gh3+r3An1EqMSuPusuh2zbGB +NsP5yvIWb8G3f7xO7zRskgCDjoSn6g90FqME/MUxYt3wHFEFPFKKOQ/pgawrVHo6 +tDbe7T/n8R8r5f+f0KBT4JghlckGKIp847fKXA24XuIsi21AS44GIFvqs+q3mSV6 +q4WuYshxUhsFgsCWUaNXRXGdBAm/28b4RqIhoF408lTAEy2+d74tmE8MrM4wpx0x +TaHuIFgeBLGmPM4a8C75cFfvluqdWLJAjptELMeoqmZ0ZV1szomE5BvYm3XjXWQu +Du61E/eF0kgxBAwWQfuQvviVn2IeochsR30SrpiHNxpC/xQUE8kegRx8r9RhJbOD +a/BH+vrEP5frrU3E5VFeCazaMy19ljduRg00UsIFCukcJJg+Q2RBUKgc4yWCknlO +PL9AkaEdD3bdNUZOmJt9GnKoO0Czm++fZcb8ZkWWPrPNam0fmJ2/E6W3YU5X6f3D +U6REkZriYVMdNTGw5+Ebs+m1lRnyGh5WGVI5iNKnEVtyUhiBBgfCuK7DdGyqImMq +Dug88JyRMlH8Yei3aD+e98WMavC9x48VuRqBgxCPVia31Vtyht9Dt/irs13Jq3iu +8w6M489YdWahgRdRP8ZMij8nCiS+NWMNYlFO0KYG9CJjoHmlLZ/QdTUiMrVqkNoP +YNckjGWwXvNhX4mbjvfrD1AXnqBxhlbdehngJityT59Ttvrk2mCymX7TZtfrVofN +nCrfv08KiJVel0J1gWrsYxE2jwRuUXo3klZEorpWZw/6T4stTPj/wa2ogd4/KTmk +96ep6wtNPQFdsRtV2VQKRgvv32ZnVhrfTdMVsV3oMgwr7gZmi3IeCuoD4e8ZX0p+ +oY2wx5aEBE75bsrFwzKUCzQXSX65t49tDPH8KRK+SWv2Bc/6emkBljfZfDY1HFxv +zB3S0FRoMsc+JLVcPShqwNRERAO0BH7COJ2lpEdpKPnfELQ54vxRkNcPMK/BiH6E +wXDYGn2bstNy7CJQTGpi7a2Olg3anSoOwjD/bLE1Z59ym1A4TRwOi6hFjCD1QHOE +OOJbUtWlWt9XTAw9pp+v2qeju480mCKU/aTYQ+O+fb9NqfYn4GwVkl9DqFMh0s6c +Hv6SAHawMHpEoopt4pHOX3luFAblupg+BK2STvZhLcPJUlt8y3bHxDlCbhKBrzx1 +f8Yq/HHvdIp3aSypKt6zVIOlmSSeChjSl7xmbjvEofADzH8HX64CDPEZ90tuDaeH +cffc2lXjCZTkM0s+sqeyLQQqP5Eita6W7G8hCjQ/Xu2RzTIhWTo3hQOC4jd12F3d +MW5A4BLhmgYcft1mVhFkwIBCRsqVgnIOgmcUrAbDuvdDodLpbKHPon2bPHYeGzu0 +nqUSbExwep93BskXO3Q6B29eD3NYQAlXmSN5MjQEgJ+cM/c1Ihg0wS1YAxRyZgAH +ifKnoeqaHU/MdmavMU5yxZthkrwBmMk/RqBq0UTEh0cVAmseqTCjj154tTyPSHC6 +gk/0eYgHZ4uyQ5qDuNMYfY1ridCSEstQOmf09srIqv9zub+9MBOoM9ImmGjbb8BT +cCjw/LPsZt0TWUmLu9yWOC/5E9UGYd1n7aci5N2fOCwg6stevcmXlGVduxmDX/By +r713qMIyrseF5Iscy1pvWCgPk0LAwc2n+JeyzzDdZ3kbCJgTqUu7MxH4NgD4IN8k +KRG+DRf7vuePTJ97R16Cu2G1Fs4TlnY0xNPNZ2uPa+IPoAQ+TKNFKXAxNDjTJkEv +D+NytoZ8/ZIAqQ6Mu4lAvFGqfy7JF+Kc3TGdP2CxL01NLKy0PmBjsvCEp6Hc9aPB +q6u3c2EQAQfVLmWTe5tXr46cAkWZz6TH1tLLWIOui2MZinZ6SBzxQhNetf4inAJf +RT1R1mL3kCQusk8XiivUbez/32KFhtoaG4llnuvg/nMYzCsFoMRcAthqpo1atNX0 +rqq4NKXZA6qK/TMgpbmPQ9IRqwOxNxbYb/H3g/KQpoOu9lpjGScIrtpEMEmUKZxI +93zOEl2QGGhXtSG7YrDkqYmG3QT2S+i+IX+kaNz6RCgAsSiqFcNWODzh2sEoJCYR +ICoUs4mWS1kPaRHJ60W09Q8D1rovLPqccLpSue9TU+L3u3trP7txkTvi7WGGSkjt +9jVH3Z2wPryutZiAy2NWtf6o879xM/uYArjwU91ftDskOE4+icxQyzBUIzOSfqjy +MZEmxO0hAjT0XiUOgMsGJFozgotVj1m/SJw4RVr+JlzqW2fjvIrHlWzwDrjeA3VK +0cm72VrykuvQL3gVfPWnAvwX2O1C5gNIl3xMkTmTJn3RO+d3JP05Cnc/8U1aF86l +w9tOti9Od3tuRYSMzBffIpyTw/BiTgMZDYzgrZRpIZKg2zKuLg4LRL/I0G/VeEqd +VnEj7A7RVNEuKMtVzKiEKGuq030I5dFI75xt0yXE0M9Wj9/o/ilcHtoX3+dq2Spq +dexo5VDv22niz5slXstz7f1apTaUGWwd4Y4hsZoOyZRt7ZeHxAD6k5PRIf/0x2XO +kfS+rlugfPGitk9+5YI3AKkbeI3RahNaB2V0RUq/spVnyHdMdS0N8QYaEnDauX+l +yXdx37i4g7nWpFeN8O7aD/YJKIP8I8M5DHPuXOuaKufyZEm5Ux+LFdnNyTu14m7U +74MAe8fXSKK1SIqTmjhHA1CCK+8Rm+Tx9EomJBXO3mmgwaEolQ0MJmKCnUNl+POl +lIPQv/jgUDKvWbY1E3j7hXk2z8fhvKvYUvtBUpn1imkHMFwaaVbldSqznbPD0tgr +ZLPckSq9yQC/KaALRKBu+CIskZD27rCPsK5bGKDCwXJhqUibArMF/JA7UHbqST/d +srLxlsUl7CXFbO7Sz0a6l8AfZ2H4IOjYEhA6klxcmDjM8nUbjeffQwftr9BVJ8Vh +iMA1lUvZq8iLZ7UysXpxMqgmOJS/QIBbIwnAjhWSvjC7Gl4LqKPZY26CE1A0zWrb +WT67a8u3IuxI3WvU321nQHcn12PFC5MjUsbNS5z0V7zt4c4BQFh9Mtu9Sz6oAUD+ +OW5FqJh5Qzw06k4hsKUaKjAac1lqNxagVpLbN72jby6bonuS0Wt09Y3yX93KyxuY +APKTOc+5vVz7CpsxKIBmMLVGDQwLAN69FWbgJOX3b9pNDoPVF+X4jQ1VAhltY7cu +6Z45kY3masWDsEIIZ5kwnJIPi0JoRMtAxR1tO9WyvFZESGwJbLj069WgpOEymaHl +rmcpOmm+t7uaHypZ/pgsx1mh3X2kLJvfvE/lgG/ORckl+EFpV54zFeZULAQz2YzR +ctYsjN1cu8AxCR7jeK0nB6yJDcmAMm90FmcuQzXk0h+5NbCHF3TP7dYbYoVLuIO/ +Oj2pdkoOgg9oHe3v+sH4CfHH71ztWezENVFku0l4XVLfJHfBHL4RHjBb8yeMKwh6 +oudcl1MXL1ey2efZLXG9FFL6KaWbw0+vKtSKfK8TXo5NDEmH7vI5RY78ebA0TsHT +Tnf1b47oUdf6Duk1X1QiyKDu+yAo9LP/WFrFYIAHZmCm6fWQ0KhlSG0LF888Xzvs +iaYkBvFo1gxaH3U1mYOzYZ8dzI0Wh89Fn6XAB+94Q7qYffE6w5y/CC5SzyLhqW8u +emi+/z3+Cv45nT3maH10ZvSleHwA4huWDrJiU40qHTa8XjrOQMM9DYs8OfJ0HOdn +bGMm5d4mnDEui3Q+pHfTefLwmXQFfEkE4mH9W/i/mc0DUSTIF3VcvII8hFqC5oGe +yvd1mKxNng1tIS03BSlASVDuLIecJZGX7LnfcLx7BgoaoWd7Bjl84DiEyKb4YLn1 +W8FrSb5hjgIIv+YT897TpSVCEvcHsT/66ttA9QWa+1tRHWNHKYRP0LMuJOecLAEL +/4K3z8LDCEJ6x70zM7am1VhYVX4tHZuXfeSJw0Li3Vz8HWwFKZw8nwgVgv9BMA6W +U7tFcT/ZOG/CHac7y7p+kZLlZunvAZzbdeSMvFG+3huRKuWIoQ4AI47mCXqMMtYU +A/fQuqSOn+6/ve2zKTFSD+Uf215vV9RJzd2HalMImA2+J9GRrVrv+ZgTY06Z41Cs +zbi0/iug7GhClFfmvr7+HpSnW6kOjk/m9GusxQQQmaBj3pqpONtOaj7CWlB6cQZ+ +s1TCf+/aMil8mtKxBbmyl8WcliRqV0Jpvo565OeJ0DIT8puqxPWffjgHSdcdNx47 +yFrmU3jWlQTXbT4/J5nTOJc072fU9igQaU8CD080uRBf8rFg3seOoSNfGALavWee +llAGZ7Mk7D+kR+91JK+M6Lb4AxvUgRbmjGYGkaVQdViGN+eWWDo1P2gUxidDTY42 +srDUK/J2mfC2qcUdPl18h4Li5rs0abEwjtRERgy0jE9Qu+KinoRaPU4PEcyi+sb1 +iUqGjaSCMBRveU7m+g1i3q8VP4WLaC09mzg/fxqeRbE2VbPMV/Q2yoCbbfjc6Z+O +QuThGN1oACH4wA6FcQr/bRvhysh+n+xZpzgc9zqykxmbuTszKXvsd4Cd0Cin0n3h +qsk6YtdMSZcMEt+uVzja2yRWdLW3fXW/5E3itAh6OE4eMproogqZeMr1xEoHObEu +0yeSgYGAvWG2tLqBlBGGQq8XDmxCt/yIrRGEOzKLVSczUXwPx3H46G2LgvoAzqIv +yWbNIKoZEa/n32wXJfYNZeOiHtJ4MbsWHKAIZl52sA0GLbHuj2I3pVY69WynAiMS +1qMEWxYBEK1Lcjn9Zy9EkrqhWp16lgTFItmx9vNO9cX32Uf2JCm1ZjeXIdwKr9Q0 +giZR0z5iVNwC8WtlkDF0ognHKe36jzw/1SptiP5428sP1gYySgjOP8bpOU+yJ/e3 +HZiuX60vBsq7vO5MtM0m7g5x1HL3S/j2QISFF3KwXnSXACDW961e7e9OKHJ0KVEt +FjhRx8xqFFinjPwFUwfIwAPvff2tr9IlTBs4Kl1AXri0FAK+G5lJ+vWCjaOqbQtM +TktuQscLdOZiYTD2K7ustIAl3l9qvEpunyGlFgr7x/1CHG214pbK91nvZi5hw+fk +6V6JHnNsYHH1hYb6/Kp3InwDQXqegu8UYIZwHd8bI31JGjdGxKhkVbDo8aUkL4YO +mCnnlINQbbOZAZeENLvCrl4icIC/PVx+SJR+gI63SjXtYyeDn3P6/SB6Yr1CYciO +3Q8y9HwVAzQx0FA9YAC5UpHyRvXt9aF0/aE+tsli2CBMq2lyezEATdcqLRjZE2Mb +sufFJMCNwiD6bfhsOILRl5nmMrhWxJmOveW+2PuXXPyswKlxXiU3Jw+WFD6qYMv5 +zMa1QBjY5frGSdfcix5c7OEQijL/cjQrb4/m0Yx5ucQAE6OXzvmXesZR2brHLBnX +4EAUTI9FW5fo7H4aPsL+OPBeNsgX0u5xw2nAKHS0cyI6nE7szW/R1TdHM+ffPwMF +pSIC1cdlj5LFOnQF1rLc7bHEtpElbZVqUD1wEv6ooKwR9iyMgl2EJwiIDPYnIf8f +brwCDtgxBLVHsX2XmSlny4BRHqiPZM7z/O2fXgXJAuBKP8FYwqg8Xrv6GW8dhebn +18oHOD2wFuXDwwI41LTzQJnIxepPo5UzVuilTDHxaFAyl5hjyAzSjBPRKqissf+E +Fijym3sOnTCcldo8rv9QbfFOfCapU+H7ZMvPbEDnIlQAJ6wP1jicjkY0fBI6v+pr +X788humkSIKv3tq64baX0ysxDI72j1PHSCWSt0RIz60JW2nntPmckW2x+Kix8RXI +pwbQDsqqE9sfLK3rq8LpiXXXv9c6Qg4so5oAoW6U2Jazqci+hTvKTF9wXTa5ML4I +u4IQ6tjgPoDV9YVxd5SMI0sQ0I/GCnCjhAkq0RhTX2JnJsu0uaIxYQy04NawEqmO +nSCJgmF6Mhgirmr1XHQMVdR0wVah1DWQhHex3XUIl0dGZotTQXZYk8B5bbXXaaBU +AmOXUfPtj8O9TmgPwSvWm4Q4vpcUOlcj8BmJvYWmeJGz8XY653Tzhc244KxvOsvS +61NeAKBWwDOWYafPaepMt56HqISPw4/eO4vL0e/fHRgZN9Pn5nnvdA/xoO5P1gDp +SQ3oTojJRgQDoIl3tQeO7y1eIq4LfIYyuSs9wD7Uv4e5qwjrSpgkJ2A3SdQYrVBp +iRheVi4XWSYTrCEfcmFa1j1x5r/uL3XO3aEbCOAnbMB1cHNHZZrhxyJjC8OZNYDk +LE/HhlIM9rUbcy/tKTzIvo4DERUhVPajUmIIg3ysUteLpv6tbou492oYwfqsUMTI +V5JIOv8vquSbh2ZFbwvcbNx4zZotyhO5h6Y6saEMnGRod991mw0v+pkUVuS2ZoVy +ky6Mg/n9ui8gpi8ftQ2H5Ua95rncWk7Fht2T8+MgZhzp+ETkDOVyHWn2G5ZKJ+v+ +OBE1zo7ZLfCrfkZbSN7FqUyCHlQ2E5tucDIn+CHVQMJW/bztI42aD7DbAQw6WHi1 +FPUW/SwoOBzIb/nqcWcpUiFSms3XF79lX0M2HfWlGstU5N+bsGMsRCBJpVcTIWle +ModSysx6btyA8TsNWQ9Gfs3bWQI1+B49Y8wBmfBLX4OTODO9IsfW7ZaXNFabteBE +Bs2JOJWUR85Iz0BmgCjp0IFqB8x7Rom2Q+pS2jGej4tU7R3CsZ8juW3LI87sA+4c +MB04U9WJyf9mKnhFxp9cyGBTHdbO6yu4+SSK/oN6m2gQCA0vsaiqN+nbYlcoY11j +KM24DBW9uxbI+GIoEGHfikbcrHxog2GlnUgI3WAmpv58yJK5OUZM8syLWXtOddBc +qCMSi+5P1xXB2s1YuJ6fQ1drN62c8W40DyRCmznVLyqYGwQwic6Cg2kj+w1pwYij +EjQTeQyyZ7d+gFmo2P9WmO8je/ng2htVArPwgkM2fpFZ+R7+90/btUUNx+5fvuXZ +l3u8wlK7+IbmpHZYnhy/a19ORyluf2arreDsaw3RGUMdXe+V87Q7ziXAA+qb9cCY +vmsmn3HPbqjiWHglevVlmjcpDhKZs28nQC0jbStG4izmFlbnbo0//dGpAsUa7K/f +k7xAI94t8LwN6O9WedrBY98mbfpDrtvAOzOloW43mx4+qJGSXFGnMo90k+RFteBU +nLijCqzOKzyCJ3Y/P1Trol0qMgCqoHJiQPH2tWuDzCVGMfQUTM4dV2JCPuJcR+Hk +jLOaiGbJfo2v1dzt1OmH50OEkDBSeRvpbeZOq67FlWflNoECX1d5YsdvczgUefps +0zog4KwLh914TkumTLXrVWaVk1UODNaZmJ8sot6+GiqxPv7srG3866zdC+ERc8xl +WcFHwljycIdQ+nrma2k+06Te5ZacmGeXlNEw4vPd0PWHe6rB7hCPCd7Wr+9L9hv+ +AOzHzxBkVDNH375nbiuqNyQEFZzfFxLCFyT0xX9bn3IqvitoQ7k5IcaYaeG6aDBi +oMjaBjmu8ujKu9caW6CDglPt9sJrDQux93FULeK1FHm35xHQiPlrN4q/aDdDIfYy +GwboB1IDN6RCjdqj6wAGTUXqli5Wovpm/gmzDgwC5CN54WJP5xry6DGzr39Tov+1 +mNIsunNCEqToy9tPh9Ns1ymQYGGq1r/2tPbkhgmzuLN26M/rDsAhpabPqxUm6ylL +qU333QHBlEu351xN3+tNimz7oIK5nOOUUFxmDmdV/ec9RzxYx9X8Gc/WtsFGT+N+ +jY9DVMH50bVLcN7e5R2g3mHsVvgok4NfYz8jZpNt8K7kQLyviGvDMtCteRbCb/8Y +sREoKAP20TzPD4kuVRPHcgaZoenzjt057JRV0P255eFOiZpDTRNA5iKxP8lN9tqy +D/QiLIXDdFLUQvnPxetxaN6OxN8j+aX9WVIFYNYzFT9tgzCd5aB22hS7OA6xS3wV +879Zwb15K+x64ZvH+94LFK6MDdv1/45R8jNns1I9+HFHWmi6SRL2hqYUnJwYJ+qE +9XAKQ1YRV5jxYHfDiJXdBWsTZWjLU1dioAe4IWWnBb9cpWosbIeePrGkl3zK+g0J +QQlgFZVkQP/rZVSo1LJacyK43OT2gCn49ukpu2JVY9odH08sOGZ/nHrD0t0rHWgw +JRtYhJvd9bbrH7J0O3eheIH7hT7NwU5dp5+QWVVxpmaDxHyPk21KEvfNpj57bdJ1 +rh/sDpnWHUSR9HcT6kWIxC4LTw4Lho4MUj38bMlDLM+ByR4m636MNdR1vKHbE3lp +RU8OvwtJoh/TaWntCO2JVU9Qt3+LEKHb8wZiss3m6mPO1OwrF5ne+lQrt68xy4Kw +9iXJz+RNluh97AFjBHzRvI5T7FMLwHpHpCJWwq5LZDqKLNopWsjn+jqKuiJG+324 +0PofWWyLugmSyc22JzqShDO09ndJ3U7uCDnEYRMR7LRFF1TNlC9/mSNiZjt3giwx +h6UyfpaIlh2Va0sTU29Jf/bo5Qdzul5HIih85BSR8WcubF+pidRkRnDZqFWs/LfV +Jh9qfQSAX7ByY9TvEhDRIzBo4mopp3b0mEweHz/IR13SdE0A999uGs9/PeqN8ukd +36z0rxMN+32crSDXRJYKWUKYFj9vdL39kKwEQql6ewO2TpFPuozVHsAJDAyH4gqi +th0Z378ZpvQq5Ehcb2eRhtBpEPLSp6a+R8ipXO6O+cCcvIJi4+EEHYiBVOH4ohnN +dH2DXAcRMvaiRUHli0dQqmczk2sjvt7jI3EBdnvltlCJJI6M3egobhWVn6EMaEyp +xVgIHkeXhuhaTeWmgY3huf3LSpQuG5csr4lC8h6VKdhAzUIU0Lic/z2Ma8MAqmNB +H6TvWqXhRpOd7lJHhRI9hHOQLok891tz0nJiuwJXiwUgIlaWRoAIaRxVdNkelXG4 +4UNIekv3mJ5a1JrO9aL5lPoxLHNfJxjpyBsYe57QyWqbYnQjpf1xOBFdAAShRIhM +nrsB6paMXNQnbtVsUDi6ZBH3od+vAwPQpQVuCCFseX9CGJIzqvsvyp7VjJXLqwBX +7QAnmbzoomFgchAbhdHovYL0yBOLbfjIeZZt3kAzuEFEClkAchWL47QZ/PPQr8Jg +4BiUggdWS37UpO3963DDJoan7A4sixFfHVmrvtWkJbFUQmSOYYmrLhtws8mBXnyj +maamXGk1BzdKrFl1zkcQyL11Hc/pAHSbpaA4F5afDk7jp5ALhZYmHTMqWpMhq7gy +lSpWqnBzG9/4vSSwIk37ZCIYnn6Ny7UoAWDSZbC0kr3hNuJboCLyESt7ch5shmpr +X4H0pq2F2hK0VauNOFb0rfZWTPMpo/IZcBiC+VpB7DkZiLJ46JBEnBmKVqndyqVN +4HsKkCR1q3R3TabzTJL+3KUxv6qEhGnycKrFNGHC/eHKnD+bJBEIKEy+fcDE1trL +7dm4DI+1fN9dU/ViCJBXC0ORXhvU6gXFGYylgdpZD17gZcVwxc725Op2A1mYPV4C +R//umLIV03qkHVzIaDiM/pGdIHv2yMkNXktIxFc0qkZNjRatC0nk5Fzz4Li5l9ea +Y8JDrD4ZvluiCaOVtMCkOxGxDMRxr98AKxhyWU6cHIl3bIwWlNZeL0Um7F63+Dz8 +acoM0dnaFGAUXfulKL0ppmDkFgLBDaWe2q5JW9aGja6m5q/HT7qScGg8ANHLSIXj +IC8b1y/+PuziEHIjjMU5ZL33+KOBKNIZGTvUQMrJwBMVQ9QWphS1lqur1zQOUjCN +n3sl/AbTIYAths1qN+eN/RXZF5PLylLoSDQNBkh1hW2eP0H1xTyWTjwcZKeq/GnI +29P9DHPzy7Zh881nTegpTiVrwO8w97VEQ9CRlsyEacxpFFnXzaalIOtdPE7UtDgM +sWoPYHqzs2ydi0Z2m+KyZ6vbLgwxNu61THQF226hclrFmo3hk/2rsg7DoNLkA82F +XZr47Ahk7Nc57SQNY1RYqt56vsoanXVc2iiUqj/fax0yFJQRvxF8nS54x1Ovc8ul +B9KQLwhbxr9y2yOHbbUGsTgGxnyx2wfC/2wENQa9otlWm8a3pSxKEyUTJSgi2QMX +XHQL7LD4XoAir3NNw94hT71SiJMo4hMlnbcpyP8Yn3I4H8NXAjMWzgh0hYf2u+pb +KrhNppVjUtrXV5s9dQ25YvO5WlKnBRz3xz4bbyYi+GumAi6pabIQZ1zLxVS8hoNw +J649ElBG+lngJIXnk3lrsRCxumkml1WAvYouvmwJHSTNMfYPd0GwlD8Q6HLIBK0h +8+0xUE8YTGLSCviYw0LiNTZbxDZHagz8Dol73+i2TjjRcU0RMaGzy/bDyEJlPkmy +Oz5F64CzaZ1Zba2zL1KKzLXQdv3j7oHzR20zHdg5oALibeIVZXZRM5ROEkIEGbm3 +CA5yFx5EWfhPZJgb+IfDxJdbxjkZJB94QGM7CPTu15+xA5NbE2K7XQLHHesv1QK9 +BB4jbsoEOXkvFCtPT/rP6tXbrBvt/Q9tXQbky6RYA/bFjjINy11ywet1fMzowRSe ++QKa9DszlTzo01bEcM0G1YWFCFZXHlwO8C7edEt8PfD7sK2/lu/O9D8ssO8BUQzY +sb/TMqgwr0WFX/WlcntMDZ7SkzZ7bS8F/iKh5eQGPqZ07sxPnnKaASx4EIRq08PS +Y8NrLPmB5d1iHMQa/oz6bE+Y+0OtCJO5lJzazGlAMKzhZp7CfDQw111XGU1Xrqad +Pns8vVySW+jcApT5O7kYKSHmRz2J793AZpGiL7BMEtAvmG+Q4k0hHlFix8xxwzae +a0XQ3prxBe0fgeW3fF/GXzqpOqZCod1oYhRCl7amuLYAvTUEORF9jl5E5cUYDMLe +yt3Kw9Pyfxyfghmu4tEJrmiVEoiaVfiVP5k5/6metYx6XVlp4FlhqDZjn/PdQuqG +4gn8tqwH8Vod0aKbgd1RmTb2IiXYpX+J1V/4ZktzekwL7rkXgC4bXeMsnNevnMQL +S8xw53RkGCbXs3xi3bklmS/mhhKMZBinJw4AR9Xvd1XA+Fv72tT3IQHXCVl7bxj4 +rA7XT0dwQV1SC7QrHiLhSUbQFFdFfTNyknwHMEEo3QV34xh19scooO7xCs1a7lI3 +f86qZb/7zpKMtDG7HCU+JpknowjpGnonlIHTlDkOWy81fl3dZbsnoUsO/qFfl8Cx +2HrmqvLZgOhCbSx7Q2vTx2BKQxVw63yHjDvxOP4XcfXXcx67FkLEM4HMQYZlmdvt +DSdfkDmOS/gYfxp491syvhDSwX99i1ZnWTVpKdTWyFaJ/f3d37safRpFCi0bru4q +cWiYVsBpvKX9msg5dzc8jxvJJg8KqmHrGGzJT+yTO+t0Sr77V1nozIGqKHajFfOG +W2f+gyUwTjErrn3yQIVJDg83Nbj6llD1mAUCCxywSFNBifgmVs25B8ABhu/M5smr +YiDcpwotFG05dcLZZxGmEKA5oTereuHTUrxRnNdKXS43XzqdoiOhR75ZVerqdMUY +NUU31EE7NkAB6xUHvL+JvI2GYzKVHZ52koKed3vdaCO22lzqBvQgxiqvY4Ckc9SO +BCkx/UzpcGCxQYlPJzBk1ZNJDtOUyc6NmEMuXBgOlpfTZIAy+saxPtlfwJRcERgD +bzX9VBG+sp1o3LsX9UVOHDK+8BeenN8PuFx5aKOaniDULa/Ng7iYudVmxPCZgRcK +gtG2Obm2yUXLz6e30L6WE8kI0Lx0YX8/B5y+Tbs8k6vUKrOe0n4s5Ccz5UYSONPh +K2dnp9THXZF+JNx6K56LJdCXO9NAXPYACYPYZQq2jrGZfZZ5FVtU4KCtVRvuPKY8 +RQE4N/ql+0gFNzLDc0Npo7acfkEzL5edQC48GaZyTPyyMDUYtyxGeLMG7zgwuapd +rqyoRUOitOdIKADzZcfxx8xtBYV4qA3khpccAVRE0+i5HnleVG6y/WgoEtHisfeK +6Gujh8jBK61abfiVCFBflpdx8g/q6aqkzue+0OFGQgl3t/ShRpmZBiRxOri7MmoN +csI/A7O2u7Iml7YH1t5rGKHzWamLpqCv0L4sCHhCT//iSjFKSm/+3J7FULZ6fKO2 +0X07AeLi90PBzjvu/mqDE9zlNv49uyhPaCghisREmmNLxDJSTOWiEh739h7Gtiwp +me1suOiV2gIyzSlP1wuuSZEbw2u5NlBG590VTco1GCrBwAzd6pPh+FqrRk/I1FBJ +0NGcSjaFC7e1OE+Go7HD4dNfU7syRKwtm2ltWEFOXhlMO6M2+9d7fwjxcWL3AOAE +ZGu68uD3324H9Rcm0D6GStTb1U5kfhGqCj4+q5/DOFmSOU/X2nOPlZK3uo89scpf +NeB/b1GlKGBB/4CKHQYjN6YoVj56Ui/eKDVXI9tPhI5E/vwvwclrKFDm/h1JYeEr +0FTlj5y5NJJntsFz/TkUfx5ePJK48h51bqN12N2XqghGn5W87y4Qz4kV/pj7+a95 +jDzDmbTvKTNBHRULfu4JnUEr0F4aGuaExZA///YZyXcvvHzUhWjrObXQCkNZAbDG +sPPCPqy/BxaN/pdO74veHRmdO5EFxRjzkfxPrO2yT/gTeQJBqccyVOLFloNmiAP5 +2KsQd5DQ07sKOArPHKV6msLH5MixJ459rvocQh9E0FgGM2BuCb0MnZLo2SDan8sW +oWjAG72iC/kzTfF4xDBgttknZsxZ5B39SbwnqacydR9Zi+EWeEyxyOYbbP/3avpg +FMY23DZ8XbOXGsoU/jSq3v9vauq/DwLwnS9DK8nFwOOh0vru+B2jw8rmOc2mCoao +Mpx0ctjJ9tepou7DHAX04sV3cf3QWbcxZBylRptWWoSeO089wx+8rjJlxWEtbkgy +LPtXWgV0YuGn2ybor5mfgjMVSrZKgPHq04d9PC82U89nISfyHcOREsdN9s0Ni7mW +gBLm5cBqt1bcFEHsf93DkkEl2z+CsgbvcmAZK4gF+j2ayK2V6pMpSSOXw03OpGw/ +xDqT7E1YWyKC3zFmN6fMXmuXfY/XeUA4ohmoNkw5vNGu1sGHQTQ8opMCGRJM/y27 +antlS/UL0JpQLmYp73baag3O6LNvsnP+H29VdaZJ0WirhSCLUOl4b41lPP3ZjAHr +4UXtZqtI8zx+M5s/fIUWhBl3mzyFv+NzmXxw5xaPFzSJ044apkcd6JzTzkawKmro +L/h0fYLQUEPhdvqDYdcW6+EuRmApznnitKwO9zNgdeeLANwD9nXjZUsu1vuc42rC +p6juhbKAjW+mikAh5mNO4dQohsiBm/yzcujARO1d8/n6I2usnVCbCqiLusYBeVSk +fh+PoFtnDbK4OgqoZPa0TzcfyRN4M7y/GpxrQhq9PMYBijqAq8FTf7jm8U0pKA/d +27EvI9HxTXRVACvEEdrnGRN7h74363Q1jFbAoanBz0E1fsf56DoRxPscs0/le/4m +6PlBaYaoezJSxP/eqNYDZiwJnrw7aww9mD3xST2wHi34Vgxur6efPvog/91ctDH3 +PF4RC3jD/iTt+vVnsGq3Ya6z8Aca2xUOuL3MmK+BXiXoGH2J5Xmw01xUJcPVZFXb +AjuvkTz/nvvc+VF3cCf9g+uZqSrHPfDtZg/X9XbxZ9RfsQ0Na8PkwO+KrtYeUZPd +SazazQjRpFAS0Z89rXdVYjM2jiy3cWiqgp1dFHCtr5KQXIfwX1yx+W0pxzvbz2/E +/1t3TOtyN2ztpdP8TLy2u6rljo9BDjo9tqh6QKjweYjhDLvDh+NJ9dSjrTp/hurx +x8A8vXQ+20kCiMsP3PO1QlJePiQifaU4TSj2tM8jXqOCVdEJIaXAIfaU+vYNa7fv +nt/Y0zZTqZAw0OWe96o6Dm/X5p709bK4N2AZQi0YNV4USggEjx3SmAM8D3r6GUai +wJ856cwTS0MkFmvZEKd0EBhPx6J1KfaiUxMKwnyexRaS8z14NH1S+D0VBnxwFZNV +DGAtmfNNQXMaZfAKPhV0d4heG4jDxrk0dcHibY3HGBQfv2lEGy/dhX9IqfnGgdVD +pV6tgsakA/cQl9hEIZO+I3+awV06eIFkUDjx/HEQ+ToBKDF05uPgfgSLFR9homve +LEa01aW6eSCys79MxI7bYXFzPNtvnyJVE55dG14g9HoN2HjZ5fo/63RMFlZ/gdpj +mTCew3JN/r5hXhqZT9H9avsTUU3mJZE6Jha5xPd0A5uOoNRS8z8N8FmB4dYhQ4VI +6kQ5FIL3wHjd9b/pi++RefSIVCKw7Hf2TNNfe0vFUHR9V2j7XAx/b6TNXffyW2U+ +Um6wX2u6HRMkAE0LrJOzbcQNiHWViKAZwWAeBRbHj6rLkCZulLvugc3At+wEbNQz +Q4gXzLezQoS5hHwltG260b14jGs8YhK8m28YAU8tZdQa/iYO5/sYP6BFqSkRsyzx +TF3A4/L1Q+k0iT6q7VjO/JPEvOOnV0yVKNVk52z/XFUtVec+lhU/WkExC0rVmRuj +azEr44Cmn3dnDril7+RmZ9TbuYQ4kRwOsOYI5XuiYg3p3JIkUcxymgl/cX9tUIO6 +g+lzG8vdc5iIzsAIf1eZGBBg04v1+THKYZSHKukr0c628oqP4NtMm+dNn28YPIVH +Blf9U/u1ykpLj2TSK3DZDOyDrQS1GKJFnAUobMs+kh2JGwYXlDk3roJJXbTJ0QhC +U+RFKon2Z/OE9cgypfgOnOvpnUd/+lAOJOzH5eoycTDFbhRwh98iz+p4izPjRyEN +AAqy3C9j7Wq5piIyMh6L7XQjBuLuYsObe+6nUay7GHWxrnBXf6cy6+eVr8WP+WR5 +SaG8n74yw7/pQRVyRLK4nWeThfGFSzjTJv9oJVRJSDF42VXAnhECt7Pd9zSKL2Nz +oWOhZdr+m/ATQ1lRPLMR1EloBOXQtx5BBRjnzjLxNtcuQEKZkF73mxcDI0MwOlzt +UiCGPlV1lqRf0LKMpTq+LocrVulQfIyCzHeYe6I0/isOpnNfNsa/fMPywzM+t2WH +wEIoiUlZAHj+xqzHG9VUKR8jS0tRLaq0dBBES+VIc+OzGKqGvskLFlSgzr9lbpWf +FXEB39ciWZvLRc0WZDfqmQhviqBCSfDuZGdyqsPDPwFB5ma0M68C1UW97JLiIxJW +7QtENb7ZbjglazaVuuAnu5zngLeDzow1RCIVUO2zuMwnR12k1On+P9RaT7COH4zE +HN8CgpxwUZWRDXF/GCzJcAkDvpoQLJ2DlnnTaOPyiLkeUFmrDO3+xKHbnuh7+8vj +shCrn0cKMzvXFwM0E99uL1w2p1abFkhsYP6cb/ec5/XgzX/oa2IyyxDhxRihocEd +7yubnSC6BCwq2tiy6JPRPubESnmmH1SeQgbUl9kyPnCW5Qbk4ENf9mGxjaiZJNbP +kj98eKqdo0/Fdl2uhoyH93oD6ACzzmCjum10KEmGJcVlycuo4P7JRgjDXS373pzi +Deve4tyAUk4VLbCIiNyqyjDgOrzigA/X8BBdvo3r2DBVjcN8/ZQTIJSWMTfZBv/c +V8gwf9qPOwob0VHOWb5Ly1uwV4ymUaWkZHz+DvgHMooAkOgIyJVKMyaa7xVkDUuq +qOCThjTR8cEP0qYABOAPO4IGr0vOOTAMt2CQck8rJ0X4ovYjEZrc9oCbf4nYNhSp +yFIOyUNmXR9bpWJg9PjifeMbCS9AFobc7klhagVBjFKaRFNb208DrwiCRwscjiM+ +L+aOy7wObBri/GX+aGPR0AxZo4iBl0L/NNyWGlPRyv8Pfy0mhXO6MQPH0YXaDIRh +eOoo7ztQp2Hcq3BYh9D2s6rG/pUxZ5QpPRaRV3+Khyma5TAenUVwy5oEVCkABAbw +scgIYCe5YgGsUx0wAQa0YdDkkZk+HycxTfCOrn8PSdYyu8QyeuD9dMawVfoyBf/F +OIF1MdfzQK0IqMnnljSb7kPBXg/Zicm+mlzLmTainaa8OPtvjIh3CFjpsLLJ8cFr +i+wueq11UBABPtQv02lTucOPruOR+cAt1fVjmNk7/EMDP/Cpts4BRKyIdrgCi8he +p1+zb5XsicA6R58/La2rDc7k+63JxnortpwfFEF5rtV3oA57vvH1qxGP1HY4GlB6 +p9g6Yjw/ljblruNt1pTIv/u02OegajKvV/g4mJnpaJRhZwKUF0MM44Uy7zeq0bV2 +HpGRSbr6R1ibpQFKJ7+lMmu8TcfLaBt84we4SVm76hVNVLloNeGAid7Bv7zYmgnW +/2tdwvNkTIvtqDisX7vBBgjSNR19Wq1yuDLrcsk+1me13bq3j2OgIDmXHFB6zPEv +/63OEcZFWPrEKaRS3YAmpGTTc64pWdkDA67xrWx42wcT5J2ucp5fXFsBBRJMt2oa +NLwBAxR/NqV17mvNCBSwcDfnplnKCp9r7Z4U3DWQTFvKDRwXD/CB03PqC6iQCdKs +SX1c1HTv5lPexJQX4Ez10JiyB5+o7fVOpoPhND7ceVXSxIs3UprFimwL9mqj2Epk +Ju6nuMTBAo9RDy9Vl0T3SUW4S+YOduTdAi5AqU4RCuNxytyf9R0a7sHKMphXMyPM +2mWzQDSP09PVt0Ol12hJIsmKalpc8lTMXIRaqsvqmVUncOfo0H+Cl8mKE6YIitZ4 +pjJq088DJRfitKbZEnntyURimgS21YcSqnukJG740y7Vjj/IindGLj+YoiI2Wtjw +vvwf3OXZOLnCLOz6/Rv2P7x+QWTX49B1eMiGaJNto2QLVDB80K7YthkxAtFL9Xg9 +x5waP+kdcj9SZYEhaNt6zoFo1+pznAPikKrvJuGr+bCct5AAFfRPLcw+jw2UUcjd +xTTSIs/2PMbdp+E+HM2SKWSO5uXOW5/tmCP6g1UrtqCVdolo8j1aI9SzkH5lUG8X +Ex6RIt5u4E+CHM67QlvEeBMOFTauGrYlK7mVIHHeHxDickV8YkYedojIt80opBnj +m28+v8OPQkNlXMrtCBMicSAQTuYWfBYGW0p3daX4URmwBNepqHilCjFW6GUsu12I +wYrcG/ePVMqcQgySSWvtnEpcmLpZKHf2IAAqoT1clG8FCb4orQRRtKD+kWUPc8fd +7QCEpeYShnc5lBifoSsoxCk1bBTesnLMBP9mIaR8PenAzEwlXCblaeN2L8q9oebi +qKgf35TFh7uY2Uo8pZmIF8rc8W2B2cQWdTrrofMZV221qerDJJZz2WgAfbpfuOFH +EfnZJLye+/DBPzTbDKiv3mrsMcPdd0CKq09HU7THLaGuZpT28JpAfGJf/JZyDkAU +KbB8XP59KvCpCylS9X37y2GxrbVggWas20WLZpdn7R7C5q1+DLrcMbT64lQWNnTh +8GKLjHHL6uxzZ5NldN0vZn40lUHIRjUpunnFbcuCo22tQ+iC1OR/QEBf7MWhP0yx +qwd4Hj7fRZKZGR7SSuQWOqRWYLI3jqUiItCGYdBD3kURvBQZVVrjFMXFE2l4Gjts +hZ4B62dnDYCMgjosvaPn7m9pfKN2pGJvYIezHrCQ5YrxwVl8NQaRtQ14Y61J1Be/ +cY9hcxX7uKtn1XHv8HGikNPgmVFYXfhmB62FeXRs+7xZSK1Qzo0WHew7+W9idq5O +nqFL1UAhty+XmkXW/z+A1a0FHAckYQU0TGbyfRl7Jy2je3qk5SbJyysEovJzZFVP +1xwRBtQM005NKUnHHe6/No3P83fjHdwlA8QMk8ao7P24hbOXuZXoYtlx6BZ2MRYm +hycmDwfhHSoolxNDhy48XSoZRl3CyL+Qm+DP6CiKcG7wcwOKD4kGgHRCatBcrxt6 +k2kjwso8TfZHLAV/CYCz0uSZNu4uZsa6f2CbCEXGL2v/6gXntpbvrTlvtc3n1tY2 +Si7Qk4dPu/LaBYxCOfyXuCLyv3zeuYihau8hfVYmcuo/b69KPbtkcI7qs/v4IxPn +pgJ67NYFhQdamiF0pbtmcyWdfUfuQlreftoN2BNe8BgJMjiijPk77U4KwcfQeaJu +prG9UWy4oCnKgXWQLLXVYGpJ40LuSBIX2j6B8p+6LfBCPFBxTSvKAUtnyrkMudPK +oGFJAQVEf/MoDItXFxsEhH831BJ1Pg5afrDj27iooNltKIRGf/WYFiPejspm2FBu +E34LvXYcqg0ipvf+l4Jm9xatTU3E3lRVxhJimbRJDNx6MvbeJzPTGCt5GeC2qM1e +xOab4L3y4c3rPjLprIY9w7ECtbP2Tj747Wfq78I6F+u2nWxaTScZx+Zw0yLSIqx1 +pSzTLmalNCkrY8BwPK0jq/32GsnN8rfaMIHxXH7P/DXkqmg0x76GvOo3cYLIljvU +zrYn+g5iIkFrzIuKim0mAU7AFV59aoGTzC7VgIBN0x5NZZ37K/g+VE54AIOWiVe1 +389k9baZkG6SfJh9pzZuBx5KXlKf59sgQtV/3/oKaxBAGR0w2RNNrOl4QZOxJb0M +m4DvDiv3OYASLKPkMVu8GQXJHsj8StFBXTLzcx2klH0c2miokc40ZziI52NJrqOX +ynwpsLoyL7IPdqfkCHmgTYNDnQt4YdBnfUGzOYAew+lXrggtEOCugugiUlkNJkfL +HlNmXvIxwdnpn+EysqoYca0tmKzEHS6k5JP9hy3UJTm1CXQQzjkzZSneuP2gAjAV +3piqOI/N8UJKtVBnUSGdLm9Ry3c9oXO88vvN7Ht/48nR46ybMlqisudqZ6TAMOzF +P0SNAa7mc0e+RZTm5qYjdTZHVBvN3OKt3OMHtFCpKsIdS4g7+J/+pHl/4xvWn91u +76uMDZrh7VdNpelz3dF8gSRlltQkKM26Kokeouj6X+RiLuaXm0EkSOkrP64u3MPA +rSQ6m0hmLK4z2GatHfZVEmbtn3UKucmxS9coZXy6MGoVeSbmuq/1S/PcZXCgl2h8 +nMFbooZAacH9IPdE0uUe1KcJH0gBGqAX2Xq2fD9fzQQTtQtiqUJ/OLvhhx+d+muW +1nm/yC331WkiWWGRwRkU3mfW+g9us8zTCwoaHmxEVh4bBiAtyCowfN1lxqZgx8uF +bkIxGC2Zr8FcnO11Zd3dE7n54oTIn0D4+65fK08z4KUKCiKA09pDJv3IubK3V8vB +mUi2rYxU6W4jfbqQhfFvqUk2VHFcqh/PbvKLOBkfHKYCkBeTdpxBezpLDI4HTdDQ +jWRJHWkqpQFjEpSUiHWVFWcOfyNDRuBeO1xW0tYqCiYwprz4MMeagiT1aBiQoWD0 +zO/ahx422ksuq22dtt7lt4Vnf6VKEiJ8D4l2jNhJTV8T2m6GeG23dV0IpjYSNozd +UYIaIdlrso2Jxf/A5iFDyadYdOpGp6RSi3BCULAZ9icR1yQOyctCoaYHGayzd69J +s+r/1uCkF2WPBpjCK178v5MxQByhEdhkR6wvnqvzML+qf7ip75EGg8b8rK3SG+fh +iXXIAQKbj4u7wz7iUBVu8PekVMWvfS00Dpo14zLuDW1VhbxCfJHD1D2JwbNrzr8Q +NL3wX8su2zmdfb1JKh4TitlsbUp6y2O5Gj/suso4ulJyBuaDwlqFRueWy6VYFHdk +5QdP5FroJFGGO9abOMm5jOLf9u6O1lv/tir4FcfkdhmQMRXJz7ET0EIp3oQjQdVP +t2JJRUsCYD+gTvNqpWgN7SIgIRT2VK1+x/HZEbB5t6Q6izDqL4YtuiYA2ovsRV26 +g9ATi7QNkgjrr6gOTx1gB0d6p/bM/B3f8hybgVdgay3YDRUoSXIfg0UsJi3dwsxW +LED4Jaw4rKPWoE2EzMHKzGek8lByFywaV1cRlr3KHpfHiOxzr9mqrJkfSVix+nTl +9eaMVbOreGbtkZFNXHDqKqblX9moCPl+shF0tczEgp2hN5dT0201MUhdBTutACO4 +CDtZ225MAjzwqbBMrHa6gXruIYfTpQTyTrJZ4J9J7oVeYtNyded1VJYQiRKyjpQX +I7miltOftr4l+FbhcCWOUPQhflNiCpuQqGJApwdUmwu2xtBJnWVYAuMomoq9jx/N +h0fYHCq/bHH6b1whmtIvXLNkomODsHyjMt6FyDn2wZ8V3nM6Xtuim4/1PjfKkegm +omfBeXHXWzga+l+xzHRMQWaX+pDfOZCEnbRxE8cTsdiyUUfYyppJYchk4bhpPsFE +CcH4JVmmu4UIP5KZhHsOYyi5OBsvmgfJysfmHNO/61sSDH7ywsXsOQ89ywTRWYun +4R8Fa6eWz0VfNnJUgDQ18riihfrFKFsdeI3YYjfKOB4sZjah0Igz1gbRJfzs+teq +AP2TxBXrnbtQ/EflBDd8i1QNXO4Zap25Yz7BVDkCWLA3mJWOEslfYPLfnSRU2UQW +SOEvzMDw4184l82/8N7dsyhaJbrD0phzo4Qu3EUGofoTjJVT+fXNweFkh2cENRmB +FV5Lbho0IvmFn1OhLPUCIhOGLOVbx+v2Hw0luK7xX8FIfPLLnQBvwCw31F5Nn1PP +t1PYvt9NDgHiFUt9xOFaK0sjEfttbWQOsB+TpIiVgQftDKxs9cNL2/4MAgjo5Pjc +zy2ORghVJCd6OKbY+vs5zqvbEB/99oFbXWcjzY7tqya7Kea1UQip4TDpIgOYrh+5 +QWjzKYclRgg6DgA6yieJcbl4tEkEtd57iJImt6i1Ed+uTOARHLdkGC9qhcBWjvgP +Fjymr9AbK3oDGyHKZu8fP9rpuonOA7JkG9NarFYYGA4vOyS+cEHFQsYOAzvW8Xbt +kcB/CH/jndLhQC6lX49AjK5OHwsXMvjro1Cr0HQkOBY8+Umw/WEBf0m8NXvyUyRW +E9VOcCdZBcyfbkt62qvexMsSTMOHtOULMQUwfoS6DKmDCCgepKZjMsTpQoIrKdbn +ebnHsTYS8ars5Ti5py1KeUbxw/LtrZ/R7wrSZGrYFT8RpaCzbvkFluIEXTg7Cqd0 +iRXj+xKgGD7LLOjIMCZkdgBAkH4BZSGPMKgScaRl0f/hCkCQFSO8whGDdbmsYOZp +vY4u0+W/bIhLcTSSwXGPirdjjU2RUDz89FRgBKFkDjyElArR5Uuw4MBNcwpQr6Nu +ZasybxxP6bQ9GydZudPAwXEOg0MAFa/vRPd/HhuLwRh0nnb8t8Xbk9dtgutB7gqN +/Sqx3UIFB8zFk6PkgPwbzK5Y8LXBhF3a2HoBuAh8RisGYWr1d9DWeR0PHTaiwLa1 +lJ5CYuJTE+8HOYk026xTb+cSgar8LYrrpewFCOu33K858/K9uQQfQlhGUAsKBPji +pkSSJklyjh9UtnaXq/ZN8pfbAmME5v2nzICBJh7UwjL3mzxiZp6UEHNPqwIA0uCJ +Fq3Cma79ZzViwRk+QStv9ACZlk1UgvkwrDXB0YwbyG14CJV+j6yaLvYlwxe9R9B/ +0RmuM1TLRrNd1gIGttrrI5+2r2biMb41t2I0Iy48JpWVCPu4nuARbnE3ix/W6E0U +VoRFlZzQ/tQ/5ubmYluoxehaejLyDIUvCl8qthhOrAUw0LWueim5BqWwVUWTOClO +7qEY/rRIdPJXTuXF8Hmku3DwDmwRx8iW5FXL0ala9bZNiqFs131eLzfPIE7So8FL +hMa33GKzBzvVdrQUbisctyBUqh0ejflRJdBh6CsY/OJZdfWZpKG6QtjYtW4eLw6M +n8T3eBrIznh6fP0qWHPNzN3h6nTThMlyeAa0c1dQ6ExCMJ/OwynlpEZAG+hyTVux +J2rlTLE7R9+8xhCxKuzuyxJzczDPT36aJwjguKuvHgx5x3wPVvpGnymD33FKLmDB +U4QYEXbtvJivNi1K4bjbOYxTeCNGOsbp79OKU0kqXFo+St8hfHdIl2aGv2vExkxZ +jnm5uNP4xa1p4+qbL3BVyoYGUtzn4WXOYa0j5XOC/CTYAOVBLc+A8f2bKuV7bfYg +yEnNv1yKSoJL3Rjvu0tHG1Ltz+l8vWVf6euM9Njc0QSUhPvWcDfWdw8GsZWAjKTj +04k4rq5Jv0tWtMcxg1ZAXS99WXDv34+Y8HKsuawiezyCyX88KUYUpAulba8Nt0rX +7GbE+JNuRh3aAEWrBll8jWl1pCTRriKks5nBtM2KcKHl0TkWb6tgTrrDWsXWWTGL +bUpB8AJiMdqSwYrV38DVb6PdofTZbnJW1MNwkjr30morq0xXfeQxZOiUQHyuMMFD +NChvVv0Kx6J8DQJXxixofU1uv6efyO+DGRL+envl9jLPMGHsCG4gjWkVqMx7wGxH +gPeb+UBl303YYFunO0HtkoKKJ8XNo7ANTvwTgqo9F/S/XYY3cSmC/Kvs2jchU5VW +qfRogr09xvRYe0li0PD9opUz/SON9TjWDJU7zs40yRX0io1qgfRzS9oGN7t738OZ +2geHVs+YPzLoFyc0ww3PpqrDdRhZTNsqSOYSQAJ/v1y9VZ9PdxM0pR6HSma5x9ti +JlRCI5II5KGmwg7zJ5IrzIgxCxgCFHn07fM/nwyKghLmI1x5LxYCsHEe0GcLs5RP +9zci9ZZVCUAB+tCvuo4RQ9rRDW5/eX8s/Jb/3yiNO5SHSGGd5ZJ0yfmJ61yjRv73 +VTBmc6EHWvkC0eBCRC4Er57C85tEGe3BzgTpZb8TnWalQjgJ4P4JlxVF5IhqN7g4 +vy43+nJMd37H/Zqoc0lMT/xBkTOsGbZV2SbfnQJ2jQWFtRnKEe3y+sC0udw6unum +PuKnGuV1jY6mcWXQb8z4qHgLKMet9dT3aWqwlqDOn5+jd0yF6vWFzL9osHnUwfS6 +s5GGJoVoJBWQXg99+uB+lLKpAEoEhzv5xDfKlUAEmCaJ5Uka7tl64bDYYnnDgRZH +bAOV2os4UZyUkYf0ESIQUQd5pj8r0l+kkFKIwkCjcqkRY5/smIw0ZNBBJg28zHnJ +o/gsP+VSVBn2AZ0FpCbb04ABJ1nUdrrlekvM4BnqPpSBruLNqhdihY8v+Ry7nK5m +XHNETmWBGokCYQaYmYy0KAE8bYO1Cw8gcrniGHzfpVb6uUg2aSJHRN8EuA9olVjR +5zCjzy0jTGSvhwhakTMBF+jt09YfMzGEqMwQvG+YiMUs7x13NJrmio4S92VgI0/T +UvFrYz7pt/2pbTKgqs6YdUGWbyd+HgRug1bJrmHrwuwE6SYGD09Io8wjRBneNPPU +ChT7/2mO+xUPqYsTQQdZXK+Q/m+hsDP92QWjFxyEW1qk1E02ZPYOCxzuTi0Y041S +yd1xZabsw9CgbJEIzWiFRjgStz5ixy+ak+9KyT8M80KxVYhTv1ke4GG+KbTf0KUO +4cPsOqdK6ApNRWBPZW85jJJE9Hs6N9uXk/CnxdQDq9x6nOM64YEsipBqkSviRPy6 +jd8l7b51az8QfZJ4Id1ct7HEsQVHGAGg/1owqwFBVeCj7HO6+OtiKH5dn1CPGb0P +MxyS+hzsbHOADF63g+Sr1LjVN88bzw2r4T9P/7xMc1Qbj1+QPVu3UZt/5oNLVbk9 +VrIDTwynbOfnnuzLMyoZVscmUsXBCu8owriFlDph0mxkkdgMCoLKVxQA60ZyNyMv +qQoi9XYsVrqR5Q936d9ZtJv9B+/QIw/iqSp54u0o+cjQK0hU+ejW6mr517vE0u/B +1gHS0sRE78Pokcbe3c2QqKVd7lwgkpg9bgPZq4g/CwcuYDZgciHq1U7kh6SCBiXg +Zzz1j5dhvJ6vX7WMw/2eXyUXBfFwh7fStANrHNJRzPtVFJ9sMuAZhyhEm7zTdadY +nRumCNlikjQOJoCmGA1rczCaSiTkmlC6b7y7P900CaZ2tcFYQlcSqaol+4hb9wNg +jecPKSaudjZeWg3z82J5Sv+vSfBU2lOm66Gkwh4j1fBzgfioSmyp87NKZdAZeeEh +B7tKUhVR6rbBMl6Lry5m3t4hG27iwEnSyHfbmMPo8Bn1Xsw5MNnwR3Y7izm5PXc3 +X71s8URUZxDC3b6T9BMex8sVv8pTLPGmndjf4EomK8HFlhpGH3LZ2VqkNaUF2uSU +euriIiwn3FTzo7XHl/+vwLdOzRkimdCmRt/+zLCvaYQ5AI9lRxDLQ0qnm6ka/ON+ +ZaA7gz4XQt2Zjg+61kTwI6oFLvUeIn5H/7Q7YTPYJ/jWS+1Z1NXaFn+uoHxJmUA9 +EzETXuVAidu3hgiog2/x9K3hlSr1AL+VTYNita3CTOi0haNEJBSfXEWoXKDWu9uV +8boIEL0RMrpKXJo6EiPr1+cvwOH2raGgFzfTEtVyE00K1FCtXZPYAQS74YomK8lx +OBfAI88SzckjoQOUX186AQWouCbsdoHRUWhOryMqG7mDYuo7cluR3rD75t5kb4ap +ZN1HPnr1QDT9KT4jxb/yDt6gZTe9lr1HhJm0u+wPnp4js9b4mw/3dqHeCZsYfH08 +VouFU7oPZhC0wGbLNNno7CID/gUpLoInILKH3O5cnGhubgN1uGvXjb3RIYHvXzSr +nO57gDxOKpYZF3MtqhIoYzHuUVaEDXvdYd5sYOPDtZeuch1KxohSd/DDqZ2Jbvzj ++nU6b/eqN1ELdNsZqPfubwqNCL/WMzsPInRTbWPj7izctpVir88twLG5/waY0bdh +AT3zgC3L9xHOYPjGUZ/ZRZ7qnZKZKsbdPAjEpTnttdx6ltpGjwQP47EMLzZ7+lTr +SQUjKBgE0zCgg5HC5BCfV0EW/qydfHYbuBeYVWZOgEo1oMEDuBzA2SqXUhhkD/al +NRvF4NMudHA40E0GzrxytZPK0MTBNI8CEzwfizktBvLWvZSSxnV5JnwIrmTiapwx +5zjGMMIuEdwj0X4RewzKS0mOKb8Quq5v6DLdRx7QNMB0vkDiH4bqAuYZ7pGcPZ4u +UtewzO87QVAvhDg7zo4HjPxIrr8G/qrFVpXlBPAg5tQxTSq7j7lA3US+L6vlyazo +d0wjAZCDmwU6FptDbJqWG0Q9Sd21RMzUYl7lf2xLIpsd6G3+rBfGnmSld57oFmTK +maZ6XQzJBZAS9xyFLQBnKgQ23OOza06XZuj/O1pobDuFnuU5IX7tudy6JJ02dkVc +7v67Hoa02N/P195+DSsSm4kUQBPzhDuRvrQjMFiDlJRM729BM7i7JvSOlvSWVJnU +c1tZt54VSo1ipVYPyj1r0lEFIIkaRz4gEST0yGjtuznGzhGyeCv3wMr8ylXHNjoj +NpBN0WfkbaerJ5O2ckPMj4evSgS0Zcy9VNQBW7RChOprUNGX/twqfANksZuOcNG2 +N3x1a5ixwoLG76Vmm4ctAGGxZz1n0V2p2sUwEXNhSC9a85ziteR7LI0oXN0OIdcG +zUQTm5gxnyByVNt/9VgJ1rUyNLvfAi4ShKO2OkgPjIEWNoEdvJmXVYWq5oUQbqXl +Q7t8NdaoJFBVbHZZvZh59jTwlEzLqptGACyTH9seDUAoImRyhY1JSIx6bF1aC147 +EFHfrVi0SvqY6y+O8IBCZIm0OE/251by6XaBdQWB3jPTRz7n1PLyWdE+O1o8eFdQ +I6aVUQksAseG7DRVw4Le6oAhBVJ43kqH4eG/vMwCzZ7vYjhpDFNl2C5uX2xvvuuM +4FaF58FXxWLvQw2bzc2DYhIJsDn+zjnm1aenWMI+hq+bNMBSbdGGlMmcL/XSacnW +cewR8I6Lhf0RMSoJc8NOTWWMnkBMTGk8tnu3ctpAzP31zvY4pMi6j/PEG+4gTdl/ +yCGGNFpacDCzU244y7UJ3KthueW/lIqFIc3PE7KqcRHELeWqn9fb4XkVwFmX4pLa +Lze6zmnELYMcixblGOYBdv8mQyTHwJAJAFxAE/A2OhdI07yZACbARpiPTurA7SyF +dnkaeaffIRQHeYETtge5/vIIzLKMtqWvlfpIK8x9Ot3cqWeLpqwEdX8y53YPHmZ+ +vXbmFJYfbILcmX/R+fUniLIW7nqSVXeGb8RSQUGjPHbBtlTPIkW7S/VpIuj/axNz +D37tfHDVXnb+kbEL8ARRCgod7ykynDjzvggFNvkHWAZ5w5hVUPJeEW2UAjpIQELD +EEiLzq7dwuap4sHpbRFJzPgst/8B3juW9MnC0bTt8//WhwKfWnOF0UANDaLpThtU +RTnvS8SRXq6eDF4DBsrq+nDcyAAi1EknRy61DIK3fiqvukoWTlTkmX3syxeVGmYp +ixobhU9W+f6P9zU0ajyuN670G7E5GYVMBZ0U/rJY/Y81mAw3pXfh12p/01ByBuf+ +XBrDGakE1sGJCQMp2YsliKSPbbaF9bVijA98AVzruqksVOjbYr+D2OD8ZRUakOk7 +DnJ0HlJxBIJWLYNRs1MQgX7apFxjvzRMGY+FecVdh72YBF4SKgbQAoRNI/f4siLO +yVvsQj8KahwqpZs6Fd2OjiR9vwUSHkYUJc7l5dM024cxbpJryJYiOJakld0w2ma3 +VG0jfWtaCDBe/RCA/qbk77PJu7QDpp5X+XNxtgQDtQhoSmudtFkHUF2NIFdn8tae +N4dK0Lz11qR6ui+i1D9PwRPXD8rba/FGOpdwDs+sP6FXk06LJFHFgBbBWhmhEm4t +Nmg7mkPFBGyd9TUm27r5AR4wPwiqFvjuoZrz4kPe4em3xFOF4PY/OAnYeZKQC7ub +42at1TYx2zV5vXOI3NHQ0qJuDHFqFV5c9sHVeql+pWYsv5LEPbxxxyv3omoWcY+x +yBCi3XN8x+jVGVkpF0gYiooFrXuuuGIH0AA6/v22oSXfxvyjQcEXpceTftP5Q9Op +zI3uiBaSaA1F+sdxjGC06xJYZBCgZby33VRyI9nS2DuXG6D5CDrzOmiajm2ngUZI +iQGaQBLdUEPYozrmc9Nlb3jbh2nPNpdzFt0Nj7hN70PPwO8ta2KOY2iWGGa2taCX +JYQXS33eW7bmj8agXWVJJaF4dlUqTl/nuL03Sp9piWbnEQoxLnCBBXIMso6+Vos7 +5Ttc4/ysOwCFUbl4eeAPtbPsGWdxPdbFXeuTrqZiwZKm7ERoGMprmMLjRHPw0eg7 +QjoxnqGDDBE7XfRKbnydyjEdmmR7JV++jgMKWJgN9b+M58PEKNburW2xrZNfo50b +PcNGAei8SoqvZs7vJ9pyqRdSAuTTgrRxQkkZj1YO6cCRkNO6rvCWHyMFqNoAyJ2l +G3lHXThYbY+5MiWmiRnm/Nj/MafNisR5WftT7q+czcMRFmg/mONXRmEvigmzQjCp +6CYeQZtQdclC6U9DcYmrMigb4MdMsFI74+05fbBG5Uf3/bKA2n9oPWc39xQT2kHU +oDpd7uWo6fLE4MkM8OYOKOid3E+VwxQgLVb8quWHi07qWxN6uw3Yg4mHQrI9ah/H +85UqZSXRWm0o7P1QJzobONXVQk6OUXC8fsoE99hg/axd40fpBvWoGsFssKOSBlWb +0LLxIA0+RYvbWD1/wgF3pTr+o+1Pn4a4ZGaPSH2QKlJk0OMjd6a5YzEFzeb6zNEy +w35kX4U4Xfu/lfG1KIuZt77H0GyaoPntDpNPoAVsieNm8qJGSqLFeVYtUhJsbLWJ +BEpkdGTjZ9lvm2Xfuj6+JV7sM40CxAaPgGGXJEUq0ahVmiMgt2nqe3hRu7h7v553 +1O0OkhBVjikQIZakFicoRyS903QDPc+RxsvRDFrMF3OFK1DNsspHULNUSLItkeZZ +NUd1/YTm4lbS/iv3cbAKE9pVtU80GlumU1HUr4+AkXW5zHZifpuoGUWF13g5+Pat +i5TI3P2zGzOB/aNlxzHg7+Rq/xlEgbqLnKSLlJMbyBGGc0sGXWXFBW7o6ovxD7jI +SeUA5xbYUOWvXElhniO7wby3KT4MGj2+GvIwx1ka0U87XjOP9/bRQBGtRV4hIYnY +OeJVsmQv3m+N5Sv2N17yUdy+usOE4zugWjiJX0N37FqEd2t4r18VeGBD7on6Fihf +SxtrOdVVYUGi0jfS+c8f+y1to6PQ3NoRv0D89U8xzS8YK8PCCTgo5s/7QjAqeJyp +ACjgWJOA+xF15pGGPfg1olPvYqsew+8dp/GJzD0XRY0Y8kXJEWDS6ncNn948wLjD +PD/qm8Oh5QirV4nXDosT9hgFmOt4oONMU9HFSdvApbQ5BrmYRZoAjbAxwd3dHt+l +zg0U2oJfPEm+LyFGgG3/x4Q7k/SzBG5gPwxyxgG3ZRv2znnXNG84rXtSBRsC/mVV +uIzsoY0p4563DrPL2uIrZgSaR4Y8egM8Y7DemB+xC4ZJwiCtT+34reqdUF/fE5F7 +UfLiQSZL29gNb5QB0eFFkDQeVTJyAsqpgS2b7pSRNxQ2umQCzvlZhOgV0Dn0gbg6 +yORlYyGnhOWRAkCBXBgP78qmAAw3beVmIfZpwf1eZ//WVkBvqdHbsURxQXp0TSrZ +kYJUJRMIyJv+Lmt2O1vG87Dx+xSp70suM+6nV9EAh9uym+RMdQ7KH5CMtRFizuAs +2JKya8pjSi97lC6g1HPPte92Fe/6qOqsFA1Bk/dM6XN5zH+M35IE3eaxvOtjjszk +XzEmRaffqvI+3UzeUgr2Wh9AtePp+NtitGxQm3/d4BII8Z1/AzmONhBcPlM/17El +K1Depiw7uoym+qk7OxbKYkgzUT5IIWx9ovvrKvyuu6qV5qvC04Rqt2f6wNwfgWPU +6VyPC8YK4qvfdO31nXVI4NQ/ODZYUcUDPT3sIkw7NZqP/jx91DK99VfUE/R78Ejk +7bWSe6uB5DPAR3LUEpcE6+vcQ1HoIc0ii/ZQxWRXgHCkp1EqjbAPeZrkLBRl3hUA +4GCS7ZrhxaLUvKEHbPn/biuz1C85YxeCPV4oSDh8BAsOL7cCdnlIp5aJClXClpV+ +OVvSN4MIm4fPoo/xUUWI4S1yMFlf+5qVRP1H6LCOkAh+LbbtLWCuo0kvrOdmVr/1 +MDMuyyRcZSTjE30GwZ9GkQlwP2XwbxyEfpdKjTlMep6TRJmSCcniSJ9VBGlNQDpE +pjdCrrK0jmEgP4OSHIFW4nUX2e9YUGJERmUReEq4chnH3cqr6cZ1fmaNZ5TA1Fvw +2isu8i8C7fTUAc3IncDWJDbofDmTuj9v5A9sP+06nY2DLK1SA8Lvi6VL2vTXOvM8 +5JNevXW6FGBYORtkw9CP5/sw7vkTUPjtc2IaLeVIYF2culuLk/v1Tw8CiomNEKPV +S3pLiMJNjwHX9O6fqTiRwlRV1c7VRtcWusI0qLoBYlHgRQYtZeI4jx21YnKW8j7W +HhcgtcBcv4mb0tEzkZMzyh3VzVUWbZAjqYHn/GNS300V/WxgTxWO6ZWP+xlHd511 +Rr3tFZ9afsYq6RhRUpEgywlu8VkNVvgeGd8nyFnnn9230wcD6efRDYP+Q3s3hqU4 +KSb6vTt2vMqZ5LIFECJgR2SblEQgl4fDiuza2KNa+VFa8I0RzENbUC3ZDyEJBQOB +NyjpEf4Hb6EFKUhxWVkEde5EeTAvuvfJPLDUtYUvELJAWgXI4o5uQ8te01RsagJM +dGed0ee07eloWSmsw37cEKF8cTPN2ddBMGw2Tp2gXgeS0CR7NkDVheJLbMJtxtSv +ZdjKs+iKDcpDNnqtBOmX4mkQRNO0271+VrPXK/zG9LflafOBZ2dNr0IUsaWLoDTK +eHug+FNbhLjBezCv0uWSMkOmkxDMXll4Rw0AOK7TOUHVyx+uxu7urcuxfsWUBHFs ++SIF+hIN20PRGlo5N9ObDhjylggDavv7Z+sAgZwqEzo1gu+EmH7ZVoowJL965OFP +ZQ37SfdFBzyp5ct1RLxvY3axI2X85JhXMQdQiH1G8p6BQ2oj7t7ayAD/ME4fWhZH +CEZlkOQnoJRNOnWmSeVSF9B6Wm/r4irGgf5OHx952ISXYaJiIAzWJVRw3Tx5ElXO +Re8L2JUEAeFGFq6d6kgK+0AL7f8C80rX2WQQh7YNfLJGIxLaj09PiIGNnFVKi4wG +2wxgogWafuuUzoBi+e6aXK+OC20JksGiWVQdp0QA3DausQdR+v5t/lbES8QzrQq6 ++0RE2M25ESIniDhMQHXy+S9R/3BtqAZQESO3UBQBWJoVqaP5S5pTXKV119WqKQqd +iuCvjbyrBn5zniXGyf/0nVlL8LgBgPLqQ/gcvGqhFiZjxWn0QxdkPQzzsMa06uvL +fRpTTOougFJDtYQ7NH39jIAKbgSOKndjJMT0uo6VQrloa4CBOVA6aqfzjs0MHojv +GycP5RTsoys5K6jv6zy+F6d24rVbL+hYhQYZO2X/MgrBCjekq7CS6VDXOiaMVoUd +2zPnIwKZ4ZOh1yMw9PWN4EkmoQmbVp+y5l0Tzekky1dIASIHxR+TEQcc+xSGFg6Z +tTSXev3sJkOciinAwW6c1kK/ZcX56Jx0Vq5wGRovb5x9KGYjGARUJPGaKMbgJqf7 +s0ci0VhE/IRhsxjAcuPlA0Kr7L6S4E0c5ASBAnT48AYwR6Y43w9b/eFCwhxobPhz +u2sOvJ8XWM2Ecrp0W8SLT6sHj63c2w046D8vQxCe+iipEckW83lDTJLvyASjJMYZ +7ta1jUvEp27yBizNh5CNRmF9r+pcn+bFnDMUA2FhTUE2GK9iybHWvAc0qShmZCFN +SDuOeamysSepeQgeSi+6tSg3Yoh6hZVs6PGKl7cmajZ+meKPnUGhOSXgU4tpoyLy +7pVNLg2eu8Q21VKGbqTGrKOcOm7wwnTc44yc+gmqmIrwKLhm5gGsAPzmg9JVfuow +n0NLJLp+gS1J2Vr0NHwG+o3X0pZR2RAKOp7un8HcpBR0bwkZ+C+T37nX50cVCMux +nhajpLHgmBj/ykP1nEU06G9WUKODKwiyy2JlSPYmCG0IATW0W6ieDuMVsoKBdWJa +wg1IkRWpX8D+n7AxvwH9IzyMYhKv+jv5r/mIL4UOmPWkRHwVxt2d/t0KESRrteOD +vdtdzcrAAvP2wmwZz/MXFUoHhklO8w9ihAUqU0FK34SbBaiJ6upq2ky+KA5KRqVo +A8xpeTaJsU1UZ0WvssnnHpFepd96wVkWUpOyevbHlOIimIj9mjTMYlRnwmsqrHbJ +iZKpZNS/Js5nKb0WVCt2GOc3+9B0VKRFaBX5oYg4CbdRZ7XSDKVA7iKG6QrjOB3G +ZTW70U62G5xHPqCm+Qv4F4cw4+or2Au9dQN/n5fB5hYZVIze2Ed3xH7soIEpWR9k +zTLjP7hfpW1qQQsgl5dKcQjj8dRcUUCwbp1bj6/KVU/fC5mTSeZh7LPn/YF8Rmvk +oL0iRMeC2mJgeHIzAQfEypXJ64GFVy+kie2p6EAW5AVJnXyarPv5yiGj2K+aL2pd +tZBSaNdbShNsR3VzHfzUNt/4bA/5gh401nyrKATuVIjJT6mtvwo3BXBn/cC2lME0 +pfW3kxxD9S2Sm/rYRfrGFkqIU3IjFMOKjbOgP/2R1kxIjMVt3+YiIC53q/pm4hlr +FuAEFk88k/7rXXVq/vncWV/XjkSgrwuNsdgz1g6cc20i+1zFIcOsQn2uAZIp3haS +0n764DVebq4cK8CVH+FW3KujRohNYOs2DkQw1AdOCpsdfAuR2jHESrT4I4iOt5Fi +uo9u22Ncgjiu2hUFNsmDfB2HEH+4dlNglHp01wzUWMT0+KRKAxYAyzaTjIA4kcJC +1MZYwPd+E5AJI4zhZQ2J7AOd3CUYUxqgL7obAvVz78cjeYbskoBryC/sZ1C1/Ksn +2dvIjMmO7KPWDcpyNUjWyhCRkeMignKpA7dKOGZ2RYldCi98LJjxchXvCjFoQfxB +DtVvcCW/TT5pD/1DrQ9IgaJREGeHX53VfJaTPjjZsoYJzAzIWYJ7OydS19NFsQci ++mcuYbugK9HvxZHK6Pyr+p3NA6so24XuX26OxZtEdW7ZDa0nWz7u0i7aUDESUzRV +nCzxtt9QKKOuw1FRh04waAKXtTb7kHwe1Z6XaMgopRd2s209i3Gt9HrMrz7SY5WQ +h56tqlqxlFctHATW6Mwp67ianKiCJbAzOLj2kpW2ux+tfjFrr069l0P2J2Xprbj3 +NC3gSbpmOe2Lezk2xxldCynQIB3jc5pODInF5Scf9T3iphgA0mvpx5PPdJpt3uTX +pKrIphmNXbz9GRdDuTq5mgI1N4vfccxwuRH16okL62UWJpDT8fDUXERh6p9FKcek +tX1qT8O0m2xZmbMseDElZunV/xqisXxaSsFi3VuIHfH6eMNa+8Jw/2VLQftQSSb3 +jEXw6jP1JbIOtLW1ei40UItT/QbUAGdJvafMvKSo4MpLrCGCXtcySnLTDffPavzP +yBWv0h1AEWDiybk/vDhrmaK4sDxtvoer6AGGaUBzcC8uqX7P5EhNX5xNQcrraiug +DnSAwcKRuamxAimQQXUeaVWFS1aOveCjrnrEIpUQCQ3ytZxh5pPin85U/O24+07w +h3choKS/kdoyqXZ+LAx7DZcwmwLiPi/HI4FtFfDaldnsB8pmNmohXK5Pb4BHjH9C +TbiSLNd2sBzcWp1Mhhm+EGmOvA3WKQjezOuNl9oKPlJIR5KKWnslntqmSc2NuboQ +nbKO2nTNzmI4byOV4r5LqATD9gXOGK83dZVQnl+4ZcTqw15pz5ZI6npwUr55GxzH +XXtq2UBioZ0rp61rRCWPTxKdTCLlpisFiZfdqa4CXVp1127Tp4FyoTr8EIuulwZf +TD/O7jg/sAf4FcxOEaYjPMddkuHfW90KBVEFRXkNBCdFwk8oFb1YEmLacPRQ73CP +w91K3p2ERGPjnn1jFCiBH59r0I4t44Ykdm73HZKM3qJfZmpOYdJgJXIxlx+E5K0g +ZCUQIJvDBW1KZY7mytpfaVLVSR151H1FtgOG4Yd5s0Ef4IY25cRIAg92ZJQaoPQX +0TrBwvAx4CBykUmAZaebhRHv6W+8rdACiBxtmOl5fx38i2aq5FCKTUuTM+gEJeXh +7RqKXax7SOThUgYxANPUoR81C2B+PwwQmRceAEm/dg9DVlZ543a1Era5FRQVrkPU +zSK/RwTJYzmYjgCzu/dx8Ftt2hmt8Z9JH4qVQqkSGjMLsDihPxuuyzivHpnpJVke +yrMzzSvpz8Cy5bSfEX9CXqqdLorG20lX//7iEg0OeD9RZ+6uNznLSJvCFE+sYH8m +k9lMa9jyQPPcY2AlT8bIUqDxuCdeIAOPIj5Z0VhEo9amFPE/GMS00pORkbnAp28u +tI4k5NQT54seaI1zbfqVgNe8uDDR50KVs0botop4w9ehMLEI0/ayQ1PgfpOpp7Ng +SkVwSoDXQ8rBX1KLQ9mz+0MUwf0rBTEJViLkr585cMDU8hlxlarqckuFZGTi0AiV +Wu8p6t27iPOw0yb6bK35y94j3onoRYQa7s0QpSRJp+3hCEunGB0P/4ujcAtL0owE +PkgOXbw0xwGsZtwckwPb/bnH/qspLD2BumdQlIBtDz8KGaqiDnvU3MfPTDZ+8E+m +/wOju25oO10K0agN3Tg4ZbFZe0F/aW0bchAdb9B7a7KHzOz+A5RdYd42ETKizPk9 +9RsDLzHWnSv8yx8yxfnHCVq7MOCV3PdISPAonaUIVeU9bJj8zfh/tQtEJxto4H8A +SF+dTonYilrMvOwFnr6Q/HYTP/+78HRQi1jEkOIDxtKlze7b2+1KtESsU0HLQB8a +p5yIQnJLn6ayUDkOgih1/ZrfE4iaTTPSxvTzj/IBpGGOEZFsbB6OLtmOUeLJ6Rbb +RIeAiGTOGe+qf64ZNhiHFghblh2zwxpzTCT/7kGMkxMspNqArGcVpLnhBypTDx8E +rF7PC5svKwIecGItj47Qnx9YmNqYbP5sPHfeCEUGk5cTgMNSY2e3puw5MDwEF3Aq +2Ffxs6aK9KNy34VJbiaP1Jh49qvXGixtC+VWGxBW90Qyjkf71jPrp0eP3zzx/BoV +kvALrwQWUfA+0OpBR+rTB6YDxduHTscoPYYEr4HxLQBPeBc/+LU5uGP+o3WSRinB +e2Hi5PZpNP7vqnMQ/KJWOOGl40aG46Q/5ANmhWa+B13I1L3A9YBRt2am2JXVch7u +BAON+0d3A3Uw0HbZPNGvHM+rW9AKDwxFIj2kxle6v25EjDF/tSX+OxxOOors8c+8 +oHPCGwzgbA2HErUbPWVuoxIhHI4m1Wx6aNByLEFUAgwcjYce0+dvhGBXMAwwJOu4 +FLAMtjFN0SdhVug8m7Va5cUDVFMO6Gt3zLZYxXC82XMmxUXJVhOISf+tCq9nJFGa +yRkxrkidv59rKj8n1tze9da2KVx1VUK6tgAjUQRKKUJIhkpFOVVP9xskr3Rd1o47 +jmf/Lfkew2WDQAZN+NkGGokeW/L+P01w4J531kDiQR5SaCmzJpGuaR/gn+pTQl3o +Hwm4u/K7c/fBGO2xRlXmR9Fvl/EUWkc7713P3DxHuREq3+FxZfriyqAhWK+66xdm +HVONthcAh8uSB/+F7bEFghoqxXKWNIzrr7OREyG4Y3uxZ86/w4Ircdb0nqedsIrh +TO/Aa01fJPhnMxsZqpYLhDDRBHvLsFFumrldBTfA255foNqQepl6oJjy/mpfIhih +sG6zNP4YU3NKQo2IaHA+S/A/SuXw5lcnvrDVtLQFQ2WfmA0nlvG28gotjjLFCzxz ++k1xEL5xtGqjcKVLvx5bxnvwkY10IeLy8PT9ETN2ZSAU2fJ4DYMZJv2I+lBW1OZS +yswtEr2NMQNemkFBX8XVtnrlrHkkmUePueeJZ9HieAi60+fSD5D7e1Jqzmvhvwzu +Yindi6fnvA4VbLqBhu6SIB0zhmXvm4QTnfmB9+m+rE9TBXLDsgXKHfrWax9RwVrO +y1nrFgVcO7uJ4mbHfXhsHTmIIBImeUCblj9lwYtvBa2q34r31sKGRmttk1C0+q28 +480tt+N+6swmlkTZuu35e2jqt2sKE8PwHrjOy2QtL9g99j8YA7c2YlMIChV5t1mh +9bl7eOJsIv3ss3TD8qG/lghBkBzIT8kp04qBHnfbELGyaKahopxbX7nYGwRx4E64 +H+cSDRysurVJgAn22bPHmiUgBV3mCXhtACVeI73QekSReDduo5YXskQjU1TnCER8 +xmtOPC5ssYcDFS0eHqib1LcQ5LCzI9YsxeIr8jIjd7yAJbtZBMida5xlHqi6rMLM +5/brIaPTRmni5T2H+x5jXuwvG4SSsgqZmqh1keMUXZNhr4eZEO3rvFeEpxxqGnWy +4kVGKz9wjyANQn0zs9uUVW2S5dbmXtES1NldGSLvWAKHsIaB1es2NJWXbm0jxPhC +SCCDKzMIhVkVTWOrQUYwqy9bvc2fCXLbkLMo4sULuS7RQXhYaR1IGMGzuIM9HkYc +ERPRAD8TEU3uopFgzAattLSFolqjY4BSdMUlhlSIU3c7CCLryh3wjDMzsXU6fjSN +gidADT078P4B8NdsTx2KiOnLRD6indsptoqPHXEaLd3Jj1A61dMlgA5CpSj91oFT +DUT+rMSPCaHXkU37aGLjP0jbJTPAfJQn4OuMgHlIRePVFzRlN3SYKgbPO+NymdjH +zIsho3ce4HS5HiXTGAYPqKSM4UGIBM2DYgsNaVuoJdClKKYb7Im37Vc7FrYRaFfc +TZA/4YqcA/4bdVWDZQATSi/oqrlt51JS7Y0iyrc7SXPX7TewS5f8rWp5glvOO/h7 +jRVmCSgLyeJA3+zUcu72vLnfI7Ug3DIvYRhYqkXy99GIOi7IcsnquS69UEs9PTK/ +aR1sL6tAOWCzoC7gGJUEekYknRqvgygaSk8mXKZqO/XJ4+IhcUgQhIhn7CN2pHeB +Pqq2ekb2K2HoAB4Fsi8jTO88lIqB6OoyXkw3yF0Acnk3vZNPIF3gkNEEHF6ETP79 +O1WgvupbBSSbJqEq3bace/F+MJxsFRY2Zrf9IWM0hcPdB21lXqpwdmyW0u+eSjqQ +pBMLCFJNfUNdeHvCthbbg5a0BK580TpJt5OHrMaImxw8Puqs5Jd8vbEnMdTU0ki4 +thGESk1GLxB0PmeSu9isBIg5FNOQbOhylvcXLtg5eo0TmUol0YS5FWmDrCHj64xi +VYFttEcwbN2sxa5EjWusCqkhwmVrDk+9wT4x+E0e2aWSGU1VJZnFWn9SmxcXtrXC +rohl9lkeBhtz3KVtUTXUdosWBo7dC6dISX2ikTbgPSPyw0qVnwT5oHQqA55FNtk1 +sfN/aZvxkK1iKyyeXPR4lomziB5OMpAq0D8DBbbbP6bj7JLnkVEyDvBKW10Lsafw +xh5OnD7mep4IolVnzzvpt/EejlXTBifmy30xzXqMkVECXwBgda9QjtZFy18HGTkT +243lkyjq/tUdDsxJVs1D9oGvCNpGFz1bRGwCpSdrJzeARjWAWhd1uveV4ukW6Zw1 +l951H1pRJJ8pIkKOfigHXzwy+3TWZAYE0keCkvDqVV3g+6Wtb4jrp15RRHqD4zUH +0LKT6hRaOAlqQ3LVJq9oJ2utXZdplmZaYFtS0puc9qEOTzQ+m1VHZRK5QSZf0cFJ +uy2ZK6i0iJH1oEvxTTgaRaaQFG6ST5sk2JbsXuwwvdEiPPbudJ/7LRxEVumxEQ0j +//87nnd6KXxE9BLxwvXQepsjEjo3nKNCYYYOMrBGdXM4hmceO0t9ZzVW37dDkrk4 +snwAOCncjgOBc6cgxztYO1IgtAcfpZdX3Ofn25WxB5YZNcLlywEmdT5IKD/pSVXJ +ZSCkV4co88wVC9FdEk3Jte36d3T6TsqV5ADkRz1YyfmRYaXEo5OzvfPK7us2YAuS +BKnMqAK3XgWSLdMClB6Xy+MBXy5gnNT7/oEMcValhPp/G21gHMzvJ+Aq/gkwJsuf +wTWzgFMsWcNl5pvvoT2uxAjaxIcc7RDJrjHuLa1yT/GHrBNwXly+SwGooqlwXhES +9KOfIbO7d9f6YvKR8wfBjIrkx6S+nidaG7PxZmpYM4lVMd9GP4iF2bwSiB1uDtZT +v9gyvT+cfAPYJYP4RAnode9Qy5Q+lV7ZHGoJ06MspcZ64lz2ehdvH44duVhF2Sq9 +gONVP01FYRKBx2FKVXHKPu/TFD7c5xS9PZfS+A1A7BMIsTHYSAV6QA4HFiqs+K/0 +RLZ/0G0KrzTh6CqmN3nRj143dhXoQyRidGlzXgVjG4Nzs4Uz1lRHVIO+SmGr4+DG +cTaGS5wJ7ZPa9QmXMzAV69zbAj83LCi3pvK12k9GnEixwNdXW+EcWtCclQV5ItuN +OWysQZRnknOX6wQ0QgSBcoudLQnZW92X7/ZDykoihlbX8LDpIn1/RIGFhK6G+r3x +9QVStjXlrzlKVnfLyoUv1Jeu/jPJKY08x+WGPHZo37tmMcRLje54RqiP88018TdG +6xNZAWaE09VuMJKtmy7jN8VPL6Oc/nGTqOeG7u5CqaUgIFJ4AfudPntgy/z1mWal +iKNyK0SBDt1bHF3VZ+S6a5UK6dO46M0VHVr27Z5mkGB+k4VEUxDfDxnQKrv8Up0X +hM/G5p6YfzEfXPA72ylNLuoJmrKpK7YWFDaym3pKGMg2QPBci+UMqyHDtJ9AK2Qj +Fp8ax3bDzftzDT1tNdpm+1BRAuaw/68iUGgTy9sn2c286xzN3yYJgs7WOBV9KnX7 +58eLUuscg0MreDax1KxjwBpWDDmPDHoVgaSbongrWEQMBjMntts4mcbV53Un1O1W +Kp6DD0rO3EXP1f1swpgo88H7KRfuGa7cd4vukzcBxwJzMzLpd2ULV6zRPCoOOdmw +be5Z3/jinDbdIlMCL28Nv1v71BXiO9NqOP8EU2ks/+fSFgGNxQZXPG9LmD9YjRn5 +4mGdMwv/O0WBYr52kwZYIVrfT+cUGNRkg9JLDYk9NZiAN8+LI9VtzwRQgOOIe+Vm +ryh3J78vciiQjVVPWbvNUOVRslm7urwd40NsW5f74g1nIUutH0O9v3nBOYpeMb6L +pB6KJJ1qoWHp/JULUrp4Qag0zktTmWRCuJK7JFTC93gOjPPe8e1aFZEAA7YRL7yP +kbk7fed8h5GJ+HHnZDcca1aq1zITdw4zOGGxSUuBgrTM8g4UuNqh4+SI8xD9a3yg +E6hbdlcWOnvS5UBLfhhYuedjndcq/Mofikv7MbAYcTFhpHbQ2uuEzDZoObVrwsfF +roTVXBZaO5YNWl0sCVwjQ1hv2LJQNsPY6V3L6tRdKLtW6+Y8KEqDPN2U6wvSJqOv +Wvf6O4gCNSpoPtBDna1Et1VFTD8qBHQUn2nofjD+MueCwL2g4Q823iyHjPV9/V4e +KufmyupdtWYZYPq2MEhlQD4f3TY9v9Ek8/szkJ6sU0VBoBTTcmTK3P2eguwuZ/nN +tuJ0AFmYYEgo1EGKLQKOy8bNyeypvRrVUQb7PC52EjFOI+hOTpUhwifbfGYTuIcQ +JYoIEpTkMiQzHhqS8jFaF2rPsmE3xn29ROCe6c12hPlEbTHGyo3EfhOZiaR2Vbfy +EyY491AHzcCPsP6KmKgCf3gyWvp5yIRv1w7I+3H5QjzqLBURWo3sSWeDgLM/JWNj +h9tpjGvDWaPl41PTuyznAlthkPNiOInARHLAtwBNcUcVJf6VEKCWWb/6qY8Cgp25 +s7nv9qPTV+l9ALRCP+pRwd9iG+8Pbw6oUa8O8FX0qdgkqTCxRLP2DE7GgBnIdOaD +TK1cVw+XkoKwqk9FsQSl6n5chZoW93VZJevcx8Fmw2qERi+GBJ9QIS5ZRKaf3wKY +x0uPmvEAEFnwtDhq3/u/YJ6NDUPhOBIZclJI3ucCq88CHkAI0omh+6N8Gl2uFzZP ++jMe495E40HkVTWaRDa7tFMcyNY8r6M3a4nVIoRD0mQcTfjowg4gyrlHzRqo5Wv1 +jw969+IrIC6NAHrYTqtE8groGiXAUr5dX7Q9FnsAPShAeYoFZGrjRxCU4dF3rDqm +SAjlOLrA3CdZRcAMMMs03pfVwa3RaesZnu9vMoXVcEY70BcNLnKGvv03E656msSP +75cYYCyZRz73ytTTAkbLc+2N6nZVCvyn6K7OK7qbEbP2aDmFWY/Dj3yH/6t8UyVi +d3mf3XNFXfwUjlt7zb2ybsMYqFGoB41ehq8cJu0s+JQ5founAw4fxfXrpj9FWYHT +vSKb2mc1m+CmCD8Iy3dJybAXyHybuGhYRs16Jg1oSjwUBjb7eSeHZixpOpVDI34c +LYSXDX5XWn+PxCvWM4caEDWFgV5jeH5HFtkBp3iGWZVmwgeV2TimwuQX9B0/AwjM +XR+WVWD0Z2wUX9OoQQKAHwFdcMuiqaAbyBUp3THBTLadyO4zmfuAJIoQLgebnfOn +IrWNaXU7nABnhg+4G4RyTPaiMMj/GvH9sU3XVsHwHIaGeaKjjAcVyUEofoaBTkAM +ojQDwwKwwg9a56xVVHhgT4hJMjksdFzTiduv2oTZR2bkgm8RNASQd4GSA5hx+Vg1 +G2rpf6MENX0ArGyxOpiv0c0qMQEy/bkjHbx9q+SxWky788KBM/JhPqAOU3DWt7tx +yVXItKSZI0kXVas7bDpRnwD70+wspPKx0yORekIdv7STPgZot74DSHhN1+DY9rT4 +fAzjhRQXivLcM8ovqf1aQVVSvydwBpgNCwX03kOI31XrJB6UgxSINoFKx7z0qA+u +XFJ3hJ5Bu/2yBfuatZ2ZVtgeat+v01eNjOeeAXUpn2rEGV/aBnzY/Xvmn8fqgnyu +gDUqvDfBlgdhF3G+Ql5/CYzn9bkMEg2x3aho21HFNqziXewCebHYklfHHPMEt7Ow +Ohdn2hRciPGD8LM4hCgucEC7INKPxNVQv0NP4+b/AYLdMx8lR0/yheT6X58KdMCU +XqtBBUJiQYN0lkSol1mD1+3qjoSTZBmTc+Kcpz8Wj3hqQWa3LkA87qflpltqgNIk +RzuTzW/2w3Fmz+qOuOE+vNKsqTNsFPslHAUTLxI1+JAeJQZiXwZ3L5KxytA/SzJI +rDTiPsttGAUdBcLsTzc443wYa6Bh1zST9cvxcs6AFDoH5RNq0Uknxzl60/BAFUfV +oXj2h9WYl8EM7rLXobEly6Kn6lUSzAnH4Q7DWAEHrNQRcjbXnsKxqWiTLBYXz2jB +YYqHWQJoSeKNFu4WMhz3eqUF+IVTY1j1oPjxIngtyZgAfDNxSQBFIiCgTHazUoT9 +sl8uoU6kxcEF9EvURG9L3+r++h52If4J02pj/aDKYgVE9tovhgncmGdhuk/g/gTw +nI7ebrW92LXsjsHdITy/NRlAlsqVAyur+JiKUPsOymUWva7ndmob4S0UY85SyE5G +PwR0wqTvQz0hkU3SjKaC4zUrsYTmUBZP3cYYBtJOWWaLikbkfwvRBtrkZZox8s5f +0kBS5S8IN70NvRh0Vd2W+4W2xtJlSyxk8lITGtK1IujBG4cS9eED9s/b7DL37DTY +zm0UilTxgN0VgS9A58pQRww9vi3oS77nkMr+CoXhflTwd+IihMvDNSBugj86bheg +4kS2yxaZSASrmCJfHzrCxPaJTecr2PAqTSKQpx0QpXBPN4Sc0KZWaiOCyZW5v1V7 +p07m4BZClqC2MyS7O5nF10LekLgro756k+kQ4X7Oapos3TMmgjODkGHx+lBD04tr +CMdzPKyYtg5QRgwHpyNpntQ8BOMSO3Jll6A36MKdRub/A3nlgP+QN5N67moWFi8a +8TMw2IS+uwJm+vkBJ64Rrm5OEgOkF/Jv4bkAVFZsx0SYjokrMcpRsw9zn7MunzHl +nyIrNmZX7qH3KclgbcqIBiAa9qKVUnp3Gsl96mTyTiL5NBHv+CAiMnoDKbfAsrZa +/abZS8NtT6CDa/lzoDMcGlb27nxau7aCtbatUG96sf8FWZyvY0qSgXLTv35zzXSS +3Wa1x8eF60gxVflHtWf09YVoCourzXL/PH+haM25aIU9NcFhuDTlsEpymRKl6moQ +SScWGzrMIqWxXauThjxFgbiCKASe55PqY2d658muudg93DYq4pQddffqMVhsnJAG +FWMWL7y8Ly/N/2UbTLndrrhUapCOGLHTY8tQVM2c97fPxkpXPvN68FaJhrud8lhf +X75kEo4FTsAYSI0D7VUEtu9G3wXQRjUsTS0g/8GhzHpEc4i4P2Jcn0ativB1VgCz +v8/+sfekoEwwxuoVH+ivALhbBEUT+vkM9/3JX95wasR3JHFKeBST4ehYMPg93onV +n37KTE/JjWF3JDkOPnFNfwx/i1xTg4A8WUA3MaevDD67sceFnp5AvCiK9jAvG3dg +DTw8PMAr719q6nKmo9oDM4KMWHaTb4a95UvzB0zUEkDJfSgOV71zOhrJzRbhxI7y +Sv+D4gI3sePqJLVME3Op3GBcDkkg/QaPZmNHmpMw5SIcmHJAIPYudpfiAVYq76AB +WxA2CdbmyMbQKAOKRAGRVimuL/y1wrUzef8/RtSZLKj7RdPtathJ6fz9S4aL4BT7 +WmjIGaoUmlDgvOK3UF8V4qBISR1u1W0pFElVarDPziEGeXWB4VCU0NaKxOiyVQxS +gu/OTpj4RfjBV27MAIS54lqikuCX72YFgsvbik7r55bpHhbqTRBNI26hVxXU2Ko2 +fcznwjXGhFDY/QdPnJUo48PJLsl9rMD/0cqTB1raUxRYOKjqNxhDy30+7rkU+Ahc +ChL2WKwjm4V7aVYXd7vSuN4FoOQ3IV/w3zr5lbe5JvtKLCPK+8BZpX8ZXfZVH8cZ +DzyhLBfmzFA63f3i78cqhwxfWcqJJinSMtgNfY+laBk/QOGb+oAc/6/tqC2LOb4d +sMcQERTf/lkOQo2S+jg3tif9L9y8QrTTuqCIxqOsKROiywMza+l8mOm6y8z60t3h +n/XLFRXjNSScaf2RgH9svUqV5FqoO5JP6HjnIim/SyhOzblbZi6WHTqBusgbRt2p +usc2bDWbJIcHjfcZboWaedW2L+qy6FGwdkkjv7JdGBHQyrnI2F/f2XQ1ECJAW81K +Wd2mwpeNv36VRpvoUUdmDZ8LREM0NxOIbjDlTa45GdhrlDR9EIiQWaKLltbbXBme +XhBAD0i3R4h1URJkUULQswYBXG/BmTkc9hVh4vUlVhkH7X0webgrgYnBuXFYcdrM +smB7XiZA4tpsCSQ3is134TX2K+Hq7Ckm+FNc1a/zXJvOeyL13MGIQ9qccVsN86lx +/0Eqv00qyiw8ZykKiarFwTaSroIjAHtVMDXrSv4jEpXF6ldGZ6oeUCvRSFPNHaI6 +vSoieCwhiPykxGGpuqJxxhPwpT2ibGQ63HoCG5JaexVvSLCy9IIlo7afICf0u3Jz +OK5qvJ6LBI9FzUXv2yA7Zog2T7+/W9pOoIj2QtcrhRU6hmKnCslIXHQv7195o/f7 +PFb0rOcKFYtG5zf1snQLQLq1/Dlwz9aUps3pUGTcmuqaRLt9eyMkID7hBj2iDM5W +oYAhkYLx8m1ll+rblgZq9HqK8xdQVi+IbynnTaXUaOmiawFfFEJFMnkD8csg2Zjp +eoYDDexHyz08CzB0mmaQBWFB/ZgQd5XWzVCFqCSn8GpldefYTgJqueKP/nCqy45s +5G8nNeyZ/MhZnUOD8MtNp7YoTMWuOBbjwlktjLomEDi3zd/exlEDPI/7umWOUZac +RrcM8S2kNzR8oHgSxSCAA7Ar+FSVBXIgjpCO07qx5CiPfBDhdx0IhxZpEkreFJdf +BfzHNPGwCUH1jQX+u0q8NtFErY857zPX+6B17UBpkLq5Vn70WU7TmMMphS1LwhqL +0UNN/ZYTx9msRWfqxrdQTMlGkytOiotq16H483kMFKSH3zI9GzQPJMT+euBvtmo2 +4z+Lm8sWhs+C/SNon4OcjYbRtmDH3LZAq4laShuLJPVYli9ftvu4KJHwHADM0ZGt +Mtg1dDQSiTfKD73Bl8XPAHo9J8TnCXRsUDSV12lDwB58ek/0ijivDB+KH4HM98g0 +21oQ3K8Cq5byUUPRn1lkbx0UOnytE4/YPvE3C32LLyIk7olBGoMtyIAQLOWfePbK +mfRgwrpJAD///HnsleS02t1L8I0ozchGuY2XW54yUDhltYd/tOfZJbegLscWm8hX +juiGvc9jqSbJMXcfREc2F/hrj0aV5GjdJJSLaPj/zoKn9g6mHZNk85DXnPJXFcU5 +6hVQSCy44oKEArxMAyYzJy6OeURSa/BLbEaSn2YaFlHF02FGkFZ256e3/1W2ZwA5 +Lrv9MhZhG2VxQzbuQiL70qn4cOW7vbHrNvU2pm7qE2eRatC24W3EmvTrxximhzDK +yxDzgoI7wKueZywsq61fgq+TUTEtMX8vIvJ10s2BndS/QXRw05RR3diqb0BavuLx +f9fnfwAsaBNHhWEWqSYpiEA7diF6AMDoY0GvEHtPCNkfiw+8J860TZ22YzeMmU/C +WDTh4vLFO6feLMGePFRBEiBimxfclNhD2w3JEWLlmQMjuzwXjyXt9KCAI+Cd0pDb +4+KFQ0yDj7ayyYgbGzfRLGnTenJjkgEbBzXGl2L714EUzOSHyfnRMeWN94oAGRMT +Zqk9MbQEyN8+nA8YmDBL8Hd3+D1IbuInFrCOi9T8TQjR3kF9iEhgBD8lUa/GDZSA +EODrGmEZx6O6nVYQqMjED4dufR9jY4txRaxziQ3gj20v0gleyHj7RNN3Db1TRH1m +/maEL2GMrtgaaGiXylEiW+tDEwtRFBfAVAGxxfI3T6sZbsxt3Oto3mscx69vONBA +65yIc6XwTrnVQ8Ce8XdgXIk7zCBjA50+v1Xq2yWi5cIiFx0+PT4Lf+Sr+6cnc/ZH +HD8pD0RBfyIMgTTIZpkUKwc/GR9wCM5ZagHPw6rNpYHktpmh0ptLIPJ7bY5KY1KH +g4anRF3Dpjdxu0qCfg3R8qJWNG3v6+PlrqOIUvUMXYFF+yLaMLa6gOOlXo6yyoUf +/oyQCdnSudkMeb6lBb1b1T5JiA9FCvWJ8aYAQEc7N3CghaPMvHVzCFUB+I5Y+wcD +YylJ/GCVfeg30ceJDpscO/MD5nEQUvTyUxwFtOnSWblZT9MPY6BUL2I4OU2ic0ds +YwYyEkpPRn4Brzeeepd55R9PT5oy2KC0g4uNDV400AMILrmRNAXMQEhoXsXAaZPg +BAqq9CQN0ljUNQwxlNEP0z2rmB4EJLGl7KS2Z+otCqtuANaHEOCQKBChcsL8I16x +kuTQPYKu08UiEb4GPFWLN4Dkm1zI4pyZXQJoJPNWPLnAre/YuyT0K9sAERgQb8S3 +Es+grhbOijq5CEYmpTmgl86tR88vy5lOgsLkHWIXtacltaHG9vuJBj/WuXuE269Y +c2Qpgavv60oUS98yjRBiq/JkGg5PYB2npQ6e6mlPt/M0IF150YYs5of7pMEPYK8F +WiVYtG1wG/csQ6lrsRMD70IHsOkwu7Ln/thAgBJ6vkC9Vs6c0NAv81t7fw/urmHF +UueFdqO2V1sQlKpr3fuPtPbyqns6hcqHf/MbnS+11v7Vd+1pYlfUXBGs19LRIv9y +jWbNp6vML4JQYkz/BiQg4wr7C1FlEJ175mgAqbRTgcB0pvL6/JD3Px2HD8cJWZR4 +owZcz15ffRilyqGcKSsnt5wRNmQIukxcO/XDxg0JHNAeYt6N24vN0qm63/S5gxXt +36d0v+hjbjV2wSthx/lZpZF25DeNawBr/CygDdUwAXu5+C4bJ1JTcvctSjc8m8br +UIXwt9mxyGTbO9VlSDYH2fjpyU754ZmO/oKRE8MaC61FNI1rFshVt2oJAB6mNMZP +0BvgPaEXF7h67USXrumujx2VbpzBqZBZB6duW+cBHGO3p2elXVf+r/SZu/lj5U6J +2THg/sbAvTbLCaZr6jkfeME+OSgdvY8/MvDtRdbScuuFgQAwC/zXffVmrK7aes+5 +PSfELoIbYiLX5MGs6rxe3oUgU+E5qjacseH0WJEXJjQhWMw13iPwf+RsF2pze82z +dZHxHbqA6X++caqIEjElB2Dhco2mz4UdQAcQJG4PfXGSvJPZ0+b71mf8luuI7dYj +5qBDTkcRSkoe2uC6aycloqxxhOlmZtAoxqhnkrCojdBL86GepmGgELUzfknOeRfM +HPgUfDLGRUDnmtPIpMRe3Kfp+fujZZmMu8UjF7h/PJX2N22U9oBYOQ7D7Xsob7C1 +u4X81KLDV7Pu2WsRB7kMysbjKHYHTW7SDmXzvebzLiDGAkfWl8E32Iba0eMFD2R3 +KDPssihL7h7odr3lldf/vtyGR8Ck9c3ToYxLhh0AecdDDmNow0r2GooO2di7j65i +M7UF1SU046jOpxcv9UEDFngvJKJiEPPMEr+WTDy571W9l5IDWcF4qfRS6oJz6HjA +vwndHGNIwZX5HvXcpohBa4RJlQYriPcue3EZa1T3zhIyLEkHgjDwpohE//fVkD/P +Tv9X93g4qJb/Gb0P0WAqYz8lWHcNRv6Mp8VM1GwJpi/+thexP//+QQTmRQi3kixe +GcuysZklGdF4P9dSvCYclEhZSaZak9wf1KNJStpsHXvIcWbaZDpPHpDmJH7dO02h +qRWfHJW9bcC8SoQMLBIrbwmcQi1tPzmc/8SGdXkWZSLJ/CabrDSJlN6UtSZoy+w4 +e2h943n8YF2Oiy90u7CzBtTf2wGa8rbR6dSD0ufejjb5425OUnCN7D8AFSdkcZ06 +1fe3jNislKKliN130Tp/z5k/jxpK2BYMkyO7+GFD2tpwNnR+26TS5edvGMBBM/aq +4f3TMB7KHZlaTOORZe4wUxG9x8IHTm661Ge7OKyeI6mvUPas0/Bv/IBeT7BozAcJ +hNnR2x2yG9FDDMcBfLNL/Q4zQX+qJk4n9q8yTNpE10A6QotmwXDAIBCnLTCLg1pi +SdrQqg2oqvthqNOeDC+xfameBd5Pmj8m1VmLsZ/zR+9FVKpggG3WI0rCWMHOuIO6 +3jVwU0ZnUvDrhfCEH0K3FQsg8JUJ9jAj59gYOa2F+5oEowvctgV+LIm14pkqtP5j +kCxt+AX5LAaPtcw8nEN8rOZY2Z60eqV4q3ykLnIXBr+RK40SGW++ErJJCWMcuWk3 +4EWA6OLcm1rDdcMQel7ytORb3Irz/6pE1U+pdOq+Ajsgs1Xdv5tqGHGzd6otKecU +ms5niRjGQK7kRpXkwVz+4dZPznnfuawIGE4Iup7tBpa2gfkGjiuxmJV5wl2z+No4 +DRJ0UrauVy0FWLsfX4ze6bWUGx+uYfXgfSdkdj4kgbZyJAJvWkMIpHPemxlNE17x +QiIc1sHJIkAmf+YvTHqC9QLmvLsnnS+9JcnRwl/0TQKhOd1YAtWqqxjIYIfBlKXR +Bva/4bzub9pXJ51SBpBGOCrQc2QrkM654wEYZodsKmWpIUjbg5Fxga1V1CRM0t0+ +LdfWF7F1STSLw0m/lelTylsbNL64JJ6yrAWY1RHOQt+mCJ9Q27PEh2d0EE/a7xsM +eJss013CY9lFpZLGmPjF9pF+g67gD5atlOWAsvYtX9yYCvaYRgsti9jIXiB5slWi +PMEzdkjFAcQzIthp4wBcvxrkB/98QjfghnDVeJvwN5ccPT9ptqHBQOvYTo1NMhPD +ejhALfzLc2g7BVAhPEd6w43L3LNmc6IRI0hljA74989xyNT5oZGQbFcNvpUZNYus +6hWe0PGVgpYJ3Mmb2wS57nLBOK6KsWXv+AqdIH25qnUWTVYmL+MD+UmqTzX6YDYO +X6Gt8A2/iShdOKBdIBfQIDWTlI+4fE1YU0b3qaoJjUZuXwETsPlEr2U9sdwajBXQ +2/40f6KZfnOQXJkCDtfJOiypcbc7nqIICB74Z4mPStCwfdY0Cm+oU287E0LxnSdl +TJy4JY9RAOZgGO6PkHGYuSR/nWF3fsS+lAgItcnaXCpMb47mYCYijaobqXwFc/KH +hfS5OTT+7MDLbNpxzYEklRoj1/peBJgeZ7JrDB+mDlxz7wtf1NZSpW93g6Kd0ns1 +XzOz1JCWxbs0jkCDzHGpMaQYFSoWE4PDRCfIcrxeS6HSGyRHf9zfjrW9DJfdrpEu +U8lhV+kvMlVqakCg1DBS4i8wCwuMnfdV4ULWVWRuoibTQFrCgs/GXqOtZOREWf56 +XOUaMRHimlxuooHaX0wkwd5GQQSqQyJEj9EVYfL3vm7a6AfGyePu7xiEyJteXabz +JkcmNcnL8ulI3SsCjiri3aHuvvWC7AWiQogwOuncSCiR2jmsQxhmSSwqA0wuf7pg +rZ2zmQjPcpQZJJ/caMkWx6134M3dklXPdQwctPYTxgN/V80SfjF4VOI9sfq9O6Xu +Vlp8epkajchizorhJ7VEbe4LvISirsyz8b1lf68GXDD8IHHOQr+sbOYqodFRXigk +ZkrKxOImJTox7RdwHbZP0nYDuUlYCJ2nBYrmIlQ0Ks5FoHRjIjuaCi+e6aV8Y2OX ++kZh75ZHOvk/SDn4DQC6IhGbHYhmvATIQwoJfeokwme4pFtOuXDxVzzbpDvKQLQg +g5g9D9TtSHZFhOyJelWSF8opyQfZdEsoavpce1oYHnbk35t4TT16mZNKxvHeU10M +R7266tiphsuOZlh568H7kgekWDrwmepywKlb/yBSqYtw1HUWzcMna2QgX6i5WYsS +Gok1ZvlUDCBTq6wNC/rof7nMdH9bK4vK+E0qPxUz8CaRqdqWqg5FGj0QaiW4+itX +Ikv1/lQDh0pT+Tn5glVEx9c2shbVnU96Hi1xQgsOzI/XYiuCcINu63XNq8KYg8mm +QOWIDHkqs2TC6upsf24c1A2b/AbP3XcTd0Pu2gxNOve+4a0y3x1aPqE43dK9fhXi +u3jc1+I/tCaoe9QZErCND0pb/+0ZeD3aYqiwVxXk5VGUWIZhAePC0s2T+Fmeb8LK +UWNQCIefCLemquXaPb9CYCtvTayw3nB8jpIz6LqC797/xpZxbhZ8R/9d8brI87ql ++kEwrfXUzOO5Tlp3OrL01GQ/rqmvS7BXmNYW/S2wbjyQ6FrkMJ65oeDLxzTaT0q+ +16fZFmNH9BV1uaRUszecPtSSw8SpVtrDIuR/K6EbU0xY4if/RPxFTjm4+MPtA9pW +NFNtNav1VsPRM91RXXOwyZlJDk6oHvy/rNtTo5fW+YOEsT+XJoYrXssMy98NN1rg +Cfrv8eYD2uCtIaRVbu3DvWgItoU0Nr0G/NkuUhZq+zFCqOTdibe4qo/j83tmhP6c +3w1cwvPQ0TGxTmQIhUjGUkjCax8xfRlIjlLeytA8m/DBYMHsyJeXMQ2IwqLCUhMH +UFQUMPi9bhhOpkxg5SHCjJOA1qbD0rxuXxkSUkiZrp4fjKVH0BaVkYJ0N19G3UaP +4rhUtJrZhn7zrtwduaVbDj/si/eoiXdF01b3YWxGjhcZLETmaYpRlITvQFJlDrH4 +WKNwVQyiOnDJ9nGC7Odi7gVTLA+3FAUgESU0G7YufKoA8zAHV4mfoF80Ddq5oGO1 +fPTYkuzXFnujzRmgJ2sXkoNJ2nLLCrxIGySsCXHPdYbLuIQfwRDWP0vD0RlfEUYB +z6oU3SmCF3aZ4vws9FphWIko4UYPgyABgqbfL/M7HADDDkwDNc5R9LW3NTWZTwjh +esXDsohCvgELNXrwoZlGqWWf9BCrNv4/PJn1O4He9tncb6Q7PMKa17yywadb6xbd +bVftFXFStuHOJi7PgFYYj/fy4oQBJujn7wDQJYwtr8jkkQ6lCyLVZ4CdoYBD1TI1 +wCXaXezkJegEqN/Nvr3p0wINl1PsHUTiW87G7vcUoYh2g1LoMCtLQTiVDaKIFNIE +FMOW00v9U9rz/W9foKhWzXfoZPPmi9IGYp8c6IE/ykXhRDkBUGP1+mVpOTWiQEbm +tfBCwnGhqTFhCQMaM5JtqStyF1kv5j8fLTauc7k1koVt6tmuI7g/gZY1HS6azZrG +bg14YTZ0ENN6070dtbMA7anyEHQX4vyp6hrHisShLyu7bYrSV+LcFk8FLSAIJAm7 +5crCyC1uDJrupC6vxhcbjgMDDlGHDRpAHTpBX+l6CCnxXzoe94qET7ofertbipFY +fys7vckSUBKlO+MPEgRqcRdm35eBhWBy5Wb3Q6J2/0dwd42W+ls7Nsr0FgoA2XLO +E2HY7A96rgRvy/n8IWKWd1s7lcAlS//40r6oUBR7KRGFgr7De0taaeNm4MTHcSud +Eh5BoLKP2FEf6gGLaNp57b0WGl6pR4mFKcxZQGi1eE4aaaaFugp9qszjpeh7pnuA +pNBzrs7GFihDsYcOOjGY9Ae/XbgkbwqGzTjfmgw1CUVcM/xWhfdRuvgg3cdYn1YI +R0hGaAZ+E+DesquoV3O3tuaKAjdnHyFyfWR09wpiVHXw7+c3M0ZBLVw2z6mCBnxz +EORZfPIDZIl/5LDdIg1MSVjw+j1vuU5WUitmy8rzBCVdBN7LYG2U29aHFw4RkNPQ +CSqf5Hls7c/4FLV1JNI5h+ISTGQXVlF/VdpgDDHBqoqLFm9CECA9LuI/wYwxgVw0 +FlCE9pWDMq1+S5vyVCy66RDCCtnB8tmyQYVzLnd6uffvyrCtsLkwm1SRYiy0Er1r +PZVQft1OhnymJVsTFF83lKEjkAdrVkHoHxRdmkbgzV7OgM1wTt0Ppg/OuxAC8K8R +qj+yJjnjk/vCG3+7YkK+ipbooxUsftqfckkn/wZw/cQe9O58PNaNZRvAZ3gOkFSn +YBBzIyi7ySj7OEc/NPIs7ce5DCrMIWYICPd+53LAyoAgJ0zsyxVfU8cHeywbSiK8 +P9n/KQyYGob7lWLr8N5mfM7tkpzySMHUs8MYmm9son/djyMCoEuYtKIH589bOUKm +m2OGCBLfAloczdNPD9nKILyD0QeyGwWlfvqmMsdOJmZr/wAFQS+6Belx9h695Wlf +aHa+PMtjKZ2g80QZTwAS0KIVGsyd7Wlt5gdxrllb297YAW4VBC9ZoLirOUKi3dBV +LDPFebJL44yu6tQ4va8didcMZLL6rRZlwZEx1ucsNZTfSnqar2fZHcEWbbhUCU5v +XdgBRO3PygJTH4hEv6iDp4HXnlCBSr2//KUDPGbysaaHpqdLer2IrHHqisYWAFNu +3sU33pqlO3H04l387Nk87QE2Eer44exmiHBR+U7aneolfgV+B3sIn6lxyBaD0iLH +vi7oPh4UPUv+T8/knJ96UwU7AZWUA1s3G4UL5AywiQrA9KPzHRr8eiGxB6vkvhId +WGVa/nzNY6sVsNsI/oO3jbR7QtUcuroAU6Xm4vGbipH81TDFAYbdblOnABo0+vrT +i00n7Yv/2L9R/0VhJPYf7iLX1GVS0D3vInu54GfakZ4INIU5K8uSLhDyEyiTQMvC +dpRqT2EgMnflJzPM/gozBFDk3+jFwR57nrRt8OR8py1Xnnlva1MXTaukD9w4ecbd +QJGowE+6GuMqFP7asFuiyNKbXx5UuwH8Zrd679F1BUn2KSlMXful+e1Djj1hb102 +mxjbSJh/J4vxl+SdHfAVp8A9DF5wAl9yB/LF0KXeIxKJtHGXg9i7cNylewALUA/H +0AKjTTsWYgwdMSvK9QQL1GrmLnYhJCn3eR+dTYhbIuyn1HQN8tRRW3O3DSX0Sgid +pMeqr68IJUCOLZUlMB+65PjveuxTlmO93IEYrpOA+94RfkDpYIoqNkaHf3PrX21W +ypTNG5t0gHP4zPcNKRAwRUiH0tarWHHr4K+7t1BqQtS+fn0gOaFPO9MjIuM4TSFQ +IBocMzHc4DoXf29phwt5XpbzK3trbDwpidPC2sp2uC5Zc68nWNWqdtTtmAWSqsN/ +FwpRwsr6KIWWEdHCorK+VBwaRqZuSGAABC1SMLX2Shqll+hBVJkOJkGvytQNvTgA +mblQhC1si8pXvTZ3ZvntB1+Wobov8WHpxsAXywNAGcfyk8t43C3J80B/wCAc8Fit +rWdZBYrMfeDKcKLckZf1BbKAUW+LyL8EYNibfwa6MGr1UwYh2ZxaesmyyVLq8T6X +LKgn3an5XLb7846mYhLP0O88GUH52fbKaVZ9EO/3vRXtSN3EgJak44VPXSb9L2ms +EB6kRv34iv022i1rgZxNWqKIr0pvy6HanwsuN67GcqiUOo9Mqcoilol+HJHnbNob +ARIYZ6HMd0xxYHBpNg2+bXNY2ObeHWqnuv37mUUmgOchCcPFSvQbrmmV8C4IjflR +SMIYAA4fk53OZUFtydBYVvtOmObU90Nn8C/OdP6LZJxhzKoGkfkWh8bkfmQGuKgf +zNTt7DvzYit+cG4QY/LF5OhiJkrFzxmzriYWcyBTchsfyDR2yvZxwvxMEeg0kZNW +vIZGqfoNqmIkEGrhG0dkTvPBZwaJxk4DXYYMYOPt4mTZV3fCApaCjJoX0KB4Dw8S +TM1qxtwLMLJjFCEnDGWqdlY/MzEX+O3Op8KtEzAH0zWxG23sPjqxyhkbwwEuVovo +hNjn5JZFCkTe657RqFBMsWI+M+r0RejK0YJj9jzqjMi6XHl3QNQVtxkG6fGGQhc1 +WfIyHrxd36UNu4PirZ0EbVufDn4QD8+ssDHAyG4Z3Y+fBgnHoT7tEooK3yCtvd/c +KhiM6JM6TAlkV7aPWjTzdAMi4g51B+FynGAC7ssqaa4vJ2QXzlLUyR2MYEUIYet9 +h7+N158Ib0KEu0TTudAhU6qgHEjbEjaaLIsU6nCizcX5vdbSfCQ1LcRcpCdhki8t +Y8JAEGofc3fs7EDAZfN147i1nQ/IFh8SkfuRm/OiUFsMPCnqAtzSeM9EeCBAg6Sn +CUNHbdrAWTvCQwNdic2/LUtAbQMY1m1wD7ImcSC/n3HFUy6S0ruJ5ImF+6WF+0vV +D2btsGohUlNO7iJ5B/DJcFSxcLZ1V94HDvZwsACUz16WyWKQgxAYFD+djyvG1VH1 +Ywn44QosnYPDkFCG7FcOMbbaqoRxa+ygQ2bHvJG+FAdvJVMC+pQ/VogCnIMARer6 +0qqhHbhv6ZONhtHZzfS64XOnehtatPK6jLw1jBPkNGHq3+/4yVwiIEbhz7ccT8Ca +H4ljsP6oUXVbwo8AMrAT0YcArXlV011tkpYxIB1I8SxkqBKxNPMSnfrajMoGKQmX +o3ubM7Ae/eqC81NIExgUJNJHy9OXolCLGaL0tBJEEBoLJ+/TjKIGjHVkWzkzaKa2 +5iSdAW/iiGCp9dqdaoAoaxtyUEXZhwFc6k0A6yLMNQEc/yReN5ZFmJUVca/aq//Z +XJM4mI8hsW8Zzm/hRQY2+d6u8/Zm+MZV4Sh+0rkvGtR+bV2xlEEDoonMF6F87sHH +5wG9D1xXzF3sS2HLtbNmuuRbGtFzmRKE4saPGY4fxmmtKGCgCsC2dU5Kx9LN3j0/ +5raux3stUhcj44a6AiuauEfMY82R5lJQhH8k4p/1k06u9sK9pmV4Z+fQf6vx0CWa +WFf7KhhSBVPWfpJglFwfK0cDzbyBG5MMpzMiEsZxNZXO6ASWyAQuOARPN1iswsLW +jL2tUw1j3wf+1YXF8SiHVCTYqR3jx8myZTopfUEtD/EpCfI0VXD5AJZJfwEcxzRL +6jhdMySw3MEV2rOSclwVPJD9kty46Jl5OXwiWhyHwkmytEph1zOssTey05R+SPli +ndLJj1IBOyYxz417iLo/c+G072GUWbNvucDe3WEyyobbx3KKL5bMLTG0fxLllewU +7GwW1ELVto67xZm6cbZUzZe/nrPCdXG9F96stAUVrMGnEa5jt9q+rFUf77nJvaIk +WCXOmVrJui6BZV+fLiZnCrPKlHlnBml8cVUog87mIt4MB7fiPtTmtbsy2o8wOpmX +JvEG4tT0zMTeq/UpGGq6kVUIc6Wyw9FmI/JPoDEPW64Y7DXZFyLIGxOWsATFePu9 +KH32M63d2wy23IvBPfQ2cbT94R1WJc45Dni50U8MuquQ2kOSzMa1S1mDamKLl3Rs +3GSz7Ki6obvHERKqIjvqefaenluI5gFPUvs0FwqSotgb/73JUofIKLJuXpkG5QyW +eiM0XAdLA9nVzApts6V+NOfCa5gnkxnw/66fZzfxi1OLnMrdCn9gH0F+BH4kAHiD +bT3/fKcljkWxeKkWmlcf4TnjSDoawfxMzfRic/gAVE2SyFwBqCsPdF1dG2OMi3uj +jHw2t+HnJNjJDP2B9/+wMOCpX4UpYWUmgOeW/PuFgi9m6cld5xT8KvWuyc1hetGH +wC3bkyaOSIxtCdcWHpuMrf00s0noNGRhJQgSc0cEJGHB86+jcqRfMj+SW1f+OmUV ++uv1IB1V7H5mi7GpFLHdSZZ21l4nbZEOlEUbATgdeYAf1/cm1nx4kWBMA84BDROO +DUx7cs+DHTZBARIZEbP0daMCySQnddXEeoXgqCNCOqEK/Kn+M/CP2F+3Sz51Xb9v +Oh85dly4l6ViKu5zGyE+1jzl3t8rgwHXlaq6GdIxks+gdjWiHd4nLWMgv2rK4Wpv +l/DdkBo3HXhF2USQ9Xwne/crzX6wNcQY5A4Agx0/hcOpnMyug6TCDAL/XiS8UhHa +Ejw3Fj86kwPsItVYHkp1xGQXaPPXd/OfJx6tuAZMO1k2LGQOYhdSfPZLlvxU9JLq +47E+uc9UpzgNy0p1fr8kbQ36yXfJyuM1QYbFjUOdy+tHhH/7OWDuNMqXKuseNf9x +YEl/O8/y50ymUOX3EnXvGXcHQJQa22oACTc12aMheR/aAwrzKiSEVzJqNkuCIZ/u +cSwcPHXXP+l/zCPavAHoVYaEt7GXle0hJBsKpNqwBpGBRnr0W2lAcqjCR2JCdYWe +ctgoM0YEEbcSaMMZavFhehjEURRBZ4e5Rhvu7rsD8D9IJVFE1vXKxiESJ5t+DPgB +GbP5bV6rXDb3KDTiFnqswlIvmS6CFmUQQeef5UaSFdX3HqtoqVRikCSF1isNCH9S +f18N0t7QJyQYrObm8neTUbW9tYhLuBblHj47fdcRIxkYmitqN1yts/ScTy3YzOwk +CiS4wenHkFb7X9BJcxM+uZPB5o+h1wktgVL+9HjCmV0cL9M0geYXYbspMl81ED80 +19nHklqMjYbRup15PWgyn057kuqDu/q0krPJ4v5oAj5DmgA3ahG+R8nmp0V2Oyn4 +wSHt+40uwT9PQa/bKWbP2fwQR2cWpwsgMaklNWiaDBVyiI1eVYi/eFA0oeCtGHHe +JPKjAig78TrmkKg97dYGlQ0rinK7JJUZT6Z4HPqxzQcd/jLjFMwGR/Spv+bdrBKs +Vj8dIi/u6h5YFA8dx0ZpTSSHYUDXcE+fCMq61IdGEjulfw1h7TVi/8DDbiZenew1 +YhsaOq5M/N+VrjxycROGHXLTShnaMoJoXvQ/KjkaQ7XCmgdCF/eFgVac+2sejFws +qHQPexwT3I9A06AT6IcuA+DJ16hlC3FHAVNUE7aZxzE+CO/loYwBEH7LNadlO5KO +osW2KNeQmLvCKvoR+iI9kQKxhRSospAlnkeldIdLAuBaTv17/a5xLnsNtKRa7wnj +WpnESEbA8IsCo/oLLLWStTR7FHHhXn/F1+OP9S60Q8ylvuT3R4xgPbBo8AZVkGy2 +kZ+vQIi9enF1fOUKQkjfPCMEwhN8Ojl0dADAlWn+xgg0zoeXlnKjjiz30Mu12nll +VYQJVfDetLQi0nWvODNzbkSYhGMX/OPMzekzg7/fOnv+FdnDOQcJZfzNNh7aeJXU +sZm3eJttFxcOnwPmk37/pMvhQR1fLK/sqzeeRLSCkDe+L5vyf7UTTfxMWUw9ajff +lNCi+0kyFRBbV0c0s3p30ZrTjIkgeMUeFhAmUZrY7mwVmd94Hb/ihCsJl2P0UMrp +pPQSF3N4wEF/oN/wH8aSU9y+/YHVsPI5wHpX6sd3rUK3XXbBg82Z3a31XUUsZI30 +WtaLLE5JDJBs3LQD76q+TuHJepIqnYK8OUyO/i/Fycj/JNOjt3l5NLeBNus9ac7E +/w3g95ZFCVPPiVwNOFcjl8BmW7UKOl4HuFHyb1Y0weOBaOOL/TMKvAPKV3KJ/nX3 +ORGJc/TwQbS9CkQBsOSeO3Y5QXbFKysAxGuBZ8Ufvjnygb5tkL/KDTJJm5DckgIy +uCjnHVU/o4xyOu0lwkohmwi5WdSDvWfl08jyV2nFRXhRoUuVv1E1UgLLhzJS2Qgp +oHzB6gyt6RmI0QX4Xxl443KZ8KKXquO6EU3BDgaBL/kiIk3/zrWfB+yfL3ZnKwyq +axJMCRMvO4WhWfxObXcsuziGJZD5awbiEVz6jPFQH27zSJnl8q655k2uagTJABcR +dsFTA8V3jS9qS54bpCnG34x40AzbgJpTjuRRyxgUkDFIiGcO6uRo0pEkAaEMmuFV +N7Jjn435plh+VKqddTKlorB9FDodyF21GSjflqvi0tLRDkO0+kbX87CZA1/UDaq9 +TRwLjP09Mqwt0xBCi/ITH9L3aj2vTjjyRVb1C2akmWcYOYqdkq5N/Vz0C21Hjn7D +UwfoR7Jy5xmbrpHZuOKkbyhA4oDDZXGFszJSMl89WHfuvekfwI4fUhrP0305I7iw +jv2ryYzDgT7bzlYdEL2TzTjTn1X6nBCnoW92HsmgweV5yj1uXk0ZTFz4WK1eco9z +LgTF4X7g0a8iVfie0lu8AoY+ls0rg5n9xxukpWtp5iB8ec4lshbbOhlGZgWElglH +q9xeFTAXEf29NMjSUU1dGW/ray9VtSFw0FjgXhglkAAUXYmKL34mivYKCiAobY0y +YieaU27LI9e9hknVfdG0+bJzHA1mtLaf/OzWC51R9Tc9JxtdSB515wSm/UZkExC5 +KpKtoUKMcDYYU8hGEdQm70MM9GVpShLM96vXxSip7380M8r+TMF+p1NjWAKaeIsL +Pltz5tddAO1OcrnEb5rEOy8M1qYakP9J/z7JW0owk7Uo9eWlasu4GurPYRmvBAap +2WJ9OG3CxUHuadLZFR7T1Ihfb+YgBKSwQaUm/f0wanmVHs7oORHETEPruhWBoTlp +YVhlBV2fcbdths6I7wRZVD/4SGYCr0oAvdGfrzbFE1U386C9H6PiDkLBgCEpi7NC +Hq9mQnTZ9XboZQBUtpuBRjgWyfOaoI56IoXTaOf2tnT95y1IFiFYeOSg5yIHbx/k +BB8nQmdZ0enZ58W9bfw41slsVLDIZUzmW/rVPeYgpkyz42ajL7qU7r8Bvc+duiYf +GftniaLGpljQtxQzd7yyx6gcPSp+CdDqLHrEcWYFhQjmog1AfeJEkbOAE8zNrTD7 +TiEls1tHEPCWzpgNp04M28e/8KXZNBFowp6MA0513M7poH0FuIKSGwwB5fZbB62k +zrWlg8CXTMqM/dajqBhUpVaf6MZgYFwPLumlnEKcpGp8aNYXellf2j6E7ecW+4QU +nrLzRARhtGHllPOAhT+VvaotMYEldYNQa7EQkB11o0w2R12laqHM47AMRmIq06yQ +hENC0dHiC1W9qCJEg8eZkLB+5ctKHqm4DHxtrKDPWwf8L+aZ5Y3NTF0TyFngbrdj +jeKsvcXWysDXcjZu0SH6g0L9Vy0K+4K8mOxgW/egZCHXpur58Q1siWD25WRjlmy/ +tf6WrX4/UG8Pe1AvmeTWKBsRyRGz6txYrkq8kd6qLUYy8kkiop7O8w9LWTJG0xRC +DHZWnssHqEKuP+3Xle04WnSkJ1GaQGYrKo/yRogFjyBpbGNhwh1mVbHFm6yBAU48 +b4eE0eRz4o1v72dY9ZM5uKVglU+hpzTcG1Vi0n9aguqxWmYQTvNmFYdpXRQXft3U +V/MS78YrlIvJoVjcdgDA5vDR9mvf7mLdj73KuXDJ/PN6iJJTl8Vfl0ZD/v1pjsqB +hPZOhMJ5dMjO+tY4/l1nuQKIbCT9t3KOWIbrTPSz2jH9VAbryaXsOSI1/CqM1dSf +ghr6/IGrTmd3m9BBD1jgaLvFeOPaGzkJdAlRgdgtvxc3h7b7TeUdO5BRiiKGDUwk +W7XEBdFwxfmuB4U2JULnLfJv9DClFyciDxEVIuM+uBICt79MKEhtPgxC66xwFL4a +GzUaEbLUurUsBi08Q/1YqIOeSiBndUQTGbSYTEnZ7AE3JRZ/9VMn1l9ExyB47+Va +Rg5Wn1fBy7BzBf2P3PHIQsjlhOGG4/b0ZzcTP8vAYHwT7Hx8oVWAS1pTnP/kr0u2 +qaBCcrmxKe9kRjLWow5IuGdvqK9XqBIJHM/DhoPJmjrOySxb7Lnr5W7A7+Oo2KhG +vodTWPMSXykHlvnpuyYnZRlpgy3QFRs11fjdZpIeYFqOpyxajdAuOWeXoZO5ZJwT +0bjPxJXRcp0GjnXg5VBsqIxBdgiMstQu+2ZH+Vc05dV2KSWCUo/kHCB5Jdl05bvQ +EFaS8CfHaPFIXs2zz2k3xRdKXabgf0CCCKeATcJ7l1SFZRf713AwLcls8Oeegeww +YemslAWU9zfZbQPHcPYACSnpZJvE96jfKbdeyaLPXrBh6OrEvVz/PmVrISaclJkD +eZZs2akZmaxvMFC8/T9mjnwx1oIQ7s32sB4cR7rdgh/Mf/xyqLt2b0lNWfrOuoJ4 +p72ivGs1EYr8Wf1xcaWz7xLia28ZOwmnMJOkaLhDATXHbaLs2lSvNwsIFnPXpoVF +kfieWTue++KQ+9lExwm4t+JscT+Sq/4F82UtKUencOWnUcQBrpG0F/m+m5xN4WAv +dsxzuccwkewQHAc50heiseTQZaxyrbdo8Ld56axkN5vLOLMO3ZLiTATAujYwBQxO +1DGOETLjL3kBK+k8N19xgr3IZZbL9b2NrQTR6JVI30bHuQEFBmk4wxZrkRMIRHj6 +RrSrFQ82ZVqjTjVzXN1q6i+CHjDRaz5pLcMtAuU6FAtfwnZkyMUkSyJGP2yB/kyR +vKb4qmZIpp3QquR5aCldRENO+e+o2mGEk8p5kW9I8hlhkam6AH3822ETrsYXwDWU +0QmIFfncNDeDxhq3LR7Ijjt6dvKBTTqFiTt0yMu8/+V5VSXP5gOyIW35rL6er5rb +xR3/MQa9MPRgdZQl4/NIMEKPQrVtH2nlVKPP4qh0I8wu9j6TWKHefLniiRM5Yhi6 +rp7fWjjDEX8e6V5hhGYdRGR3Ct43vo2yyPz42I5UOBzgrQS/oV2zYmBETQ8ZjCzg +Py/4c2C6tRrEyslywXXaMoLX3Q01ifAPt3sH/LEQPc2N+qnE1XmoxeNFfZxSSbhm +l0bZDLz69MLVCke7/tePYGzXnAKCsepy3z7JBOElaK9tcydbOwvAofLOjsdV1b7q +4aT9ov+jqmERgB15OtnU74YICOzI2UA0DIXZAdMiFShsQ2e/4ej4NSBNwkTfK8H1 +6HKkhtBFoLj2i+PBmu4uGkss6kgjK0z8b6DxQz8alr5x7ZoLSNGiVvHRgUkO7cgY +L/65kruw6ETYUETP9jdf3kKL6iWWsizNz1c/zm7wh6GCoBTd4KLJNpbPfscHXT5U +7EEfUT37XNq4ZXvQIG+WhXp1bo3aryLfzea0AWa7fra/hr9nZkodrXNKAG/HMs2Y +ZMG4GNesfUcDgTyCmtzV2qVv6vGrXHVGMs+FWB6jwRcJ1lWM/QxU9MZmjenOvY1W +AIQoFgLZDYlaRw2n+HknGXhGkiwMQGS4KM0yY6MF0E8H+HAVFOKCSWsnl/d2wqa5 +QoqTP/Y+Vagaz0yPaPLPKVQYRKCyb7uYjDJsk9SNwWyFg6m5PpkgK1Bd8yMeWIwy +zsWWocT6EiikWxkI6OGWfZ5DN0YBFlT73kCCy0KXT32HUocGjGPRyQ00oA1OkQat +iPZaxM6CnWip8wSvCEB7c4wuY/bNwZzgjQU0HKuJhtNkEpzOqBKXdghUI3Wn8YfK +SGK7QgDvoi4FaoqUPHPdpedI0dezUnVnlnykVJAZLaU7SqZXXIII/uYjSRNC9D/O +1uO/5X6/y154Xoqsd8Y7avilLz40yjRU6ZxCpb72z++Nn55uxirQhsFJhV74Qc2h +ttd6PEc8mfE1eAOkO3D8TZLSS5k6aPrLGS5UIe7w1CKhQNFuUnS9d6dFkiKTKDQr +kkn2Ls3BUV8dOa3kjpUhZDMOdU/+YUz15tRTiFBGrMPveYHVAErwTcgAF+rWsWU9 +F59cBP5JvWJmvPpwniBKxEEsQs96wik1EzC6nZunIYS2XN67REGxEmhDSVOfybtX +nUU5/NO+pyYoPRyw2Qz47JgWcbl98/TPXiIcPC74kVq0W+RspU+1S4AG2n8b0AMN +l4nSlnPc+X+FFAzgt8Kf9qH+JEG28/QPP2kF17cqPr4Y5RPQHvUsFJfrH752NDYq +N7TrcvA7Ro3r2k2vm5nz4+nWn591ptPplToYFTlc9a1R2IaMjbsdNhlki/4Xm3yz +hzH8Fv/rtOLjpI+Auv1/tWIgJ8/WWfqkVGalgzgRPmtZxZybcu5gJWLNU3sn4lUy +9HULgLyxXqu7QSi06YxUdYT17+dFx0YAUBEDrHhzoiFiqoq1TzYTALovxZtUBkKX +FuhfkWsTfLZ0r4jF6H/1qa/Guwx9OrV65eianpLq8EXrAbV0J/Qh8ORbu16DE4ZU +KmvrSxhn7Sq/Aarvdt6qS1ZBvr3Py9zN1QtENhZUllmVzqkBKrk4KEpz5s3R3DFB +sRLh2b3PUrp2a6FpMB0vf4y72WxAat5fYqRYd23ASDf9Ia6mdDuyosYlCJyoiGpF +L7ZsaJNurqyRnC0QEISs7D9qilA2fnkP8zADNvuJFSR2rIB6A1oi7ck4iZNKGu+P +4DBuVPp2PoKMFYwQ3RMIF+arTqCaBJX+JePLdFEY1FL1Nh0jCbh1/9DBg4XR4Vez +0sYzPbXwkkXtZToZx+Qf6P4tKx+wNUP8UqQW83wJcCStuyQfiS0Nq4ukx1X8eM1E +fKsK81dVXFgOSq+dg/0dlwag2RbJzfYvcZ5opDB3UIAmlL1PAVSBVY6U2KmaRdfB +7PQo5EcVsuzwJ+kCT40Wc2Xc4DWaGtDi/ZpE9E/77NqGncqFC0K3Pofxj1fhetsI +ocreGGjNjW6ottKaNB8E7tc0/oUhYR2D8F1MYu2ewkBAMcwyLmcZJ9vhSUZLPBrW +MeThwTCb5Tr0DOVkvokOB9fJ61HtQFc3N1IIUsiKOcNVQjZpbwFQ8f/nncsdLZ01 +zJARtuaZCQi037Zq1sFmmGC/oZ1WaCEzZr885dThzfq0b2TmQ0t3xaWKTDdJJLhD +dnCjTxFmS7HbZ8S+QdrqmjzBWBd0MnSOslfyP9LU95d5hdqhsvBvGU040oU5TVhK +vvjh6py0g6LRuKq+X3QAduQPYhTPX7uwTYQ1+th9HqdDp4c6U8/PshY/eTCwTTBG +o8i6czJF4VJyXNLlyRI+1kfyYMTIKwZbSJgsTrvhPonSJoRrwdqBAu25Tt/D+auz +qUteSZiPXYBuUjVrOW/aOKezSyFT8LSMWgr5Eo962gKeiQQI86n9ZLACb79I4Lgc +ha7qNHn752Qsd+FJAL6SfU0GhyTjeNgXF4i8A1IkFZNpTTyK1cw/2GBP+Pcv+SkV +Fj5z86uMW6b/Ms3wm4xlGYAvttDWVtzVqGLA/pqe/KUGk45mrGNBPEaILAJNBoBU +Jq6T0CHNvH2Uvnw9bi1wRYbdQxpwL9EjwqD2R1lB4CYtj1iN0M8b7TTR18cGSfkt +8M3SDbLpY3mVbTsLJngIVHz3AJ09hcyj6VAz/seX6lGexFbBZZsFIEIQ1slLgBU3 +NNUWUpu3eVF2QyDcKopnGiqvYmZFXTLsvVqB1dy475ITctpPvn2jfsQ8spJ4sMPs +bGQBvbHDjfDooRX9iRa8f/npgfb2cnfuvcUuRv0geY1NWHqOBHgRWxErSyXOqT5s +X0Gr9Ti9qVgvR05pkrfo13nyEqpJkflEvyerzfYum5zCIcgFsbC518eMQ8dFN4lU +xK0Mu4SiHeCcK7OzRl7RyBykiYOZxHgssLV3MGT9MCx/1Z8wABoWInScl+hkANZV +/7AHlVlUTgUtB/YAqlP6I5gcaZkK4JDD7VGheRhudGEtDnWc3K60HFo1mmWeQDNe +FsXeoorZU21LaEzULMvmw7StroQQ3dAm9GYscox32f3DuuEG/8CjkxnLlIyrKn9t +sEdsEHFFcS8nbRFODmxk5eJGKprX68yKCQ0ve3DwJLJsTDy61QpEGMCjWeDkCr1D +TkxQc76CvGjnBA7xyHDisyh9vGiw85OSAHOok9LSH2yhDlylsBbNfmIWPW/FuVGR +dU9AqhXKbjjZwbHTgTI8ilxvQsLyBxX+KiWcJv6ZrmC9O2ux1CM0pMq1I+d52wX/ +HveFTUkwobBM5Bfp9IVlmxCoPVW84NquTKpEH8ZJZIUuf3Z/+cf/oniGrX+1yhkw +QAFTaEva5hCWqoP22EErzIMayTgFLd5vKpJlVdqoo35z9DCdfZUzL1LkjmE6uNM6 +Q6nOxThc1ur25M7XZS4kgJqR8xbyD505+FBsT1PR0ujlUbvtUko49t9cb4R180NH +ZHOGzzlhn23hpEv+MCSIMhPebx/YvIAnpy4pkb5HTFL4eNAdP0NSac7m1CwM6AaU +4rflqKuxQ6ZTl/I4FBTBspL9TQVpRGGQMu+Hr9yVNIywIJk88dEJNvGVd6p5ksz/ +Rbv6r9TXzeKcW881qQ5wRTORH/RSKC2ipGsYH4LnlhKCAQ7CcEBuR1Ck4CKA2FwE +IyTqz92DyKA8RSqWiL+3i9GJaGq3I8jrU02fzgEcAXDVqzTIIjQmJ9NmZlXF9KtE +oMlnMTi7T1xz/3r0uTipca3iZo7/VRFKCk/bOkdhVteDrEbmKK9dl3u57gMkKr4d +QlF6JeQXOQXbOVBywK10XsZR6TdaT8Tuk2e2T7TbIQfBsIITBb9cScf0OQS5t72T +tOlXCOshl2KQ6Eqo8QVaSGxPx/sumQG3rUBW0Y/5WPiCRqkjkX3aSEYBAfHI2LfA +F5FcRJK5LRZhHmb9oqe5w3718wsXSH4ZThSjrYs0VLrsTiTbpDnb59mkY3fhWn7S +ezDjRTiUADgzsAbz6754bXIoXKu457fi2NBKFg9cGgNhzEz93AGsWMavdC2rxQlH +vcKXImTFIGo8oNa+YBiy/Y3we52YGlkcEz7x89xxMnY1F58lt6ZxOdPA6SjY5x/K +YFDY9KajP4IlyChs5o4W12WXp7f16tlMYZhsqsVnxgLuAKLMLkw2tiEhP5G0+Wi+ +41wvAl+9545EAJSKzCk4uKR+Q+GaJ42K1+kCn8L/72abraenBp9Vndzl73vuDkwF +dah+dJ/xHyPr9D+4zlImuGfB4v5FBc87qt75KnPKuR/gwQR4747i2H33b1A97nEU +fHDC+PHkqr5n9QZgIfEF2aoGzLuqbLZAj0nbQxRNr45FwTrjwFTIYNC6AbbhV5jq +fSEz5y+RWbAnAVHbQuCecjEvK19VrtqVtBl6cpiRZSUOdgriL0IaAxYAz8cMHSMM +7rEXPX91LekO2gEFH2Dnqof9yNYyiur4P/rfxls+fUe5BEB+Y2BptniFA7BDJvzn +lJ5JGDbYajQ9kcQmX5/JoOXebQ/V/cxy9s60S8lVM3b+RwLpQiT8d7JCcqZx90r1 +N5nsXe2KUSrOazc+s80EC4fb1sM/GV2CdnOzEyRC8V9AG4k+8sgASBI2bdl8Zqx2 +XEIF+RKFWxnU2m5jqGVEJhNVNtEAEXoZitnsiMrsSrbL3U7YMgAjmsnvdQQrkg5t +mTESozhJ+tXTY79Q0kl5JAiWxV7d3vKgvgv7lJ5aVf8Eyk7EC6jyKWyqT8ivvf6C +tmDrf7usgleKGnwqK8M3BXcdI0NlxZSvNgSbC1TFosa4Ul2tv5RNhFAJJGqSeJkH +aR99+rMb3hWG9KHlPZObdrLqztO19ProU2Q5y/vZWyoo/Zm6c9DMz0bGRblW1D0M +oPe20YfaXbAiHYRLa8Sf88lBbKeQspwIiY7L0nJyvgj78CZnh297m4a1XCcQm8fH +4sVWWQ5LCtWgEHFjc1fHULLuMkpVw8vHhl8BLbymtekMeTADveWW7PXP+8CaVH1B +5vO19VPlSVR5wYZURl0V5GKoMfZkFTkTbXLidZobuangalAa+oZg27JaHHsk5nrK +FJxVPZOpXzA7pKPx7nx4hA1YhE7lT79S+pHC4HmbGpsDIJeon2raao7t7Si4bnhb +2E78/VyUrpAQ9naXKu0EHNXl561ZLpK0sYWTk7toNBJy9TXwtUfpGE8CqEP8KDL1 +PN2+Q4j9OP5vhfToQpFOh43L/byvMTQpmnD8rZYv3Lij+3GwM8UbQ+hpAA3JighN +SuujrGzd5rRx1wFEvEkkOclFly6/VyKVvoFlbBIv9sO+EQmGxmL662nnLyx+KnCC +a3X7ZSB3blqZzRU+jEJ6M6iay7ABnajTR3SeS6cAcfwh11ltwxe0HBQnj9Y88W+H ++XSwsLyTltCRq8SJxv0B5dsIYX07nprtsfaQcceBw1yAeN8JWQulNFml1sxagEYL +FKhMtIiQSkHwx9nOFEYBFUT2aHMNK1p6O9vjG7ds136Gvzg4omWrjHs4lhB/+dKM +b4vXIqkGaCnuo2lF2J8DpMrWNhtTwSyzlGpAS6dTXlp7V3t0q5YI0LwYjq3Hintx +glFt8zKS0z2kX/a4NNTqo4+Phla+CjWlTo87zf8HhtZGW8UAer67uWfEBqipj97T +6oH6K86vHUW97E+FgQuxM6NUGSfsgcqZLI0b+wgCGbR/8tfIXkFkAEHUb+mGI3pk +CcuacTyK8A5wV05mILb1qjoO9jZXx+3guhENeue2fGiKdw6bkyQBjgfICrvJFX0L +fdml28SwZNeN6sQNXbuWr1tv25rujkxnH6oObcUImj59gQzN+YW1X7g1uh8iBWaU +DLpIs4yVniAjtcGJMoNTLg/8TA0yCv7kJpHk2GbBE5fPLptkjXVPmhOH9QD9EByV +92yVdKajm9dgbL3MDeeqCIyJmhxNeURFv4HG5S8+vKnOwYy2WnFtjBHukw0aBsew +rcvgqBWWVD0/da/x2+kuTNFUlbcyRoqAYX+EkJv092ciB4yUaYI2LjD/8SfJZr97 +uStL4y96ysfkBnirPWKJiMVIQJDIrMGT7cg+Ufjzzf7RTG1501sGOI1esKPQIcyt +FnC2+d8sbpFBd923D6PxO9pzkS1DQXq0GU2+yEVn0fj5SzMSQeOW7IXfMEoaYd1y +lCR8en0jwVTGYkCJBmWqai88kMwBXBxjvYe3arXMclvisMAUgLiIVaiQDZo46IHD +tKqqIt2eCkthdFX5I50jWUMD8XyLYpYFJHUTgFFmmWJUA+rDBblSLqMzGWuytJvk +AlOHDF9rHAHqTObTzfXAxgqFCXhYdT9b01PaNtLa7Kkdluu/X5fJGRSM2ikUXVD0 +iYZZdyxWRbT+9dHjJ7jU9UVLE73Gf2xWr8LTRxC+96oxbGb2egh/EI6Mxnot/3u/ +RVRe6M2FZKGR2DP1Wq6vLnU2ELILNRlrLeQfvlev5oQ/3EaxQDuc2Gc8BlEbi+V5 +KGF6wGRFCoFde2Xm3G58Zd8WR41jQbWJ1KXmc/rd2oKBEQ5cWeXP/vmDJHiJdp9r +REomA3xRp7WOQg+D5UGms3kRhAy1KthhevEcv4GUOXeTXeYgcxL6LcL3sr7OO5pi +zdFcvavbtCuumqVYlHsD6ea/v8MuHPlzy/lZFfRGoGujG2OmwpFUz0OT5BJmMU6U +KC+iWP2Q4W+38oZkDs86hSORIyHlHXQdMiBi23M+r4tAgqbG2p0XZDImeBQWLJ+S +GjbzFwvQuIvU1WNdbCmKzwOO+AlmERI7rlsI19hXQ9+h3XKARR33jVkeKICUzG1x +2unw0z1Gm1Wr9kWtUsdRjv04UdFRh/mgq9J8lPKgErhWkh0mxdA38subVuBrYyS8 ++zL61k1a+vV7lyXI9Fvzj0dB9A486cxkI6kj8iMDp2NsJrk2hY5mKX3+hO8+gB9U +9HFb2WmWpCMsIkDhb/+0dCy/WCYaIVHRRujEZHNY514uOFl651WxDP+rtgBJKbZs ++51ZTsEn5wivcPfjHOmrKcxNt/j5L3v+xwWeRoUvwtu/tp9t2UMKzPZcbM9ZajBy +0xyDsaPJ1WpUmbCexwNA2ZIl2gA/IHzFqY54YqhHUTOvpRkpmCRklikl2rfvtulb +x1mJxN5x9wRDkuefaNC7RXhMqUNQVQAesDRkDGzokbuSIGBpKREsq1SBuyiIqzAt +96KPxJjDxcmMEg61CLLF7QuMXmE3sNuTSXeugM9ZqL5OtJal7id4LWyBYsdO+Lf8 +DwXY/BKIQz+QjSSwRUGPFJzIYY9Qsvn+UrtETgswyVVAeGLyop5DGvsTZmTZ91jk +Oth6/EBoOKzNbOmsTuMcJmI4AVEQOKMYt9r88Ljb4dYVROJWZnlXlsUA4nOFlQGm +ST4r0fjuQ2ePtGkisCXIsHnHEJ1ciJtASmLhjiWfZcn1z4XiQdsv7Pon9RSqJY7F +6Spk4WxrKEegleqDdopW2RFtl2uA2wBydtxSLcolSDu8JWjwiIf2AhF6jS/vFUNL +JjKSV/0ZE+tqv7hxK9nFqmsIIPR/J23FxdGPk3Ik6Ad9ibvOxW+430gqZjhrzmJV +aegw6Vn0Y4nzOlxWZgAlVougl0n80oNRC4R5v11myem+hSjrCdU2Is/7ira7hd0+ +zd2RXNrEpiBkVfscHOMPhfWPmUwDr9wjIjjKPh+Ov2FRXVxOwE+yu2+ethMnk9Du +JEe3N6iixzY+JMM1dFvfXi0SD/dtMf8XC03406LH4+equnUOOutXkqaZH4RjZqDl +yYYPFQK0LhXQz8StzYFhetehOEj7liIbtP7rvqIFg+41Q9djOR7TqHO7OEWvVthG +97e7h9cz7WDiz5i22myyCsT8lFmtk8vf9+3vHy6j5pDLhazm8nhd4jilPiXOyLIq +BEaDiedmULMe/MtrkypjxNCbFCRZk7ISu/iHuXTh4gfpaA3dOC9/AG3lR+g2v7Kn +lqvbBgKDJYbPblNfkA4IEhKXFCRAY5VLkyhVXZ5dg8Dt8S5Vw+RWldWUUEqHjhi9 +A38RkqmsOXi2EJgJqvVe7S7P7nkD1c1s7lJvqVBtXwBC9baLA76q4E7jxCpV3fF3 +LPp6wMCcCFoSBv2Vc/KGxByyfyiq/589+vwv+If4t07r00MppvCEIVspBNhrJonv +HrfTXXKTl+fmEGcYGzzDwlNBrroJkS6bniYUpJD5rm0edijxc+fScG4LInCTNIz/ +pRHhkws3u6QBREYTYRyTAl/KX+bNdWxgppdROf7W8c7ACcN1z5MP7a62LHWbL204 +/prEs+CDHaHquA8kiemXIPlFXoVniB3u4zXYvRqvIN8PKI4xeOngDSrBwkvasNXL +63oEArYs9bF0Xm1LC86OeSJqka5Fw9IcvkNW3uOfeSvRXky5hXZVOPtx65qaEGFP +vGY9ctku+W9nn+ZjAd23E8cvBV5gzg2hpb0fIhYNUyF1V4G6wSY/N32gdXQVC3v7 +1NlyzS9F9wvtMjLuvC5tjzoqYso9YREdje/7dqj4nE8dap7gZlvny3f6HDHbLw7D +rDpfNNdGIKIhZ99JjgAuOOp7D8h3p7gb6F1ZAjQ0qlxk/mj+0rjQWssmLZ87DT06 +eluf20jjnhovmNMDjE3OYG3gr02LpkEJ8u7nhuic08A9CqhBBcU4Jq2wDLZuCQC/ +8Rhde6EAehS0TtofWErb78ToIjkkXaQkRG5kLeqwlIIdFaudHEYPqa7PVCu0TvNK +Z4HRCnINFAwQClSK/mdoYE2uHdQrXXDv1nJtrJL6OBGBml8TGTVU6knJmnXx7leC +UntkUxoclC5Oj+hc1doysZ9uHwERrW9hV/VKQP8X6CUsizHRfS+Wtm74/VoeLs62 +bws1jn/LNxEosS9jEmaJ+7hepy872+hC1U87O/vJlWIGuLCe6KFEKG7NCZ+Pwvq8 +KNyPvHO7zYsAWarSQVikuMtKVrc6SRelcNmOSYtUjq7cVvZ3v/wgZS9bwNnrZqd7 +lREEONx3jQOp9TFmfYFMrpXhTwHY8xnpYufw3YG33JDROr1ifYtokgwGB5DUMPUU +VcMbaznlRn0Yx10ohEICRnIJMsvRxeMMaqwxMiA7gTypyKTkkU6wtkAawpVjleHc +UHEHx/zPE8LhOLO4Whgskwi+gykXW5zRn9I56Rse3MHjRXwv3KDu5ImKCZLZF0EH +1vQ5wK08ZPTT2tiPx2EKPZXVQ4dTmIGDbujKPnAF+vyhSt7Z03Ygcemy4S3tiypa +Lc1gnrO2JQ+hSusyAUcyKo9oQaz774GXsQnWk707KS8NH6YGAzK4+FYpuV6zOn9c +12q4xNyfX1B5QSu9vSNkno9XeuUoFxFFfbyseDUcoToDNVyvpylwypS2VzaFIMRW ++FF0bB9xyiN7MX6HqpYuuEuIfOXxrai8ehaelFtK864yxqjss/iDtNy3cOW5cUwI +YzhW4hsehJoVZd2A4usCeWHh/Sy7EggVTvcJ3UpJLdR09w0xFSrKVCV0hOsR7XfI +lARRjTrVG30MOkImV9WLVainZeU0iyWUCi4IKuAuLG9oR51Y/ZezLavtNaADQ7W8 +wsgXo/rRkwR6xiPOqNETwYxYY1EauklkQKH7x1ycia2gVhUyr846PYxnmSV0dq/N +ycQnONAb+TZgDD02YFCMiO+K056ojO+Zr8lW9jJ2v3PqiORvwIYv9wDhLpyFKWGw ++dLQThL+VSFr7UFCkuxgFBavDPhPSmh+Ilo7tpi1bdau8B3IJxtK8ZwHym5alXgG +WkhVAf9zb2jrRyJC5AgUPOjVt0HyUF/M3A/UXwzp0qkrf4kDeV5rhk1SgjXnG874 +xD0ZlRDOEXEoR1gZibN6NHsuvGIVLb4Wv0O0sMNAahwXJ862ORPmHUpLSQTc6DJJ +/4Sd6S4e7xAMcrIrV8oJD0RyDqVOGKsw7DxudtN1tqQKjccUXC59U048Xzd/pgZK +/BHxQP27ID9Ms3BBkbyANZNpR4pGBWArSO7sEHt3tHDSmS8yQMrL5uAqrZZTWTJ3 +X/VSqfxdVV1EejanC4V9mAxSw01IW7Ei/WSACpj5q7+gyUuI919JPXvOadeOJ8Nb +dg2A3AJCW2jgSuizPgRn6pXC1IMR/aNArwE2QE2C0EZTq6pvD65y8Mf6pBMf7EAY +uptlNBnluGW1TUcRl5DVw0U7+nKlaHjlf9MI9bAgOMgrL4SicPVLs4qK5dGdZBIf +rBHwNHhChXfqVZvS2oKiDY8URi7TjgnTvSDpQ9/+ZdMCENASiy/Xh6i2NUD5wMBA +/klvQoAh3Y/6x5OjKihjc55IqeD3q7yg6CSbV5RGH928sdSlFsYSS2lBDXtgqSFQ +ilbZq9OrQEbbn7xJcjwx7ZLZypiE9Ca7uKlMR3NVZkg8pPicECizGB/5D0OCKyRc +cxPvhYVhvtUY910IuvHByp1heTpYnKsHzpaYtbr2aBgDHZJZu8KdLj/64C9+uO0N +nj9rVIdKMvh1H/STiPXPxegbPlI+rnRiT0G/enrTqxAZ/PeUlyol0VibrFOmLdGL +vNtIZaKs2Lng0bpUZuMuyWJtF1MOYWmNEbS6gqfCgvrrNEbQOyIUAaCX3EutMZLn +T5npkUkpMDkxPKXESnrhNDqFVneMWBprGyRxpBMCLiN3afB+gn2c05dmU3M4jyrG +3I5YP+5lKD7aARJ9hmZbMXHzK8LwEbQlC1bwBWXnjNl38AhZ5ufeZ5ntckD0qTpX +0/+4FIUICWrSPy14xNw+wltE298CvQtXtW9fvg0HtlNEzDRNildXLQkC0QiFAhWG +Coh2iCs/Y7YvgqQx+wwZ/1uQRIJUMDoQcB5W6D+HKoiurmPuSlqifyh7h/c0/8GB +MiXy43gp70QevApwkJnVq5Y82GgvV+rFDLE+ff2N88LJJJaGX9NQnI9qePGJpT6j +F6pKhlNCLYWSmztf/xtsxz2lPAhP9UxfcAYmaNEipjhRb6MDLGoD+KR9d46Yj+1G +hajnUaMyTTXzN/Bo8roFLoUFffGGchZxGdrGUR4C3qcV1QKsR3b4/jeevTj9dd0k +lXpHDeil/aNqegG//1qRs3HxbMnA7wZiwFsatDalOoct+dYqdtunHBmHb7LMkIN3 +x3JEudMSgy0StdEHErc0UZQQdQ4CiP721Is9Pf9q8w19lHliV5QSKNYicduyCQ2R +GtObCZQpE19dls36WLZs7iFL3GeqpUvhPM01qjitqm0OlRu4LB/u8TwdmDhNMuYv +SfxsHj1SvOHUYjlhgIxfwtEMIt237T9nDWIVYUB5ndsWMpDD1YRrzStEaaQCSslA +9dKa5dSLPaV6IQzI7etMFsieXrfciySi1y0XX+/yatKqCIUD6ffMvgBi2252dHN1 +R1dpiT0WKeJpbLbyjh5mZVZ9ne4XigtISwqN7PJ2lCLJ4L0q21QPFluScHOD7YgT +boXb3vz/MSUIZm4NinqqHb6UNxDCdTJr7AxwqNHa68ue2atLVQhzA4DAKEN3BX/1 +C9Os/XtwKRUels4APa/cbWGsTWlSUeUTVObdyNLbGoZ7mS8QrPzt6fj/ot99Ca29 +NEy5+P1+l0LcS6tV67OjI2vbO76G8PYGsPLF8VjNPrB8rRzwf8tSsglK+W22L8ud +3HQY2wg0UTkxjKsqO2DUd8pv9iJORu3KYm8Bfu1jKhzHzsJYYV16tQh0qmvlUluA +rcxhx6XJ1G5OWQK/3Y1kWTas7y7HzWOZEOeBpnIeDs8hMMDtIq0DzHAZS/3bIgWa +l5JYMbMrh/rytMdxdbpO/bR0Y41UI48218FyrteOlwZKe/so7e5K9Jj1MjsYj8yq +hDAmwZuZfcGmIY0RoPNKoZ4gfW7h/Ci/Savf3uUWabTEsW6zdtUMMb842xpY4YZA +2nkGKu8qEcVIuAmYVvvnaqrAM5CAfms7DiiiK5GK7RaNBpOO1MKRibjBTADv+hfy +705vRxQpytPlLgaq6+Ghyxcapz1W1riIB9bVlE26WkQmFp9q9KB6K/BzCb+yKSI8 +NUIxbAZ0RypqqIZp6I9ab/wWJxzHErodH85RhIJB7wrtrv5cik9WMmPNWMajWEsu +aJzAzizE/jmKEEnTjzjUPATrRaFiDvKbIbRoF22W0XtzkBzRju3FqIdmtux7T1kO +FBeueiveDTl1XWRdiUqeB1ZO2Xm7uzufGsPyafVHHQVlY8d93bv//NOK+i9iGu0q +nGO2BKbvcr3CyBqYw6yEaYWDxINu7PQ05GAfZscdD/LFXsA20tYei1jrzvPy2NLC +QZZhq9VxnZiUYyeN0TG5aEidFpbW7bX+rgHeqGhvItcWu4PgDAlVgx+dVIqW3tJd +Dl1Yh6XZMXnfgfRsb49kVQtAwreWRNK/3+mfCzA561JFaCO9XhN1gVDqDsJf/0m8 +IA2hvhJiURIqWqNEowGj4CTJpjceTEVNjhOmmTRp4jqKNt/TqaQ0J+JgCfiem4aO +STP0YFKpX3tlnOgcTMRRjSgAppnk1LQJJjUIU1OP64wNX5k6aVGhejMFAwzXfmbf +JMWM8W22dQJ14Bw0FxtyM6XSwZ/rTLOvfylFZi6bes5YJnaVodh5Rr0BDljP1yxF +pgsDxS0VRuOAYDe8NFlqVzhkdbVwRx+Rc+n4rnwJyYOVeUGaHa+A1oUepj/ALBa1 +sAmSc9o0Px1JQ4FnO+hO7cGYWWKt6uvkeJGpVZsgylT7fdx4sDqJQTEAl1XgoO4O ++rxRAU9Q8Qd7cTTnDOQsr+CHwfOZCTy1uHajrZmrWiXpNfpNnk9+6Nw2iW+gXODN +6jBE707oMO/Ra704D2zJpFH8S9dSZMsk9P6Hjq9oLLp22aM6sA9G4u1pwhGk2tVR +x/jSX4QbR3wAp0YTeXgjjdC34J1oQ+/pwl2lZmGl0ruKxAY13Lww4gKUvI0SHGl4 +56tL3quErlYRoRMvS1CxbPhzh6L0UeEgLfP0sLFqJnVoUFhAnJWrL5npLvw2oBJk +WsXsdAWI8iCOHAN4aRkVcB7RWnQCe13EnkPq1/Qunv1pTZEa11EwbNTi7RjrY6sK +2g4xQprveytUafTmkaopsPsYocqcdyPD+NAwd0xZIJZoMRZQtIW5UAkNMjOz4d2P +K22TwUUKcQMCZkOYviDFNFVdT/0QaXSGeOprzYMZ9EhwraBwDmv2YdXmSD0u/9A4 +kfojug1t4bt/u+OzBALpVp5gFtOvqEW1p2MedqnUThM82phirZc9d+KINVF+aheC +gKDRcEIFPxbO1aO9SxFbHs615hvlLsYWo2zUjOUGqGN1pmf7zALOS7A4s0ntL034 +fmMejW05WpfLOAnAF2P9/1jrerkcfU+YusTcm7gZgeWjDNd4gnIISTcQPbx7CGlk +9PEx0zTl9HJjnnWxY36xdaRnA+Q9aIwgRaW7KYSfkOxb9J76crr9ZvN93E7J4I7d +C9Zgg1FFGrXoga4+66fP6k4aV9R2zCNWkksO8YWE4cELMraDpeJ+yM90NKYfnDfY +b2bbDqR145wMn5wA4EwheTu+oEKQDZyiwL2P+0SqiK0XWK3Y039xcgjBt0TE5U1C +grDI36aUBj92snfM5D1/lELnMAVe0eF4F0YFxogh4N/TrRteUZq/vLf7nbYgPEQ+ +9FWX8M18Vr4BpFaL/zOPxclZD3N/lyNGpwlqNBkAPBEHZGVY+C0cnsITh75A71Y4 +yXBzUBpXz62ZCYPEFz6KVR4epyrZY5JKzAa1A1zqHgcsZ15/COfyBn0YA31kYVom +AOWMif/HckVEqGW4MUutxCZhINVTV8DyglUPdnD8EpGgqTMpnVSJihEq8hIJOPV4 +KasqoxTf/qoZvHWadI2dmRjY0z/ljBAl4NiD+9U0MCVzXSaEgS8Y+Zf1siu6jtrf +t25A0JPhgFZOUIbIMkmhzGTmQ9N2HHSNT4GUbvQhtJcrUL5PAnGRTQ+KbC37y62t +f962IRW1SLMalXllWul4dQ1VSrXiJ/f6hx96TN/l1DNO+Um3E/g/Rgpw8e6hyxuc +jYJiRO3w0w/fwfd6FABhb4ht2pctJpowi94fLRJXIn0ucyOHJ1Sn4YVOdPlhXIkb +iTAlkpmbP8GKfBSaaPnCFhKBXqtAaoEZEhZmV9n9MPBQJvBzjgbdvrdEezKpW8NN +MrHy+ubf2b8E9RIsS6Xm6F/s4qTKE/6xKPEms+ilHfgjOrM9Xv+4i7W3Zi08mo1o +zFeeq77mcR3ZlPbjqNSxnTH/cQ3zU5n1MW+1hssYP7q5aVJ5RzCDhfvjnkI4tPaF +2diem1pZBpHxsDnjp1dkBfNuocti4/fUBs+sS+FKp3JZVwCYFGGXMpbj1WZ4GLQp +EPfzXnf/kt+eDFqvVqp6GpAw3RAZEUuwpZnmMpyKltQlbOGbtavALBHJeN6Zh2ju +SsV34rgVeu8eSIhZlDfFFQr6fmFLHr/+BGdt3Gbh+HArETu3K6RdRkjOedniL1eg +wlICyoylwForIC8ejluVGTKbojqmqQvb1uL9uIPpAn5z/Lf2MDb59wzwZCqNgH6m +QZGkAIA76ERA2T5YZKv3rQXgbXcAvwhnim0NV7737fbDuWg5tdI2ZtgcXtFl3D00 +oXUiick5Gn0g1nVsJDdcx2dr3g5tBDlLTViw6H+ev9EwZQ1wlXYNvS6LiMk0Srts +kaXiOYPvDqUg5muHmnDTeMmGeqYgEhMRawHV+7ysRPaYrzKQfxezyUV4i4b1nQpf +42Q/4Bkzg2CsLYau3SppOFQKVSwbHSMdc7ivCB9W2RFfJnfaZzacIh99Fsb//is/ +KY+y3bji2fWI0HfckUwKnX481TgjdOHBr4JMUk31jdzr1jmYl+ZMGE54fMl+Fc0f +onVjXabQeq8QhysS1dFnayCQHMuTMlhDey2z2Rj4PpV+h9kEm+jeoNsdLDnFylAa +rGDbDDOOrQxIpgFyli/urHPuNzrvixa6O2KzdUN1Kpefj/RNHYQBT9931+RPa5Br +7DUqJRlHHF93hlqa2nFe22ENElKhX5gVjMyKbBjfIsScr09T4eyL8bm8rAh173mw +vrkrR4RLrNOho6Nt/O4OxVT5JJyWJ776mhxsHf3XCZP2HOVnK6A7oVyOxsM7x8s1 +sJXV63JRa2v2lpv8wHYE5K6MPiq00Z6Lx0Bn58ZJXhVh3dc5JOdXeGpddqSZdphO +KJQqm946g9izWhVJQI2Sqk4I3cX4ZaAZUFohA1VpvbSJUGwbvzKyjG5TjhQhJK/6 +CatVL7duYQyGhCyJBy+jxFD6yar0ohBj6cYLaOEtoApw1GXYxD4I1y+zevWnKAJ+ +yaf9cz5f/mD0voVsVCsDyjoVWNGDtZm4kUu59jTtWJ0eAVpCM67IwVuMDaswS0FX +1shdkBQQxoOUAXjzkWlJE1/GD/hKp+xUTFr1RAbIqYEdo8RhQVHP+c+iGcd7nYm6 +xkpFQJouk9Hrri0+f3tn1Zeq2gGjJEFIzwD1y1p8uplkaVxiVK3LnVgJgudi/0l7 +oV2aKHvvt+1iyyecv5PCQwKer6XS9YHKlULEma60fzL/pA0eO+xuq9fwPABsmU6o +G8H36TzffTbPPl9tcHF4zx+88mwsCQPmwhH55tka/y5uZzvMINUjfNach8d2DMGY +FdE94COyW1kGRzXyrVcgNfjGCLQadZq2tqT79a+8im+eXNSMAT6PSfKpW+AtqbpU +F8WtQK7b3V8Jnr0m+fviKbMY4gaQa2WqO1YNH0GSHBMGaw/0QU6Efns7X8p6YdsU +rJywxK/PXtD90QURTGl0zBGOeomo+a66najghTdPOEAgCLAFuMOyBC3htoMGNp/d +lwQPemSQcm8NaLlqIXiNNwV8J7SY7WtmDMWmAqvacJgh0AbXonGs4OI0mC981pv2 +DDEQ9I2aDRNNl+EEueN5ojpWNprjXKaaV0CAvh2y4m2fUnsCedrRiH7FQV3bn8U5 +/cfsg4JXqqgiPWBolpOoX764zi3QOuvILIgZ94PrqagUVsydskwbHkDiPb9NEaMp +uI7C3H1tA0mKUN0nOrb2/WN2FH7vW3IgH4Dqa2gtcr53UrHNPamAB8Up5nG5nkFP +wrZnxyjD1nkEmlFC40mMRL9Zofa4wHwmI4kJHga4/uUuGHfb3yiqJM9zkwTG0YSo +VBPpEVELl/T4PEZnf614yLkb/qE2w/oTah3+69vV+/cwyC+vttLP5fPN4h1INjke +heq7p8vJtcsJtiCg0dZgT7Xu2ZboacQ0neO7zjcQkKWrN9s2k/Jtc0wAIuXzBEwT +5V3YQEemSQArJHOeqWE0DW6TxBnrEa7x3jX2wQUUyO86/LexLpDuHUNQHc9GON9S +9QBl5UO10A3OWpXH1VipYC8y69Kj53B2qNaCJM+JyIKagE7EFDh0AgUrEDeMMjJ7 +tMP+iAmIjSfnu00NQCAE0j6xsAvhmpbrMarBeo+8zG1odqvknSefbkV6c6cVeEDJ +t4zc/bUa+vYs8xVTtCyL7MixGhd4vjjI2mff2lmdX/08KmTFubQ/WpfrHNbbjpzB +vKj3w3geKKQiL8buIK1sKNmxlQr4bvyzHNOAD5QcRwFLjvuz7w/un0TzAEt+Q/4H +t2D+sQCK+iZHQg+mMq9SmwF0S93CJBZhey/NKzSENMth7/XlZHz9zvUvyqhxYEBU +/gA5qQqAmzigAsSy1ytsxEgBQXSW39G6H4kL4O21hzmKWtIDfABmAGWGlLqe0Yk+ +cXFz1f5oMznHNoJSCSG4a7yKpkHkKUqdqHlP2wfgY0/+snwOhZF6yH+vYf8lTWYK +7THpZhQF3lZgNSrgNfFm1llu7kmdXVF6WnUmoI5fheweTpmCnIWc5EWogKcLBO7b +OVFZgUlGj1ZSvGyPbJs79A4rCWGDXOx4rzVyw73eqUlQxmRvliTnTEchZMEsITRG +75X1gNcEMbTJ/T85zMKRvMgccHlsJdqfxmYUzXRWiSQdse+T+qLQl33QsYFf161J +VHjblNle7AoO0FFYP4kEADMggGGakEQpx8oJz7gECaihgD3PbSlaukMo2c1JkYG2 +hdQkgcuDYyWmFKh8WPoUR1zowshMcC9A55XI0MplU+LG3QSgBSMFQy59W2P48hjJ +FY9cSr7Wsyj9OYvkibzDjfmaVaXSKUvrAeJ+XX/fnSRpS1gKrlzrzXChooVUYn5w +M5blZB9q7Gmu14+7yK+vf2pzvzP/+FK5cVnj1WpU8twoGhq3L3GQSwZZ6f32yn24 +S20u6MLS83bGYNAzY0u0Sib+P/d/piMwzuIsRHUsTWXA2cYnHcKjnpI1sPvWHX51 +AOoNVVpi14lvL6zld5ArADr5Ezlx7AgOhlP/0ofj5Yvm43oseb3oN9uFMut6MuIi +McmdgJMaQb8CgDlMt/mf2QDgUOEZm2+rivBVMz7pXju6H1KciDmvDzUUQchNuN1n +ewsoyt42uiCrbp19iK6rLzpJlET/puxEOqxW/6M+6OhqMgoU2k/BehXu8QhDMv7a +abREM1gTJHcP2Sktz6NTstNtb1UZ/wOykeyweivKFmQQ0WJKR8mcJiOHIySCJ/RK +IZ7Yt3W0dspF9OV1CjqIJREoxLvxQB2lF1JvaLloCLiijrblP8cXT7zcAzJVutWY +iDNkbCLbAypDCh/kTtOQRo7GXSWG+PnS+Or2pMvPEJfo3WVKqIQe2aGTC2qyVBWb +FWKZy4B2HlKgRN30gieoWkxwgy+Oj/C0L/dYsMghXwfdxMW79Xoh9ClhYL2VbzGA +N6RcGy8GNx139hqupqk3DapIHCE8LNXcs7jmPgIvB2xXYo/Dc2CTy83p+XDJL0cq +aDNeW28XH87KEYtVmNxpDODN9GZNfZnUs59AB3GEM7EhjvvmlUV/EVjJXph4Wq9U +u7zovEYVXy1RZkbVYp4vHWOGPpnmbFed/hAa7swyRcPtyQYjiVm8wE6VobgHpGLd +paxcQZmsbGZ47Xv56+2AQ1cynDeXB2LF1q8grjsGU9Qx4hj964vN+npCBn3iHz0M +UnH2GFMYMXlD8L3lqpKiiHNB0axXhJcWTfriQoXtEL1NtzbXXxIoKSJpoSSioksl +n+O/vu4GhMZ4f5WfvfJv1h6HFYuoGjuSIJm4lZqWxJGQfYafgMX3pWpCtjKguhRG +owhnqq8V+xyqO4QovXmqhr8ciN+bIaaGntnZEDHy6jsNxkRZcjQv06sJOJCpcaqW +D+uOEHGKm3j9iWJxtj+NpjX9H8x4cJsMXIH924ElKLYQhrE4drtRF9isGQqhNJLZ +KUQEggAuljt94R57V0iYKESMqDi2tBDir91GeL6QDeJEQffjrGaLXI4CayM+PoB6 +GbLqKdRchcYiShEUSwSrw2uuiTPb59tac89CtRY8ozPMG2XCkwQ2S1StmH8GN1nJ +5aZcZztVsEh1FV8Omg+V8wsFLQH58i/8aKxkJbeAjqCsgs7dqCYKOaiK8BonsTJQ +GOSHM6QGlvh69cQSx3yCoWC/NKR4UQG/eHMszvByAqjHMjqODNClOFyHL/B4snlP +7FBVU9QQJ+UwpR88r5y2rg8VKBBzGfBOAsKOqtxa5gq7Gvj8/jGwt4WUaF3VN7td +KqgaGAob0deKWUv3DuxuspjeQ4gNP6/TuQKtAF7yRkV+SelZ48ccydeZ6M165Bn7 +o0Lz5v0LBNS0URTj3vGrgaC6GiCF1U5+mxUrCmBs63Az9YBWDPlDEqwCMnLlMg7K +5IIiMexd+ED14Zkso4mIZqWTJ+OyFzuTjGGBEac2bGzmsPLGG3eScikXH7T+flL6 +6q28EkdSXsJh0ElFmvWvKMYy3RBacidTuCxHRYDM+raaKexbfw7NNN1cxolxfo0c +cVF0S4IFuoExaEUxeGkjyj1w7tfZNo4umbWo6ieHFjRjXn/4zkzG4cO11KLCF5/1 +ooSHmDy76nkCdiC9S27SwUvF1ri4wmW3ZvnlMfleeywr64V2v70kSf6eVzLog7zS +t6O9sNiTmgtkN5CETkGKAEsyjLgrngrsbtmO6pEq5Rnujk5jZZ9u8sVuwCK1geFF +0qKuBUvuXzZtI0GDwttj9U/oC89As/WXHnSjUhtQ67pIXIO6W16+Re1q+pSMRLWq +LAHLmgARprEAxl2o+g1lcKqyUF9tBnvvZ9Km7/reaSssdlS8huZrGJR5xmuTmGWs +gfrMCEsis+/kc7c5e0YuoaSHcNwEUtsucK0tcZnmJQnnvgqulb2VMZTmdRuV4wGP +hkJBcRktvwC+eTZFlGo4PP9+0nExSw+C0NBHOpKteICeFrKptjSrhn4IYAt+h6Z9 +nU0vWvDcsOW7mv3eZlCgsNbctw330urtJLV1ANCGY+zfSG2ekBUJAKFo/iurxxO2 +ri6Uqr9NByCt04C81uXtRe4M404nLk7khh6TWqhYYt5slzuvXnNPhMSm4yzJn9xo +SI44PgXhsZ5tnqNh98cLx8kT4ycSzDQB2mULkBJaQPTap4DDRpg6Zqp1USwXThbd +gA6+olD6dxNi5vHUZRbbYHUZIJ9yS4WSN3tpz0oCvQIisul0ZUVWfC2WpA0ttrt5 +H+S/cAFJqp2gk8xGkLf605g1FbJGdwaHGfbVVxzpKM1Hw4kIHsV10CnscSHSmWrq +7AWbTm1I1nfwH/013aGWMyt/QmEOh8XwGHybfdV3bfiqLZboH/7A74xAFBItlWqE +81KD3QAINVecphXG+Xm1QRxQbW4y67H+yn2C7lGyoOI7AiOymq+g/KeMuBkqVEce +rv+DDY1MTRRZrafipKkP6NWeLB6fKCW2EhKSyzJW10uq9vXkYwHa6l/sw/0V8irD +eLncIoYf2StRcxqpaudva3ipAMosHJFVCtxaKDo43Jo9vzL+ggSnOCqYwRFCozOM +/bEqrWiNR+8e8d5I/KzfDZPz514O8RP95dLq8+Wxek6dYyzFqukfPJYySTC9Qp7d +M5N/o4mHS62R7/x6p0BMYOWB8dIbpIfzxoKXx0dwUborTmGLAFDsu+K4LfkV9Ske +gb8oU927b/ZAAOl8GtwnPnrCpCzTO5d0E2SzVA9SEtCIsOaMq3+uo7qeTWDvUSKS +qeCaen0ETiq6qxej7Fasx6mIEZ926PfPAZGSJE6L4tJsUCOa6PNr0M+xH+GxgkvI +OlvUg9qNBrsULNPkDtJQOZSm3Dnx9T4fOt3T3vUhZrhcEFOx4VjhAG44jQqtXcbV +s7HBT/HKP1MRog9CgpxwGRfhykKwAG4kVym6PHitF5/AWpbL7ekF5CnBAEZ4IEyw +sX2DDFwUTMg/cDrDQdsiyCkqQh8VJq0t7dkLsFfgfWxIMzZSB22Iqk48ulPZk7Yj +vzgNxvciyAq6wX4c6EMtkjyPdxOue75pg5KvV+OjhbHbBFlHokVxS6arEClg44BY +uiDW6LRDAqPc464T8+6TN10HNGa13sTZMvlOdG68zQ6cH/3oJKC+vQEpG3wqrIn2 +hh5Zu85eNYLXPoj3t7DG9BIK9pypSBr8nY+ojtIGHivH5uEtc/pjFH+6k6eW5AcV +2QwdNCkLzTTSLGRLMMQHnGVi8wX9i9CFYhnCQKXPoazM2OR9shECIPiY+efuwprb +vyKD3CRZ+nSc89ptA79APpB8VHrUVecYyaK11UDraFFyNpZ4v9CEhGN++prIBBxf +pM9iOmXzJ7vEGs5D/JkmHHWUaFMCwkolJyXz3oVB6owR3T3RQYvg+HGr0DGIEQMD +oM70ItlFOZUTJ/tZUbDBF06u/TxF2d5Hztx4m0O0+C8KMzAeZaH7N6dnYpxrV8Mc +iyjzMg7qWObp7O6V5ZJUNsmCNM4fZB+YCZW+h/SXpucEagFHEKorFCLWSMPT+E77 +A2ofVrF9HD72vc6vOtvp6oVUHTV21dSagTSt//qyyDeKqB7I5x+tGjlyFrFAgvfo +5cuQGBJ0tc5vDV6TOdHPvEP3UYPxbUoBSLYD32HwqSVRRYgvBg/ks3XMA7p3OmO4 +w6Mnuf9WzbTMIhZkxklEF7i7HH2BzYNqZmWOHtjW76L3bU6qFVb8orQQP0BAFraV +kd13rRZ0Ao2xFeycSSxkQ9TWMr91hSpVw4LXPskbqU4lX7rsQcWsYLahsPTMubv9 +5BS92GTVAk79rlW0XVWeuepEWflfLCj7RUvTQDnFqPRBxd9Vog4IxvxqDxGVcUXZ +IagR2ZDmunVWf2pXZaO+Z/plOqqixgrFvhyzVBHN6MtjW0Aj+W2DsCygFazIwoIt +ytmhW1Dy/vBR9/GqI/fcHSu/imJ49zAHgtWMCSxsSyw7emJBKVl2Ufd/Jf2emRz4 +4vw3W6Lzs9RcwebsBB1Kkdgau/u4ZjzO+UyV1qsCcTXZN31QjR3cznq8FGcJb5hN ++/uZZ925eUG0Eq7n6j40Qyc4yinP/NfbOOra0YkxVykGLhtBTP4eGL49IT+jbYjX +bKTD4tzaHclCTPVRT9B/upoClizn6jzY/t/9X83ldAErTdteRVSXGtYLJj8mCJdC +Hi1tDSQsCC5jzpXDrhejNqvzPObKaWgEeUPgJL1wIv17SFGv1EZy4tan6tMD44Ca +2S2Ro5wOnAcE39/wdT49w1zZU7GXWHS3eX6+ixVUTtZTaEMV5QTmdKVr80yRa7em +Wv4p0XUjSdbsTi/DdYrgmuLqKeT9nzoLoYiuobGkjbBXip8y1NhyLE4aTuhYFDDC +T+sGVwoUQFhwzKLDbQfeeX/Gw7uVfu9KUVus/WRmZ4fQIVFOAewFPZ/HiB8XqlzU +g/JplpmfQj9+Sg/QFpqxDMd4hD3i5XpMPsW/JYhOiJoYs5d6e8P76AVX+krdJYgm +aQ4cOMY4qKMO6Kent6D1ND1cEvFGEqIxUZr7oQ67Lp/kYS6lPkzCtW3FUIW25zNZ +ENoDnG+wCK7WW36GyGbTt7ORZ3KB6/yM4FFtc3Shw4Y7hof7jfr+1Ye9rMMcIDmZ +7P/3v2KkKLoNrrwAFg679dVRJjtT/KECoSa7U4mLF2YMeaDacOvMQtgQ0bZDx398 +OA5FtshZuO7cYeX6WJkFQZ6iwzaHArV/XWcctCRRxlDsaO2XdPw4HG216tlSvWA3 +m+qXoesNNSVN2UGFXJLh8IfkwXHUGdO8RyxuNZWFqcU1xTrkUPkXZWp4PBFgz35n +y0ay888YqiOjAkqFQvTB/XC/EYRAHt40W+06BAZWyf7a5bNtSE/oiR1Bu38EkYi2 +QwIAU66rTR4hM9//onAmfpYIMWZStMAkk+oGb2bGLU2SScm/b7eD6O+1K3cWmWgA +toINa/v9Jm2uMDUwy8OvwbKKOoWc1Cjcco/PdNd/0n5/lSBt6s1MSR7115GSdY+U +jlVIvoa4gjhTASrH7VVl8BQ/WJuETTc+dVndJ6Y/TTdhUl9uZe8wLH2NQCAJxtX9 +TLKPYquQcC4EFlJ869aRrS6JAFcXnwDqzskCwfFdwAEcwXkCNunNU1QhTPAeckUP +LGsMMo50KibBN035JcrsKaPH6F7pZSucH22zOM+R6f24pmSFNAwVhzC5EH1aEMMn +nnXE/rYs7uKt0s/t+/21DDbN85AnnWM34CSQPAoBUfK9WZOZSRn7dbh0GaZzPZbk +Jq1TBKQWREAKsWKYB9f8q7MdVaAwZm6CruLyCt7eoP+QSM3zqBVG2TS8uz4veeCV +3XobWGgOAXVpcumqRC1KBmMQ/TKf3TpR1fyeKbEc414QyMd1c8OVRF1gr1fpxNEd +0zozVfjirJ4TJ/28b37j9UJWeBng8QCOeMWhfYTbcM3H7c3bl6RG6TWJG1Bxz5Rw +juSTrgb8M5LWJS2l/Xfj6CSF/4pT77R50XZolgfkMuNE8Sh544W1PfWxLx8NkdsE +r5JWQ8igl1Hadbl7Bjdbc0Hx3okz1noos+UgK8mIhFRCeeQehH+/jx/hN8cEy7N0 +pQPHri83PKxDqGaYYYugtzAkcfk81kw9gfI/Y9kCmaFPFGEXbnxltbDDV01/t6Tf +zuBFqmFiBGoSRUNKUK51GsjUK8jeinRQM431VjE6LqXFsnW+qEyVwxnPxdouerSb +8HCVqGWoJPv2E2QnUn/NOF849EBF8nVkjD/L80MMN2ox7ZtZsJTudyM8OPnynUIk +Wxbk7mz49lCXwQUncgEX+pj/vOvGqO/SbQ5VKqVq/XKziI25nwU886W9kynk6EbM +u9lJxDg5iCqrxqBdCQnbIR1bynwBVzzjRwZn3ZQMzx0gPb3uzJoAZNocLyG88U2H +lTnjz8J7vLTYpmxMM0Pvi2iOE+hRZMmBsV5butXVPg4jcGvqLz5qLyI3ziC7KV73 +I1TCIKxGZYn48Pj2RKmhUJqzTkhSrEZvzVsNb2UA4oT2YkAH9yrGEcWZKy6qZ+S0 +KR0br3LTdQeXANMjjWjRbc84BxOvlyXmp+BPb5h5vGQ9foIKBtULo9qwbaDfxHRM +flpbTMCyiHelOJkkTucgQ162fRcwb3ue7Xpzqov/Jdubwd0QyYphGRKNXpXDu0er +AoNdi2Gy0hZZwxPGdyfKNYMQ0NZdGsOVZSlkTSgUaWaqI3zYXbRVHy94ntqF1KoA +d4J0PJ0zWrLEP9bHMnDS+PXNOGGgzJVCG982SF7SXaT4dBFqJ6uWASM7YkvHRpB+ ++AIGORG5Peqjf9hqkQUKUkSmq/nvapAPfr/Vs4QKfRu/Nk3z50Iy3+1b2atT3K7o +SV6ixNoylLtbBeu8KzJFpFbIKB3JgrUV11W+rWD9Q5XjOfP7BDlBecX0Tmhc5Jbg +7B+DSqkd29uxQYJD5X9XhEzUgJHQietAdPV0lqGPEz5wnrQK3IpblJo9YZdKNNkh +NaxyH8JxxN5j33QuTlv6AInO3X+A2QxYjTpFjnz/zOLlt0FDeGnfndprVoWLvxnd +H+yU6wtEQ4xlNa/2nn3UxAjIjrhGcUMOgOPTXVcuIGZXjdu4SdtMVWSRbwKTdrVD +gWPYFRpeMVgMN1dt6nkYMXCeP9qaPj/oiaowa0vX2oECszy9eeVio/EQd6JZvbI1 +zKbcVakKVLfQJuxHjb5nE85URsJmya2OI6UNQtnVqF/S8ZUkA7Uvy2ZckKpYo+3K +AY6dQVIm4JIgb/WTwQGbs/Z8SWNZ3pYSSVOFwvm3en96eI+hMBBo2KzkgneIiOmS ++PX8APb/SNKr3GnIi0iYg24WR/DOLLMc1O7o+MToFwCRpa2lzxouO8EOSjX+KEpY +c+t5meUZ5qiZliYCDuMhFns2dPeQ2rLGv3dty+llikmwSVrLX15L1ZAKYh+X2Fxy +ijRM/QadmxgQTK+Yg8KwzZHIKJqwIHnWYgWb8y4yYH80RPAEr9McGi3+CmimNC2M +RR7TZKYXg9QWEmgT/EuTbWq1ws+a7AiJ9sjtyOhOFJsbWrxI0pV6qxnHHuhUQSho +o0qtxdd4sTJlz2cz4HS2XZRZ0gdstAgHY2rksA1SNe+nGmqbltS3zM0q5/ComlzP +AA1LBc3U7BvCB3KL5lSbSW4MfPlpaAJ+3HZYdM5+IomKmQ4v0srpyzEWSjPVkSdD +3yWloYHGBk4NiHZMZ1HX+SkktuUCMVDai/UlA7L17NMa7JE4NUFUu5X1wp3aW7DJ +/Kg/HLzt0BwfoQhdsyugeV7pvIEEEEOZXoXg43jeHMPkHRm6HRKrT6SNE1OrYGxv +MzHzM19FupGnt0erA5hHgbC9UmWx4jbFdKRTWy0WXfbiEHmDX5UQHMNPSPIibHSk +xX4ab/IGEqeQXtAbTO0bF5XK7YwdNJ8bFkbM78FV+rzlZBUdX0DE0j3jihiBLN6b +wD3en+6kLLM1b296TSYvWyRnkxmGKji/d+EK2I87hdAcsb8WN2NqbJNXtGLDbRUS +7f9ENyc0UKvZBICYmOAXxtaHbmJdjq2+Akv2Y+KEAOgqrZOhEVl40FeR+CZIpPkU +3Oh4v+cigq9qFRepGq1g8N5wskCamSc4QgmsAoKQq7cExzkMGn4c5F/+7r0xJ6aD +d24kQJ59DEJ5xz0j8ANREFW3bmt0QGDTWbodqHssT636A+aSKuwQdGieef2XvdAW +iWT8xZC8ajRKV/rAiytO4egpQ6EDqoZu8tDitv3LHLsNiOYPtm9sI44ewhfKIlRJ +Bd5CFhBC3NtgMEmbbnpuKih0aue7gMik0RJXhnJmOOhYojov9pU0I4T5OCXytXUM +hclJLczoA50OZkEy3bxi86Xks0glUnoIrttqXL8XLIDDURD9tg1ACVuIWFbFF6xF +StlWL3hL9McXbbfyjV+e6GFbErujYmRgomZ/Gm+YjEqLS1TxaI8twl1aGfHlt7Fi +/ffAO2oBpggYGweMMF1CyePHINEVE2JQHBpgPb+CD/uVEY93p+Q6s7EyE7K992Kn +DpmNLSP+jiZnurveknpSn5T0QXVVXtM/zEk7JAESDzhbm07ZU/q9/cQChp9sXFfr +0ZLRjRLEStXI1DtnLSJlCVnKCHLflZWNoSLAAbNlSrxqOw9WH4MSW0j2OCdJw7/K +X/WZ75Szg02ZzVgZKRU+lmDP+ksjN7O37i66sf2Iik8KoUphj12lrbfLFhrnDUa6 +7WUtdFrAjR8zqwatXQZl3EHPj5ZlEgZMPEKHkfM4pDUaDLGZa4mxrc0ULqcScaH8 +Wj7VTx1VGLlv+34hqH+wwc79WrIE9/AMBegaaxXrFciOnUU8G85haafVl2RhxqAH +yC1LCFGWTz8pm2WMT0NpxiOv0jkgPuXzsHWHmGKG0nUF6ApDkCmVSO9eE3sP5JHb +FM/I35uUL0nVcWnM/1BQmKqQFBbO7U2EdE5CP4EvMhK8PE5wE1KQeOvm9avbNOFs +lmpxGog0602b4xakhm9HLdeuxkct+Rw9PB3vXowarqJbGHMEuSF3pgMqtcJcV6Mp +k2gvO3my8LtcOtLSpXVBR2LNDYCj/GU86ScHio9ZWTWW39ff8VTFqZ8/xX0nsIFj +O4bHak9A1icsdflSpV0TCBpYG4Do8FB9F9ZME7UPiWPInyGA/gg7Hh9wxgWjSKG8 +pzmfeWV/Awtr8Y2gR1SKZHR0levQ8tyyfA+xuW+aVpp2dA8wXwCGjNJ2r/MuFUXQ +Klqq613pD288QEnN74FI2XMkbWCvuG9dOnLSIHQ5KQB2Knx/PrS2eVSji7L6/e44 +h/yVEC7KjOcBruGJvHX+avukLUYotTwu7+rO1tOweUJ3iYDTu/Ll6ciUmFceDsm6 +Sotj1YloFrswM6DgrFHivv8rFIFt5/sd2NfjMpRbZfPcFivh13IrV+t7L2LgraA1 +1VSX8AOgWycqfjP4sD6nWXnQZz+CAeUejWtXfagL8DdA2ZNRc33zSKeu2TFEc/Nl +fljuhiW0+aSdfe2RENzSlBkLmO79r8zMK+T1OLzqBMkoWfSbA0vJ30eOn68fXxxr +ib0zYQRdMQ0mj5tc/3FFI/N4n3dnndIwo/cFJbxow+F8SCQkGtwhs/zl3S1O4BGQ +UPR9gXqy6/vxncYh9rGDsCvYJ1bO4vxPaf4A0vvOhz1ficnewcC0zHwBjJCp2QLw +EQF/MlkGkkFEqHtbyIZt/nuHgiHu9kS9aRgcpVjZ9T+1rO4POtTTC87LXz86X3tM +o6XEpgSfXqqWR6YHYaZLzkOAu8ZfZyNbS1SvOLBHOSVrpFXbB1bscszHsKyxcOaK +Md1W2oHfEsp4shTIsuBBfR21inEzprbH6dzUkgnox7T8tDqvYOahFGjBbLZKJn0M +nYxmCWC1/dksivmrN3umJpTHs7fxgBdBv+neCcWPieQKI4kql/AsJHSKB5Up+WC8 +W1NYhbi/qycHlMNljdbZl8ZLHiof6CpAtKwhUKnn0QATFXNQVel+Q4eakt7GH+Tx +/juywNafyM+ImAB8cN9A4ywNnuEegk5N08kUzYVoejhsAS+UCyrheqK3+af5shu4 +9da9UY9qZP2Q3aYc+ugoZ8gNVPWmaEesxNCeem2Q/MSomJnLD4NqCFoMinCy68/T +nAkxxnZ4QaCvagS+0abDVI0YCms4eWbFq40naDQsRUd5iJHSOf9WWW1bnnL8qQx0 +mb6fV/1iPV6GUpDUJ1exAwmP8/XTCy1VZgwoyJNkR5tDNaXK28BU/TA4bY1m81Hi +GB6joNveHBfYN6AwMVtQ29b2z23IVmBCs7gJhPa3kZfo+8eNCnh3G67rGqzr+wyn +rHDbgd+LaaNFAtLclptsggeuiDloyYViDc6CEUu4fgQQkHB0aMD2/j93YDMCyFo+ +kEdIf1LaoyVImpiLuQqDak40ZZQmYw/Sobj1sYvdkU5ozxsstaKuq6JqcecaVb58 +flROI6/OqmkAS3AKGuydbFvgZh7nhNJGYlQJxUXcq5r/Rz2Ll2nA/xmN4siyCGYt +NglJrgjplmduE8OgLXn18YQdtL3ofJ2xLlWipCkTcF2ioYS8oaw4lD43BL4bdSS3 +1odsZgXBsNJ8EadmD36aefXDCZPTKzPvaIH4sh16CuOEfybrWPbA7E/WRn4Q5+LI +x+QxsGEC+chyBeH3lPlmBUS8rTMJlyn2hDUuFpopQFZiUBC2uUQBlu/V/MKq73Lo +iM2RCVkEevMEXF0n/w/LeJJVdkHKgAXmB/fg5XJkgIypS8IMq+bFOuUrkIUM8zJo +GD0H6H2N7PNKoq6ij9+So1GR1Y818+C0YJoUOUOMEPgGku1sKBNdGOoB7YHF/Eo3 +iGi62LEuSBprmxW2n+TG3RJr+l6EFBp8wybHkpNVDVxIx/t4/iBPbsLFuSCIpJNC +/hC564bXp1dS0uW8dydQyHtuzIjOCpl+m7AWaiyQxby2VM5uI8JNX8dP0tJ9dwuZ +0cH1WAFbroG8cpUh3Sip6ZZwAjvQVny5nIbmr3z3sgvG/DFj+Mg3pOFtO/MSo4/S +C8wM0DppigRt6n/iKlro+cSOsqb1j2Nz1CI/odEP9Q77/6ZGtiXftdstZw34k0ZO +WngEm/WDBLhKuBXctn8ErEA2i2vdyazF+uKoC6VEGhlawzrCVDuXV4ZKJfiXtjXl +eOqDxWw/wtE8PXASXT86GlUNDF9Sq6NhgkM7fUmu1jKcvfyY+Vgg9hlnyINMIYAn +SYlJluLeOY7/KeEGrPa4WRaABG3OzIal8aui7XcnjyMQh8oxha7z9UH6NLmG94J9 +ayKezo3cpSxOeMsVLOCHbytwUNHfABSC3C9OxXnAyJ64y8gTpxLAVjdjIhw0NLw6 +IsRUxKMI+FmefAhi5AmLXgYkvO9c04A1w2GMRfSvj4ZXD5seGUjfBc8qbkQ2UeGj +4ZDReY6wSrsdlv5PdzMqneHlhN0KaAS/lXBzJx/j2ufyg7xxpmh0VrCcMqgD2d8l +n18m+hZ7qMX8U+koB1+QN9x+JkG0tGC9j4D4/GdYBqOU5KHFSQkU41At2CqiPuzj +l8U7gho+PfgTIlQXl7JBI3U9AndQx1X0+aundL7eJgQ3PGphBUlRg5oaZYWqJhN/ +qh5wrGVuwPwOsMeHW7t+aJ9BuKQ+pLGSu4s3+t5zZ1gqjTmAiU0kqzBWbQ++B8o2 +H1/lSMQzl46lxaXMoLVg3ontJqv94fUUN/aLF3GvAyvQtvmtWCGMkVuecKK5Nv7/ +zqbMTcToYCTDrY0tGBy5/kWAZO0eDv2AMCZDLDyJ00mgF+3F3lgKMfBnRE33ioFt +8E/53SDeYXnOlBhJK2z2bjCOmdTNpY2W4cuV0gFx7JOx/Spmu9FVttdZeQy0sQl6 +qMOqbTf2fcM+BKd4TErFuOBVfXUt7PDufueWaCB2bREMTS6mr1xnO8XhutKKzpNZ +2xGV8wsIvltw7l2KDZlDEraQY7zawVMQSk9s/XEFRAeSusYkXzoJuhQDKtzg9v29 +K2WdhKJ1TZpckLQw8w3YKxOwnQOZi3SDiLzlqYF3XB1Pg/DiiKA1TOGKhcQUsVBU +Gj4KIQck0XalvFwQEr8EFQd9feOwpQ7DrPij2Dc3adv2PXYvKhcb+HVtoQC/ElPr +jNgFmvTKEawpjDC0gs7uS6zgtXRd9xVwL9KrGo4DpMFG/2/p9+/mQ4uAS4KgZsvR +R6Jy8GctW4hSTXdSNmZHdIsRW0kG4SBgf9osoECsRqGWLo7HQgcpWJN5yUNKKpAl +axixzAglO1aRO3OP42hWEa2KD9tO+NO50BcCvQ7pnCKZoGSlvDTSUE1hdCmYdN6u +xknJ+wjOnxKNi3ihgIfnpLynLqzBG9T3Qce8WpqxktdooVkXup4FnB7zoEvzRbut +jwlTlBk7ztgN1XitsTlTTj5XzQtnYCtuf8PiH3NlI9ae2Ha/oZyowSaARRDudVtf +REKQG06ecyMdZca33wlleKlR2zCBlO6gmniAdnja52wMYNy7vvjmg4dAPIN5SPiQ +25N8MKgRu5Tyx8PXeOXo5TGEEXB4wcpM43/Qv0TSHdjIzf1GYjv5wjX+hwE9jBxL +n6Q0s/ux9TqjnnreFOfoMZD805o92u8bDd6V5v2EFKR4LjDkEnYxoiRaqAEFjKDk +W9f7uwWU8v0YZpHcho2yIh9/q1Bo2YoLYAttgdSUFYlHtEaUQPkPtPorxczTIk0f +xIpifporzojIHeHTaJ2M5mAjK1LEvkQ2VHXK01TeuRKHMJvQlfH4gwxHPqWs+iPG +taFa1LJmDPywO6/4xx8HIWU7B4Un34ezkD010Wkj08o88nHNbDXGun/kGpyxlaik +oOLEwCIXZe1gu6QwhSQhRv/Av9shvzERSq2WG5LILWNrAKu1P7gqE+TmvI94edV8 +dRFF5gjggzQSCRMUJHlBwomIwK2vSZG0yQR25r8Ok87EGCMeJu9FGYqQlAkNUVih +2ObKxNRDn8l/RK0wiA0uBl+/dWnfeotUtnO2RBTrmKIvjvY0rwQF69JwP07uhI6s +wXR5Toc9Fwr5aYL84MMwsKjCVK+uK1edQfmMLXa3mSsAhrnQ/+aRyFAkUKQyS6os +dmewNNWEid3vjVURyqvROZ0uSL31XW2Cdy+ja/UKL1xZK9F6nxmKHR1SBLLWRSFI +XS6fDCxv8GRslFp68JMQ1mMpvcD2p1F1QbtPaMhhO9ZqDqQAw829tXuDtpIRfGL/ +jtr6NBAowqlZkGCw6F8PsbW/rJQFJ/WPpZWdE+rXBXbtl6eMZ158pCq14cK8t7hs +NnQ0SLlFdckKzg+qBScUDRFvZeJNLAAuPm1NywezBJ6fQh2rKCF1txkvXeUuh2td ++2giyrduNOagqgegUg1x3Av5RSqCZvJqAvQuvrdls6GkB1SEVgHNqM8wMlOIeJ+O +Kyb1FlKScw7CrVIMv7xqciRBejzl1gqPDRlJncGDKzOR+sG48z2qAu3C0W3SvFgW +r/CYE408sLddpXaKA41BJFL7bzGxrv8ZImjE1uaIIkR6uXKVwo1Qf6ErCSPuw7Du +tGe1uci2Y+LbMuSY2iH+Nt3mdOJaHCRAsEXLBBZr9wPBKziUCLF6oULikSZ0reC/ +b2aHYqetkiWZCUqPUo4bGeJKxoMmRd/nhyzvlDvuyzOSXyTUg/1P53Kx0WyFH6IH +CEkzIyiFivNogs1av2JjH5e0IUdO1bnPiGAkLCvhM8mLw7CGZopMXyjziquuxj7o +5l2dJmiIfMWYgEqOTiF0gACIiBS3q7L3us1S++L7CSPoZivtjiY4Lpu4bMYtmLzn +SQR0ylqBUwRBIn3jgVkFre66ib/ex9tGEa9kHZjw99Qv+eYSHq+SoUJuiI538PcR +CXRkTMPn0g+8SaKWmmELTW1GDHIfXpY4b2FBubjpaeXCiepoqDIz/QCV+PDMXMa8 +sxp6dLzX3rTBM0a/My2gD6CLvu8OFHDNFsEZ6Bj1JbJ/NHSRnhNPvCvlCRB7r6eb +T/WRT6c1vIyYek1aPlS0Q6CguqzdbJ1hAnmAQO3G1aulxVUwVJm4ePFRKTfkQmcu +y4BajiLE9xNur7KwtJwshZI0PKBTt5xb+XOPj2DADaxzTfLkCwEb/x0habrkmMrV +40CClQgWPexXkhyC0Hk66ZabPhTFbyCfpzmH1sNE4Hk6okdfTv99K0qcjlp6zr0G +FJZ2mEkJ7zsNQ3os8+1M/SkNLFnPKKIyqj3NpCDmaQrOSSAl0dyOjMbf9lqre06L +TP7J6quapR5B4y8bFJkBdCVJn6zkwPBf7AewQDV0PIcGT3zFrMQkQESzJgsgqZk1 +UBD14Zvrx7Z7/gBbOcl/nO9hVUyll5e3tMOtWgWdSCq8ORptOJBkP1OpFZ+6b5fw +8l9j8iRbtSXHqWLPq81KpIJs7DouXlgZK4elp60NqJ1Zi4ZmXFF+9OVtsD/jT+cH +plT6DM+XTCl4Hpp3CljMPhHSOBC25nHQfhNBAlayUwvGXPt699CHTepcOM4AINrv +F64VoJu5r2wcER/4sYa58a6Y7aXfRcOTexjIjLXxVaXDnn7X3Vow+43WN7GYceJm +2H9dAUVDo5Ypa0csvDAIYMb0TLp7oLQc1DOJh1W3yklXMClv+Lv5SOrwZ1UxbTFB +XmnCMBnwQXPseW5AjhUNOQ88i3/oCxcabr7rvxwalwzA7yEjDnAWXxzera61MIFt +8KuSuLHtFGagXqnaMxSo+xtuQRQph0zJrrDoI6B/09WwHTak7eIt/Tr66jq9IQc7 +t/dmoghaF73Q+w/mD4a2UtIqbho8QzJUNHwyrbo4nn7Pc9MH1bqIQL+HAHlfu0sv +3gv6A6DRfNT2Jp+ajPhj+yCJZFDAmqHdrzJ9ymrTyovrO/tqWa1jpfgUtZe3lccs +zIYhZxn6iz8C8RdanonakQxdg71YU76YNSYZiMJdpAgdWhEIaN9AxWlOk7ouTgZ8 +B8fQvtLU479a0/xvJ3U3LPybZ1WQldmEjoZBg/+Y8xlKbJS4gZJKNUwYIQjL1UOi +J8Kvf0HBHGo/xBLd/HaaiCB9bOk0YN9ICQLrGW7zLANPn/hht2GneHKubas5rNL3 +LWntPvCEl7uel1lTrY/+makRQmxRE8dQJtniNktTcnmaTvTh/mFWcCAB8vFhV3a7 +xBUKTZA5Ij9xJD5dpRV+YK2eT0tTAdJmlfDhVb8Vf6lIi9QZnuo3UB9QE/M1GZVB +a8FznhsI9Cyli22E9KAp4Tsea37YsfrjGZZ7G7iKvYWQW2RD5odp9zZhqCg7g+bo +OXnX7ehadRmYnZJMygcQP/YARxH7NkR29appJYTaJMMl3mipWPjKdqckQoNbpUkq +LfvvCoJcpN93VFPxirg9drN8TOpxl9RPByA0H+Cf6Y25lcIFyV0Q2nLbrQI+6gKq +uTMrZS4QDxbWT2ZHysTtyGcF+8B60QF6FV8EoumYgSNhAuEIKJ5ZRyS0HQFyVXQj +WKwHr3UorjXQWauAQPPJNDgHKzi5dtxhbm6ckQ8I99u0PW3kHT0GQ2XOFrUT18GB +M43L6P/g7ziogVfWmYJkO0u7A32xtC0b4jWnWVx5gwQAQD+ZKivuZxgaYMYCpLOX +PBW/yGzlLkGhqY+t2hyZYe9Ccg+8exu62qfzPjOkASIbTmzdn5zbgI/KmQzcV0Pv +Gvr951J5JBzFIGZvZRkibaTNk4OXaY4OWjRKejy4FmG4K1yzlpfbweYbG90ZRNMD +kMWUS9iDbR0k92dOIdtfNcEorQWoyj33RtIPNGtuBuYi9IAipmLXt1gwbYHrNTdg +wDUY3T8i4/Q8Eieg3Ac4DX7pxv5hmnNOnvnSuQOBNrx43oKUBghfZdmCKD2aH6SJ +TS14Edtzxd3HC2JQFdzhkAgDy5MtzUri3YipmOV5jKl9vDGqeWvPNVLsY+z/gzbY +ORjtGDbrZlbTrdF5IThlxSu161IVZSQfMl6tQiZMzmR75f6Zb6WrgYx5+9bcApIA +aOpzcNAzVT/OaDjIL/fuZLDXp26lfceoeq4BuLXfQFWpV9F+5TjxRo78XAK1hnfN +wklqhIaOPbFh4wzmrNTq3sL292LJtAEI9eP3ncEGDez0S/5EwWTBu+4JpkQsVTTB +0hxyw0bNsvInO88ft4EjXOH1mfvPA2ClCah8nJNQcKYi+n1Od+7kwvUn845Ydmbq +XM96mShpDhxZ8L5HkTdUImLi7d2gg0eCMInliZT2k7wWB38MXpnnAc8pCfACyOQJ +obIuTwnbtJRyygppkPHMsm5Xmjv5ho9Gev7mu0HBsTHC+vVJSPMH/oc7x/akay9g +nfbY2YY3vHqbNNC6qxB0eVaQ6iX59HIkWeegmwdvRdOFsHy59LyYLDH2MDnnJd7B +plX4YlZ8/dM/OSUQHHTxNwE2ZsUc8c88VXguucpvk/vjQKQwEyd12xb07g/KzYJF +4Xg2elDUgh531rwNbhTlngc0kc6SXZ1HW3U6ZmxMY0VIEmGY8asTrBpzjjWSvy0Q +zhK68n0kQ0kAQ9+rRGPvbXGxsxgZavJROrt445aDnKT/Pgpndf7RJaBd/1m9uTE7 +zv6pUiGf+jqzVtuQdaoHLraUfmd9fBaxfZrTg6li61g3UT8KLki24IMppn733nD+ +fI3arVfR9oOfU6/OhmOoKKOl+oCX/CU2wYHGxvcaKxdu0a/fkCyc6kTLEYr0BvXT +nLpRbHgVwttD/B3y96lWh5rmsRxQJQqNhjDYoyya5EaF4tHgo1GFnu3l1lQo0V3g +milqcRHJSV9wPbKxrhM4I5+sMtGeCuz/1lEhOrmdY0p4/OSmp124h+0KyUHG5bHH +q7uLobNE9cpOrA44vf+hkbarz4NArCTVWwT3tFzw2dGOsyX9IrYaRBjCm2AXY6fA +TcnzCtvS3WpqQU4qrCDoCn2Inum2GY8b4B3Awo1HKzpRc4pfQXg6NwIlOKIvGoOm +0CjDzW6xnHERfD9jNr5GKi4ebhIYJLr4YPso98DiyZD8e+hB0mqvIg0B+IU7jBuj +LcRXXV5REh83P9p+Trt/EfRK3buk5xP/BLUAGAlcFos9xSXBZfYNm4G9ttygsIGv +tAgib5BPoPcdtOanh1tDuLirEYP9NlzDKZUjlaITg4P1c78ETlIH7VYEp1rohwx+ +FD8fnfuNELPSYo2MpQktqQPs9OBy945aJ6ZC8Pbrs4YOa5VYTHAAbyNqcS0TCrHf +h/dseHpJMW66BiWfXJunS0WAfn2iRjgxSdlAXdbEOqkgv/MghjHaZlDQC+2YSxQH +qUmFvoP8L/4Uhb55svBmzrMNMTKw4ctO0OylAUkCmBtiJ0o/lOfX2JNWR3bmtFen +M38CQnXt3E474C9A0AlV6lVL2M5+iLikiRHvWM0aDbp4hRLPqie4CHkNkdX3RgIj +wZfcc2OISsJhMJSTNXqDzTfInvgSA4ZsHaNEEt21IOTIKgF8EvE2QSmohYFIgzOh +4wmVzgpH2wijtbYGdqIm2osa6aXEldJWwbxY6tykGHlstTZ+a6MxrHrGd7mWA1Pn +zcyDF/1XjLUrnqv1/yO9/KGCvNAvr5bzKfNbqp0tISTiTOwHiUVzrUBmhxcZwk0H +hWo/PWGcQYsbNBJCBQXcORI5JJQf3SIYuZUpITg4kUfzJTZrq0XefWAqH3Sl/OEd +1eOMroBmK5h9YU5sD365Wl5DdHi83RS/sueMMaZysHnkSSckLc3use6dD416irjG +LGOlnBryMePLgmBxf5E3JzW3yTgyAh/C9IwGUkk0bZvrd6J/lhq4wJO8RH2mlOdC +LAaXzRL9KN1uZ/+ETUbMtaEeD191wGP+n5eUpMJI2Ekasqby/h0BeiH8qjn5PZjI +uzmjI5nVw3O4XjIux9mia56ecWdw3Zfn78CnmVFpJqewK7SgePSRIhCP3i6rYRf7 +K6BrujqYHm/5HIHrdeXOnqUaSOyix3CvZ3WpdvVHArH1XmRoTQN6yXsVFJduiISA +ptq5EsB73ot03+5u7A3+PFGdWK1czBToPh8pmFeYe3Ngp8yFxwt7kb3S8BILs5ba +NOR/alAuRvety2vvhFKwUqbj9wiC85SggAZsqsPFuF5E9XTaCXAZlqKbnTqS3so5 +bt65e36I3QeOHqGqQdZFrQSAy+UM8cY4v6iNwFLkLSeIdFlmRV2jmQD0TTXBVP2Q +2yipLQL7S48tVzH8jJ1fXxpZB4CBBwBjYmpy/D6v1Og6TGzX7qp0ar1ffQfGWtwN +/3EfmDig+FqOgkLycrv/hyRjZyv7e9KhdhvDY9UdYwHbBeURQvXVE+H5rK1UkZIy +bgSA56H6L5Igwv1cUBhvsFEE9xX1GHPSScMAOMwmgW6qEfEVUu96hRHkRK3NgZ7/ +9bx4QwElZasgHjeWWkz2KBuu+aPxf/ACSarK3ll0P1f3UUq9EkqA4onD6gjaqFOn +ZssywTKoUfItNLg2VpOSYkH9PgiPqAzDk7Z3hkSTgFwgLnyfQ4VhvsjL1M7kmuzR +wKMo/WyPr0KcMuTfL7SBDaudjWZ6AeP0+Gur+qDvJx4DScOtS7WDDyE09yd14Mfe +cg7BZnMUsglMmr9dHL8n3iOurg4qZ6mB20pIoUAVAMVWmKobF++RH55cO6tm+GYF +OEwpL0jZJxoA7qY+m/T0MEyE6sewAVr09VwHJl9ID+u101tmUCacLkm2CjrR/yRG +uEkPyYwm2qUvZnQF0GoozvqWPfXu5mi9mgm9sjLVJhhE5am9evoU/4qU7pOfprjM +MF1EEZ8whhivE7Il7zqQqykq7EmnsnVmWVjI0S+onCS158x1P7F3EgQUYIGWbM5G +cUGn18Vpao6XO9xJgCZWasTewik2If1on7IHca7TsGMGeYOU/pELhy3NQ9jAF52I +5ukYK9OHXHt0Ibt2KUWgZ+uuJez97UCyDtpfOlkMCCaK56SBqUYdLWdGuJkIgj5S +d+0fVaEE+VSc/vH0gr17pqa5ymSkn4ajMPg0dEslTrgRvNUK8IRsmyjDLK2hAAps +bFtgZYhEHsCfvpgMwz0WgKElDqJuc6XXTKfGFtjjuRMIxtnvcHlLHg5Snx5q0WIV +QSpqdEKyqkoF3TllxRJRiHAlvK8RIab8lievBrRkGWwt/rJ3rya0ylxg1Z4ncUIA +KBO7JmGgOeNgS58A6i15ngMJK1jnYwI6hNSAovpDZSlZl7nzLddF98KQhLcu6hc9 +g9R2XhwjABSv24dslgcNaC2VYDYw04XvTrVNxfYZHE9aJV/7yAFfr49RpV23zfMB +BDAzoJtal/p7sw3ncWD05a8YomhFbjUzpZdgI0tfPQ5mtFyEemnlE5Zj/99DtKdf +y7qxqtbfK0hO60G8kc+1FC9mHv6CbpFV6dqYPk63iBjknGkIgcPRQ3axPHJDhl2u +W/5jyZUsNJ/E3T+K+VKzoco88HeNnLKud2Ylb+mIMQo75isQUAH7jbbiv6aYQ25/ +R2m2wQbCTwZ7pbsddgINy2Lk80UoJYZoFMcQ2k9j9NDXiGdo879nFTOWmRnxfWI4 +DmZfp5sUfEdjf3PuX5t3wL6Rj+ZKlfHaT6jnsc/EXOsrNoKh0h3kjGJWCoS72TtW +vEfD42BmmThmYLPEkUT8V+02Rqh4eejSsufyvdIEunoMDks4iLTVwyFrUVgwROKX +QdFH/ZkMmnuQH0Puo1qgDochSQ3lkx6peTHYtKozoSb+Db2SFz/AHXOGvYmLRI8N +MjhzbkdF6HKHZpLDrO6ONFONIkHXppT/FUnUM53XVeqcvmUtyX4SKhSU4NEy0pMa +wHKwumT+9vCPgy9vnyuC6DZHLEQy/KCzH+Jh0qb/rPHlrRpOx82Ps2fE15lMgzkQ +oF5dp6foGTnDxZMEcmSkNow3DSRMbkteLSje6Tjec3ZVATi8SCKN02BOgR8/Ki0U +Mo+8p3qtb36UFu0h83QPddS8LRTItEDtuxCxpCujubyRgqFJWjiro8vqje/uTA33 +MT5ebPd3n/diexWMHGtIyTSJDf9RMGyUh61PF4NkZaYkKFuOp9P+Te9IJHIDhjGM +U+oSm4CKgBF0KOIq/HqEv5gCY3rsxgtRoynuNll8dlq6ollRIIdzf+PJGsBMmD/K +spPMsdyAPle4x1lfhhcj0oABkRe6lu63V2k7r+h4HUVVDLH5NVFuW7ReSj3WIQkP +Lnwjdfj2skjT9QGn1TAJ30NTACCaywmBIRTz1JxVIJm+LDV6mRfS6KoHwRPoO/k8 +9fIoXCWFkKwXNR3+F6gzmEvn/jMaoErMEbARRce+rmxddHrN8JySJ7hMJNzQo3I6 +deewgkJAUjasOD5c9ClB0KYeIHeOKbM4dFP90r0SnYwFMYXfQqBUot/PThSwKNdy +yGQiqeGulC2d2ORHGtxRCzkdEk4GgyekR7q0lDyp4cw5ODG94wE/hQcmb/1k2HZm +D1nlyN7bWiYTUUdZR0MyZvHgkgYKXrsY9ApPcVwIEkLvWPNDCkDgkAQTKWAA/wiq +EBuRcdpTLYsCD9YKKb9MwY1xgfWruKRT2CcZtG3DVe5D5jEBh4wQDMtmXfJBTSN1 +l+h0o6VwWrEMx5VQdhLUv4Mn86xEwzSt1+CJY5taw33S+yFruhUWFLSW3xFjeK3s +hv5zv+utDBLJdJf6LMSPBn3Qz+Sn3a95gN1InNKY74JJ4YUlt8gnb6M7gxSZFFSv +peTjOtMWcfbDszzvuZHT/0HRjeL7X0ICzVFUgJoAZQU6R/9ZPAz2BLhNQNo44kYb +3dq2t4OxIuw6TgYekKK2VXFYURcHOp4+DXouqxFsHu/qRmd68EHb80Gli2FakS5R +UNvaQ3j64wUDRyO+QtPuTNqaW63en27zVDCTYE/GoO5IVWNRcxQ9sYkmgLZ9yZvX +GCxgiK9DxPCOE+y6GCHPtwt+Tc3mC7f8atfWlASHsHOvsYGvSx+nmEdYFYcq/LRY +jHTGWPqxN2n2iY3X07st2iTI1UTh8wH3pnMt80kINVFtNC3DTgirArau/O+oLCyk +cOx+vgescH6oiw1V/7a9rNwJcOx8Z6u8lG4I1chd9dJJe7tYOYH4DkJhQXLR1mX+ +TzORsi6C0sk6U07F8nmgeROwZ1LZ+BjXUkmIkat2/AKgxgnP47A7n0W2zRy569Sq +WscjOcIMp36rjoF3lf7W4z5AaG/d+W3U/tf0ii9YZafORgQMDbbzS6QF5idPrrGp +SiUsX3YU10bekPwPF7qbSTFIes2A3ETKYmTk5BCObjri5IqJ4HIaqrbo6LDMfH+a +fKws9K1mzXyD7iK1NLYWUHpW/X54vdvwsZLbV7jOyBfwhIYBRGQcVvxyOa0s26KR +XDdOdPZzLa1FKhba8ilaXHsfhZ7m9/zaBcbq7ZZDJFX1fj6HMVDP4uOZt2hnw4+6 +Pb3hyGiRiA9oBJvnqC9k4dh9FoqmmSbWSnmAWnaFxJbDLgECF+59CLqD9qbXdumI +9/pHfFdiEt38tMBP5QejibIiHlWpPF4kYVZSAj2AP5WFvBPdAJuIp1lBRdawQwaa +ubnbD6WcffV0YaXYHNeAtrV3YdJmfTShjk2mZuh5vtNNeA9Dp02/b2niczSh8I5A +xl7+4/j7eenUJp1tqk321CboOw8uan9XL16OVbAf6QOVQTtk2sN+L8euwUhZE7RD +rM0bn8FYNPNoADeftrjJjJI2975NLEngw8XA6Vtiy+ylIAMAC6rC20ajmw5H5ojt +fduHHO9xYUsaAS3HBpXnHdSYlmrR+V7dpA3gPXcb083YvhKZnhvjgvptKuMZhAwq +FJszBmmfufuvmW0na9hOqzeghU2OUKBk75e3wPfW2IHqj4zVdkOJYabNt3DPf4KE +T35ofqtgGdFoAOnAdghWpA1HQIY8cIEa00lYtLxdoKs7LWCXk35AiWiqAlkTZ1vV +jmUr1EaWsQbqiDYxMhCtLHap+k2KTdtLpvS5bu6hCuCnRhl9UtTKNGZtyxBm56ox +2LppIaQ2hiJMwI9RTmoWTi5HJGZeamWG/iPDP+jHzHejsyxY0FIdo7lNsLD20dbw +pdimyzcmGOSh1xFwegM9HMhY6Z/mau9W0aIbqQjPOU3JJxoVsahgVtnMn4wjyGQy +nSTpXxW5O2l2jzv7WoRrHaJyTLCdtIeLUKtOuxMQtP/97NrxnT7nQ7/Wq0Jr0782 +wV0uTnDHYuk0ATL2zJnhOgJQWgikUDgb/b2LTX5e/3Sm/8J2Tut9KESaEgx5cn9H ++/tgYbi3r8HyjrWKJhRdBx78zQAZJh8gOyA+Gb3uLvplhb80I47b4ud8jNsJnAql +VzUmbQEWKBjKbfQLmOMmVXL8hfM3ryz8TFqDV006XGhCGQHGsPEBDx+WJ57rNnRv +YWsj6kcTsAbuFbX/5QdTLUl5La4U+ilDRUtOF+TjP0X/JhfKmu3RrSVstUF3efQ4 +3E+whDKE6MQnzlDSH3YIA31h7bmf5Rn9ZR7nz/+uks5espToySGN5x66jdc5ouFl +TNdNFqu/b9e2AwtC250MWk4uOZed4VqyXLC1T8uQ6MvXP8LVnzAluTMPQL59q8eV +/P7pncBacYbG49ijrqOq4OoTATraB+Z0VK6CxhLr+KO2kK8EngjP+RE10YyJc9oM +sKr0k2cGzdDriSwXIG5YlgKRVhFUKgUtlw7TxkbWkzNx1PrNEq/6+hF1v5O0ShKE +DjS7mw0oZnxdKVbvZvCyPeIQjeTsc5J58AE+9Dvv+V080jY/XSWe6NNjdMfZFyNT +STL+O1VmFUxuC/91ZAhVXkjhtfAFRbH7gox+DaXjfLC40zC40LAIOa/9E/DxTan2 +EF8MSGpMerDyEICqDnxo9bMzgjIQG4tL7Q1YAfDkw8Wg40xOMz5Mh5RZSurVEJ5E +ZQbvthzETFcLFwRP/HARYN9YSdBJawnRfioF8swV+3YxeE2vxPm56d8XYlWQ3fA3 +ezSIVwUJ16QeZ1Je/5esWrNoRhBD2n/nO6qi3VzPmCJudfigb/T9Qg/4iA+Wan4a +cUdYY8SyxvV1Qd5TaKJ0/1s4AdibxGk/8wdbstRrVK2vyaQ4aXszvumfS6xpBkFq +E+vu7dlzbT1OeAR8rAhU8Zcp51WeNi9V8JL+NRjaE4EhUDzjC/aELi5ZndkhZOUd +GJgnOGdSCw5lEn8WOynbi74Q257ZEPZ1Ics9MUH/6DoJ4H4fNBKP+D0XsMJy6jSe +jjdATGeu4OvjrsTqANAn6/EU+cJNQbaz8NbPRwbPqIBdL4DJ2UKqQCsSJd9ZVT+Z +25v/mEeeekJxO/Q+3Yj7Wd0/trNJ2WcyDBcBvBINiwNQSShEHFfOSQxEhGUUKfvz +DHavTxGTR8J3zJ8rHgakl3VxaktMjGlfBia+Nupba+e1KDbC+vjK0Y8vNYQjf6Qn +hoEM9ax7AzcePzC3LI10hrHaNTdwg+IuI+a5XzyqtHwuPZ3KLom6pr4GYhch5khe +r+e5miOWwo8Xdo7GdJwB5vueKSZNXUFqssO1yq/EjeFwXNBJv0pR4M9BfkcPAKjC +oanexnJdtxNwzB6K+CA2eNx//q/b67+Do3OxqNnsFFDPNSkE/IEHJpoYWipWKuIt +wFwkOtE25jBsfKQo2+VRbEV1i0nQeFGtJu5i3cTuDrF316JyfWzXi0wVN7CHdloE +Dx7Rd8LOmeNZq0LOTPwUjjaVN6D/01a1jX21aORg3dVIn1qSHQZnhRCL7yL4oGNw +IyJese6zw0AGyFWhbxlyFoRvStViYy/C7rxNyiJc52aaUGOJW+FdSUKkd/JVH200 +RzHz7gv406/QJhIHbIBAhNYb+j2hSbMrdDh1/X9I7Qe67ZR4s4W6R02EqW3P7+gq +gKxbWYg2Sg+qsLN5BXpuL8HfFGPFXWlJaBPkBgkwNzeSxXgEWd0RS50et1NoAwp3 +FVMDQPohE/Mc2oJGeowfyZz+V0fuQkIcmn+A4sRALVOi4ibdpD8ggovHvgOLsUsb +SiwxKGZ2h9SRl5Oju4gqzos/3gU0fadTbj+oXzESriJZctKCMFlUKVLNZQh5o+f0 +yYQDLzwY9SlK5OvgM1JVcRKh4wL4AExKSGvqZXzDLEfeZSogmvCRakwTm3kfOas4 +xGofk+t6e4N83q9cZWtZ38H6lIUx7FLHFjA2KmZRvkybs4vwkHMESR7fV30Ur9eY ++gfzkuKDzeIc5Yfaq4xAUyW2uyKZD8hA3oPPi7dAj7AcHOiXb5a6uoR8+EKr9z0j +Pnfjm0yNFWC+V4J3tzDMivZ+haCrxc7FXCIaPoG+XJufOLjt2MGCf2e7dtWg+lG3 +xTv3TbEEu7GcJdnu1HHTk7A3xiTue7VBy4pMYWx1qtdvVZ2yTqKDszWHJbtEPDaH +/Xt15yMXhe+w3PTJGtXSNWRWSV4Xnn9hPz+CXsE9lI8J4qlS4A8bvZvih6pOyWc8 +7lJ5qDGL3ayoavHd3G8FjH70gKOgtjnos7NiDbijb1HptNzsg49evd4clZ53769+ ++j4saVL1QDJgQ9O7FTA3cWgKmIdcHNxvu7GCFqmJnEcdTU7nuXP1zZ2kzwJ7LadI +ejDngl90cq3uyCPVSWTeqz+NFzFrpCy2hVPlP+aju7zb4KohY32k/hzggFjloj1/ +Mm9/dSNpYveGH1RiDA3rzPmJngHMDmYuPbW6kmfaldUIsyjqX8iHGrR2412XJ+om +pVgPeZqS4X0LoYrg4Fo3MTHQbORuQDzm/gmfBpPJwC8eGIJNWn7IBquiR5nWIlyP +P9Bouv98xOK+jcI7qd53WNBe761LiStKQdptSJ0yIYIvRQZoC9ugvyOjVJHPN904 +pMFoSHvwjmtHsWjbimDmXPkE2xZDdixijyKHLqcSh8N+Y9RCL2Ds1lZ5tyi5Na9f +LqMlXA3hGYIiEpcyu4VNe/CkJrBmW9w2Ar36YRLostaNpQkUFHpFEakm8C2P1I8j +PdB7brX20svKOm7BnIQSCZ3tI6LnKh05FHjl5UHh7z0rJoqqlWfTHs68LU/RjLx+ +1OC81L4wKgtrDrQ1Vyg2uwP3RWrL/MZ8ctCrEgROK4UkJ9tNKLb8GBN3VzpSMFf3 +DR5UEy4sQ9LN99MIrfR/yEPdV2YpvlTFFAUkEqn5r3Lug7O6OZN6nVOKNb21U891 +WiiwftSCa6yl1LD0AHSLsATct37l2IQjWCe9VqnsX3NqiUMDToTjoQQaSnmqp8Eg +toR7x4+2cjg30TgCSAvIRsE6RwQV1msR9tIVaUlaQ8kqNyi78vD6P+aAaNpvvsX6 +dvmJFAHEkahpqEw+W1igSCz3bl+lBGFjGJvqtQ4PNPTK7JjDM+EYrj9/8xjQxOFZ +Skg52BfdCei0+ppK4o9MOTd6a9ttIcLgsRTQ6lxbwozfWTYK/OhJKCTtUtaTZC+t +kYWY6S+saygSqlnI96Nt3Iw6/pdJL0f0G8H1eba9rl3DcTUbid6BRUwDEVY7rGnS +svpcwPKzi8Q67Cfii889aRJJg1QZlcRQDxveOm+XoHsTWN0QFaaQn7GTfvMjgKSd +tGFd4v/PMOG7eLi2/6B+BNY/0QM2ppf+jJ7kicBI7tmCQgubyDtIsBkXs5iKmZv7 +Pzvyne/Ku4aCZ3Qq21U6BDGEi59j/+4fH5wgFWjTih+78JNKME4cRsmn6FG1xnjz +/d+v7mQZDSbodrLAgrzIVIEp1bybQ7ApadC2v9BzcI8tiiNzjQvxhzdzh3qEM3Qq +odH6TT259hYwCfz6T6gBfjLvkZd6uM8y+FR1431tiVGpzRV5bXSmDo48vIFwX96V +F0iGdFkcQs+BL6FwTjM65MXR6ItfHwjaT5rJYuQq8Ve/V6X3ozHH690mKTXPw5eN +CFHuXA+y1PCCN4E3E3vBYU91ctqcPmpJWiOqNaebcSqJp1WRNo6lQ9p2G7g63ucY +CqpnIjqCahrdzKuuU0hxVI4nKIhNDFZJkmqj6my+hZ6Rfo+4K728MdbZ0h6/P5bY +ysLcWwz3Pg2MYuf3LmUL9JzQ0SylIpO23YYshI872otHC7H3pDhGj7x+L6OZP8jc +VftHTXtF8AFeFx5asugLOw4L+p/902zFse1zJ5e3HIF/+stCgHHa4R/jY7mFqimT +t9V/97YgY7+bfR/mO13yNgHqcwhiibT+fDh+5NxsQpwDFb82OgrM7UY0gkIE8i3e +kDMfcHSh+mlN42NnqtOhuidQKc/s9dUD6PiqWq860ShPDLhCKxxUaNf2U5zoh0tY +aCmPknyDjPmZVaQUG8fXAB8gaW6ey5tLjap9we6AocsipL/lui4l7plzupZXX0jE +rR4np2di2habFdebaEEeXvPd99A38dGTCIbGwnQBicXYbXEpdqtx8VusDvQ+w2EK +BYhF/dCfHPTDFnZyCRtJv8sGDS6AwmFy6ojceP+EA6C8FkEj+228Bxke6Jra8OWA +q4V/udgBkrGztUM991oxDRBOKD/ihRkkYwnb2q2F6UmC2fka1E5+z+3EG/3Ezk/d +7y9fCaYV7eVqBeDRlZ+qBzS0Ie0Rkljd03zxdgPuaGogiW7qRBDaKKAcW3cp29Q5 +p98VBkaDV10MZkLtalPB9S9LpmG0c0uEO7GIqQBuI1bTBE47PkvqwYZQtZYyutFp +9ssFBxGVAsqHRAvQEGEIdAH3UtWFERLaeUH4CatNpvH8xPbBJcBTPPRRN9Zkg56l +d5AyuNIf/lA6Zc/0dAT4IGzbk5/kS4PRUsGqeh+13RluahWFJ4t7pY8u4wdmi7NP +JLFg4nyYtDL2lImE/FSgIVJZHWPTdY20p86IW6yoKLMOgpAZQmt5bUA4ZbhLXua0 +lHTO6RGXY/G7OZndGsRJ1P4LPQabJQNCZbc4CiU9op3d2ZJcz/nPq07vS49qvfc8 +Bt4MKSM08TXiu56bVzVuo7U9nW7CYFIbi7FSD+l8R3LtmHrt+SgEtmPTQ407aoOC +d3ZIvXV11OBQvw35Q2oZVvYNgTEBZpH83AicqxxDAJjhUPQrifQbCa33EP77zu/9 +wF2p6fPswoWf+faPXUurcRLkhJ0iD/vdiYEJWKGCJacwS5SVpwamBSC8Xa8/0+zP +gc8ozROsFTjbW6DJnTpsyUn5ZPOUFhdFKaXSoaLR0zMszBfiYV+XzqqDSipRCTCw +rS0Jxp6wmQhODQCd69EeuobjCYuO67OocK8orFhRgLZNN8HW9yVEz8Kp8dni9sGT +Fa6aWQmwsxBfGlmei/5ueXV6JxZ7F05p5X2SEngwVR583IbxQLdq8IYUhYuJsi7Z +SC3gM5tYcpFuBkRucowTTfySzBDPnKrx1V+J8/VgexIUYbEVPx00665wWWsdGh4e +NX3DnNc/gf3PeTBulMTrQPhiYtyO6nDKnWGniupvij8BokKvSiPgaw053rX7LaIv +01o1evLYiRcA7nvf1IhvQl59/Sm/H/7M+SVhXOEsfaO+6HN3tmYyC098P/SMaYY9 +kC6/IiRbo8fef0y/WDw1dc0C52ZM4bXP3JR6JkFBRvQ614caRrMUK7zbWDJKjmKt +OTYNhpqXjITOGaJZon6zy/bqXLTmcJQ7jnD1YAvN93WCFxqbOzby/xoj3AbJhRg3 +QjgoX3nVdSNSBLD6anoVWb4MarLILPpdapEgRnbyDkd2hJOGEJaVfOzd77UX5nG5 +RU4pAcSuaHmTDNKE5CUT89AbXLHcEmnGD4MzfiPjipQJVoxSqonxjwZ+jx0ZfCzI +Nu1smsLHAEP5Aszh6EvANJKBWM9ODFj1o+qP2yjld44p+W2ZndmmeFabJDokefLs +sArNcjqmHmO5eDYDXfVJoARnISVqW+PumUbJ1Arfa99J227IC0d6eHpg5ZwXY7vg +BOkJAImDVbK822jiQm/Pyvb+iJypoBp+aoj9BwgPD3/oq/rTlObpnJWAzXufJoSj +udbYFU7WfU/7DsHBp3JUZidmsCzpkWir8uQUztoSWekUcz3Un/auwfPlIQCJwqEj +80DsBubE791VafPEwaudOXhh9VSBZ13fdHgSYpu8BRI/CAIY173O/Iy+0zgLbalh +YU90fZmSzcTQ5UglKWtATjR+Bfz8WDwA2aKz3Vldlv1qNFAzat/+eNKlSIxjCLTU +mBPcrs1NBQHjGI6kuBqZYV4eUI+8YYrXBg/K2KB64rAtmYBqizmRGPsjpR8Nqdu/ +eac7GikKZm5yDXBgIlNwc9eSWWV2I9P9YU9gsm3H6dvfu5lKgiI3+0MqlxaQ+edJ +TYfDjohQRA0FLfG5xHQ5RmDqMDDG1COXP0BSxf33hJc//rTMH7lOiGohHtEsA2ry +L8DUJhFRNQcOTukpDjcLXp9kewl9NDN32PqlA38+F0Eh6w1pAeCufwwNPD8XWOCj +DgM02gvXfkpjTkM8jpi8TnGf73Qx5RFvcKi3kC8vKJNU+DQFHJQ5RLf8SySP1SOK +t2wr/ss9k9elx3JtvVzWzBk75mYgd/U5zaDZJIWy4+V11YFrgTshlVT5HxUO05A/ +5WTXZPpbc14sSqM+7R4gtvtqXQ/2JQ3A4FrZT46cTo+Hwg2kB1w4weeBG6S9GSwr +OLtEUCJq98vtqrwAg+RbWqrPuTX5yGABjt9RfNmn8FCindXhw0oU32gfqJDbKbHr +Qqa8Iuhe6EwSnln61gBt5KmgwcrhDaZ9XeJWNwOmKWT5YvWbe9GcWbB+M632tdb4 +abGGALMZ9BSZz8YJRotcb5f+lWov0vpnH8PM7qd4pRdL40QL75E9E4ICPGPV542E +r+jT3mkGk+a/91Kx66xucDIazMp9EJHswXGWJJPI8OR1McUGmYrnbJaURx3Z2D+D +NSgQxCgMrGOqGHrlBaie2PkWxsRZqWfiUymCL/EM/cUVYm1fwSYbpPOWrnntvVG1 +yaMnEg4LS4zL49fn5EoGvJow2SMzupO5X7UOJhszZYIp7TgQJi3i4Y55/jhRV/f8 +FzmqNqu5D3EOLzztjYsRg3ixcTBAuZ3m7E1dSe0yNGzVApHJef2P4IiHSlXLEgaK +QXTDdEG9MgxlqOyZpqIJzTz09Llr8Rqu9KDvuVIar7id+yEV1TJ+q6zz6FWi31Gd +0Sl9b+eQnkYNICD+UPOzLuv8qYAEqbMx3ZZMvaNKzB61QkX3gWdXFLT7TQDLcl2H +0ygs+cd2hc7vCH+X+EP+kO6l6tLp+nPKT/zvfEQ7H5QTT6AywlKvpYZXKkE6xiA/ +sTKxcDWFTnxCR5rOYXpe27XS1tg+H2rr1AvkX13Vc8jxf3INGxIGsrZ6RZDDbtxU +jheUc0v+peRECNvHu31Bmf3LEPe3yMGZQ+595JV7TypTR8nMA+tazhX25apjGBiA +agPfJDNhH2XBXnqDUJ+r9/iQMr8TouYMN5ARZzXY0eoQ29qIdLgQ8dInRgcjAMU9 +1c/iPIZOkiiHuwZTLEVfhaUbzj/Kf7XnWMb/OdvKibvw8wTJMQik6sPRyxpb9MNm +Q4u7yqIkgRf/2AQErFmoOwTcuo8C4dtHanWmmpQFpziygnj+ashqxRz/foORhLzw +EU1vzij77xcZgWDqi6oqQmxSy3lfDTXw7vOKb1Ub4+6j9GfTvymy1U/tjsvXSOLR +Ghd607tCE/WPlts/+5pNTmP71tpA4oEUsLFuNAiTcA7+rnXSICtwmAiaN9vR/5u8 ++b9crsz2ap0XJqiKBn3GkKCqFT7pgkOMrqLkstnNPBqB3cqxtdwW3MgjIS0TEXI+ +9GrsU9GhClgLM+pMCdH5M+SgNHirzAJjsDiU03nDTu/nL+9ATgbauCPAvUFY7axF +G6QdyuTb+pF7D0YVusmi+VB5m1rQyIDousd88mNRhV9UolLJBE23bUrH23uTttul +zY7cI+7AUsh+McYe4HoFz1PwPh2+vEtBvfXQcFngrKXzqTOrl3sHQmfvH4na+O2q +o6sQGZ1ABX/f9PSNOghQJEPaSclwyXEbdCHEyM18RqkUd301q1fGRoFABHWum162 +tBWc5/FtZnGqC9AUsU/Cb0jFzvE0DaLY8nowBB6m8CadGhZDpfcdnBI+mtmg29tL +3C0cSudiAWIDDG/3F15Be//nOR+ajUroyipuggy4aAN1b26avWUh31QKSuvgm7P4 +b+zrfrsEd/hm7ypBWyDX88ws217hze9Moo3JUwZ5kahzYpN0x7Ukjy18s+Dnnqhh +cif2g2Kb/ctoahpUxvQ75J25VN2Z+X+OnJzW1NTn/APy0XT9AkKuWZKOokMe7QS+ +aBvxffP5BH5pO1e5leq7N2IdOxijUM1p+i9NMLOE++VTI21AZASqfbsYZxMJa8nE +qJNXFbuk/OVZTgmRJBYKRBMbHvwQAvSWeeO0/nQztssKPOnG0hVVX8RWVa8nLs4o +8KaeiFrYOgZWPa2vT9kyPNTR11D13smf+wUSeQtwxnMAem5w5ziftoJgl6taSpld +FE/1kEGXz/LO9VC0Ynk3jWU+NGbetBmnqF5VscIcvlQFL26LaFrX3zUZ7dVEgpnv +OWqS2nmR/48zPKM1UANNh1FYWMDLbz/I9OQrYIUbp9Z+6Qt63bLRmFGmzUcuI9Eg +kn4WUPjgqVudIWLKImSdO1FjjwpIeBqltZGFfruBGDu2AHYhiCnXCcO8SoewMY69 +H/SL2/SC+8navaI+PcZZkzqhSd0xDaeMgDjM85aaUpxR07AJfj72/xhMM0/XyaVk +h/qmLiwu6AAXzROSXLISg6DNugMcXb7Wc+LtsQ9jhXUPs9tlp/tiB2yUnbW6NEhG +kDibVsblA6PXZbcbYOYSvf5rOVOxbhtVq9p6vabEij8U+c+eJbiihLgr8Ae6dgI+ +O3AdoNqMBguR+6fhk5BrTdWx+N7mQcxxnfkMixjSvFRE9tnlUXaNS2MoKwdQ1UmX +v6xs4CfdH05drdZvBgrLNmYMuN8Z9wfzJJDSjMzin2ipraENw5WrBjakAeVXlwlN +BebAIvRLnMfHG3gAZSdDhGsGgqatoBnkdcLMSAWrZVV2g3ETaRvtdUNHgxSOjgWF +qDdBKjPO8kIh3i/eUB4efRN46AGeBJgjHSMSPkmv8W4m6+7Ls5k2r91j+QyaL5Bf +prIoDhFEYpYYYVqqa8Bx9X3gpq6TsJ2GqtJBzKA84Czv3wONYwjDjObiBj0fbSKN +kPi2akkuhqCE+xYZnEuRWy7osICmGm1v6OPRfVPSPkhgb7cMAjCPLV7Njopc4nzx +X8yiRWYAOeIVgttCXncHYsPXmQEn7XMf3oXIVvFAoHFwxCsntZe5Qdnd1bC+ElMx +G1qNcLICo0TFsj5ZwDEMSVo0x9GHz/DbckfVRWpf0TNojGfnvrjwFvwJ9dPsuQ08 +ZqWU0BnqE2VCavRQdCvcLao4G0Tk0WQeBcdTbTwqAa0akawIC46Pb3OhqGwYtd76 +qJrrh3n73+Og1MTUwIqYbSCPzcrDyXRHvyU/zsVM+EF8MCnBMYhR3CnDKBbL2cPQ +r5PStYYfgGbIr1qXoAIYqBM7j4e+vU29BCHob9bbJBNjXGRIiNp+kiUR4kVPvL3t +96FufF7Lpa4gxl3Wt+JbEq5qF05HF6aoSy7nnpu5fTJYinJtAmn4MUY7KUvTpw7v +GrtA0RsHDDXaQF8kMCwTWRtVFDitzIy55jgmF0yr2u2r8rFz+yQCGHaUum6vmVwp +8kOuNphLiY2gA/1a3FH2VtCu1wp+r0f6nX2yuRUEoCYAsdFKy6XgOFbFofk5Cb8O +7ZNmJNwMMjjbJkk/6tYLiIeV7PJjAbKcrGhSo2BPUSA/XbHw5FzNtzIB+b/9pSWQ +cU7KCJc1HwWikhw3/rZdZl8j7dMSxl4HQUQ+wRvC2bMbb4oJicRqTXr1KnpQz5i/ +/VfBpvrbZjas+UwU0pAElCwOLpjweWs0JYhk9csm5j50dt02SOmtvZZZYIQizXLj +rUZnIEy8Fmxu0S4F8YcqlbqcoWpIcOD1jPDPuXK2QnIP+4bndlGnHcn7JQgzBAKg +gvmjQME+EWnx3CfnxGYyKByUW1+DqHtequrJDgvmIb45g+m+gmRc4CDnz1FFwkGf +tvkLe4UTBjLNRETdxjo4B9oNPXnw32JjOK3arwt5rTE0q2sP+Rt5w6iIuG8OWtS4 +RBcCW9VsDonAbOYHK4pF5K9JzamppEyjn72Mef2YmoYgh/c3NsP6fjD6mMP3tmAu +0pK1k9ufqR3N2ST3HBdJANpAPEUGJBMwFoA1cPMbYZByBjvUNgjiFIZXAhQs59aT +8hdXDmpUMILRT9o9wlcM+O/zpWQBp36hx3EGr1g94Ya7eQYm2g5vnicMfXmxd1Uw +mXPn6OdzlLA2H4vHqyBhU1H9kX3GYPskTTnz7wzxfZuLVo2+Mfa/hyAy4chxE0dd +gFwLkvtgiKQCV95VrXd6px0rnMamx2Rxw6N37aNHziAPGo2DxcwmLYcvXIgG5COk +pYrvIwCaR3glancyBLeHs7JbqfXnQlrIXMvj+zXB/4O0JjTJzcOiXiHVFnZlGQeZ +XoHoygQTdInhJWJKkHUr2+6DEJccKTx/yh2hCs7xMn2gvfZlUu3WLyq89sSE5X+4 ++HRk9Ju/+yFJxcHmAv+JnNjHf57CR3TMGZsSaJevjdq8PTtg6cBazY8DOEJBxLTM +01y9SbY9H66EmGNA/KuLhzy158gZap6ikTKuPoV7hb4RkvOfIx5MUWpUlc5K029h +z9/AjrITtRoU4ofAIT4igY9+oY2JL9bD31YBU1KDaPrEZ6dRKZKoR5ziKJ3+bu00 +gbzAeczCtIY20vt0Yq0L8th7+4aLiD2adoVIc28cnrpVoNTgM8Hi3XiGm76arT0B +CqrdHnzNoBZx9X+Q4svf7TQjYQB4uhbVuD7hHAsr+CDdQND4T/Y+q2Hq7fZD23Vd +WmjCT0IQjHiSujjARVjlE6vvSFR7IV+7YYu6Vjth5GTUL/7x3qPY0q0v7LlQpVSp +5MR/oKrKI1WMukwB5pGAdLPtyEGBXfAM9ogknL2wK56fdy+eHHGLh/+brIhLt4p8 +sK77gbII/qPP9F0sdppWrpq3kfzFFfeuJQ4QXyYH6h74r5+73TQagePO+CsDxq/v +XhKiFo60kz+rPstxzWZ+j/7eLGlnfQgbQvbFJ2CtL4Ly7WedrF9HcHOUnZLtt/4n +U0is0eBxyisNAa131/giNsnC8EEcF9nJQlEta9ZcwffRAD6Q+k78C9vp07e2r4Ta +d25Mg0613HQ5b+/rSlXVWiZFnH5F8Ph8GsTLUOBlcjbpv1uwnbH6WV2XV0ZNWsW1 +e9lfsEEevu26GiuwygnObbG4KzLIsxjvUsNE95KvlQXuqzT9Vx1y2Htpe7/lZni2 +4Rr9Ml+zgX3Xw1d0NJHBG5Mq2DzM+JSw82xpXqfkF0fVMuCaIhJzR/qpr2xQILFC +imxIc35f9sVXz0zClfC8o4wVzST8QU7YxWQP10LbUPpdUWwTSZw2v7p8BYPlWpPs +TUxUxa4dpkuESS0aVDr7VAm6lD7hbBsy0gHrpY8b8X1khyJNanfqqRhwcYBgslLb +VKFw5t+7tem3pSXbErvvZYUOlzPGamN1qLvaFJemHjg4SUfuwvcbDttvoIrk5irc +Lo3BArzste+aIue2wlLbXmv1zk0wZh9isVMMfrhtxdb7+H/E63HjjD6+4vNt5pTT +CU/DUfsPYCuNwOTg4OsKfDH0Zcp2cL14wEJTOzjrdYsG79OBu1e2hExFRZY5Zge2 +ceSby2mCkGBpYx2pWAgSg+x4IJbwpg1FnhAOVci1rCnVkje+R4Apt9++jSGA0/QM +xDBK0am62ZONipgRSUpxeFq5neP1ACS0e5Hyf3s7PJzFU1/1o8gw9SVBPngQCZ3I +IUoQpqCc0DSVWL7mivD9V7wV6mHXaybl/RUAVOSjGT4ZP5sTXqRDfzGGf9cHzr0C +PKh3gIBM4iE9UHGSmycigDYSZk+RcxKE6ba55/OuOBZFnGxCVQSIn7YKnnSWonUh +/CBKl8ybGeG7CW9l8MD8bW3o1+8LamXWi71rsR9D4naVFt81b8X9VXDPK1r4G0Au +nBdIGB0IlOAIAR2bVDgoo4UyjJ0AAANhXLRqyFTLEy3Jfou+von/OslZpY/J2uu4 +MRO0/V9H+hvxZMgfeYiG58yhxxcel/gylTzlzhPGhLgcvot4Ehm3JpVvWJy7VgSA +r2kCLCN6IXMktbVvGRi+dzszA4UNG7HHlulGNYeF/a1FhwlHhRwqn/NCinzHA8iJ +scAvO17negkI9gvQBFwNdOmAGESJmb3f/nNygun+t0aynHKyM95qnXzfy/d1uRpj +b499E9pTNDueGckGK1iwaq8H/BwKdKQHhydrOxNYti3KLozXNRFq0XgIfGSq3wSe +pYNiRg6JOKz8g5UqU68Q6aX7IYYJdg2KgkFzPT7wytyF17IlOK+W618LsHBH/Nne +8JsRpP0+NbT6gNBbNH6E+uk6nffWl8oeGbxBqX1h+Kt5IDhs7ly3+aksg7UQH0Yj +NfDkm1NbJeYv4l7lBi9MrFwo+arxJTak/zNU2MJ1o5YwO6xn2hhk8T2Hz1JboYi0 +Izo6+MMm9BA+0Z8FSA6BLGD/m4YegymqnN1EG+E9k+ymP2m1mk2SstaamFoh2pwV +tGMWqRUTDGBcqEj1YnJodR02bohozVcBbO4dlRNvMaUQlvwmqI9P/LLPZotDIbL/ +gLppenMf3CeimgVkbcFw8pTUGIxJdxNs0/y1vy1wbk1DCEkFxLd/tNvF8jOlwydu +jBPbny4pSRBnR9qTRS7PD5AaYag/+DRTN48Pgpz7Y78Tp3Pl+Hd4JGBSquBymKRK +S5R67KdH1aCekSGMZA/KFdPc2CQprwDyuooRMZDnhp7c9xCII3iKePW83tmofeZk +ew/jpz1X+j3Gt3IjQ0cE2GqjlGSLC5l4RvDp9aRALyZP0aFX9pmTX1mNkoKPxtT5 +fsHGeuA9jk4VnaZeqx/p2DUc6u5+TGtapTKi8iFmSDmHwmvw2lW6xOnLFHTZriqj +EzH5/XpFKnZ0rxQ2hgROonA3TZXm+dtq9w79HwR5DxZQdxN+2L+liVui2L5nga7x +t4AUyNiOKVUP8HX0+YTgxv1D6xVZnQrHMlD/ncWpSzBjDdFkKMfuNjGHnmKRW+KI +wzmST6bHL7pmjGWUAuMLtfUv0ip0HSR5tRxyq2EKqqHcDdwB9McWNP04t7yJ9NfV +kOUWvPgd5L5wxbKHzWRQZa3UTCQq1jlwW7ExyLSJA1x58om4DL9eF5gdPXYhatSX +qoc19vEFdCFQYzXdKPJlhhCttZvukKrX7e4IgXN63JMR39KBKpGZm8HpgLqtfjb3 +VA7Y/BhiwWYc1R9T+tQuIa9rkX2ASahJ+/DpKCXiAQvLHWS9AmPAj3aYwoylHTqe +flj+DpzVibs5Ck7/UG9EZj2ycbBPl7DbVYj0vr9JawoI6RjFAfM0yfC2FrAaeGYZ ++7ToUKha+uXsXl/bIDbcvdpMJxWml2Rev9jpa2DUn/Tj0hXHcMLkKQ7GW2IYkMez +LxGWkEPJXeJMEc6vDjleEq1bnJxIrSucEMzkQo6mhf1BCsJ/q/98yNzRL9QnCTNR +B2oNnXYGjjMY5ihIdGuWI8FYuXKIFhqFGLC2ZqW2qBYDxl4YHWu6H9ELr9ffYreY +m8QHb1icSPz/4gI1KYSSgYaL5Lwm7O0NTLywDyMVPI2gdrnffoZ+cM3HufhTKXvD +i3xpwvttSmr8p2LWiR+c4Ifb5T+uE98kHZ35kb59N7G+jIjwcVy9eYpoMzkSe2PK +V/2jfAWAftWBdABSl3nMser1ThCjuKUdhe/oEdTPh32yIltGC4hWIMwVy07hNgnO +Ypky4rp/NQcnPXI9AImGru0edl0/FXnSCVVZjfdDrJ5FIPOaoP6Aiu6IljdM1mzL +ApUyVMFFjbz4kZCNEMmuvKzi5nY7d0wpDkb+FPZRkcDTpdclZC2WEINILVhOCuys +nfbymvzeGNApYwqbYQwP+GqQxgyTiW3AMSOacidtddlnNy32UzhGN2JcQetj0j2W +D7CF6Vm6duOXApj2LjyZ7XgIksxD/h5EmbEHHe7jPXE2GRBKnvlrh612psA6keO4 +PT4e1RGU/mhJsOzFTgIJTBmzQ0XoO6LzE58LHo9mrxpUHe2Oqjo++ozr5qqig2y2 +pLvUtZgtiZvZdZrrpXE/TpUeKfbzlfovoOWaCrngFcFf84AmxfHwdKyo4Zmezw0x +JPVqRbc2wqkPkyamS/V59lQELskFRPzeWuuP8omPriFaBk2GxSY8K3IWxj1vIlFp +tJ1hTt71GtiSeZUwk7+c+4wTUZmPx3gjZewHj5JxgNX8EG19zdKDXCcfEMUqpPlE +8UQTPF2YVYkMIRaoOLMhAQa3VraeMzN924e09BL+AGTYUBpYlop7JySye2rQQTW4 +5lP58B665Ztlx1w3ArZo57r6qJwMDVtszJ9+9qssh6j4STG6+oaTsN78BAUtT7Jp +TlkFpAewSa+TZ3tw30+dYiwlRI5VBPSFIeRINZvwCgS05AlJWM44G7j8FlCQG8DW +OsPCvtlUkEJRR4oAP+iRUy6HLw3AyAt3h/QUoj3RW/luWSIXsSnHbb8zdBSmNx7V +5/9q3O7Olz1TvFnQYpYVu0QUI7Q7wkxEaDke6+Pz4pS+VeKIuVmQhCh9AbXnsGBC +EeXbA9cnVMTmo1lnh3Ce6lkgy5qYG79XsPGHQmWgus6yySI324+nNA2ECvr+Gn4x +Ao3grfknOsWd69E2NOglFmM9xQmnYU0YMLYGx9uOThbcFKiL33E9c4JaGxcOJ7K9 +jdCJOVdbsyrADsPZ9gGjMTFlr7DkABGWkna4Al+dn2nrtOvyXJmbU+ZL8UAJgR1K +JqWUl/F48Y7or7rgM3VbxA8nkqCcEWQj83siYvX+LOGpYO5ZQHq9+i7bTGWLjgMq +w1xA3twFTTd9MCnZASsyj4Esf0fztHnVxexgbkk+fZMyMJLg8nxgONZMmzdaHbgG +wpPRzpMqxnaCxRf9TQ45oUAg3tb9KUJ2aFmfvneC+OqB1TRfmJsIsMz6GM02rLMS +HV5L1r4QtYix3P50GdHe8WsY9Zjqm2e1mWnw6BqWiHY99SKyWTLVj9WSvpL9jBA7 +bSCnlCPpWpdAuB7BfMZ0so9z8CvN8VjxsMHzyWGNVfXLX5B4zoV2MlLB1wi0WJ3v +h1K0ziGpIjpqI4PZhY8Yus2H567KHYN0EnpDZE0e46I4N4K04mEOM91e3ts9/SRi +Ypq7WpcMykQFATdy0SfATnQCRpkf4sEtwED91t3Fu4pAcHu3Ly/bqO9fMmZbDNwo +9ioWZDNIMKF4g/mC1eoimUDf0PrnzmXwGtV53whlPP4kWVMxoa7F12EiOyS5SVQU +mkawA4x2Xk3TvdQMs4xGjJmXcXhr7WUo3e1YrndF8jZeipUcjDq28gVRD8W6RgeN +NDQtDyUR6XhvS1Zqsm0OFip8xPOLOMunn5O6vbEh82b6AENCoBPfhF1YuDrqwdVN +WKRL9l9UC4hp/gzag5X9Alj68UNfsiZTns63YUwjTot8th5Re1DdTzj0xUlksIa2 +pFviwMIPQT27utTOrNl+12H6O9tuLF/mCarEf6+mu9LbaU36iMPi+OIwFpX7kAlJ +1ihxb0sHIxgv4yCjkusiNVNYGHyo4WEbAMA7xtCZSnobNdnzQFeFxpoKMCVvv22y +y7JnTtVadzbd+xBcFvpR6F/GhNvrgD6eKxsPQgYfmMxOmYWGKmOErqAXrB6tFRGX +biIPqZi6WraYWyLEXOD9eFP0wTR7HMs+XIK27PFy6mr0Va7nHQRTWX+3NoTr91S7 +2cQdGKinYAIBE+ut/IfGlEnGqLxyYRetraWaGOVT5uedAdV0bYvB8tQmVz6leBqD +LFsZO+LPsf8uEgvk3xGIPvgADsOSZm2gdmx5TslbJQkV235FoPc0uII0T/QJxtkN +d9t05XSjrTMcfYeZ+XwPO6UwyheZ6CYT5VzhzTaWw9kYyoUGaT5H839H2sPLYNRI +AwypKHo8PahPEmkGHRc3RjqrNaokJGR11QjnVNNXSy9LlR9ko+VaLBxc5yVLc9c/ +zGg/ZjFUgoTmzhfd08/Dz4EHO7ROcrh8OJZOr+5rmZxZp421T78exmtbqRLoV3Pk +XvKHxWMY8FfEDYlrxrXddI0DbRESK0dO2268iajyBnJLDnacKolB1b2xE2mtPYzf +BMODTs5n+y2t7FCaijkIoifjrfyhvagUODajC3EUpyNxyr1qUAcyBKNiKbh2G8wi +fF+9E1kD7oBClN8UOUAUbJn7qEFW4Q/wTTaWOPeX/PwmEmdOLMeW7vXqUC3Zc/IG +kevJCcJKx6ICAt2K8oyYGzxa4/YAI1l80SmngoaInoHTw7FdEkEN+biDrt5rnbHL +cQhuyEVJC0ENqBnezBd6TA340D31UAOdXCoaEO4PUm6njSJtqGcD8hPz43AI/9rk +nEC6pw5Nqu2wJrY+k8svkQ4P/nfCtmmXcWKXRWqxCylOQJ+JNsS75Yq9DkdHc4wy +VjWSx/MbqXckue/nv+Qpg8bmWJPfHPNa+KfFxc69rvWfabivWzhtaO7Q0JjPu4mw +WC1KyE1mOHlOuz5f/X8lcu4E1IdacO8N1ZirpSzRWyDuyEuvGPbURHqzXEdJOIta +x/p7zbtmaYFKPRycZw5GEdsMmB+/mKfV9crbtn1NmsC1LKPVvxmDCRGyUoOW4uVf +89NqAri7cmC6877Gikn0G1Mjne68+JVR+5TmxsIxKgHot6zLpjq2CwZOP3+ywU6r +RTTswWT5McOdqISivPKQN0AsvQa0JoS5qw8DQSp4xs0Wbxywkd5mbmVtehr9YPfw +qSzZifO742UJMLFhCfmcM+Vk6NAxtex3qcgXZZQSjt5AKatUTIWxcVGTqiKpPoXw +SlbcZj4j8w1vjQD4ezO+efa5ScASLdd581r3u8tCTp7+pg7D3wrTZXd9+MnZAJ3k +X2p4uEFG2kFct3rkD2mwL+1jSRfLCDqft98bb1h4OfOGfV0RldGI9MxdZt2VBPm4 +WyQstDHB4W4udrZCYrxff1FOR1T0ostokZnljnqFss096qJzQUorGlAtov/kRhmv +cqbSdi3EssRAetKOec8O1keP4QsK1KtJMMer5KDODKbNmuw7FdVy507Nb6vpQMXJ +11pLqgIgKylDMU6kZUlq6OISQ3pAyIommyNRwLzFxdCdpF/LK+T2bei7uZmtblQU +sMMu+qy3dnDrhgJMG2O1drYUdts4SOtrdQG84JXLYpfuL8b4IEuHFZu+H4oqfVAk +nv7PQSy0aej88gJQrbFh7TypQ/6Le3gx2NoEEmi7onXMjfdcw6B90iMhKMXozfIw +XAIXcushHTiTwnZu96tp39THWuuHAs6v1rzOuZJQaFocke3yPOYOSXD++hn0AM8X +29h/15RnMcb7m07w7MGL38ZiMwULmcL2SgIoMIxlObycg1cs+s5tJB1yDQkfCqtJ +EhPUErYEm0Fh2c6I9vefo0Rcg29nQsQ3ayc0C2675MAiP9uKoLj/bi3kE3rp9Ao5 +aPiN+clsbYowrBt/Gs3yEYXAiKRm/l56QXfjncHZaFx64yeM/13LND7u33Klsj7D +YaQKneR+agg6Cnn3dFwBBDBO5cRgoibge850w69Pmse+bUPAczu6vZjY/w4EVNY+ +QElMzDvZ8a868kqJgq3+c8XQCxIfe6g9vpaioNmkJEUhJh4BmTcLMBJM0JesrKw6 +ceVRmjpFkUpYRHrisYW1+tYq1skjv3DDHNE58ceuNoL6Pu1C7GGUJmC8thmfdfqe +vdj+4M+QsEXCXHTsXt3IWaTsQyN9dg7pcQHkOijHkQbcuvn562MDb3X47sBEufrn +C6LzZSRUWIsovPHfBwFHnXhByH4w5enUelSpf/iTqw7R51opaJyQOzdkb+fV0Pq4 +Jglp+jFMwIUvBzXCeWgPLcXKysY/H5IpW0CpRv79WpbDK2w5nST2o36Pw9SsUAu8 +3ROmzCsoNAJfuJQOgrFntwyiWNcnuc+vlGqNcxm42BC+xvpeCcryj3PHxMeqqVMh +djWQdC389IuVxQjJJJ2k6AcPvjXqtM7MUZ3cn6bvxtLte4LWeYv4Oa+EQn/ai1pI +1Wu8oY0Lxx7ToUH8FLS/zaqtjyzuCILGVQBD6a7YFPCiRSHjCUFvJAL30Z6GO3DC +aEnO5ZnNh+m2CbJ3gI3ViMskWgdnUfkj1hl9WW9U7Wn8ZfpgHgYx5TiHpuImpIak +/hMrDVPo/XmvcN22hyOA1Vl7RKqmdwH4913HXEI6Q9Ywhb3a3Ormqmz15m3xLEs/ +WBcJW6laDkMpZHlhBivKqbrPv57t0EKEyVmEmEhUXM9iGaezClwZmwsjawfJdpdl +TKU6kC8XAfqkIcdDA1JLJR0vb4AtPzjJjcMenMBDecKmpee30i/hOhderF44rGnj +9tS13R/g1uUvVi5iaPk99GIv3sp3xNaANcdg9IhQLKSYvmz62X6XIJ6c2aTFp8nJ +4ik5SqCeU7KKbd3ujGT37zLgWvib2jEERBhpx3015lawvZSrJP7916AoWsKnHbpL +STLrfse9jSvHvrxC/qps3HCrlSmi6+DjU5qMrpb+A90DDuFuO6Xt5th6LQQ4+qyx +0DGGESi3ZMVpyVkVGuXKs3fhp7svL2eXUgpdT4aXvtdQdecKD9wHSeek2O1h7uKx +DhzfE0ktyZHVOEi1eHmxfZkU2arLCee3xOa7fuCwFv+soQVBYIHddvSPyGv9xHcq +lHcqHZ3uSktE9tCJfQNMmGnomD9QhoqWyR/RL/F9o2Behui83g9rgudLKkw5E3+/ +cfjm373u80uVbVYgSXb3UAryDfJaf3Mkx2ccGIt+e4erNlTc1yIoJ9w/8qIlpO3E +Pu0Lnvqhedlmv2AWFUZBwLiLiLPk42YvO7PuXymCzxRdWYd7/ATzWhogkDmBXblm +NBl5Ps8tqLbg+wFfJ4I5sbufzW3Su2i6zt8SkJVQD0XOFzzBZcu8WpVoa/cV6PFu +1ZNO7Sd6ZDKVbvaIdH7TW1MzP6HC6OF125oBbS5Itedj5jjxTdRu729ZIOoUYYSW +YXTE8roPo4ADDtPwuDIlPyaV2QLx6qaZl5z5VvJWbyZLsGP8vXXb3CNVurCdF0px +ybRE+KqM1AbzOkkiGSBYMGaVqB51Ml5YNX3m4uv+pSgFAqjNl4GWFX16TpYSJgKy +LU0CLEGr6EHzb/ifjR4xa9FC6yQaxPDwbUKZXtvG/ZyOm7+TA1Ei/7LhTXcduf2H +QgbuvZUIwI8f8eGTSyRt4UXCWoigX/IbQaV8TTZHxYovTJhiikc2Rx5h7hfsFfCg +rYXO5ERVYMYTjcE8O5WJpq4cvNVNw96eyCeHOYCntX04V0HCyB7yctp3p/nWEMJC +/sP+ifPGv1vsPz0IzrGU0/clsNw3KHv2SddOTs5QFfYp/JqB2atxgCINAeghNbNn +F7HrZbWqPStnL7yloQ0KFvvGL4pcxCcAsdUEPIHZ7igs6jxCjP5Y/Mb173VwEejs ++94P0FqPWlN2a/2CPLwvUDXIKdgJHMStp8xjyPvZhKFGOw8JieXhdqGBpb31jPDF +dqOm/fGVQLHXJOxFUzVqahxCrRr7mSDqEWtmEONdvgxdcYYAJ/LNfaEArTEnm+G+ +v+8t6n12NPcKYvu6Hn9rayMG1gRgN6ThDp34swIzP14BId0bqdV54CA7CeFc9A+i +25Fd8pwq8sUxMsKxPDZ8vjhXFWYBrTQewimlNf9RwxsIkSWQpOO9sEoHFzIWCqSX +GHOnRqrrr3APNq0GYqOyvvU9qxVjhyg880kEyvzrw38Qqnj1awmrliNBmLaTD15/ +LJW8iOHT8fzF1Ql1elbAFlWP41rj7fTMFkWad89MsWxC/vrHpJKvjjzfn/yFOVZ5 +k9F6f0LtHmSABNNza2KXCIopHxVmBn0QcT4bjW+pKo1LspawudLx2gYFbXNHIBJX ++GdRuU7xFP0hlsA3EgKL32KIUpRHKuAqkwkXFn6V1XH/l9S7Lzbk0HHvd+DuvqLh +9FHgHbXYIKy4VFQAHhsme8G282NR9w4G8OroClHqvmaEaLGPBNLZBz5Lik9QfQ4d +RL/oxcsQFbMn/LOHkisQPSKmICTAk6UdDbNmEMDUqmff3hsVWbJsELAq8t74k5W7 +SK+R0cw+qO9bQy1/33LqCK7ZA+f/ULQ4w0WQduSPq8C6sf1uYFy0MFsL2bD4PsMu +rNIfj6vOUo8npk2d/uTeMG2/S6csoi89gi6Y75b099c8AXOjqR56sp7/Oj2Tsw0f +8M6Ds8aXXdT11Yzlm/6pzCDYm3Cpog2oUd1t/k/JnzD84pjJ5XBSX4FP886OVbsd +d7kG5A54wLXjmLBaDM9Bz3kGLHvPmk2u2TtcIkVlHHUThIyrsJ6ZbdLW9Ppm3F8G +biRGHtRvi2VhBM/xjMdNC9kDmsj36/PANre1yRb5lzU8AXZUbjdsxoMmg5bEHLXM +rf5g8Jo5468PaiTXYQR/VGMolqTa52evvx4MlUBq2y316jCzBiRhEGv0XGBlzjkX +CQ0aIyIutjwcqsIpedoJFC9JANMqc8lB7DmRlHl6StF6QUcJtBQWY4PFgfjgXuv2 +pEAjmbfoRedbodOXmOlW2mfyTCJ5dKRejDhiNu3DBRfdPuFk29DzAy+KXhAwaunQ +B7FghteLGoblyKr9mKVoQDRTSrNTkN6h6oB9qGPj0R77ZelNKWTEebbioT/EyR6U +R+rilqd9sJ6wHv81X/9YWaX5DRIteNkRujhJMRmdCPp4NvyyxpcGduk6uZsaSuEX +go/jlGpFeB4Ylt/2tQ1u6VqJQQrawDBeYinKky/cAELwvTqqV02JvXMOdegv8qJF +I68/wiwAjyU7MFIIWuqZLC00xxwFlsMVdwfs2YP+gw84Kiq/6+DG5czJVzVgg2AH +kOmIv2qKHLjoZvRVivPyZRd1RJtSQ7mbo+M6Jd5QcGfA/W5N2wtJi3vheghqTxcq +zQL5vOv2mQB1QqBAZ5QsjRuplTeDkTbiYJamUjC7KopKfHKv/5On7YvULsb2tWcx +79Humje8XF38MZJya1UOgyXtjATjpHoQUCmvT9PomR2WFdmnPAPFqcLH10wmvU9b +fHa5JpczdgEKIsjDY5ctnQ1Im7g84QZBSRFzYzR/+THuTk3HIUDP8kvFZQULi3sp +swzLeKGifAt06OJYLLrxipLXdNMKr4h56iNI8pasnHfyWW9rsb6MQuS1FQeqKRog +llRSb7fLaL5z91ORVVaq1Jx55RT/w0DSUmEZ+s3+HXHYzNCqaJ6+CsrU991oMuos +rM4B5SjltHCAiA/lqIso+WCncT6QwUORuRi+jKLu9yHYLCJlW2nWTdnKR+sYn9T3 +T6F6pJ+3RX4GgtKCSznIm8N0rD3vmjlrIVC0mgWjnGBpDq0bfFKmp4iXNuNzpQZU +b2TVgTFJl8PgbbXFzUzCbhfCsi02M88fs+JVjAFqKRIFB1FnZEP3XWBfwNTzHsip +hSFIvl3/WMDl7/HrzojOYWZdoZZV3bYkWnc90btW2eOl3CzQXZUPDGB7DO9MvsPC +bLwrrugJIT/TF4HPOKhfks6PvB2/1mwloj1iFajKuHa3JM51ozXKJ1Gg/V63nevR +ywLq/+vLQn2deem2I5Nd6nCNYlKYxEfrj0WEJ4iuwo2olMVTohHSdnAYGpCAc31d +fsgXpJcap/1QQttMHSLmNYkKE/WzgYSKDDHMwpteoeHQYMZRKFpManmhbs59PWhI +m9BLopRlif69aZ9h8pQnU3pGv04Q7CaUG8aYfZsAbBhEQvgf6qVpkjIz1e8gI7jX +H7xigk2B/fDToJMgU/QXEnp9gBEJ+DO0Jysm25ZQf62rs9vlEuOKDfhQ4o6SG5aQ +IYJQQf/y1oW2qx+Kkpf9oU9mlBaQ48hK7wFvfD9JvugCmZVRhofwaEHOSVRsaP2L +I7jFAH35a8ro9yJn2ogZEItoiciX9DubJ13FjnlGL8yogA7t+cNAzmn9S+K3OM78 +q84bcg6DtLELk8oJmv1rmtHebsnG1pisi26vANUF0DAafw2+LhNce/soUPAv4M9K +FZ2by2CG2W7cIXJTuzNuKNKUbIDPE1oJXeG3lFlQn72CCAV5jtRdDZd+uaqbJSNd +DlvuBgHG4Kt/++mGXFohDSMDfxIvObMDf7KPk2YRov4cMZmRipYpWEVsJqtVB6j3 +Eizak66mhWMMPSnzXvsHAcRouuq4JxEZVH93Xgnnq8GPjz2j3UqQ1IEMu66w3KKB +vHAywDOopCSKyFvt74+2H1Fhh6izd25UwRiwL3Q+9C0RpY4DMM1m7iQCfrC30+pg +huxKeEHwQaByjM2wG9WC71+QCPdD8XsrVifS+95qmIXdm/ccZDbSxdpxOOQNTZQR +huW+BNG8kF2x75xzSOR7BG9euV148zDcvma/Na/eHR5gNtNGP5maD0p/nYeFjL6j +8MK0EVcAGC3eFKi9X0XjHBtUqoX99cQtCdDeWkibeGbfHiWa0Cl4Cr37J9NTDKxY +q/o5sFHcl1ziPGTPBOTh+d2D/0oQvxiZUWgyLrC5xHgJDop9QyoRjm+smKWlsUH7 +lGW5Q9e3df3xpjORes7DAbQpQGCw94c23VOreTHwLfOrK16CGbvex4+q6L+mAjTq +k2VrzI6K/jDzoTsz8GfXgAOZ8Ms1af/sD4j+ewOF+2aF8SINBXunH1wAfxaSOxbr +f0nEp/Jb7gWEMJqxyghAjZ18uDHTPfL+FCXaBnxbEVxfTq/u1ha38VeYsLWYao59 +J+pOL9RZEvL1ZSgO9DXUhYjhBa3CqB6KxGW5+ZHZ036q24o1IG1cbwt5BEdVUiW4 +0kqzfQj2F2xFSyOhuBbGLYAfD4F2z5D4rKrhkT02si33RhwjjlqTaSggfHsbFvvL +CwQ3kBhcrOxGDP/ARaD+Cer7zszWzLOt7cEx41wb0RkxeF73UjF3xEwGzIFvphxL +dCdVj51d7YLc3VM/o0FCBksjE8V0LZERa259/HkNALOB6p6xntmeNpYl+NoQqz1U +W+nZh+auNgc7WvNvm592Tetccs5vQBL7rz9nsny4AHbyenxWR4h4R8wyGh32wwNo +kRA+rsHkzBM3EN871pXp7/hMAO5LxIlS5NeIW3fDs1vIIwcjv+GnthcRP9KtghBp +INVfGWCSoHf0YL+xS7w0BQcmtzAJ8+rertAdOO6s+CnOd+Zc6yI1g4nnUkXNHUcZ +a9frmqTfJpPjndDBU+slvbpll+PU4CR7/vgFWYt7Yvdqmx7i+RmQDE84OAPH+H1/ +gIkJu6xZJ7I8T6+swPGU+HZo4o2rRoV1SsflJKLw4lo28s8HX310ohq1JIZ3fQ0O +7+U5fziLYpIRaix6Wwa2IReeJllB7hw+HqYAle9MMFREhY5Cq80se7qGTfIoaMSe +Crsmy1sVlyAniwNZanFCiqR8KkfYnDSJ1dyiPiqMRbc3YFEvQddMHC/Wu9+YisUN +evscr4KabfeLBjzsxjdX2hbzoyfEiyv/3W4xsIVvqsr4j3rtopPNJH6X7BJaP8R/ +sdgdETQVJ+FSw99Ea2CeYUkYEaxmZSD4IE73MmrBAbqGmT5UJczPnnqaHBfyg/0M +CR4PsnjYDM1rKD3TRVSPOcvWiGF4bBXq+kfLG0i4hnQe1eLCahJqMPVR0tbgR6q7 +yYoWFXwAGBFWEcOcUDUtID/7+rC806ji7hHuTOuYysjSr7EPfanMENQicTPIA78z +D/ZGFuVkcEsoUwBHf7xJFlDNtRZo2We+3lYETTbOqCVpTgy3sVaNlCOGEN7d9eEz +7E1IBcRKudNXwFr+AO98OCvlgnuqBEGk/e7KLfEawqvNFHVmOctV6f/JkRoOItGI +d1llfa1pi7e7uelC19qfo0wmQeiZeLusyS55Qt0XBJoq+RKNy94Xvq23Jdt2Xm5a +6zUn269+tiW8W4/49nnTJcuO7w/KY+k7tpErzJUtpO0swAlOwvQTIR/fvCjPJuPP +oAKJrlvcQtZIE8U0yH7ev2R9OPJWDXMjZGeYLCqU+1AfzbNSfvFHxyb7MhuH3+0n +gIySr4Dm7zOolVoqzX8V6vH/7xA5L564ZjFbn597xfI0d+5m8GyZiqCzMyO/o6Au +oGA8oJD7TosqtTN6jtndg2cDmJVdeD7UlOiqhwnuz+cYNTCAgI+js1Dt+8iilLMK +uUsqeoyPUuURdLvKjKomKT3vMJzROLL2RVOXMj/X96Nfpg0HzFIvWBzmMzfua4Sy +GjZsARUAGrfmMCXzVMa8naj+Gdi6ry40IJ+XQnZRBPj/WG1j4doQY+jbicPPvehf +RVf/SQHP+mEIpuv3Ig2SxVZ0vHASh0kyUOsHIH/wIm93A2RJlqEroCj3Rfz3IRFF +rkRNL+PWC2zZQWcbYOE+vEqY1xH1H74KreeDP1AO0CGhiGtvKjxUybbGblVH78G3 +PSCNeSHCUYFGxQiNBmdbyHFKQPYuVnHV8L7AIvoQ5ZilHOwnAGuqe8PqIHeXp/zo +GFlhc7lM86tTXdvPpXGPaSKgXzuSyn19hSSLPOkNaX4a5V8OzwxEMB4HFzj7eWNE +vfBI869gINsfNvhua51HJ0L5JgDqYJWiET0XtYqHYjRdbrAJU/9e7Us/mDPwJvx5 +juWg+ZY9Vy236UYlUabehpbSS2lChpcbej53J1e9KAXozVR+sb6u8O0E3ScJl1nM +hvUfy31klf0RKVkw5j2KmneV98ywZMWfui7Im7miGYD7J8lY/spWyCEQwovnZxl8 +ZRy6WhnDb/RurpW4wZFNWH3cPgGkGIj2ScFoYeqQJ2D6EgQWohxFAU3wGt0/zI2Y +VxdfI3kYmZigHDp9Ci9U2dU5bCI1btX+4rTirYjdAZGXR5MBjLMV5KqTUQFph98P +F/dOqcec9qpSxFqP44+pk2ONJBIr+2d13/mwR9ZChEpH24sGBpETdqqqmfXLdqZ/ +M2IYKSRIBHd4lNtMnO3c80rahSgDnWIM4Ddv2o12i94gYglrXgGI29gbX3qkeCsq +LHXxuxS0UL4HctKi6vuZ8oPLVtQvicHDAghmYrkOzY2ckY2t8RdToxLIVMCKDNbn +TRHpNHU2LAstpbwHo2Q6D1k3Gl5yi+il16CB2wmunNM/3g4QAdbT7vGsqQBLBNSW +wUjF8m0k97mp9izPJCKIJUXjtI1e1M7oG5WCuWAb8zirIywQFOw3JfdOtqi+SBOs +53hhm/l+NF5yyBPIZlpK3wf/KBlBmO5rRtYCGNGFUJ2sR7Xvw2IwMHoscxhYrK2g +KNelxeTda1E/ljmfkAZsjDi1Ge5V5a6P40DCphSI0xC9jhFuUlqazM3thlQEvHF8 +T+o7fh8IUxaVp+9NTXhdEEmrDCV8lSAkDCFtAJq1C0IgdP+lwAMbBINj9LsJ4aL6 +IMH7D9k+Q2egrNkfpHWWhvu/wT+V351KeNQmrTmgwUeW3vMcofyWLdS5OK0xdMN9 +9jI9C4sorzHY8/3Yh9FXb6AFqZFfkcKbNBpuJCiPZaSzcNvbO4R1ctg0zsGY4sMO +tOJed6QCFsOA+vQuEXUgkWNL6YVPnjBoJESGshdqgtwAJMLklEQYcPBxDpyNcViD +SXIyRrzuMxtTcvJi2m72RZxhUgDogHlgjOISOj+Hmqa46RNnDjEtlXOBwZqVk1Pp +5bmwEmeCXX1CULM4hotNQQCIKYL9fXSicrO2uk/0UngrgOLAyYOVkm52Bmd6E3Wj +w7ki4ZaXoC1dsjJmfeUxKEqDB8DPbjpP9/Pgw0qhIdh2Cd5S1gbFvmCvayUSlFem +8tsjOQj4xyqLKPypIMoHqNa+Nv5EFrLbiDd/ofZ4IZPFcxR2RzRBdiQOlE0HYAt+ +p31oqSFIzr+f77gdhFgYwo6gOnbJue5jBp4Bi9eqKOrdXKKx8TexrPcJbSmHX7HK +DBWRcjmMn9bz5CBGhNm/w70XwElorIIuZjNMqBiKTnHdT/aQJQ7niLFAKwausFPL +z122cg/BM6CP/WZ5IHnDFG5VAdxhfxWXmz/X9ajnoBG5bp7EhdhUIZWZdQ3O9vP1 +f1/QbdhTWezPS1tEPXewcb0XQgMhWpALkR8y9mDhgeLLIOdKY85mZmqkrdU9xKwz +5/yNMwokikSSycp/k/SN0u+uzKZh2zU2zDvmixIDgP3hRfnv7Uvm9lq4WfOVlni5 +UtmYAGGlmF9oOeH+CRykTOhnMHrV+hsrxLMFKdemXfArYAn2Hxgdhp4N9Mw4Sruu +Rw+Nazf9PGk0cc/3id6R8wiHjeOJngWgkgd9J6h0jfeKZCqpZ3rZeYDzmZlTyhJO +/EQaEaHb2z5vNjGKfIkOxoUiOZTNfeGaKDuU3rEip6VttvxYF7ObuOBaUFrZAVzD +h6GMOzkSivKkmk6DD8cPF9fysFtCt3oAOAqlp2TUVYIMEoToQuw63zq82DDVhzvD +4DtT8GWBOAJPu6i9KGpEeUBZGVjZHD9bkkLY7ALijMhpLRquHzJhxmDdYIVWRtSv +0grXzbD7ELSDTsSqbJbqe7IWXUkYY7vswh3+fRwZS+PJZ/TBdzoHv/ecKMI6hLkC +XFRrkjT6U1bfF1x68cd9abva4MoPShUCo8dXd01qmo9pmTa2e/+Xlin3iGXA/OTh +8SAieQkvl4K0tU4H5LbvqWbc+iMyzSV1P0Pasg0H+uG42IXpfnhxoEURyUTqfYmR +vZHbY88mQB8m9TRFPhbeAiHiUhbamrnwSBdK8yBnsTFH2BcSpwF9FAD35+3kdQPK +cDJrOhYP9cMydggckrofITBBkyugNyxRdPZp3v6nI+Uza5gLflwwgw7hciRZG+Wb +YbiTcWyBGJbWvD/vp3NlylHVzHf4natmRcTRng7Uy0Q1GTAz/NGmeGKI5GLtxtKu +NufEq6+ULchczwPfW6qqETKPCRt0zdcC7QwXDZILQgegRD7c7A3RYUd92+9WMKA1 +i7bF2qpJ31yBTzf47kYMG9cFk/xDz/7TGqg7AAoPgNDNJ3FCVUoj4VhKgQ+uufpm +UYeWEqP0PJfv3RbBTy39CmXWq4koVrFAtFKNnqv9CVc4TM8LUr7Q6VBXHQOZNJkH +Xa+5sMZEN3HPx2JaPqiCWRU77BFOFssZ9LbzHVB9F8oP8qYABA6IYI+qO4Cr0M5I +t2sY9YV5V3fCWtATA/W9yS7fw1Y4mWTFMLCEesmaQZJtkNJiyI6tbNtyuOSOt3gk +2wpAB/ASL3VcYpTwd8kcZijiqcOrfjrMG7AmRMeoJnkPfI37Cg7NraQsiUZawayf +anX9p4qQG3ZyDNFrIKccObNb+yu24bdK6ojDnqm/0jOK8p1Wxv3qzMtdzdKV3f11 +szaBwJyjnR418yG85iBRna5Vjgoj6YJYXf8CIfaS90dDJW9KrWXbNl1E7GSD01hF +fQFJFE1Qy1kUPk5hmNb7HhUwOyVQIV2aL11gd/2Ui6sbZp6onPqtsair0JsFce2t +/DUs9kRzZzbbEWJvFZnV4cjrzydq+2T/9zXabEUdNzA66fcth97jk8nvnS+JVDZt +8Ly6fV/NSr6+1U3HH0+Rbcxuc4Gwc2yIirNhLuKxP/VGF9wWWmNjRSUny2FIO8Pv +2BPB3EOYIh1BJqbhV7ljkaaW6Vfz6ddrLa58DSupB5+uy5CLWV+CwzsyDKI0YtZ/ +WJu0zCxcACBErt7WQPaaL7NoiVXfGIcCuNUpIcxsPEAU1yuGnfbWb64zhAGYGadA +6leSDCEmHvW+qKIR/Hu8GEQvuWt+ZX45Buow+OaT1AuXNdGWY+hp0ejKMpSGP8w9 +S9kdGVK3WZFExGDiQMAch8KN3Jfg8wuRBRunjXHoKJh/hFwYsXFfuz0TKYSyZpli +9XJ465bUfvDj+NEpp6IzBFtc2jxMiGOuLQ10AGpp5dIb3if61GgSm9btH7vf+0Sv +GuI96LOCJ3MrVL91TrgZ5gMxWO/0pDVcoArbnMI2xpSlDIxsaUPdwxNl8NYud2/s +rlNuzW73A0OonI/mq4HOb5W7yyxUnwGZ8/ZMdm0IyQPpTiJNlhzDC9Dwaq3fqeJp +nky4bjX96iD/CbDyZl3wYAJeS0DDtJKPXUWQqSgCMndj9nKr5NZpRb39KjKGfXw+ +nh06AREG2vXMFyXDtatMPRTsYX24ynikqIieH+l2p6ErW+mmO2CgyS0oKGdsuUvY +PrpkxFFRalzL/CNK2WrO4Dw9B6wyn3oPbrF31ybVjwa2WNl0pSZcB0Hkd4+o4zzC +fGTY4akGEfUnay1eFjKDjqY4e/TO45yjyuSOLFLIgMma5Y9nvjsSOSAv/SKrICVc +6R0nJoMFosiM8nUUJVDAUt1Uvozf3H4MF1Cce++EApYVYr4XeDIjyXACP7uE0w+Q +VKe5giYlamwucv/kR9ZOYs5q//92osBPkI9Q+nUkQrjzMFL0EtyRn9TjftNfyOuR +Pqrs9Q18XRIVyikaM0NCMdBJnoYsgtd/jVPdRLQNFgiUR7DEFniSedWjVHrwrxWo +mm/hPV66NArUfJeTo6Rf9NdgCKJtVeSzJ27tS902LWG0tjAYbNOXEPzimiPadXs3 +EjEP6naOfgC+RJ52kKYBeOjAbgfccbxCdQiQ3+Y1m7RVbNjYsbZ/qUnUrm4eoNSa +g8zHUiIDJTI+j3liY1+lO1Y/YrxLWfbabjFzXNgVlFKJJVjFyc2B///QLjB7Ijg8 +fYPf9+HAAhB34d4x2BMQbR0glDHsgkeUCpE92j3tfzVvr+U79P7tMkH8/weZDgSM +6gtBzJQPqdnCaSymX2BnkRfXHJiZGB4/6w32mbwHoi3NRqoZmn3ta7s+5gRxfZ/2 +UX1uaHQuxu3uT7XHO3TPoMwR6WTv7iNSu6wXA6RmEGHODW88obmU7LNrq27LpjWM +L+kPwLsD8kjZOjX8Ns5xeuWZBf81dnBrvhAvTjZWhApvuOQPulkw8I0IWBup9otQ +36SdeMd5fgisimay9jYNBXnLfUbuFZlzDiSHX6xRoWfyLZ3skLhOMsPPEsFyqpHb +58PqIbyH2KFybXAYWmh3gJH8HQehT7qlvU6K2xtlEy/UKzjo4OZ4Ut2fyw/pYkJF +nJtjdxz5Aq+dq9b+XFPkfKvDdAmLPnHyaoKCZblbeOFEgG+T9Jyd33aPy2fT+OA6 +FJkWtODnEUdZjV8S5uNPtt4oNUQMA7nkk6hKq6CUXZZWrfuRAAP/Irp0zKesFhLA +EwgQOt7DiRNOHjc104pGSoOTrkRT76RFPIpAWi/T9IdKJrTf5VJR6F/QVFEYku4p +E64RSDQL9ocs6P6OQzfmB+m92edp/+U2fRhwnCW1oeyZt5wmfelnCwiCfSq7Lc4P ++MpA85e3UXaVB7fEn2t4DDqIC01s/hsttX96DeLlHTf3hFXx97RDDklA/wsskMm1 +TYx7fEHXpILk4xE3gexCY09XF4lRgmsGs/AXLTZT3w4OLnoCZkh6OPxgur3lDCKZ +JmIeCOxKT1Bul2tvj0sWsg1UxlcCVRTcerEKS+hlC3kn2yRaiNCIS2AyQ5yE5uLc +wYPXnrofVAM5jDYo4BEiOTJeRD3AuXa6gGN38CYjnUtjppaJAhCndZ4OlQbE0IHT +P7QJ8QaXoRKfHdJ0r/AfVmIkXYl+8iDjvDhc8iPBugqr2RXfxikRGGXyZOkf9rqk +3Hw7AuL6JPBZ23kn+RgpGZG9p3DtaB0IXLU/przYgrFJYFlyRyMy0Zn8/tuGJOSI +kcveoAUqwyJ4mr90VV+aWAIV3qT0UWntb6J7V/PlbMEHTNSus0MhFm0YCQ90OowN +lF9u/xh8qeqtghJBRfIlzbTzqF9/9/iuOZVBiEVKLukNKe5oHjyCpmsdmRbfNrhG +v+TIK4LsV1HYIXNxqy+NQjmPRnShyOZABhCjSG6uba3heHRpT47Q6dFGaFLAWP8v +EmULpb9A0O5x90Ciok3AwPRK47C6bnSX0ikUi0feWgd8CBOmprpnrnj+XAGhSL+P +dm+cC4exiDU5FFXf/9I3DdhkIDM+cYMfp0Ja36pCCgA7kkAN1N5NFPgtgVnyQWVj ++cVhlzskdj2ZNtLJC1NcqWQaCPRi6yP8B0NCdeSnr0g5Y1t06d5GNPVjuIc1gM3Y +AiBimCeKj5lCpbPf2fZigZdAYFSXCopNuUHZjPn34vtVOf8PfqSmNCx+30pogauL +sbFJDY9W4iW3nO4QT8iCug4RafrV4o/z6lkRY2f7evueHJ2/TY5GAuvskgHF/+HI +4oOVenErScKtqa9fkz/KKN+QFHlcT7Kz/hYgsPCuFZooYkYJiHcXYkccsXXq64B+ +McSo+EdjEay79C6WSFfnV8xLjKdHRK8xim/e7yYVAO8FY588I+O48u/eTfvY1jN/ +e6ezxSR0tqSjmSa+TthEH+AjOY1FKkTL6hJBiztIYlYSRATk22iwB5wi0LYzZmE8 +MUbEOotek0o1UbXggjXzBVuDC0Nzr/OLhi+wWapgKug9cW2frt9fBMVoh8j69/Uh +R0kv8hFTIe9xOomhSeyrFGS+qPujBUIUoNHKsH0QS3Yd2tRv+ksPtC2X56sN/dtK +X3Smw/1b5N6DBKLs7eDSidwlk82f/zhjCfrWtqWz2lbGyllY60V8vOBdVBLzadMD +FReFEstvNX0+soghtaP5fh3Xh9gXHz3dLEXPaFlgIIOlGaBByMQAszKb3kIXWhuB +Y8zLd1PEJYV2bOWUiqmCMXtMSKkASK5NjFjoOb0FdO9JiGIlJ6EhiOv33M84fLLz +HNOCGum6Woc/sTKgvlxrS1ULSmIfx6pRElfY2lQzxvfC/gcxxiMgMnjz0GmpBqT9 +LnBjzE9N1sy4coY5OdbD8B6hxDkkEv9QbaCmYVuSIWGLASIlrBYp2+Dhg9zNoijl +Q9SFatqkGHNAFAShDon1tYNESioklwKoir/fDcj5T1l/kG0eLSjtW2yH+Bl9ntyz +0qnIY2zSVqiAlS0hNVE1EvGo6kkkc5LFLuRBEXgGaxDwSuEFKwy3+HokWOplIJlS +u1SYvyodwUKSbmKvSKxk039znHPXelgDN/7el06znBGS+zfQ86bIFXagNURjocWy +iNhzKqpWUr+Bo/679vvXTpIz60pjogxV+u1yYcVsHZYJNJud++54kjQ21S+bfD9c +BbyJqx/k5nQExysbw1k9UQX3m3eX/pVRmety8XcL3WiBV2zj6+cDYTwah9aY+Akm +nCsmaKD4+wGu9BOUnsD9cyAFD3VEgOgKrXQa6b/7QWx4cKhNIE7BdK0x941ZQjh7 +AdXwNXI2ZEesOh4ZlzL8UTMOJ0DYL4oO2VZy/LGbfG2+/M8OsZlLpkHzpnNz2XpV +BaRD59sRQY1GUDbTFMeSMniy7wjOCwHCyh57Pj4YQP5kqkZhyeeyO5pzFdC5eFOt +CgTM9mu+HPmt5notwYqNZrbhEGkArhkNMwPGKF3G+irSUVfVaiz03UObJeDV6sda +ALA7Ui+vl6X4Pwde2JDpgZixjUBnwJ36vj+UG4O2/weqGM1IjS5uY1uBhvTrnZfK +XdtG3QiDX5Xqvr5tQlEYc6MDA7v3N2YlXfXqJdb1x0ixvjHIhXiKbYeetOslScLG +riYx0fCdeQvzSamItFhlV4qs5dGSM66YuUL6ee903HO00Ewy3B1flqo1/C9gKb48 +ckePZPcBWc3V2NVIKhC0azBr0UGKLrnAa6Hdxq8Jd2GMqOBPN2qT9tlXwtTQn35G +rjAR9LwKGeG89vhASC401hY16x0So70B279E10PPcM+2DqZdWrhVgcoZjugCbLad +kAIrCFLg+9Py1ScKDldeTBW4Pm0DY91Q6+L8dWfiDJhXRkFl0VrSAu6+g2ArN3vl +54CYvjCzhwdnuKGYWPa6loTl+ojEGWaTWZ9aOiHv7BzbIdWa1ow7TUt9adhe/tDm +9Qr4UORPMHJjuLQ5RYbgDQsS2pwDlPu0eX2VfYiTZ8x+EPhHM+lhkccw+QTKjN7L +52c7SRTvhF8Yr2W047UGH+apZUe3KrGTVXGa8hw/NsfvRrH+IOGBAzRYAxDa5dNj +X+wUiQq1wC35GLA4gC+X8GVKBF2A6G37/uxJbz27Su/I6VEFLiPHqjC6F5XUQfZr +PPjgg4wXXjXiLeUPIQAiG45lRSdw4NJFzQpBKWoN7ozFHSdlQjsufI2m51wTTyBs +0aKDp11Ko2GO2UXkVj0cYlx3eTCZVDzE3l/8Lx2jONDIWiHWxTQTc/LLJo+kWAPm +rdEicA+T4d/kP1tbubIPJwp2OmzWRFqVPWs0E1aJf44X6RdTQCcT0zp2hsloLKVv +AhNQyg2a+aDjGVdcAPLDIvRN2sof8/KJVKJ2JFtcuNH/XQ3z0qJi1U73Wy1LwRUP +p89LdoWcLMQ7ntCXXZSZ+AfMJfEU+KIOvAFsHMGnJ6XTNbUf6cF7Eno6BNYuDOkU +UYqBtaBULlYhAwwhliG/4nSYrtvn77U97BLHxtCwARSxULF1eaah6Sd1+A22dM4E +zEPzGfS+Zeou97KCyVRRut8fmweDSJWBza+4JTaRrTofIZ+8MQpCnfhlGiul84dw +9ubIHEBB4Lx9UaxJpJ4w/VVxTTX2OEHhk31kiSxEZfsk5c/grvB9kmvIf3ywQg0/ +E3o/J90lrK7urSJqISHyJIVWG5Ap4pUeMe12ljQR3RB+TCtl54c6bQWCHAUhQovx +Id+mMa4Pm1XjmQK8nsh1N98hNhdj0fSOquTqC+u044zOBTWVNT/fUhigcK10kFhy +XDIGbu/sMD8+Lt/JmyBWUPZkpRUdv66SB+FQfonQjDvyuIin7tsVVYb+5ZKNFElU +LSzTrBuRUlyzDLcAGu56BfwLEcg1u22q/kCutE0W8H/o+0fKKwjXkzxtSsjrxE5O +L5X+xBDmvzYG6vDgsWC0V53pdC4RfucAuSYpk/VKy695X6INJbjp/YC+ZxUTIcJz +cqyPdHLWMUJwOfHX/3vqzOil9cOBkeU7Icn45VmF8jHg1TkIEAvCsl17wjOj2YRx +9ktH8KkPTRw/4672qG5KW7oo9F6I1JyjnDPyGY81EM2EvplZebEuiTOAEUbRAuZl +LtPGnX2vB8M6QNeh+imtTb0QBjAEteyWXIrRJRSwK4cjUsd9RJJsNAm1RKNLzV3H +h6PQB8d4yS0pmJc844m1KV1Wo6ALyOepFuijho5w81to54A9kNceCQFaUm0py0Ir +sl9CRuR07U0mKcLltDL7FiJar6f5uuyT13NynjFgJ8jGUFmcIshm8t/2tNdYhGps +7IYwcNGjKQYv18dHNYnh5R4Q94YCxYh7MacQ6AdITBwgRsoWBgOqwl/53lYCwbVV +UixCfRULNOXSDwJiY1lgwGkyfpmyTjZnjgwCkGx9S0UDB3G5hlhlfY4M1m4E25Hx +apmtC5PrcSpFVV7rXEZOipce+pWYSF1QgDeZKHXt5TciqqGmbWwe3zThictMwlgo +uKs6738LQj0hrRHMWiiw8wWT9cTdnrOZUxvrYRqzimGaU4SP/ABYUv3wPGK5lSyy +OzLhkfNbp8rDPiPCIU3R2a2SKo9rnFuWlNOAo++RdK0goDvdYhuYQfOsi3r14r3N +qSsj/OsXTpnl7A1IXiAGEhklXHFtOB9W49+f9fjgom9NdXhkeFXwNeRPpCZ2Rufn +FBF38mleDTvn0MwWjM032kStjB7+C9ZvJEuHa+Rgxwe8xySjMnH6iasQj+yF1QAm +c8JmL9g+ohkVknb2Tr4Kp5QtTGpBbSx1H8fY8GOv0K++IETQYmiAQJ0/23bRTTNJ +i9Ci8FFQ+0Pm0Ehk//gLUAuxKFj8nw94MoHPUipBkVYq4R/3kTaPfjMrHNEm4VfQ +Pbcy9c6gHRY+lUSUeh4qZdwjjjBxPas1URsB/Se6UQzgjNrUOQS7tt6/HFRgRQ5X +i9MtRZCg0qylilKK+sCJKVxhO01HzRy+ULdEOcwF8HRfv7aRTv4gctO0X0djq1ma +jPPZxILNBTj/G4mvB3x39rmXuxJ4G+gCffDhXmL/Txtpldz1vd5XYByVE1BrmYsF +tlsqlZlqCwyDupDPeOVtkO/NcMfqxKPb1QVR+Jt9ueLP6XDZumdxgjcvF067T74M +wPD/yowhKwYtueqVQDuNZ3X9VUUdkNEPi64yO9rWJbLIKhNJQZEVhLQiOBNkjhO6 +b13oY7ski7NHLd2ewxSshTMXyFicRO/uK8ZHc2afjyqq4af8Xx/fDwCIHqftcz6o +DFXk3oBGiD23JpY8WQCpKCP14hnXXLomtS333DfqZmZ94yGdUzOt58gCyadRlDOM +XLO/dwDgFDr6FUiKl1B58r2fsh1XV3bDhZ2ZVVStXjJ+tC16lNC5yCcBOcOMp84o +omNwzKoRFDWnX2YAUhfBcU94rMLJD0rKNAOpoFlveesiXhLYh+dl0JqnuxcA2Pgy +gKNdFBvBkEy5o3pKi2FNQoeWKjmdLp7HyyGVFp99YTr+Mp7/6dFWx1u6IY0Mgjdh +5g1hIk5KSto0UjipaSelJFV8YdU/b3VnV2a8qPA8LVcv+Rj8yl2uUKjvNkLorctS +q0PVsJWL3J3+zsD9w3WHQpaeSxUekw0+amwGkOfHYSNzKXG3wLdhBEJCLtDU47a9 +w9tz1Js5A+wDyXiGTho82/c/dWpaV9gmoF2gnG3riOvG/kl+aXWiXinZ+m9BiKhb +Hnyi45XKTHwlbOk9koJPg5n7ctsfXahfawH4EzCxA1VFVwX3cEbV4ubEXfD7Q051 +7Lc3TV9EpsUBhi5K3TaQ9j7ZnU0W6xJMVgsxH8T9/Bv/Sq/1VA779fPTDblkLhxe +oKF+/RvPWrBDiBXv+zaT0nL56Cx+GqlDwXQuAtNn0Inlmf61T1ewqwS3qVJxoiGP +lCVWq8Y34dmUDx74mE1ADZsP/GB4GKmBeeLbLPPl8GxgvlWlOV9MAcu4pkBkGLJx +p0HnkQOuU8MxrW/g5EDBZxUYFVdgdRiGGzvQCznADOrtrV/l2z4zFaBoeVaSfxl4 +dvDPpw3tTAC1YAO4zes6QOmcyTKv3Q6fqpUpD/XoJtv5C2Zt6jlkIuCcLUhGX2ml +GqKstBoblSg3pKBQ/54vPa97aoyzIEnGyqXW3pXkiHydA3F994KSuqhpaeZ1Jcc4 +a60sgU73FTUBMXXbA1v/RJlLN7HE1VyHUVVpSYZyFCjeCnRWBMeGmwVhThLyC0GA +wLhR75A3Rra8A32MEv5yWmryV8359kTivEWbLIHDXsMx6yHKjIgZRz8Ho5XbyGb5 +Vl5SRU7AmQl3AmpSs+YxYcOijH0qV897aTK1BUa01s4WMVZ5d0/R7SeyNVhZqR9h +7SkNc5INtitJ/5/8yGRnbBqptNalGRaZh62InwhVrIGBTEKDVKp3pQsea/ajelpn +1fpxtQt+UXLcXutZqOXQMYMHsTjYz9qhw+qvaRiXUooMrAWooZZLv5xadm8Dx3gu +0yEWDk3LGnwLvz8EiTY7l7C/7Rg/ciY07GcpHKh3PY8expAQZ+XeHcY2dHcVn7Fe +QAyRlodJHgh5DiSkcSwqMNzhLGLVaAL16ooUJedVg0UjpGfpTA3vS043uUi/pUZr +LhihjqpBOEiarZ33ZfzuLU5iUuHYPmXWxCxRCz0Y/CorpB7PkxG8dUqrxAm+amYY +iZnFCEJ++MS0f3en6ypeQzmDgK2CYuNR7+KhP4YdsoAKuLMzHEn3k2IknYIZ1k1I ++0qwR8yfTmZB4CfBWj1ioCMpBi9ON473OYeJuypj99p4uGCwpk+rUsKiHV+Px4It +9hd0CmcYHEgH/WvMtWISry59+r7kiN4FJuy7GcTP/xUQMuFZ42M2EWfCriwA4hqP +ulv9yNSadxRToPcuq60hdVvLKokMz7PbD9YQdn2fdDq/gc1yYdbHabXrRDydO6T3 +ZtbG1p/NxhiSOcZCO8JbQfq2gg9fK53U2lZLPCqmqahvRpSxSTIuj4+6VelkumPG +5QMT8YKrT1j00AXvqEa7zrBIfyg7P8pNnHXvLiUV0rMcHbigUsE85wQhSgQJqO5W +50azz36CawL5XKJ/XbLzbNTIAFk5qBOj5MbshdaBT+uiZyV0395O3nFF5x9XQgTk +Gr+DLVPbYkBK3lRf3T1cnh8Vdkp7yBDCSsmEz57MgORPXbz8im8ALkJhc6n+Ff6J +ueQGV/ZaY9GTq2rlYVr/I6J3VWpMTHdDhQsXATzrqrWYLT6wi1/xFO88s1ubbJNE +cx2iV+Q5fVsH/44Nzomln6WYVRjLCAsLsq+qDCT7cb/j3eJdCD6OnbmSMXwC2ga2 +V6O+AM72yMufll8FtJBUbgOq3JVWZa06JqZjhJdOR++IAGgj+QJUG84IIREuDnyc +MjgyzoNXmRVudgRkt6HzLgGo6shCr2/1UyysNBGkN+xPo8sb+ZywQKJM+5JnTBqw +oCkkpDZM6Jvd2MVy/QrccfK8Ifn05/tTD4QEF68t8aCbB/iHgNbspxdKh+rfyz8E +IgmovIM6GiXybePdlWpfbqyrr3S9unk4CZhl2pJ89vo1j+mExvbf++a9uHK1bRCA +DfYQQu5zGte52fhmNe6C7JLiBlk4xna1U+1QgFRJSEwfjTrJrkA+FSNGFANdBWTA +GofpaemnRFksCJfKHQa1i17JLcTzVGNp/s1AHx1GPbhbfRQpYLdcGkg6Qz0XqDYd +V5gdQbWKJgulFLbq64rAzNo9sCXz5+yvrhhp26ewVG4+I9daOxg/V231S/yszMsR +vyYGDDYYZkgqC9eD4rnl+ff9jpcVfxVdwLviyRDDQ0wPHrIDOLd/n+7bSbTKMiu6 +Elq4WxJubX57r9IQMic874cVMRiQnyHGCutV8ML4vQj6TXAbF5AxGkDUMzeXYZo/ +2puCdf4UnCPxr7pdkfysNsGUQVXAa9z+I5gs4k5GMXl/S0lp0ewzAHr2NS0S9tsm +p/e2K4bUcePXRG+HrVL7p9nYJmo2sQjuXjeEHN8zbFB1mMfMqfJIHoSuuiuiQobS +IdUH7/ZQ4FmO4pFbxoygJSjqCBfJw4jSkua/QxgwbjmeQYAxpoSBgaKoPdDtqnVE +78Wid8jhfjDW/2OGQORW3aTCiGfZo2QEIRkdu2k+jiku+Ll+LoX5vCqBFjim0gGE +djwc5ekAp9cBaa7Nt5FxXe3S2avNhzdcVABjECSxnEJk+s+aBgtnnGFVTCjUrWsC +/zb+hcO1yI4gc4e6lQSQR4vFFkh+kJkityxDuvim/ER+at3wabyyVgTcLek6kH67 +H5TcN04nr/C9pLfztAH3XnyrhkjfopcbEOmMoy68H8PoSl1xHXpAvXP6eQqZnd+o +1eA/R23i9lwXYljabF3yrvKVu8PL/BMsRKZZOBjUxBu52ATZpIl6plhs29mOvaww +lOzzZePKewTojJ74eqsiiDOZpIUY21z4GCM/OdZ5IdDboeIgkOSIPyf8RH/rFYWQ +g3dWQ0GUQnZFvWETIjOrX5WBfWVOUsaceBq2NPHof0d9uddre1V4cMNuY6IzcAch +bXqan52wI6C1l2HAuef/CzTWL/9kpLiICNtRDB1ClKjKkEurm0Yua0mCIKu/N7J3 +GjzsfXvu6uQ+4XHOpnNQA39YaOt5cwfOgG0yKTlN8Pn5KavQRLQbr+lXBEu90RbJ +BrlcEROyUGin76J1wF1jFAqyDct/HOk/YrVQErwDs+f3S9KWUC46YkZbSWmyM/zH +y++3fzQrObrrvCS59ZXsSujjuqzw98xaWThq8FV2emFIPCU6nGmitYVRKcjgs01Z +Bu9+kPFn2aOTFbG+kkEjKZGZsnQzS25UlMoI5nURT3gw7TnAFLP3C9IPNRdEs1KA +fBCwSnBu2l4dQyogBbTRzCp4JzrUZS7hl3y120rI+YCo88lbx7wosanWMQOzhR9N +KejWNtCkvFI1PE48xZlcQ0UNQNAT+3+66Z+1WO6SdIu7lSAkeUIGc/ernG/0g2iy +Hd67kRM5K3qlUm/600NrHydWOcs4TNbDIdn7duU3QdoAvLmh3qK1m3fA4tmIVC/S +aBjvOXdXyWW0Sd6XsSFTsRAYtadub/XixMh9Z+JIGdKvxnk892pMfmzCPkWMLaQc +xo3fP5RUtNMwxShY4cnNLTgTKJFP4vnERgRCIs197x0B8gzCResOADt30Ag4VBoQ +wqgWbPHEeFF3u9AX1jd0Qcgv1kPW8nbWF9pWqaZhFLVbFfsvA9781Kh8WHulWng9 +JS9L8UzWatdyyRmJ9fQTvTjHckVpCv9tE23h/BXYYnhzGp6H6wLRhJWODdL7omli +3GfRyvy0p6CfFTN4Jz7ElF7lKsx4xCLIzbo3v3QslFTvx9jjcqL9+u5Es6kIBOQ2 +yVpBaoEoBIii1c58UWHSvqBRfQ+CroQVb2uW0MorXUzJCRB0wOF8QQ1XvjE+I2Yb +WJvfr/pvAx7zaKBbZp/wFjIcVqKJlhdO0J3ptwtJt+Rr4ex7P5xKjJ7XrEgQRbzr +s0s4eWt+Xx3xbTuvE2F7kNMlmOK6ui7sRkWoPtv+uXvmVAh7WPmnGoqiQIE8nmZm +j6moOTOb8s/cVfIbeYO8M4vvivA/mn9JGCzh6k5vS6hqY7QcAObMtDlrb0b3iZus +24lkZcJytvnMWa0/+T/b+GIWDJ1hEqIFIU1XBR1m8YJ7c1AWuc9qd6UEWT1/DSVV +hJA23KhSWp7tmVjLqWWzuFu6sCtX/ZEWtquAkLTw2O2y1m1YtXc0m+KRSAFiKPdU +zI/10V5et6r1Q0iEqIAHY3kkmA/qYZYacsoMKfU2qy9R1RLrFr52E8qaQ9rb5You +PEc6zxJwJ+o4cFYaGOpgv0qYOgLbqqzVRLdlHAjgttKb/vcMV2F6wnY30YIlfAox +qSXU8GiLPm8Es0BwZm39on+gbWOQTmOgf4FYccqzy7REuChZzJ7izbAOJQ+iZ7S1 +pkiC/iqWCT9FjCwdRxMzno1min4h+KstaExD4yjNTOXy86TaiNkDvDnnNEKxJhQf +3pvIGEPudgRxrOTzAp2+ii6JrTHe71URzR0XHqOiQl11kcLQNNk5scz4mB312k/E +hg1LxicRxLA+peWIrhivE6mWs9YRR3TKBWQhyITJ8iA9KbeOvqC8XircyTyLlHsW +b2kI5wjU0ZPBn+8q0/KHEm9oDdqo/CM/OSf/JipUH5t42X3tLm3QLPICB7GSKJQK +luhK1Hh3yXfQP0xo39xvjkAMcZszaAg9lLtcRqS2ISPPh+t5eMkyIN8CHfFe93Sb +O2UoN4WIvPKYdP7lmC8V6xXdelerkccu2mXuo3NQKU5TZYUyT3T5iuFKgzIeqW7C +WI4LahdVkfIgIuFavQt1NipO6PO43tIRuGRGUWYlkSVW2Arn7JXATdpCTR9APFiW +NqkGL4Ke2nC0BmIetl6JY8sCrLC0UOPSqrT0OE7YuVWUxUeuWetHX/KQk9ZrgRmr +jKkwpsE/gT8cxjYWFMeoUvUM6PNk1NmSBULm0hoDBu+xBk+Z4XlHjf+hWW5+AiTJ +KABiZ+fuaKOX4MJFS7dL9SkJ36woBWKdMZCSTDqsmIuBuMVPXURibhu+teDpaCY9 +lX3HohaDNecsxt8BGekZqPo5Oy2WUDn5kN8s55NA0TMCKQnSg3j62EzKkgPG1svm +d+2PIGkroOm0YvaK769rhfgnG/mO2N33j3HD3GxG71Iao+Ur5Q+yazQ2xidLDvzm +B814Yoa3rfFxtJ5ANbgr19wim3QNrvz2bVtds2ztY2uswVgrFEoFJMjhoZNyNvWT +oHdGPUjd0fVsTKNp3JHFVzZkWfIYyq9dA+dWXtFLg70m3XaDfwZR2LANNnxs8c8I +iXxBeK7kWL50tGQ7t3MqNrvOtJFDCOIqny3UvzA7t0fYZKDe0lzxSw28X6mXY8MG ++vFQLadgtwizn8CBi1nKvwBCEjBIOnHDYJ7wGjcU0magaK9BJaO9gYAykzfyqZop +fZcXmQnRpUN2WSjZfrEp0qRhbCjOvMfTpfVHIllpZEhGtXtA+fo3y5RdOrZi03Rz +tnznnzgceU24ndQekFwPG2K//NTgXD6HKboun0v4U3zTNmF/qUInlTtLeoKq+yzS +pyirEFGhQ/i3YLOi+Ke2ecLHQUrhihn0f9n6oFCbre5QxLCxLpNZ64asjvNjw9ov +ChIM1217yfa1/vV/ByNlTK/fBT3Y17YO6cXyhA+7yGiIqJALMBRgZjnA9ZNzoPw3 +jBfbUoEAcCNoEZp/1izIMVqEPp+JsTUCCXyXGODdpRzfjDxBuM2Q2orAxu3lSAzc +64+eEsscq6LzbgfXl0FwtdjqwXuBu4gSmMTEza7JAEzwdR2kuMpR9Pqh9nYNstdn +vxMtpME8gmaladJXJ30JkdmdfiqQwA8+lLl6zIpoc6wMqtFtpXmVhcmKOZKXKr9O +wpOdaR86XJ/0SZ+YLxgZPasRmZaomrcbFmIvP1d+r5KFJHMMoVikDsj3eF+Sna5H +TkE/RW/VnRuygssIT/rEthFxbeF90zFEUcGZop6IjE/Y0SZ3SfobAV8lY0TEBo1B +4xJHHVZSrTbaohqS7WBCOOLDOxvxw9aCADxN0leT/4737qgbWtbhnJp43f1wEKv0 +Vgtv5TybIeu6ovgD1c/6aLC7+NM/mrXsV4FvogWps0L7S2P7FzQwO5QzRk2UZb/d +6e8qsdC/s+AINXWIwNfPpW1UQC/sG7phAbhVt18WX6MeGylZRCO4HjK+qstUuyhh +EngxqBVEj3ClSwSMMjeq7degvpPyiGpLgsP3TQkE5P33e8tVJgcvZY3ye73dYeet +Q7a8xlwNnREDKuIYrZrKZjYBRULXJoroj0d0ftJNq7zOF/bqDfYofHOrg+WfCNGS +JgSagJ4bnk1+6kN4bdzBVcFSuH5Msf0efpz5x25P5H6IL6JV385vJg63Ii5wcfcy +q2OvSKz9GJgECHgnhMsSYtRFhY3y363J30i8uia4XGMSN3jsBTonvCa4PBbOdRUU +s26trmEbJCEqxrGOGgOkFxYHCbkG1WsfhQSixb8goK7TqmiT5hOfQ9wyQMnBQa6X +mbew7IwBt2WoyIRNR+9VaDiR/ZTtlvysA61SxHe4af+vjTTo5ShmYypmRnLwyu35 +kLQvMV0pODKgcCnMZG8rkPoV9Axq02wKDj2Q1MWXsPfUKgvMbCh+OQIlpdA9x/xs +hM/ZmLVkhycprCk3sLzvkxIauXb5oGTLT6ULDWIE4N6cbZ5ckSxkLukNed6pVZ3G +gdtw9xYiDg/isEJeQ7IYfa7jcg+jt74KsI/ikz/nh36ZTOfBZZwFG1QGq3SdnHF2 +F1RvZVby5nnvIbYm40RDMPhXHVobbIuwP5hH+wSmtSfXM+2E9VDqmgvH/eYm/6Zj +BSXk66qODc/qDa96XHvCMI5NAQdQYPWqmlA43E0Jj9VwQ6ZSpyZ+t4JZVvzVhwDL +h5Jm8G3adCvawXnBg6s8S+sViL8QGcLipx+d7vThmdml2w8dF9WE+P0ke2u1gUDY +hzwa2ymlV03prqRjz9oQrwkvMiqBihDM47oX06awn83F3d3l4h68XJAwk87dfyEJ +YMBSzfY4NiKNLc3qUJRIS8IYbWzFAW8TVleTEzRfUwr31vlqpDugLeQU4AoQDC7M +jPYBMPCKARM1QlPKtG/WlsD3DTrzUIW16wnl3KJctfb9Jo8+yalBLHZPYxDxpKmD +rzGFIMntwG8uK2YBH/1FFvX8F+7iOXSK54+sWjh6kLtUzcmH+//3wgMAQbBrXM/q +n0KLUN+Dv0pTSMPFcxpKp/FFcQOxGUUgg8FO5YWm/pS53t9QT4yE4YSi3nBL9Es+ +XQ4B4bo9kvtDTKdta5kZ9TS+EuRiyyftQzbXASn+otzocuPicwaXFHWFG5NU0GrL +LJgFE4NwkVAaa67xl6o2rtcQKORF7WxRchtdnY/+oe6rFkuvCellF4/eIB5OZQyI +dvqKUA/FecdU1/TAS9ElVIO+dqGVfvoQSI4iM0U1QsUOGE/HCYjhup/YtrzQx36y +HeKmGrzOj6+g9izpV/yFItcsPgkGlIsgfB7oOcPFsPTNeYZH9sUHT0/GoyzHUxCL +tOI2m+fL5SUxHzZtCY2IYTC4ZJTBL1SSs0GLDRWs8zRysKcSW24I/2EiVHZiGBIi +/Kva+u+JwS/uwIs+o3IDhKtdAm/O6SCeKSV/wC925rb3vxKHPkmbQDABuyeQES2u +9TBWyO2l1pcOLgAoFwbhV0a64ZX11p7wz8QazDmQgHeeyY/RZ2CnzRaPcEyJlTlI +RScEKsZiNHU8OmZ+I5PeBztT5lJwRfDlhHI5gudEVHWTmzu6dYzLVU6HYyvDC5iA +i4cUH52MQNh2c4QGP3FqBCdP4KVoXUTdU4MIYVNayYb7DVn1tTMn4IW/vHB81HyZ +tpeIExWqcK+qKh0zaQZ1+TtAChRZ/Ro1ByOUq2jslG9VS6wql1fNM6RfwT/uTfvY +iBkpuTHwBMYHbUm9jW7EdYE1vGgGCPADYfnNxjZun9Ylp7qow5YoM4a6I/gTe1DW +H1jbr2JKcSESB0fzbreyPJCwtY4wc4BKglK7c/kPbmcSHwwiDtkc5M0cl4IT1fIE +9tOZ3ilfTuQfBsI9RyTFMYHawyUFg81MkYg9EWI7rDzKNb7flj+uhnTU0KkNis4c +u3QXvnls4vIohNwWYhwAEvBzat/c9nYWlLG5nauFwBb8JSA+ytPmLJTM6T4wW9iW +O/VtLqcsSj8aJoiYOexnA94nvBjnJnzDw00etUJa+As5spRv0XNDfFCx0ReSiWZq +RpIdLy4NsHWZOQMJIvkbk11yTV/Ff0jN6612gpRGkoaSdW2+2o/IEPdQk10Pzqnt +IwBkD5ugsTURpD6BnQwUGPDCfE6tyM1fk7P9RNiJ0XHv79D6uHiCxW/2ki1NweLU +fkRNDBxgO/G/M1b/wQoA/bHpdtE7hAqzMxg42jEZKgrkJmMpH27QlrmoslU0Sn5V +2nNYZAi05lfocHcWrNTQExrOodqI5+8g92FaVEeiGehozywKJ5wjalTM0AiACk8e +IDODC968/UneJ9dQ/9c8/7mMl5EsXrlSXl1XWg9bQmZBhi6IzC5BLIvz19CLkXNm +XOtBz3gi5od1IcxmGJ3+YlxTfn6T6Z6UtQzdKmlKvyAVZxfl9D3TgDeDbkaetpof +vzcxw3B9YQNKgGkgMPVpGrU7+anWeucB6rSxrJbkF8cp/wiwM91EkyXKZcfDBtxp +NiCTp88+4Hjn2BpQzAi3fcaeBqB0zxr0GJG58niKP40/X3ZtF2Bepd+rye/9qbkB +7ZXO+WSNAyePNeR2aOo0mwrAihjyUt2/rRt61ChRaDo5vuPz8Zn6Eue1pcy7+ZbF +qO091EjIlw9aNa1XFkwSdDXp2y4DTErfdH5oFXeZzx/ufsHZfFXe7CyBGVPCk/7Z +37avGGOt+I9nZgzvpOoF1J9oitoZ1LRZjWoXEwNb1EdIiFMkCODTLzcZ68hAUQG8 +xGVIVvU8mSri4LLQ37Zy5oaoIi1tnkAI6MmIE558VsYeZl0tva4i7zHnp5MhJR30 +BolsNB9Dzs9Nymxrc75HOWFtKhjgcEhC25UYMk66p3t4UQFwbMqqTkbjHAB0jHxM +eOq/ZZ7uLmOmEJsDxJkzoNJb0yUOYcIMeNMWuYNljYp1j84zAJOnHW5ILDqJwY+m +G8JMWivNTbfF52OC6LuRgQKUXlV9cM60iH3GbOxyz1YGAOdSY3S0tRxIm5XdNyU1 +a/CWojTW/jXMl3nL6Q426QIWZnX0E6Rey2LIYSjHNfocY8oeM91JT85U1Dw865ZV +CNwe4PrDG2u+iuX/GECwty9vciwPGlhXdkIDFAEk9vTMpK1F+gMo/Qm1Ogonieb8 +7GyL1AzdUP08wMViHx1o3Or4cl4+XzWxPZeew8t5TVhCTSm4jj+Ta59cwFx1u0LK +w03k3ie30iC8Up51hqz+dXwC1IDvR3n1Uv4Q+Ur8ILqgeNgFDeEmRE8sLvZVJ8Ct +fTp1d5LiR1V6CbpVz5sf8RIUJj7atH7VAsYdRl2rpzOFzakoWuMN+V0LAgau6kjN +ysLSe2DBt4Urtj5qsWZ0b6rvE/jWlLUYRout1dOEObYXeNsV3DeWwqEXkTGG0CCo +sM1roqGNOZJYtvRtlOTmWYMPqGz8sHCCQFFPV7DlsuiGlcRXbRqx5WDdltv6wQfT +1R+gfK9CYzlx+tDwJt0R8R0oRARmCpp5M9PgOCWWQQn4XJvF0hZ9pXtOkEkaD89n +CnqG2p94es/Xbyjk/zvTj+WGpmipVlmSnt/DmJyabY+p4qA14WEz6+a2nixt5781 +VYZdAiAc/TTzAnesayHjFvLIfNM4KDMHTVsHCfFm2n9+OxZfV1b7/sTvJMb0KZr3 +t1pdG8slcGOhvysrP0VUAnpD47ERgQWclj35nvHXn26i6D8cTrdiODy+3jT+bShR +Ac6biiCnGR3viwPFcdUDxn9cziZZ/hC1a7Bo+RFeSZiYJZLEq5LIxt3R6JycIhxo +PL5ht2YjtCmW4PABCkU2hnygLYQxB6ufoKIOXw/e7JlINRGOZq1HN+wOxZlUU53g +FIIb3hmJA9y0l2L8xKoy58Z4KnAgphVmpyv9HMi4UUf+5AzboJLcLh54bmpJArmm +KQLX5Y71Kg2o6nE0o+F7kXIw1tW9lUcjUo+kOH/saTvq8r5oYfR1x6RROTtUvf5J +RL2smtSob634mMXX/SW2XT4vuNqqZ3MchGluoQxvmCTO0wickmtpVV/2W26yV38Z +2ZLk95w+W4TOUhWBbkvjD12h4A0fatYrVjTRtjEvOaMvjnhEdV+UePvF7KT4BS5X +9lLM1kGu/zV6oqK+Ypi+qKALWcVwaSh2N0q5hzuM7RvMtvrdrPXHHMiphlUmEIyq +ayyxpRSBy6arjtk632jXVmDrY4l4o7i4MOnDco0xpOXnoWrCunowHWwl+lO6ljfu ++E30DXbBjF6D23sxHib0XOfJ53mPvb1aN0DSvqb7tIQD995aEqGjJETpV6J7Uwc0 +Tmg/k1kDCyVmxtwFUvtsA/ZoQSx/iH03yOZbceFPq/JcdhPaVsg62mAdvW/0Ri7W +1+FPQ05LdR8MbVOD2W/Q1Z/PCdLGMW53Yfe4t+35ZzWHsjEIw9VZixPMTt0hp9+N +B42xd4cquoiBFczg4PiZ3/N/zFxSrB50n7vf7Gy6GjYrZoe3SDhUWrFanNVcJInl +pGuemykx925D/U67WWScQTFnDJLynGgQum/KQ06sE//om0yqH2y9KTO1BgQWzTmx +cuNsaV5hOuYsrHi14VbySXhhEkTHXJsfak3vazS2t+tyMpy2qrQmcWa+BQd5itM4 +oBi5acK7Ine+U8H8mg4foUhC1HgmvzlqMA3RFwVvFnxGPoBlXXiXZr/dTFa4HjI2 +aeaCPYpto+X2+9s4rYBtOtfQIQ4C8TT14SkaYu65pfFCXRm067aMmEwh7lVeZ+Yx +y5tniY8H/YXDcKhE5x38BIQp0VsFn45u0zUpUyx5/r7ZmeWOQcH0XMr3B97xz93X +s2pg0OjUPogkAfdsqkEpy+rSJ68XHLsYq8eOHdG0Sn2oLsvlOhE+LIsRMoAjzUj4 +rMtZXLXIk2klAgbh+QWj6PbkARWur8iFkp93daNz3dV5l8t/y889Xe7JV+rEWumr +sISNduFIFsG+Kpky8WA/CE2iBXbT87xA9PExhGrd7lCi33I0ibYD66PktH8JqlSl +LLm0AWwdRhCT7dptZmnR2VfIIY8W5j6aea9JlZvzbdzwFlUJoY2AcjCJw1H7osE1 +QtEL1OWoNhAi52xwpaxrrGA7f+CsnyLI3bg2TBrC6LZizTDbNTM22lQkxyBaXK11 +SiQZOPzTNQ2ScVVGZtI80QNMJ2BijRj/jaL/Jh18+6wfMibDqqtm3IkyVP4+/gBW +1dyLL4WrzwwiWRWFLFIcDAJjAKNniKidj+FX3liEnnDINZrSFsfGf62lJr4w1vEi +3M2vcve25Scj21iwNbvMce2OaBh37Ixg4dPtkYAei/XYI0jT4TWU+20YGDczV8YM +ptRG5xyMK8VCfCgXbUt+DMK4r6FJ1isgVPZ+73BTcz8JFH63RwTyxWu4XAsNhlgr +6+/u2eQRhMOHoMxI1k+QGZ+cqkndtDv8FVGkMYhGwikDIpmk139Z6+R7Mypb3Q0k +YX0u2ebpEujuncIdOSJ4RFGSdpla7VQOA2UoKB36iePgHoWM3REgRaW31CkkZv7s +Z2MnAiAQY6Quv8hp3raOpXUD7YcGQXaa6YNyzORrofc40+VIHglUQXxFCT63jjb9 +h3PlYwJ7UxgHssQw9G5kcj8X4O8LR13QAjBoot6dXYMzLGdZkNIlmZ8ikQRB69vO +ZC0hiMVuqjh8o/UNHyd447Vk2KyPmheCyeHu/3Hd3OvREXc+mIno42pocK4qUHe2 +3rADV2XEd1QqmVlBX0RWYdeY/cJDBQFYL1bugbVnI7UaP++GzbkcJjl7IgLQ5zar +UJsk5pdBH9jTAWJo7UZJAoKoITz2wzjuAhm5/q7bc9N8vuX6buibiE20U3R/1oV6 +CnUGBxDPEmANcKvsce/ZABUN8FpmviP27NNHGuYb4byOftNebLWCeVPruGLv4e5z +LfbNHQ70hixY8lW7jIKU/fnSWzcc7ONR/n7D7rTrAe228HJPOZSQXjjNunZC++AI +pSDTYo94sY1Bg3ze34yQRClZJyZWbk0gvX4+4t9geIulMtU08/a5IqCpVVpI8EXi +aRdVcxZoh02s0n/+Ob6Bt4oK1M4ljGZSPcisfEdTBZ7pYdoG+3LHoM7wRI7WWHj/ +LefjIACY4yNKsBBmkK6YW8vZXTeIeDOX0xDXmIDFmJePPaI9k2v9UOBYkme7FgC7 +AKIbdLAl+7gzRiC2f4rRKfobTyeDTvv+nl0hCUR7Lh8vBaHEOjYoOkuVkl0n3ZU/ ++Op8GuB77F+HEzilY3Z1kJogBJtqStKnMSkeDSx9hlbwtQNEhsJRwozIgw/dlxRp +D1eCyMFyzzXRexe8x14scV0AFCFIK8NISWMMjCKTsxly331x46XGDrG5olwcBwku +5kZfdHH3uE6QVfs6r8RdCSgWLh9lR3T8J/MI7W32RhBokORqDc4BTkKvM0VXkfAm +FzhA0v1LpFpyEaOnmbwRB4aTGs7yyWPQjKKXZn//esuzxTqcedSeR0YO/WWRW+3d +NM0+hq6TwWc5lab+Dkse5IR4lw7gHDMCaX9kaKMJZxSJ6VhM9iOWK/8t89CFdygi +3qojhrdTG0l+fMWoLzxGJTVpjEuhAavVs8yFWm/tT7Qwfv6Hq5xgb7k2S9OD8m1b +HyJHBItKia+dFTwMDA/CskeC03OgewBXD7bPd/B+koPfLpgKyDaOQAXSSVZzsezv +d19HjSao4i7v6Zw8hXFQbKHQo13EUkeb/SNVNrx1FpApPDDjvpbU2qsRXaVOM6bJ +EpviHCt7//92LxuypZOOLZs0qRUF6GXoeWEqgDpRkyqXz6vLGuND4EoaD63/Fqcr +a7R5516e4Xtc0s8EwBqBLQqmrJnJcGnAQCkvm9ZCfTAuDLWVfvLzpmDvrJAcLbdA +IZdoEsJNCV9HZt6Tn0zfSqUVqN8e1zMosw8SSP9Uk3GV7MDQYcxJoXncIE9iEr4Z +f0XHaKcNg9+RZ9/5EOyEe2VlSnqsR31L7dk2CxvNEcnuNzinxlggmtV6deN6bb9d +kwD582CSkI0YjI2j9LxHjqe9+Fh9pvlXAujoL5Fb9zrCGtFFTNFzdtm36XYPmpd8 +egTEWyA4ZH9qG14yHmnEdOOe7DV7tByA72kOn3g4Ay7yRnJuhj/BSabqFl8/Mxob +c09M+ULDLXW2chPFen2Np1CTcermi6W9MQGownlN5JZj52mRDBAmLfB75aJ7cKca +KjFFygfenCnFXbMa95fnYYMlRAtxbbvgto7biXrY53sk+uf5xAmDyM+I27IqZvIy +Ep6wcnfT5Tkv7dwyETZD3Damb+nryarfiP9TV3psneXjYJ1fWq9Grd8NDscwdM5B +HzwKiMyaXAAJuOLF7L8l0GugwQ364C9R34CG5KoPYRter4gEkJ6iqzHY1K0HZkFo +Vz9yd6VOMSS1Ci9cjiKODoHm/zHwHyDEgwjtUOjMO7ZwZpGGL4q1K1Xit8PnMkn/ +PS6AU1lH8TC6UKXVoPUnUaRPrVk/NPsqEulSEuEnDdsVdjgWpHJ3zpdFbHRIAhV/ +zHGqWoB2hh7AUG42RnZbMdxzIDXR/v86uAdh0csk+oO87wMzpuBHtbiDgO/Hz4KG +HTaTdo89dK3GMDrjFZ727wO+kQMzrWSiqSMFl1+oWx0PkPd2QfHRLosaMLtXPzQO +g7b9j3qEqXZwqbOGt1M3uJRAgurqOyutzsdaYKaD+VNsoGRuRzcWwhdG/DYf0nsC +3Sk4My4ml5ZJPcQAPVrjTIOHVy/kcVY1K37aj7StUJ/X1yhsuswgPojf6W8iMsvb +cZ6kuCa7W2RaYao5LyEGzwS6QspP9bqnuplimRIOKSirqag2gpYciYP0aeN/3G5h +yU6Hwod5Do9uXlRw7lDCUBZgfTz44yIjny7uf9XACsxGu9Fjj2SyygLrCDoSOgl2 +zKENumBkPTLhJibb53vDGjoShn7LXVn7Y1RSJ85WBg6N0jhGP7SCaQFw6CevYfWv +HCV96y7++rYqbTQAId9viuAdfL6DlTXDbtM10bTpgPvBjHEX0kiF0nV5pc3r4YI5 +YWZzgKkoJtJuOX5Xk+2X5GLRU/cWEOaAtjsRlk7g1FMOJAvKdlaueTU8no/Yi5+W +8OgG6aEcqQaw5VVoaVP+RsDAu6Nk0su+oF/9Y/vJL568W9133miiAg7gGjfPeDxq +63lyQblsDv5as/hSSp5HDRi2FxkSHDiZI+CKHbjFpJwfVhrgQSmhNJzVsK/pRNEY ++axCUOxEukLzJz7nPwONM6BgVZfJuGmlgLgNJprbPRaVtiKpxso7HYmoINvORWQJ +j78AJNm8Tqtrd9iSZQS5E8YbGmyzHGVEuAhKTUGJMmvqsDCIUFN+k8lHmfmOG9M9 ++bvhM8LeFh2HA3pPCxvyarlfqpBUAqD9w+Y6q6BpmbFXfXP8+FwuWXVH6MSWA+fV ++VEfyOP5jZrIpnecSXvorTKDyIaFmvjcU/GTKIXmkHGMfX7Kb29NhO79KCJ7ugEi +FwnxPr1xJGMUINmRegtonnAmkxdrWf5gg8LgC1xZWQwshXCrrmDesZWPqYfIe4tt +0ManbMDazalQYY59p8ftvC/1KZiiCi1HTF4/mNolLV7rZijpb1JG7V+XtxgyQQlA +o3L+6jxio4iSIubkFqL/QbFxP1KBxWze9ub3rXyKJb3Jyq3NiTTfmX9Q8ziqPJuQ +wwlC31+42uUGWSuVwnvFAQKtrJ03Bh5o2ajzdvuldxrKIkLgmxVjwq+nOF84A4BQ +QQ7yWLyNm9TeMcXN1ZK86Metnuo6eybPd2KAmCGsoWfKb36jtzyoUV2a1/TDEpC6 +fcjI9CyH7zTCVGSTHmCxuZuXJxtG+RbvfdbRMi/UVILmoJIPondoDpNKsQ2CrV7Y +lNib/v49Rb3g04cPLVFsKlhUqR/Iush/aSd6w1YfYYJbLzeab0AmsrgvcaHre2ne +WJoQX4HNzCjJPypD3Ztg52UrV/jRp1f6h3TOxIP4GVkLflrgHXCGyDQqypl6nkAI +hk5uwB6N0gxGHB8uGoelcjTlexE0sK2euFE6Zoidd3stCf2Tycu3LnB3NW9IrDnq +BQgLKVThT1mR36P6FNUNkGs+itti1VpU6BiRSw13dfGzodpgrV1CKUUhUzJ+qTzs +yX5zz5B0HAeY+gopokzNkM4SrpfERLkJR6xny/Y2wUERY9AQ8RouMsrkswGOVyzI +uRh3g9FSbY0/6fMQiJLYDumfzMQs8DyctExkkBFKxN5ZL/TfEBr0NeYM99seZ7Ry +rx4hPfnWjWQ3QiBpFlcX9cFp85iAEZJV0SRO5URVTT8pZnhLkdE4TGNIvxhnQSPr +jnLNrqbVFd6Yk32dZl6YwIu5WV1txd93s+UWDoNXfvXPBLPYifCKyXH6N05BMPni +gcNQdYl1cMOc9esaR8r8mNuZxqQ2GIoMvclyXTQe6rwSx2YVpVJqzE4n0UhqeIO7 +yzOnedG5kV04J4kaY49sbr1FgbiU1u5yIopNcioiauETEqH1H4XdXRuTpQvONqdT +0Iis4FPzQpmkSmNrwomc9jkTvJODPovUlQIiSZCTHH3Cm5u4IauUdNMNsV/QF7Dc +OlAXtvVUXGoxFBERvqo99qdZVu7rvxMwIl+5DY5kiOSPlpfyTuZqzv2EF6Ci8pG8 +L0SqraTDpuIZ4i+QvDUeWsS+1njiay4oC7XRtGk95dN5xMeGn7SIQspSMu6myaa2 +uTB50E3hB8i+QlbKtU9gRXCwVNRfGOxDd2QfbSwTkfG/eMzgmaVDatOGpRsyQgZh +mRSrMZ+WnQ1GmcgMfzor2mgbA3189X+y/pvwBgq1FL/9JoA6FVWHpuEBX1z8JBVA +WdF66/8wtpkRGjFHTbXPgR7f2o+Y3bPHJEJInCuAXIcXBV5xgsfrBTDZ7HLSWJfl +H8Snm6T3s7l9YR/qBDYoJUewBVWXlO1tRMW1l0YyQt9e2ux0yZR4v/LKYxZnu27g +dgnfPcn4MzxyRsyZ1VjeZLG+0qiYCQ6kqYeztsXaF/FdX3wLrUfOjM5H5uIekq/F +A7Y4Kf6vRyGFdHGgAESwix2DQRCZsz4c28Dp3frR1ljNK9t+NMbSq/h8bDgGfNNi +lXcd216pZiiRf9VUTqcqZhRk/psVslPfBPvcc+BPmmg3TAYrOeUr0fm184XFay5p +4W4HAoP2XE6ZiqkJFMfP8TcBSzXbMcBZrW1WxRhIB2egi7WTOex75U6kZ1tEF/3a +xOl7Nv+8rfKJLGiPqciY5+fcq+H/iEjrTOt7IDV0Y5+4zPn2sTdtjRXEOgB7uj6Z +FoYplZVMHIkiIalGKXZxNlA3mzqwPKrHY0sUGHYvgRKMHsk5wLfNJqJyX2gYqqEy +qCnVzPNmPpXd+Z71Jmu5T/At/j6LCHtomjcCnE/kmH1z35X1LPhd+xI6HiQnO2/k +ytdavnh6JqQm8+Tzq6eW0s+dKegLNCPam2OyKT+r8WTr+RDZMj9EkDHF+NDDI5DJ +Cd/Z9h7qjf9NeYLwGMQpDXlnv/372UAEf2202GuokXpJr5T6q5U+IkJMzqBFpXtp +Y1aYSnX43EUPi0lGIsmlBE1jhYsGNF86qFOFM8bF1TXOd+1hVu3xY4V8gGTVfCfy +i3BFqUkBxGdurjqH2GWdsWmEtfc5gk13ZGiTLxB2JjQUPrSgyWzkcl5NWoFEPxaD +/1nY9jgpkg39cSyBNrgTzUQryPSpozR7TQbh7W3Ef+0XFsxV29adA9CDD7LamJfG +NTsXY7AwJGneOayUQgTf0/tiVrCmBoc5yugQB9DgCbrm+XQcZIPmDuxNUuMy/Rlq +9ZI5xc4/iHj/dJ4IalbCOo2n9tPPNEE/QNCy4zNActJdiUhEewzXAF/N7NwEdBQN +/bRU7r6SkLMDT+Zcd7YWut8tNsHPfFFqxiIEFn80qII+ZGbI1oHo5rhE2rWwelkx +gJA1HomCLzJ4sN4X6HLAj79pV/slwIHitg2+Thr4gfUmbmbZGKTcEDz5ZbtyjyNr +PEAJA03l7lFimeO31uvvplW/PMbG61akv3V+NUWVtoolzg3f89N2oup5gz8fOYTs +z9iDI/YvhJj98wepW9KXNQuD8Y8LT46Eaq13R8CiHbBm9m+rwBgapzGBzjaZ/mol +ymH6pBIsD9BR2rikw9SWZj+a35ubO0d4wUJ25Q/phFf0PX+Vmu3EAtGUWaiTExtz +HYhazbfG4RZWx2dOB1JjnC9s39ilRl5CpKPCQ5dRFEDCNzEx2LG1XAkBDW5misH5 +CKRYSzvHOU0gsHje5IPj/9wZdnWvVZyx92UwnESxFWNzzx7VEsG/96GhkG1K4XYQ +M+wKTJ1vdCd1BOdrc+AtnWFIScpKWL+MdfQlJJ0citIYuQcTkhZeG/t+nC5qxNrm +QxIbX3BQy6sbsqdCj/QRoyIiHVr1OPU0Rwebu5tnI+t3GfITRMh/OF9Kmf1VP9QY +T2PWRULoy1lC8DI+xYH50W0NRKgyb2QSNvwF8WQAY0yMcUyxUszo1L7RdhvBBQfE +YdU/ru3QIUabgdLX29KiXK1hvLdpQO0sb1+RNe4i2H1U85KcV5sHSGFdw1NSaCcg +v0L5d+3QfJQkb+H2XD3Mt5QA9HW76+9iT8eMERMiFmLAsDyCmb9kYqNvQ9N/pgY9 +yCIYjUhgtFwv3lTo8cYMnt2mc/Hrh/c57HM4glLD+Xy6kZUKZPtF+iPFMXCBpFIL +8WhdH7w723Gvuq0TA30B69TTFK9jm+SpbYvzUQjA5s3LR2FKHfVvpBBfJIdg3wY7 +bUdUzwn7oZuceZv52+xXqIVnKaxbS7pjAJd6vOlYYjSctQdrb5xMmsZosis6SdHQ +on541o1+uKdZRXhKJx9TE+WUSzPsxFhBwCcvFsE0ESlMeA+XK4JmiJ8QlMfvnsrd +rqMMgVxl6ZNOQYBtXUKmzgBMsPcBC3sctr6qEwNaGHyGMp2bXs6Poil/pqcHeMLH +CIwOrKVrKuLFP1gz0eFYk17Zfx+suIEZdkDHkTvgBldRZhmhi71uip6NUyHyUU79 +njjzx75F3qfoEYQYyrhh/x8SiuHLRYYFsV580vlEq+mw18X0NFpcpqZ7pb6jcpYK +e/qcmbY7Ojt7D8RKxMXR39D/NYu4wlJfCB9EAQCI6QEbz6y6cM7VhwEeQdEt+sws +8SNguLDxcq4BN2FGx90KHG+AJkobBZalAWS5Qak+d2C6NvPgkJcqHwumhZg5WPp4 +J6L5DSpUuUkkjoSILb9eYA97SknjrWUKxwvStqAoBRxW5PdzImy9VVmnJ4Qf9XTr +DwVPEokGXhqrvTOnTBzOTh2+TTVWT06t+8XNAPOnz1jlhYknFodm4Nzh0IOp5/xX +iSR32ClVxDWVtrH1Qnd+ZmJrN4JiJesF8e6Q3piN8wqvzgiWaAn/h10BHXAS1pjo +eDWlRh8UeP13zzeIYEBNG/YzdtZq2iCx4qaY1P9LtWLC6z0668fqrclGiYpRN+4f +SMPPJLUoZUjnn5btMeZXPkrl8rTh0qAXTqMwg+NU5tVP2POsekWl5MO30p9UzUfC +ChpT/FtZGjaY5oGgHYvlpVYSltPT7r+lv60eJwwo7w5kSif1vPu90fv0CRPTc5qH +0dpOIQxLz+QsRmbXCBsOWkXvqGRHQsqQXV2nNXk03In6taXJqztyp9cne0Udp5sU +TG1X+FbRqs4cgizJMYXkQxqjgvtBwaAppdMSLQLcVwz5PhDWsR7RZru4TOtg3Sxr +pwqUgTXWOfY/l53fZCmJkx65UkiOoOfVzVip3gesiFgRwqY05Bbm7GxR7JhgiGOT +tdSsaDJ/emYtkP8mVe319k6qSlFfDtAyZfq+XHiSzaRJSPSvD8Nn2+Bh2gQxWrQr +FeQHDlphIQC/at1wOa4HjLjnYNC7GZ5eoRw0uJn9Sw33S7Vn2472TEsABeYZ3WF1 +iMRoUg3r9ZcCx6YYGCdBzut1l+4lTwruS/g9QLxDLzyUDKgydG/P5r2yvLeGBNQY +prIkY+y+PiaPGW13Tx0OL2ZF1YBDT9lUAgL0rbHtqB3Ev69i+VlstY+mM5LFcdzV +Gg7P+yVDCpFwCQAmMq1rbvHIilQao9OhwccToAL5Psya9cv7eU4hzyWVysQ7pxIn +smxDK+EKqM7Nyh79CoV53eCJDJyECvWv+DUXFOyww75+cizAQwSt/7BphU5E5kai +qFm8LNr4hhRxNB76L9w2e6frI5gcxYYoMzzsLuLV/ctKxhZOHA0+JaqrPJEoYMZB +OhMTAMAnMEeRbvWvpgA08d6AyB0alSUE5vYZYhDfiYREJXul+vVfp2GPiCWGGa47 +RM1NVxDIJ4EtJOda1bCWhZykLLLzHOHOANYCAk0ibFtjgxPEQwvpwvI0c5k0yHOe +QcQPRmGb1NQOG25lMMiOITODzBjpPrnhXC6LsvbuRbFY47Oc+J8q4h93iezt+KOl +agDWLYbZqKuCtE2K28N83bDYFlyD54e/jC15NjmB8x4XjwiSqK+DJ6hgSguCwAu4 +YR5mIOMRQqji8F9w/0RLBEoeWRdYW9cxw82ajAwNYpFyNtdGvhxunLi48oQrC00V +m9eZVgz9dC6h1HMWHGtG4d9QpE93rbJKthGn9oSBLghn3/bt63t5wZ2IpkUFe+Ii +cIyVDiL3e06jyw7HFRaKW0WDAUwS5dcUSiTxLmlxF12/R7z77XQkTBMPyC3g5hWM +gkWOYkgRqo26bdxIqzMeiVqsSX1dtBDONWXAy70pzbbcSpd1+kI7tN621qj35Evw +jSK1ld0mDa08MyCB87vCYZJtM3OtzVCs7DJzntdz7YOkb7VN+StcbTHWJb0+8zKT +r5J+sd0YzsAx8W6PHCgiyk3WK9VDJYNkwavFwtQwu5MpNdEPMxlnNU7VNm3bGsDW +gJPCaNQqQyLwPfjaWgsN+xsmnhv20YPS3IfOoCIC7SXcbhhFhxJPMZ5v3i8JJEl/ +jBMCKHMbMZEgQSFtTxPR+Ro6WTUzcMFk5PCZ5Yt0idgKQ5VsUR6d/wR7vjAwJTYf +mVYsKUGjslJJHPYASPCuUvWjzs+GbjzN63tlgtxP2ffAEGa/f25bQ2Z5WjpUaHbc +8zA3N0u4bPIOtGMygzbKgD3k+X+ZhsxYJ20f7G7+BvM2ySpFIaDUe/JDd6wFdRDa +G3pgrS1izaKZMqE8Hxz0ibiSul6tfUDRjcRqmd9e690241sm0lthRfofyGpvz1M+ ++ILKkkiIw7G38U/XyGGYEppRWIE3XClEv5ZfBjZCZzqo3p01JVHimqS02hoWjntM +XrtdbyoKyAtW6n2jOJsA5rXQ7YF2CriYmV9nsqkJTQt2Q3/7xvqpYYMcC72VQ6Qa +x7ytvn9OMkrJGRwwn8j6ovomkYJOaPcfjLuYh78QP7VPOYcIY2VIGpH4En6+Tvb8 +/i5pTKWm9A9Zg+tzDhq8iZPGrvdTGrzX58xBNQ6ZSSdUyf2/YublqrK/oV3DXNqa +Ry1rBzMjUGEN+rUi/9WLovs8GpT7eJlOwKrwO0jijbsSushOGHu8Hi97WKd0YWnh +R0Zbw7a86INmcwik3cO+KL/AUZXK615Mw/AgiYJGlq9f2JOKqCpxYj/yM/GB2zDr +P/wZYfmqwP4mnlD7Gq9ifENXfCC5J1W60W+BX+iVUoeuNfm/EDMti6wnb5RRmG2l +g2BLp4N90d1aBbAzUI8lYHAoNcs8tpa7SoK8ZBQxabQkcO6bdGNEcQAbHc43oGsC +O4iRMjY8p1/lCNqmudX60e7LJm1TCfDkeS43ENzeADInU7G3gr5ZPIL43lpLMdxl +MCmGMNdMCG8Vwz2Ib85hv2SQZ/eLAKVrarzyvLTvbMn9y3n+TMUi7/cEPkEz0kPL +4un89zuHo6T3XwpPjKn1wm8Q2A1yqNJmvW3CD07ZupXLoobUCwnfkGNwaETZGzyB +0+1PhQ7rAPKd6o+naM+uTaGVpUqNpy6KNeVuv21H49OFf+4Syb0Pr/Kmi3iVxwW0 +uh5eNAeYQEDnqilITaDO0OxRaw9i6fA92gpuDQpacMhuFvWHksisn5EgTqKgUHDq +Nuc1PCpvsJYxpXXu9IL8elRMUb1aWoTpDucGBhM9ooyXEdTe/hw9mMryKt04tl+u +iW9VH7WQxDMsrIE8bYjcTrvciCsUb6vYIW99oCqN3VVRkDS6d3/hip5qT7uUhPjp +xeGK100aBlhjjB/hutRIw7xfpHNFBx6o8OIhva10o7BQ0yNQ+XHkyPer0B2kPioZ +VmSynYHnhDCvCypkH/GaLjb5cUgs9DJKhJV9c5Fedo9+2bHTEIWyE+WqSTz/H2zC +Kpx6JUb81fOhrJF6oaZtWlyaX78p7VV8QIPnDYLltS5otlWi4SUUfurJ8okKfRRI +eVlIluCKzrUHWmaTnO3nRDY/P+rf8aFvhMljIjgKXtYRggeD4ApW7RbKjqM/jnHS +xYY/5AFngmpjFKTR8iiOziqirRUPJiL/h9n+c8JpBP3xRGwNryrFRpFFNfxx4aL+ +RSgOdVyhJ16mRKpSKcVn/a8NS6P3eHJ0graHofDzoWyPvjLBWnxGUmWPQ48tincE +sdDJzYssYgc9LwLWlNSVst+pAMUxUuaiNVEiezphvRVeVxFN5K9kioD56YTGv4Jg +VyPysq5opHy1MuXWu6N9t/L9fpkZtLNvh0t8GRtIJJ2aR2J5AahvLNvr448BUqoU +Jma0d5k8NNlit+I0lmY7SNDF9DXGVAOce1G4wKr4Rf70qN9XJ9CQOyoQRnXKk2i2 ++3VijiLeVOWV3Wnn40/u2IzODx48dC4PZsqzl3zWHe1pXoSwMPQGtVhICh6nJf5j +B803Q2sq2tSPLhZ9oH67d6zIJepScmas6Eum0b3tx+/ljR8MBy7iVF2yFAk+2TvW +Q1R9EHOWUf4243prWyXlMBSeuWwtsa9KACJa8YidsDRML64RAur8RInAqGj3bvQH +bYSALSMk6N16RpKCR2WbgFOA9fXUDtGnv6yhpc9PyZMaUWaYLOPbanAacbwd2cgd +vOtAUnHXsyoCQwqUDeD0sMNzXtZGn2je1ZEUChCGDESnqYUIbpSeAqeFSViGdtvK +KwT0IEZ8StMewgTCIQz/+ZDtCqSh603rPdop/CH8WwresVuZ//NIBa/UYx/lGe2C +U2FHZW1xjSfvk59KRgvbKKo3O80CTjEsWYMV5gVRsLCk+sCING9nS9xxleguDr7j +O/IFgNfLTT0Ym5LHdpwfd2yDATxCQ2kYJdM5SlC4JFoiIZXBZhOesGmqR9dw6L3n +bwDnwvFbqfR2n8Mtkt8046VV7gnMKhjjriud97U3lbWogiAt8BRYSalHgonSGn5Q +ZSyd0DGdvPJCcsJ7zUVg912NjgzF7YON+CRySmeo2DxHSLYqfitknIY3R3Zngqvf +DdSiO6oEMS4EPjDmurn5B216eUpQr/qTgC5PTTqmZXJ3Y0RrFClt2ZYjvDg+6B5T +zKoyRpbSrFUSpNrsYG3L0Jmr/QIgMBojtzJBdHhr9iVSfFi7Vjzg9/BHMxNxo5z/ ++Wof7co7xqinsK4GyOaxOkixcUx3fC4X16yeOrlYfTlXfwq1Jkl9vXvuf4XkQ073 +hAGPpJTRH6ZKySxezmRuMY/gU56MuB32ccFudLlYqoqVTO3T0WH8aanJbdufPZ9+ ++IVeRs+WGDdCaa/2Zy6W0iEKVjPPs6H0M8ujkDmxqmYYTV2FVNKscxlcSqVfVai9 +lYE6//juGbq73hzkVL6PXeHcSEm+ZP/lpNtHlAcdhyeymOh8QiFr5NtiUjopYT+z +q29KnmiaowpPLWyYMG3G3kiaYW6Q2EnOIrHgAs5v7wQFtTd8OaiocjNex4vaOVBU +YoJg235kMTdZhoI5HWg2AgGUVLrgeKQ9q+zbdUQfXrVBMb6p7YVbTU6cZCeNTVV/ +S8jzhTSTlMO2dmTysDUTo7j+ottP6bewVfkOrRU+rW7HyM2mLA9yY0O3tKd1hETl +45DedidZHj+h1+SqRB4iSacxYFcSW91mgujIDQ386BRV3tXFQVcxEofo8l4kVVMT +JBWOXVKPdIcJ37EZ4BLKly0jpnCcg4hcUIKtXNQ5W2fgpABXXRabuoxrFefUThX8 +tvc5V2WHfcsU6tYDQMUKA5lIo6g1Eui+af+flO9YSGK3Ch+f4E2hDRDLjHpT3qoV +G2i71xoRckwnHVHLXfSSr4NUL2CjttoDHdtidTzNyczaQrjSEZ7OqKCGhL8jtXfY +LU/asTuSVIhu7bLGsDnC4YoUyLimHz5b+3fi2Y2Sxry/QXHqEiN3iLn8AFjcRFgT +thaXB8JrNsPkStxRuVZ/PC3ClOpdL8A6PYc4Ip+5SRffzFRb3XBjF21qi5E+UMy6 +0W4ubcsIRT5TX+1MduUdLy8TTzh1CWKvYKgSXtzBGe5qlq0xNQ7XqgT/cXyY2YBn +Vj6atNFxJbZUQuereTD19SvuNuKcH8GSJaIZrZDBsw9CjUXT8h0SMXz5yFJamjy/ +657VhfkDNjV1nauo4Nb5mERvjM2V63eCNxBCpsTxJ1ppLdpw/fu7DUhLA4DAft4Z +ooFzwUQxLaQ/3Ynmq1IgsXMdnYUsvxV+ERVS4ILf+g0Ni2ein5H/9jMi5PkgrsTl +WxP/Jb2v3saPfbz+zYsy+WwarsSSYC8hTkJI3ThG13aCtGbux80FmC494Azezo9Y +XAtiQNeD5Za1PZPQcj8TapInsPu3OgBRgnLK+y0zrDudmy5VbpoaS0FLqFaraNcj +LoYlBy6+FSbk3GabIi+uR3iiVoJVfN+gb7PD6bYa8f/A0WsUkNKiqWIusUkg4F5s +nvIZQlramyh+JS8mjOSvIGFcZpa+I/yLcA42RL7Bf4RofKmpR75R/r9Nzy00XNSC +0bOtruByNCHDzdyAN8r5wJmB5/uvc3ISpKXfRoqJbiDZS3A26tY+xOMXx5kIvhdI +lkmUZ8cL2fhiZMkRhwLlo6BQMY0G8Sx/gOvbXtJtiNiX7B2lV3hM0h7nO/tUdWaF +DBcMgSW7mwwov8zrKWcSshX3hu4rMudUlAvKzEnkXOGEeVm8n9i9D6Mdie31AZXj +xprThmqyCF137DinbJNqnhYk46bgVEeaWaGrOdY844Fpj8Cl3T6M64mjAYLcFoh4 +lv62unMAkLn50jfB67qW8rB94XNQNj/Ok4qjHX83L5aosw5d6+DAkaW2f54nGsP7 +LmYgZaOLFmXKp0DqctY2oEtABwyx/v9Ysujp30WYN4deTXCuyggf999FwtQRBYps +mA7SCbD0AxXvCcBJpsl8eKe/6bhZJDrh5IM++puZI+8XmgOHC6WzJgmw5ZsUJpMK +aa2R8sXQD3DF+1bCJLNlgboSJaiU3oZda3U7XLTbfpPul0WvtJTHAr1RsjVx59I1 +W0Jze2BjJ2+1mKNQzHLNSWW7/28m3uu9CZFgcF+tTiC4GET2lQ0wcqbUq24WaMBp +tOuApN25mgk2s0SeMqNm9uofbMmIEv4fpPd4KXHu3pcDZF1mh32cmCxGtCkU89U9 +iyVbs/29tE/sQyATFNaPxXe+upXD92A+tGiDVNtIGdjzYvy3LqUzeeeTMlSxjRcy +oaZ/vT7222Ka+SBdtjKciJlBcGcJjYAuug7oYegtg2kwqYQAKMkaDH/mVQKRUbZU +eDlpi5h6tQ418ucY2gvXrKxUFTACB7NIr3OOv1MmpNxhAMC4U9fcEuEwilsRvJ6m +W1tIDOzf+/YWWTUARPTyawelPHB7ffAaSL31h2GKx7Y6DDTzss8GepzdZas9i5hm +erRg2kmtpgrrIlSoWcE3K0n8kmGBY3EXkw8ZRl/FQJgaRD0alv0gm3Chri5AO9M0 +R67AgQ9A/24ajL/fhMJAcqurOCx7G3MiTd1oqh/plg8sJ6OZDqxtdlY/y/OZDDAw +mJEuuz3mm6getonCNu42cXO9W84oAri2+rKvExDufh/Tk1fjGn2WD8CKJJtQ4ZCK +srX3Hp/KZ5jGOX6UembRAnOPTGBLpFXqohEZ8EwKxEc4KcwIp4zO2uAtQ/uYNMvI +eZCIXS0PAemKlG9kBGXmK4K7SePILQ1aLiy9XsFHBgneOti1buiK03Hjn5Xkc1x7 +kfroMyMnpskk7mSMTAzC9lWa3DN1pq83ajNkZSwnroxatN9SfdFsKhOtxpiNZgN8 +e38jl1GsTCMLJFa0XkOV+ddE9A0iVNlRBf4tnmy9ukkNP4OpS/23KxkrqQcER5k4 +AgcKBIrw5n0ipMJOfhaNtcYVsZx5x/nCgDLlD8my4He7eBHiu7Ll1MlXmnBQW8jJ +02/g5eTfJew2PM58pSpRkmQWkyd7GVTNkzg4YcRx+ViS+QQgIu4a+aGgpM+M2qQr +M/8qNS37mUYlkJQkUzGIjD+OCQYTOmyfLQ4DQvdNDmO12FF8beNWTWYLeXqxP2KL +nUSi+IfmAdhv2IsL3y/52Rw468mdKBgvKjXNRglYoboTOQKxMsCoZ0Jm3T23GAXk +STejFwtsbwcU0y4TlfvXdr2xfRt2CZPaa5kmw9La4/9NMghVN9V2GMDGGirtcva0 +gRNj0HmlZnWJoWVGaVKjPKEoJPxURiy0LWx3fZbRO8Dzfb5VEX/D4G8tdJIU9fp9 +//RJclOSj3LHsCyx2ZfWB0O4sxrS+6+ySv9uVQ0IKI70dS/NXSBLMPmQNznSe/aP +Y6HSwqkS7hFX+bQyaREBdJoEU5LXsB5T7+VSlZMiop/2I7SHgzZmsAK0oBqJEYpd +QC0a5EV5EC66GJr62MfpXsnbR2suvTkVTvyFDwuRBUAgNaF/T4vPZ0Sv43WA8hu6 +we1vhVm1TGKOS2ZLs53nfWkGGT20MpuK0miQ2GVuO6cFvpRnbWL1V/J2nx8rjM6e +Vp7siJrzNQYmqdqCGwHrby8lUveQ5Gtb//3Mzf6NhGzSB3yr2F7S//WbQP7Koxkm +PPgXEnArmRvhKkTeO9E6GLhSWeG8lfrQBJMLgglkDAT4Lsmb+XYb9xDvRKg6n1QV +ORU6XcIFRTYemWaBsO5E9JgO3ZDlXu8e0d4EUnvSlZ/K1JPLAErRpFxoLgrazIS7 +FQsq5sx8TmZtsiciJ2gPLL50+Yi+QUYvxx9wbxivDJT1T/PqR1Q9cHqWwNH0VDRP +K8KEQSoj4fUFtcwfVYGh5QuSIDkNwiEGpmBoVUmoKT0rfoUsd9PjGaK6AmaWk1RL +SUWLktPmFNlt8EwgCsBa3/tMMz5jjYDm7xPl7X7GXvHaAnz+k5JPUKTJgsnn042s +VOfsTCToadH3czamO6RwAZpFQmmuSgrn0e+yk0fNcO4TfCOSU1OyOjbxGdrOVJR3 +CGs/I7/wpIpEzoP8MoKQti2Ov1eJ2AB7o32F5/GAvvW1NxRquthWih9iCDgHDo0C +LWMjNlOu7lt3gsDmRJpGQ0ghRrlO4xDR8AgK8tYp8qUTKc8Q7eI3PqGD2RlUCnRW +zyiHicVu/lr0l075IRrNDD2PFMr3lUdjthk+chjTlih8lo4TCcHEDJinAkzQaO0N +Cxi6x2kWYuo6BIBLFI5AvnFdBmnjvTZyqi/2Iqy7tGK5+k7ROjkw6jnUAn6qRXah +VDLNAvM0JmVbBJphZICWWNt3Z/WDHqkrNtzKv7lCvJ0AALK5/JtoJgDixb08MvPT +BvweW55pwFKj8wu/xwC+q0g4lvcJhTWhI8saSPkXdEIgWMG+rz/J2IlMiwXGq6jf +vuq2upiJvQ62zYM3xIh452CHL0knyFFFmKThzpivQUnxtMqxcnnlsNWaRchjcP1+ +LwSGQv7YXSe2Ede24X7KIty9KX5csHU25VZ5KTE9QYul7UOIY/Wp2p7l/OTTweUt +XpIKIWH0bL3Bzi2JXus13pAlg5cr4JDFq0oWVEHgjcgYoEzS1N+jpnyzISGcWvkt +3SqwYMAVxhk/keDqDghD73AO5BL+aR21Lw5ldru7cPsXnzNv2lb/iJyoLDQsHXIx +D5tzRDz0H369vRK1lf5k1iSb14R0cxmCkY9qtyu/DZi6+mqxnwGqa5fi0F7Y7P8B +O7R7CzsQEzO7KCgtMuxEkz+nvDKhrPIKMTMKzIVG5lj6OEu6dQmEcrC/fm2kGyaN +Q3MDhxp2EKyxhs8RwTL4Dzv7x9VB0yfEPHXEE7QWdalavIwLy4pRl1Y+4m0oKuGW +bS+k3TDxIihMaWfXmPmz40Omzd8u0TOf/usfjCbJq00Ng4EcO4gqEQgJpC1e8lQG +eNSO3xjHmIQctMAR3wZINdH25krnX/u1FTVFJuP/2XfMb9jnNmmrE5R75IieAGMQ +CnFwtC0nsZy2ou0PMgn4OmqHtNd+RCVSdZeTlMtypilIPycSCXNh59VHn9Tll0Xb +rcvjb5tI87v+oCzTJTugwdbOVmCTVNw9Xw6L+rdpSz1p3gLMxXovus3eh6q++93i +0/qu5zQEK7Qoirwl67xHxDvrnRqBGm0ozEwwI8Qkm/G1OhbkWFk2w08piLIzZuL+ +m1Mfv2xlg37AN0dO78tUxsIOcqWBajMbcjkRAgxbsrA1gIR7N73tG7zEzxY7RdR0 +6CdO6vG1L5JBhm6+cy+tOWH2Qu9GMVHKe3caBrb3rtifgw8wA5UtwQAWNCUvoxKt +E9G6YT/QW6Xsxv8Afbj4KZRswwXV3I/wtivRg/Sm/S8QpdMIBjLsNEKpg8iy5S1L +8wtJYRSYl9JdWSpyBPIk38Em7UgRMpGeyNWCLxQbnCIIsN0/Dl9ZbIFyQcUip+pG +HnRiuWueuuiz+P8CyTb73SogM2hwPTY2wpSarvnMXE7sWZ7T2OxihEF/F11MDDjl +lUnsx4yeLZuxByyVMNoAGW9C1wFiw/Fs+NAmiTChmKfcYjKWbDm2zI9oxFvmCuq9 +AoSTB3/ndzderl8BypnqrSaw2DQEXYQeRtVIgMkuNHCerdMZYI1iR+XjVQQbZaiZ +Og3Q+g0AlcaaPT86JpezOuIfdkD1OjhfTriVCdbNp43buu6bKao6b0ayidLcfceu +JLU0bY4XuqXQdS32fdvc6UrA4IgCgIqj9TSbg9mXNK5hN9D6DV4dYZdonyWd/OHl +JsvjsWSdC8lblvG9/RwQ0s/LTWsdFF5NnIW+Vir1bx1pk5Z1zhoNh6g2EL2KtXo7 +MbG4hjJ/K04hQy1rzEf1btHg7QtHVo4sFfqvHJIZ1SpfBDxz4K2CnWpwKVdAyRkS +wM5GkcsWCID7/ckS7QywNJLUvqx4GZQoCooo3pu9PCITIpumTi3LLg7mzgm4aJ9n +wbqkY7GNmsja8+ovmb7EmE/dAk+Hcw2G6OASxeSykbvLmMQhzT7Bl8XJ5NmJzGcH +a8tvFWvH7WZbQnpAtQdYwTssFZrX0YFAjzOHvHJW8J+IAPwiE1Psa2y+kijvKPLm +GOLEataCjvrr6sUw/vBRr3XDpTHZCKV7lmVfiEJWAMBB+JnkOSKna4seKwHT4FdF +2AaY8u9o1KZ1ryEgjUmGqwpSxQtEU25dml51P46EBCTj0uOa8KQWJScH2Pb2VM/R +/QvBv/2w18wcVwqcu0X2q0NcBa/o5zQB+6xvSRQB5z8B+qPSUtCS6PtLNCRr6dgt +c0Vf7awSrMk5UGlsuxtrlVYpJTMDFF3zGHm/kzASEGLY/22qd/LkoynQcnMtm2h5 +9QG7DUfOBcAT2RaPSIAspzjp50UE/erwKpNMFphN589u6a3jvfZDda1R0gsQePn8 +wCkBjEzokYAy2WEbOXHL71NC8JMKwi1IDhS1ZOPrSunkQ8NzwHnhZCsC70fng0FO +qAGWbGfV16d36dbaEU5mL4iULvvFh3nyKkGelww4o3SHnFc4vAe+vOOo8x/bXvzv +NYzWfWIPoIhd3bvn9b6HE8SpEF7C9In3rOdspGVgSJCeEVZzkW3kdNZHgMNsg2Cm +0h/Ny49oT5DptjPSIq25Q/t2SQIKjwJCWNq4vWSI5SdisTdyEd98A6PNXWdDbqwm +Chck8ZDwN885C0jq3oBgB5h5B0yw1FvViM5oS5CCzuua+1iGiP0/c40ZszjQ4CJa +/OMbgNqlzNEq7asQHTA9/UfdPXeILpM1u3xbKQbIZEm5Vf0k7fJLUpr43u7C59Qn +Gv1WDakX2XdaiJiYGWoM5k92UiPYkB696faUxPSvbeJLs2A+GtgWBL3o/7T8jc+B +vZmyF0XkOYojCjhgQ26tWHNCbo/c8O6hu0N2X/XMqz5aLV9LvCguiV/mWWhhb61m +Q1pPXt3O4+wKdk0pMpkArm+ZuQWoKRXdYQaZj9CApbpbSlNXC/O+l1OHv9qJxClf +C/QXn4m6Z6qUk9h5AFUkYVtJgr4bS+ihwdZ/W8LIZnUFr4ZMmB4/0w5Jbx30PX2Y +ogPi+GcKaiFQGHDmOxutX83OV04Y0NJmE2abbTn4otyQzHxPp6vwIU+/Abk7I+cl +yFNmrk0kKYniA+IjT/QkJqv48DJ3eCr85VlbJyV2pGqX+hzumnbDe+EKmQb4afus +rAZa9f56p9sVld3/uB+KK68f17Wbx8TALzC8oxs4elq0IsZx9wOZRAFIctP2QHDA +meDbDWNRcxJUY4MI95uTLdsEGQVbnAlSvq+97swm6ljd9XmO6OevVa+PTG3jZpvI +C1BhK8O9xxSqzx0hzdBI91+eOl85NG30maIPlqth5HRGyAH1E9QbHjkLDEbTyMEx +AAaxsYNE0ZW1f2qbU+vK2iu2unHJdG6HBzjxs6rK4/unz3QkRnH/U5NetamhheTK +oIzrgasTzTCfPU82ujTUl3NTw9lbV9uM9PwDk4RPxnCi0zcBn/ZKXlbWsDhd/F+y +Eo6ai/2UBKbSe3Wec/cWwwSgnQ1U/56G+daBkuIsUWhFOr4Eco8VxsjVeYII2ENb +7+u3V/vq+C4Y6AAiRIQxcVD4/AauQWjAL6sPJb3Kt67KRBIEz/R/lq82ha3QvmBe +i949EKqvXvM16RNjeddM8OI7LvX3HMpNFJ4RChRI0eFRQ5kZukMzOP/CMOB7Gu5I +1ioyWWOFiEP9rlmrV2SqX+zy67v2pOVTrCGt8NtmED6Yilq/w1PePHm639BllL7L +22/80vg6UOee5LfjrjmcTzHK3bvhuExMO75EEAFTC8vC/3iTOWrU00gVV01kCwAo +SvO0UdFdYvIwJXFsbeZU2mVoee01ZNk2/wPXQaBY7Lx3s3QlPkxeKOvOfOXi3YuE +/waIuQAHL0U0cph2oo0CtK+VBglqSVhaqDvGjmCCTp25afJmBr5LryBj1Hx8D8CB +TEhDSlcFg1sJ4kwB5g2enJ/nY+82GW3enhCRUwBnQybQLbklUtQBG7Nlz5iQ1qFk +4ouSw0Z8+ULE60ReAg5iPxmT8TMS+A0uem54jpDHIS39MkrFe8BcQLJhbGY2CSQb +sdBFc3cgk5cXRkJ6TfhOdA565pj996Nngg5og+nuC6OcP9geAXPSX1/wHN0jAtHq +MNJ8/LxGriqtjZxOB/8BYTACXjgTCIl2IhY0qombxbsrkDDe8y2thwUFynSTvXXv +3aXBliOZkHERF2w/Y8kUpEzhINScL4jB1Lfr66F9jB9MPr38T2xith7Rbv+iGpS3 +9jVSu/2ysrWQh7RxgbWRskuaMAfjvBkChArXbkkCksWImZUbkthF17M85hdej+mr +HSoiYcKqXgBdZEUpd807ty225rNqIuD+6y4jY/PhYWvA3Oj02u6aSLxKUJKXsFgy +jmBJTNQ8znkZiio1MUEXvvvNpD5xa+LEMvPFE2mjqt7jQre6gHZ9KCzwdFkDRPAM +boKBZZJ1jyP4oB1ZJYJjSoI6iRikJ+7GtXFCEiJmWlgJZvksZK7qhQDtuSiqocFK +QFVZsOHk/JFFcrpiMOHZeQL7mQUqAWfQdd518++V1Hm4C2E3WZHXiZdasmuzpKnF +VxFlFMojWCZMLOlcEqnr7u12EsOQrda2I0OMbqSo2V9Zn3k0muUt6lTlVgwwopXt +lKZRphpZklS96xu5eQFZBhLwNlXN3jBx3j47FGxcjMqD0VqzpGAGlycHobVTlOJ0 +SZOPKYFBFAV5uAH1ecHB8886s1u1qhcAbDJS0xHsuiDYCi5om7hpUyN/lLis+BK3 +AFF5LtLY4aoGYiSpRq9WmPY8BV1sCMnaHSE4r8DpPVIJfOW0siMSsh+4DBApOBLk +IB4De+tzYNOip+uFmhlrQ/bylkb66MMKqyYoPyLRCIC9gAsILMwOVV4ZSZoxg4vw +UHVW/rJoFmyy5Laar4XPIjYa/dIadRLvFa0d1pvUql99JriAtkLP8rUTQObsTg6k +pnGwnR/cUGDz+3LBJaLmz1bQXgImrOmXC4+OwM+yOPYEmWU8raUOojwYYoXpDtms +5CwkFpRj4Bc3kpmYgrBTzk4slXC2C8FML91HelM0qsO3T9enU0K0nNdtFMb6GCah +N2GURAP1NwgevcQYr/md6i2hZOt4vKMqdJM3bYHUh+Kh30lrmavUgogps8IA6S6E +8UE/bogUkvF1iKGIY5fEfuzRiE//vNMdbjDcQY9CyhhlS7a0X3tYD087wDM9Mj1m +0aDFwPAL9xRiCbMQTV/HGmvi4igtdBUxr81XYgEOcMS1DD7w1gqscyAst8BXAgoJ +oN4Uq0TACCA5tnVTznCvvAuE1g3B1JGGxDdjgQLcawmckTg0qYaS1JRsgB5X8ROl +P4StiE9BHjK+WDJVGNWJxc6CkdjAEY7k7SA1mMHnKBin4xaW9+KXapoPEn0d3zxz +hTlr4ScFgRW32IFkLtRrKgtRteB25FTu0EVE+qe/Nl0seaqB4AC1r8DXNqopTkCo +IKMBxOh/PH4P8bWl5pNwvakphbXUQpV/qN4kcXOsrgZBhiqn2yPBynlXe/BEpf5G ++qgAzm3VaDG+28JoOU4pyvOrjql0lgV7jTacU5CxoQ5eVMyVtA2T7FLGQBAMI11L +cJfLVorvMkIoDs0fEApboqzk/DjhV0P1LhbYG6oo5HtekTLIvG2W3V9sTW3istAb +CuQIIgsh6+MHaeKyIhOBlppsCaEy0Og+BpiYrt4YrxY9XD4KNJeZ1iPq2Nn/1DI3 +/mbv5GKjumE8zYGLZxO+XWGvVZ1NJGDcctjtu+pxEFa7TA7TKV3Wwn9kJpauO7KN +qWXtURSBxoy3nEJIKMi/Ry/mHYpa4+J9wEmvfZVWzYaLbv3SU0Wc44upCcQAfkHi +M98unwmPlEEBgnOWcdm1IGZ3A5BOuAT1gOgUJsSBXfrGtZjOZvini08bTkgRFqM0 +oX/pXgx7UwrEdewgV+FcjoJIRdR2zqXzgI2MQU3WeHOj6p2wpkldc0Jwt07srWwC +pnXktUVrXbeXS3ntx90jCuTM6i0FCSsUd51ipg635EqmhGcHbXH+Yj8eXHGes7Pd +XG6YkbOx0b6AvyQM13JCStsBuFq2/qqOD5I7JYul0Wi/JE4fouf3VLtcQKbbzQcY +SAaV9hyfy8r039gcDdo839JaKqkGQAzJDity1hG1/pBmDhFKKP2ak2kTnUKM7QUd +BXBoAxLzvIcETRzv7dL/Hujc8698oPBHyQBafWLmd+fep8+/QU3U89chEhrQDg6z +tSx3/VvFcXiHmoFai3IiTHHY5UejGzkBy6sAsx1wMByDwskK7eAjo0OUs/bFyfXp +p318eRHR2wVsKwC7c6d1ODcudMHhZCnwApN+Ruo8ardN1duvnoA8wCGWuAVi8GFR +01FEhCED7L1SXQuwRMag2GtqGBkb9kzBO3KTbeYJpvnYxs9t9oAtiepZUtJKZ3KO +oJ4+wyLxNnVKY8gozX2kCZZZxprjvfI/q1ICORGrsXTEsL05e1skE53hP/amz732 +Cfba0giGAXEmozMqO08CuZYREE9FwFMxVNOKMdTmltQEOmvATdUHYOBjbkCMLphW +Ev1gAINi5Oj2lJBEzjut7ZSTrDMj71KbWSKCrN1fdySVmmKR+7hkAdOeIU18JiQK +oB8oQnoLUXkKSmmf7fE4/3TWJGQ1ld+q1QzKqD2Z+ojTgDuFoyNWxgmllhliJlae +CBHQ9pob8IXnAgunEGoFdhRRhVMkyzHmkFDl13FyJJst9yfyOVWt9lr3tEd1WSf/ +PR8y1Y+F1KqTaKbP0l9M/DY4RT8/DLOzA/mUeKMD98/W2PC30Tz3nEWyqz4GbJg9 +dy0l75HNDLiLgP8aGNKnVIFqYftw7hPdCts8H9r/M/GayOvGcTFa2DpqsCLE5XeT +4FX4VtflHCgwGhjWNoFjnBA0q7KuD/spfTmT9grf7WYEpHJcMJ/KDmfx6sEJQf2k +cmk6d1S7gBWBeQdt/5l1kiTQVwhyrn3JvjHefgBoklCzvNXrVtjNZe6QX6ZB1TwD +GyaSnUbV9QM1I/DBT3EL2Hq4jEx8YELbF8bOia28OxSRfBksoa8Bc3XZodU1s4W+ +C93WA3//Jp8vF7NEobSiQAwSKjWxsAtj+D2rV/Ce8Zfds1etOXboqZs7tBS4+snP +Pt1Ui2CiZFud9yvr0DclbnlunRlA7e8ffCuIbB6bROBfZR5JTlpghDnvsEghCJKq +MetShCuE20mjqmZxf/t6q0S1i3kZLM1Ngq5N2+Uq1qK3Ld8Q5Y4e4sGDmLq00s3v +uZXfzFJJgVjR3r6Uj9pOdVqPNcJfSMHyzvFCPdUiVHp+FGRzJQhuQ6jEkBvtUYdA +jbZUoitD6v/rMfPmzx1HuwUNIKzV8oaLt74SdAgjBNOSu53sEVbt6alLo2o6CJSQ +u519ztXmhj4m9hI5/tZS8jni0DsJ4AJBPN7D8w0OrVHkW8atXsjQr38ar9bX11vk +qCOOme7e1tbqdzG+ZY2EssEJ7oLLgGg6qqWjBdj+/B0qGs+UkE8HReBN/6Gre81P +4Si+sSIBTdCBVX+2GOH9PDLlSTqkVpiyJaYx7nHfxSZYIGMNk/smMcJkasskueOp +wxeHc0rbIncGelFjb3U7z8XdLcUfrJi6lgNdVsucO8r4zsuFC1qaBQpSIlY2bPKc ++RBxZGADgRnaf8X47EYG7wAahRajJIeP6S+9rml9M46rLOWdU17pEHyhQMCbI/y1 +Tfni2ZqWM/8Yv8W2+Q5148yyYLC7JXQnlaOb+sulWHzG3d2VPzHSw5GqV8qTv3gU +n0NOUEv5v8jmGoQLk5wcPmMfL5J4FNGNlgr48TwADbJclSVSnek5m/5LHjX4fRd5 +YnykTN7uy2jEmAmzrQja0wseDPuAQyMt9zbzFApha9olYkzzSjpWeKYXZ7veYyPz +ZJufMj2VZEW0aZW/cOToNCGuTKFUuYQlitb5L/gspwwhL9+d+u4ZZlAURmmi5Qrn +a7USAuLGFj7ww+ivsxnfWYAZPuJEtt80BqbNVSUrvURH37w9pzrrnUY0lh/5RoSp +7qyUap3J8XNhM6+I4QWeHQ0Ye4xL3Pcg/t7Ak/eYI/oHFLIJziTyzTyta5y3CEK0 +O35yauZVN88oQi6Q8dyv3kU+b+OlGR85w7mW84R+jIgan6Re6YecYmXtrNlj9JcJ +L+PnwPOtXtQ+aIyQQSYkGOvDRgDJzBNZRn5BscudoeghZlMaRjjOrfakChwYf+P5 +8+pRLN3oTeJ8KF3/ytq/n2JmMPuR4m4hLX3KsKRAkvgnuzFS8zpZzRRuUYVCjdnu +idPxaMTmh0He1Uaw+mBy8qUQd5IUEUMPsbSVCNjCbmrFu9ptU/DHqaih9UqN364O +1tvtq0M0uz025U5RuviMu88wWMOtTKKT2HtuhfDiEpbLQMD/3+RWMtMx53GyDh7U +UDBoW6p4xwsqE/5vKU7o2d1OOeDwqS3YPlv3SsAMEMBGCLMaErDtYJkRlxfLRyUL +6VlLpe+U7nDh6eon03B/cIZbEz8Vi5Uoy5mkC5pnqH02UOkx5LK/jXJk66wWqotH +oVuTYIbB9yo+ntG4hDg+ySoIffSEbou8aOpVcn6UsnmDs53YyWDadQVbp6BluKqh +r97Q84mrMMoPzfmwbfrKhicT7bh8Frh//vjltuPA5da/dEYhi8YOylQXS6hi/1TQ +1JfoHzDtfTUBSh4w0zqls+y+eD90B82RV2xSvKtznVAtawA0PrgsVMnAvGeQ2Gnv +D4NGS4MKVdL+NAIc0uLO6v3JdL+P6rV39484w4aC/lefPUkR2dsrJgRJWw1T4PJ/ +/VgqjYKL7Lq4me46jcmJB0ABOuen8lsl7ME9xmNsKyqz3SlCvS5lXhN36Nufl2PC +3n2oyuIZP0+xeUp/GHtoUZPuubuFraJkyhNkJtsqijFsw5BEzofzBS5bmJlSWNc1 +UEEYjPnTGgXjZPv8U43C2jn6fU6gENwHJycv4EVl9KKrLZJ/rsU5Wf78wRS8q0zZ +IIyzyg3gE/04dpd2uE8cbe838PozjQVPkzsiCjqci3KDUQF6Qy34nA62nPvT88LG +866kDreCxZNAtqvrInHpXc7s31C0aQxzBE5tU4NsLqfw1s9JaZmXIWKLB0+Nf7uH +w6f8M8B6SOA3T9lgQ1YwmirANxvpD0MvddIps4vHTKhA8lG01XCyX3bm5wh0P/wT +Q9yC4zJWFT+uvH8vfmJusVWpedLTT7iUtA3Drv7mUUjxHa9rHyrQLw3Uj2/GvnXY +38lN15fdHlWCiH4He/CFXaXTTODikCRJuzRqkKFt7OmMhOyPdhl0nyYXOv7F57OB +sAEjXuXhr2CUj7b67Ol+1D416Wk/aF2cvroGCN17bRBUEVzTK63yxXZNUG5LfoVW +mCL+rKe9PpIcc26k9hqOFqYgp+A4yYC+fl0cTnOkFtOceLDc7a6dFiIq60ezwV+t +SglFQvUIE6L3ehUXBFzZ60P6nGDY7AFYJ1rzTL8ySMcFDy3yHQuIoooA7/5mBB6F +WFvobu0JDiZhWeQ4e6iv1MkqAf1LpiLHrn0lIRThw9QA3JgThtTN7AymwnsWzhwX +TNd0jMshWQwmY08DO2NsnQSrmA9HpkNmLtkT7r5dzoZtIXbw3St4tnkOWF35x489 +KgbnQ76BsvbGwyXcm/qpHf5JlHzCYBrt3m2UJuz+5rFqsoHwLjlQYftMVVTcucdp +5jWqcjtZqfUHsySJNReCmJZTLBRhQDgbI6LdtO5x7NBqQ0hVPA6+Xn0tKLYjqvF4 +fqgjzLGZoxgJ5QOdXvC5IipnCTG6h12J3/mSAts2t3N8dMEJXG6x4Jb/wswEuzLX +kwk+eXg+SA6B1hy2yt2U2htFF8xBjaqTDZOGrSktYok9rakItv6tleHBopeA4tM0 +1NOSI+/t1OtR+9i5vOzmDbZnFru9OfTM6VXLAJzqvbc8pGVz2MrnsE7hMRUEHPvA ++yKYtjDomP0uHOPTgwcLs7+X+x5IRrmX/S2HBqMzEv919v533TVeQi8bahlqhZ0q +iHebm+PG3F5Bx7hgsTFX+Y9zY7kRlshTL/B58bJCEgFXhMAots2dPQu52fldG3OS +73I8NWa3kSsM91zJkZgyBuObGIYgG+TlMSewbQro5+B67whdRvINuUDu1P4POF6r +HXtAVH+YDk+Nw10b9yS8icm+xWHlIrHEJ/TRMkTbgaE78gMKz4yg+Hd/OKZl+/mL +3iEABFvYt4mNRWDqQnpCxPg5eGRAlKsyCAU3pAtwrfvhH81yypxL7watVS6l231v +s8czhCQMrcHmwm8uhHy17hKccidjCo1KR5df5ig/ELJkEgt+9WoiEUlPKVrue06q +kFmBqNcU4tTPi25Y+NLoiZKpoGyjM1BydVuqDG15n8u1neOYCee2oWMxC5iDv25a +aFMMVsMJQrfSkxzniMR+oNkFDMYfckaHHqvtEGntceFpEVijlGAvIDRxpqBhNsYK +BUOXru56AB0MW5ZB9MAt3m++4VSSdPYsoAEc8jlqGWs4j34xcPpLrVgZ3ednrf5z +ckvwYSBa+d4gkWYFInHCdhL58F02nMR/1NGbvHprRckKHaRt1QKAXgCWEz7k7zO5 +eH+kP/BC7NRmVFK1Yn5152vkrHoGqqA5vST0jhrXmQ6gCYI6EEJ2w1s0Rmt6KTTc +ApuX5RxvZ3XfbFqT4lxV/vfBbqHCEjiVAxOR7HH0GORjGxSYmRFZuMIPvDulbi2U +f3eizK2livtaNw8Ff+XdX/SW30qdWAsn3TUsNL8ZuDxkHsco9wQc3BpGgO7hmFOk +zCgBX1eOFFRL3+DJYEbz52N2ko1OGuHBpt15EAYgSYPdrImyiI+nfioKAiHTyfgd +txdr2W1D5FpT1W6KrRYvqOlSrxZ5Fn2LlVM+lhgviQL0GmMRfOqnqD/v/yVTLSkH +xYMCRkThLY/1PdMPYGYP9VtRNjR9tz+tzMXSjdAeD3/6r+ETW8WRXdu6XaZ0SbJV +eBnEj18r/9fANV3lA/wsiMXlPmpDVjOFgXml04A4P/Wjzh93T7DGCMyvGWWjSMKh +zv4EYOPW0kdOfSEvvToYdxOyAj9AXV3ExT09aOhImTFiqh+wxs3l26xzuqV2rd0r +h/thAIboWX59/CagGe+KYFCMylhfcwJ7sRQt8+ekwwcGBaq/vELaX9T/SwWD+5bV +0Q9tAZ/JOQCVtAI/oUFYO15B82JxJpcEWrbNt3CExSCxWxl0eLxAqmqUuo+o+WMC +9rILGAskPYuBqyIpyrZPtN6O7BO4+8T4KJN650PeXt4dqO+yBP3vALZffyICLYIz +uDduFJU0Mu3W/zNfiE4JKqPi7hO4Y6v9NoW7c3fVJycqxn+yZqjw9SKbrVqU+4h9 +A9i3bSGIs4HUmudJIVB1AONINgCy+tjsv/I/NnEtzx5lymuOsRxQ9e3eS+oVtow2 +WylSu6s0TkvD5wIIkXXPmxolkuPWNtNku+/6Twucck6/9A14i0aQ6pk2FnEoIfeK +vi0eoKDUuCabr84QtDEK8aNcGbnIIExiSGssY1ZAJ6NdTeD8D4R2Qs+mxGidXS5c +2sH2JSiXPIx/KiHmaritpjbEibMTum/JAFbISCm/uarycsYPYkKRV5okF+t/41SL +dh51i9ttV4ubQPwbIWHvP00d04eXckBJm3e9zdQ/LC5OVsa96oerbtDmQtXeM5pv +OnBxc/1Z+DRWROK6uDs1qGWXZz39noLWFF1VQ6mEJ6q/zGrZnK6B+/8M9u2oMF68 ++6npenGssFRBIgitkcpFdJolD9B6LLMX4MMqIhl5tFO1Fbzw6miEOpAI/W/Gx58F +XjH+PH02csjDxCYLWPMd4cStCmSTWdswG7ppoNicEsLCAq+qw/PiGMzip+sywxYp +6+oKzyAE1PjaghJY6doBkzylpHnelGRE8gBBaQJmL6eiQPE6CIWljBuhK7oh3ysY +lgPdcjAta2P92u/NPu3Gy99npB9PMhQoW/KXhUpZEiZaIDEAJ7AVZ7cSB3aDenzD +/3GMLoP94LHjW2RI2h0xRUGJhDVmiDmLD5ht1dHU79JDu6or504wE9GLI6pf4mO8 +CsQorxYatSF2dJvvCDyk0tG4Nlpd0kU26tJ5JslYLkMXt2bBUsmE4k17jP++GoWF +jo0cTlENrlec1e1L7TIHz8gk18qBAkpnl66J6L6Kuy6yUBEm2nj4vPcMh03Izsm2 +KpCyODaGheSnvzItQ1gIHvAWqzqVKqU8ztiUZTnPGzukbPEBsSrRmUtZ/ClIR7Pq +0LwpuOY/BEC508yI/YZdtCQkdGUQ2gPEsL1/LvgAkUwFYAxioUID+Ql6CboTMMbB +sMARdSMbm6bxv5Vwup7FFH58aGwTOgz9BSEQdhjX6IvJEP+2cY1tdzDHpOOFzAmW +83j5PglBVNW2/A0cFf5CqDJe7PSd6M5m9d6FN+j3VLo3btLmfUggcriK/SnXxWx1 +hgCKNZixc4jrv3GTWAoajEyIWEEFCrF1jtSxQ/pn5bx5ea1nYS/VcRWE8xZ/sFrJ +utAXG4ewcbV8MfEHP6Nk2HOxE7pT2oooN4v59vsf/96Vws9O37GYCOWpJDZevOBq +MavuZJW8LbHxwKSN+u+mLIfJFX9pcdnF1IBnxqbYEkGdl0SRqF818f2fmyXn3E7E +kxEo+ms8r35nl0pkn4eisGU/ofPs3q767uMoeQY9o+e7YHlNsN7Zn37AqcJMvur/ +vTOC1S6/pdlYFpaSTtrmxTniSwPh4DE8A+pLHvTBqJGyj5QXqz4WpZAKhAyo0rD8 +WddlW5zQZDAwF/7U4A3XrkAthTUj+2m64YiUmE6Bt9aPLG0tguvWhS6j3nWXsr75 +7gNoWw9x0Yddm3mf60H4iB10pIFEVXEQ8TXgMJZ9DjTshGvcZP1knU8r0KP4Hnid +PyAogwQnW1eL58wYq8ZOWFAIxkzP60W+E3oe3+KcJlr5JCq89QWYNc2lxeJ+YxLo +iMUVB0X5dE59CpHLuRBB/fAjSg5nQpFgvjfmdFH3XIkbkqwVq8GXAf3WuGWeswar +Ont+OBcZ5FOlwe49pQqurG5Og4bcE7xHyMC4uar7qpU9WmbY+ZVGEoIGYjDxA5cY +s0ZNYkyMLROXm+AO3EP+dcSuFzrjf7wBukSYMYhWF5wGhqrC26aRcHCKwOH3GFwb +06uhQq/XjG6pFjST/qHX2Oaa0vAZCwuZlBJtFV6vGbvQTf4Y4BbQCUa+hsFIqZvc +/mE5zKn0ztCXSIIz7evmd/tfsqhYjnYts5HhZ60ZeVEIWZedTwat5Lfnl+iAIb4r +r6bQM7EFsa3Zxvuck7lx4qREP/lfnLBqy+NiMgL28YdLZhYg8+0/qyPheXA9FPO2 +SE7/w7jZPyEyYLcGmXf/8TxMtC7NGh9VCZBat+KqCdVIpfRrYEw+onim4nuJOlQn +aX0kUI1we76YUEqtZrpoq5iutxNDCv4f95bckjW3yKTl6vHdhm7cj5Wetj9aL6Ja +yQL30CkT8MPV8w1eblruA147ICkbA25hEK0gDVuBJblKo8Nn2P63lTVmMzvAIBLP +wmfv34d1yy7e9YPqiFAjedi4b3oRr9DCFzZEjfU3UVNGtNMeU2qH1PdQ+tmMjbxT +Rah4p+NZz7NgtQVgSNjpRCYPPmFEM6WlniwApmOtKEi9ErUu87nbbStnpFlsWEIB +vSMmEQh5eJqE2ibcegdcI2TY02lDldVKop2ILLfx3dCNp1I7LHxy3RcAQ3+hLooY +hE06yy5V6C3UYYBj3W7dIPeALtDXtmqFfR3KCCtt/HegYrYczGJo103jidYkHIZf +MJgvAWfCV+zMcPjv4hR3+vSde7O6scMWHzmYYVeEKgb0YYbqOIgSUCAI/1Avg6LZ +/s34llEeWT3Qx46S20LiQZhZW9r5/rat3NQ+iqqL2LAhknZ8phSslP9fhk44UcIm +F/JZVFVgR5LwsNFbQGjJFeH88ZhM680seEgAjf0ZA6woWu2zYtbPtWO2wyjeFRkd +6krSpWa9634Z8WwCoL6KFrhi4ki3ZstegqlgWfRCGmCy4EtIIWP8oFx9Ke7FJGWL +LI8Qxkq6UEhVLn2nyT3GGuRx0Fa55F9cqeVtezCWdPkjJhb4vakA64MxAM95/qBf +oGrjGaC+Vx58b5b1r+o5IwYVzEBS+fmUO0h08FiCNvzEGIhUDsPzJCvxrYT6JzVL +dUIUeE/cEUqp2mRll95eB0xPZao868YIE+SE6rxpqtWtOQt4G+3aUMAjy+hEZsc9 +ZT0nQRKWeubrxKSqrqEx4YqTTQFv3wQd6J2b5u6E+3mg1EdoSTwaviGk2MrSLoVB +26RufP9T21G1B0ecI1ueKqbV7LrMijlDULoq+oedNjtswp/Fb+mtAw8ymbc0GtY+ +Iw8PCaDo3f+Tnna4TJGiMEqdQLWWEy0Mq5RHjjONGSCkuPImz66qVjBCdlQQ8y7T +PbsxejAOU0I/95rGSdZNvuGDXoHKSEk9RF8Qvyqh99aLeXuurMED1bNuGr8x19Gq +Mn3CFToMSWVNQewgMuvvNrPgVNshMGfL4QzwUuL7trTZUmtDWh4pXbGAdrzT8LZx +qlhGncW+ZGwXX/qeyf4amEKoa/ffWpkp5GdRkn6rPlVmb6CizF/Z//Tmp/V4cQ7J +hV6wPbbXCu7QbINwa6BVNx2SVYFMrv1+g0p5Lhh9bJxAtjGWXJUazGHjlbWk887I +3AbZY2Mh8q1zrizglF56PKykJa4yBsWTr2Mt+L71YNR0UhDe46sny410vkDfUNb2 +/8T4Xn7hkLpKcDg4GRMr/in34/lnQRN0FrwwAvAJbcAS3/OM+Vc2ySAaI9Qe73+k +N24NJ/6Ys9zoNqeuJLTF4EuyrBs9qG6U8N0QSZ+8M9Dtcsxi+7i1OcsfTWx5ihwn +ugNu+8mKl8E+Hq9tVZRz8xYnsyKtQ2WA9HW/+oHssRpFG3YyDPIp/P7Qon7V3L5H +o1avHyDvkb2jkeDo31q0AyhM6XKdP8/oS0LxHzBlTwsWXEkfmd4g+0LeN0oD2PuC +9swSxR8vg9p8zD6nzdkPymcCMLBKs34259l+i09RzySIeavgMtfWQxD4OBfucQee +7IPMqpnYoLJpP9cwk9VPe8ksuQL2eQ5LXIYDIgpuZB5Tm6CacYxyVZu4ZsxOd2YJ +mEQI7+rppm5W8inAXXEl0ws1ncWKWshyUhm8OEEdgZjU1/3VJhMjpXdO9sY+EwEQ +ByJRIMDBY6roIijrM59HJVETt5vM/EJPmAb1pVX1M7gSSjeB2dPhbrsHa7Ps5Kad +0LGFhHGd09TRWUoNjajHyx9XNGGS1+BQEMC+6CJ9o1hTXXwfv0dWmWFbgzdufsrv +50iu9MLMQjWox3PrYcQFg/7VCbHMJdoJpuDZGO0dRoN7PMeKI6MqaJtCaX0SCdFs +Scbf3eG4HZMR0NVN6AJCcae5e36pujsBOkXMjoSmRJo64N88L2xoVjXNWQeWvDP9 +ZyfCdeFwu2vqSlJWmP0lpyB5mHX9zS5eh/jacES4gAxGTP+IkzoZDZ7gq7oy0o5G ++wdrnw35UmHyoLJCnyKrGPqH6AXx4GcpW1GLa6f6wfpJb6/Yj6LmyyZd6Fce7fGo +HQdxwtO8lYO3/6gwPywZky3upMQyRNpGDOTj6qrZpCpPizf+v2Gy+27fopmR/eQq +LqIIShpplzJCzW+hGBgXxtODTsRMOWOe09vuUx5Hukjro5e6q+PJryjRFHwiq+V2 +XIESwQOAS5mKocmPgc0uT2uzV2eBwJVt6rqmXUbYqlvNsMSMXlAmjbgNyGFo52DD +rTpy1Tzp8f0HZ8GR0sxs++N7QigzxYKINZCRivcG+DoQLXpwUr0B+Nnpq65ioDdo +/obh+p4pU6SHF03mhyrrnbwoTOV3AhLOpxg+iEpztOtP4xQumklz9zJhzLvxLdwZ +D+76CxU8iO11s5AUe26RX/ylusVB5XRy9Rnu7FRQ0V1vgnluBBYFVIoIokwh/Btt +aXFtSZzyxBpBg327cYnu/5DLY078YHh6qVa9uADckYyk5G90aQ/xckopXyVfdtjl +CywG5RQ3C8ghf+t6gtx2/S5Uqcw0mzjMqp4v7fiNkpPaRdLHEBgMEK/u5rN5lkz+ +jiBBJ4NMQRjqyeAl5/YC/51f989fMX64s530OjPAISLqrMV6cPUcebbpEkii4MtF +uhdnONJAV4xp3XqKlgdTA8HqWyNsNhJite4n2oVSDEC7yDf7ypJyMjAabTz+giW/ +AEtYenrD2jm6Uw1ZgoFx5UgnUAMiZdDgzyMQV0GY5nyWcWRiGjDp1tWyyWSUiooM +YQKl9qt2JEvQ2GtJQy2c0FHQ/iW8ThCF0IboHqWGkh7ise3g9qHSsAq5r9TrLDUA +xU9erha98c5dVcle9LxHCN8mZAMYYS6A5monOar7Elt7cTiw9VV8bfcE/wkzac8x +6BkJMs1g7rAtQWNRl2NhnTx0t4lDf43wKdVP9gGOiDzYkwEwGXvgmkoOseOERwXR +Wxg2QYY8LbAegowicNqmXO60EGBPwdohlqNVXZsa5wLh2hVNbiXBBbusumYHEpSe +PcpPNaO+Fuh9UHGEv3jEMykqayGLvzxFP9eD9U6k6nCrrJ+JLHuwoGpRLYsMbe3L +tq+5gI0UONIRS41FabfFy1T2g/jZF3FypxsA9BCKTLZ4yDbPp17vFEeRjOdSbnCg +LtxSyQRcm7ykOsN2t1jIyDXphJwBUrf0r+MzV1JOBCQjiMGY4B9zQaz/Oyugxe2J +LVP4EJ0Kf3S1V8zZxSTl2wtjmQrU22UFfiP1+tSmT86GmXQdHF7sO5llQnrQcJe/ +VIywfUKCI6R1zfPPUzoMCQ69NU1TSxhpttmawFgxkoo3MjtXhtOosezJiyORtz3v +8beEisD0QhdRpAMbKqfreKd6Z44NkftxtsZghxpwotXyws/Ol8FYw6k1yhnd8C9/ +zqgQClnZhvtRUbxjGD3yG+Y6A5NWnAYqN6GZhrAS+3MVSJIhNJNvFz3gEjQeKG2K +xaoCK++8qSu4VtIcD2E/qaQu/gVLIjE3fjzb8XPma3OaT6+3evLG6RIg/EJCwndJ +cAv70sjJvG6AaafaD8T6IrtDYECPn2HLEjob6z3qYlu7Bj2vk72EwtWazcaj19eF +Chb6vpuq9zbQl6tJUTyZQ+EvsCuebJ7C/mRaz7WMauZlIpPprtNSC//V7bRTKHgz +9hfXHB4Ce2ZR7xbrTpc6ug3SCJPsZvA35t1EI9zFZHIX7VPFZFpKtBWQYIUjWwOq +DBcqZ8yO0x7ihSHuld1TFMN25+3hdR6EVcAXynyN23IH2dd7RvH+ccDb7so3AzpT +3sU+M1opdR7VOMm8BHG4gZTYighMhQvG4Ru3yVy0CEqmaDyR5H0THYIpl48UPj9C +i0jy378WJGOe2u2x7037WeIu34MGekSPI3JnaBofhJ3Eq3tSFdoPIM2fFpTWAly7 +NdH9d+58R6hp/2PNq2kl/7DETUGVJIT+DSH8Zcpyl9bIpU68e6Ds7BbdzHJnw1IR +lKjBKaVvCHY8PdnlLLqAXGmPRJRGrYFMwSQ8F3+yn+eP+2yKgdcODFIogWcLJp+0 +L4h7p+RQAWp8+gQqIz3v5j1OeSkVAfNs9/wF3iic+LSGBJOHrIx5aUuFRYxGdj9f +LG1T3vobUFA/9NTeguROrEYP6RrMnfZoDgkZTjNndV1nOEvw+li021oH0VzPnilR ++TrYsWXiNk6CTq8r+sNnx4tBhbKwR2DkdScv/PgiwQyKI82aRj2ZhBuooyRMcA2Y +BEwxK8qTMpJN4DeYw8iJLEN2jpd/xSGRfDWdev7MMfdWEQR7A627FjjkM+4eThAk +hh9Y4EoLztVzfVbyXZm6qlOMVj7SfQieGoMrgguKvifQC3JhY9Ka8OSBMYnUX0ST +TJ6lP0hmm5cuR3Dvg2DnqQhZR/h5JPy+n28nVhODQi16t90SfJmOos/Cry84aJLT +APYQH/hg97hLvIuKFgqhSkANOkeWF+uIcLKPlxhdvl0HmLZTRUrCYXNA4eR8dNcE +GoG4d/ZM7WbH8j9dTSF3V6v17xli9lSb6gKEw4Ek2nuOuqs1cL74/OIv8+VR8P6z +xaWgTDF9p67dH2XCSzsImDtZT0XaVcPE5O4Mg4HJ6iNc/5Y+JBpqkb9X3gcCjHcP +yy2+6u3Xb8UhyXILaGdrA9owMFBXWPEYoo8e0K9XSAJGnsg7D6tutFy30illO0cw +gbIyMuALVFfhfdvdetg07uQkQQW93mYrRCoDGppAFiAEKwn8exWR9HjzOZFKlU2T +WtAcYS4mlFFo1zSLKbr+lUSDWPDTLqtYfOoedMOiQmNoMHkajf1xkN4ESKWG33Im +UhoW9ra3rHZ2fg/e3Nxd1Z2TV/nwYd/zDWYb08ncGcko1EikXoFu0PwbdGM6vMVC +DKICH0gLWjbRtl/rjD5QZzI2x//9LVt910LR1t7GoBweWkGzexsqKWbldBBYyxHn +vfJT+XXVh6DyG1J/bUEstYa2ND+/L/yj2VaImD49aeRJE5xoHhItyz8CzhaOpwJI +K+Sc5YAwVKCN2nshiwUBEa0iN8jvqzJDZ7mBqW+Jf2lFNyKSVrKJM4k5IkVAopvY +nuwLSV6KraCFAb1J9N367UELdVqwVxNzISG5GsYSNz0n1Aw43Chkc12i9XzA+UEk +JD7SBe96ZlpNrgmdJaU5QyE3kJ+FgAQ2dIdFL92Yw4MjwUAvbWd4XI53+LTmjoo+ +amjbk6zb2e4IwHySFwfZV43YK9tvgr1SoOgDzwlgEH7t1H1NEBmND+cvumst+6oU +/xHjV6N5WbjuoQO79apgTk5VKMZBgYzgELhmqkxR84f+ricJMJHt9hkfgIr0iUQ0 +sHibReXtJxXqT1fZHSZo0bBvxsHZaBe/a0MK3TGfmEdhWowUp553Azr4Ho3yLvsy +X/6cnpabWs4bmw1arr6N4h78YzuQcPAgdvMh5KcB0eF928HcSQ5BzpMzvoL5dsAH +8oguMrqT0IWBICm7sDVredXzsyCcESgEtE6PnOPJEzSbtfH0+GiLbuWQ8s3EOkca +ejJmVqzAtOsO5V56dUY3ulsct7+KJ0h5g0wlFZDQSc0QokKnU2IrW40TDuoyVgap +Ln5gEoqshoEeIoyH4EaYCjw3g0OqnJxEesPdFxUeuODLDIqCAwyvKcKd0pjwu0jK +E/y1VxtCu43+iyF+bFFgrkhwnHt4914TORD0O/J0xqyVKXXfNpA38m4uXAulJQBx +28GUJVVcAgLt0HnU7OQUusqZuEbFa0kpPgSjzt1BEKCrtU82zM7iZprysLcqTHQq +KAgnLChYOdlQpe/mIf50thivnBWHL/9d5EtKVUwYxCx+wGSz9ec4VOdQfOVt9lfO +wbA9F0d4fnpARUIrcZk/wWfONkfo0pxZZnadhNryRH0N1zXRPX3cnBgY/pfCrwqM +M9VLdDAujVu8p7x80xM1YfZ17bDKYnE5KcDpB51uF7YCMCochNwtvYaT36wH1E99 +SKYMFp/hf7UcTOa7re372OiEDma1oATCmZlyUIVzCCpFYhJgF+W9dalmOaQBufgN +W/zCK/AknCYSQhmTo45r+u4+Pw71wBoXQL5gmEz1xjWourJbndId31JR+QK5nB28 +FJ2hSAJdJn60wImukoYfPbalxCC/4YTYQwsD42MDYhIMNJTBia5AjhnItkCOyH8g +peK7/uWBXNrrjBEughcg+fYRVBwPrd2/IA7R0234L+BH4ylqUTvwqnZmfY/w9GWt +ULt0Wld7Q6RclcA1GSAcKe6iQ968zw6xw/V/cAsVIH7Tnrvny0Bo2KygV9j1HaSV +LXnaFC6KGCcql3GFVU6ND8hyc7J75ZvOe/gLFJPOK6iv4SHOVkutpzyDS6AklA1g +4ke16zc0+ZwPYJ2Xy7fNWfFUYjLUzo1dTqs0CDtMETzKiJ7cKN5uIiKdlmqpOIi+ +nKJfW9gvIWwjJfP1XPnG1PSa8pYwe25XrhJ/awepDumo/Gcgw4eZa6JZ2SrvuRDv +wWqDRYRqx/Qk6JxRi3gnrvjn1QayXtrl6S5JLAx99ein1Hq6zl9AtAecd2rAxR4w +gtrLx7lAEEwooVtVWUN0eD2UPTkoyGYvXMV9+xSeM7q2GtN8Rq16E6YZAbAQskg+ +ng3B/12XzfhRydjTGEGFuOOmPcT63lencvmrZcp5NfYI6ZJHDR9y7m62wrUq0Mvy +GFV/GRMskPEjt1rDmJwiEIQrHUdJmCvMsIvnuVVICZBX6VYAtWj0/3lalKw+OQ5+ +pT2A6D3QnQi9IB+NMZRbZAuZZ8PAGQZrJX+g8E3av5BxXkXRHw2igChUhEC/1wMx +2sI/FSH2ipvMGfAXbxxrHX4xWvw+3t8lsh27UyKO83Nh8wHX/f5lOqe7jbZGtsmm +jhL2R0DqrowlvbSbiJl153t/4bWciwLii2r9yOsTUEVKL5sG0hPzBe2YnSQr91Wz +riw4frhEepzjIFT+lIOVGyncaDuh/lYCAumy9dyvM2VRT6h8A8E6pqKP5jL90GEc +xqKH2uj2QDJMI4gU7G4BtFry/c+WRNtE3CHY64Ky5fI7PyXvNmPKGywCH/dWKDlR +ETwst0CaHxxbCAuxxA83n0F0QzLhyDvrVlijFORP5vo9Zr/5Q88H3+uaFQ97uNID +YQpglyDCf+yD96eXAmBnov1fM6rqfkDA77/KslOtWEQPERVcC7m6XplI/j/B0ixa +CxFklV/w1SlzGa3BE0oOrlt1mFO3DzeXsmR+dqQMLF8uXnM6E8E+eWtIgU8Ifdb6 +8fA5G86bgMNlignjSi9G+kwqjc3sJF7iludtyNarS6J5Ma7EL+V2jD7dPaLWIkox +OkQqixWlFs+tt4BiCJLQKTuam+GGzZjryfmdG0CsGu0yDftcDW0gfQDwJ6CHnMn3 +5YVgkglvQRoIu3eKCZXWNMVlqRRKGZTcZBAxaEmizrdQLg/qBjqe3mnB1BABoPnV +eomvqWqLyg1bFIAR5mxYFYbCMHToiArnXQBro6lDMHhyZZRtsm83LPrsdBjaLLzV +Go+NViD/J4329iA2l5PvDJaZAXFF0OyJn86/WLns+tpdu8WJ7IpL8Nb98pvA+d3U +TnHt9WM8YsgU0bLCRK/I/qursYqel4CAfXSpfqDzoFqR7gTdvaC+xBugBQZcDa/c +Z+eLFWNhjWB0y+2xtnvGYbuTBheihG90Ih3hvpEQRfnlTPVJGV6Dlo4SWq684kUO +2a8seT0jSR+TEqJUJtnSyvAD1q0UBvIVfEgdJmO5Zpp8U9299UUYGqIZ6u776R+D +b53o38nFZfysAAy2afBMF+wA8Ud5eCq3HwqbH4mHEbYF+3xKqvo7AmJtzqrG4IXY +G73kfAhs9jaR7bEEx/M04fmAKURbeKMy+KoBl/c2oYVY1o0wEhuFV+JuWY1wkz/X +mntxwKYZmUvf5s/HdhkWVlxdCMY1OK4M0zPq78IX6YndRzyufSkL2xsPgQhL4ve9 +BaUxM5QB4aGJWsp5kSX7UW6I189THolf63SWkIA5NVowlfh7HTmVHS3M6QtOq/sc +N4RVZNoCRpK0YUbnCmv5D3wr3zxpreQwXdrj+MAbSFlzPvmG1zs4f3qEegpi5lY/ +Vpqbuu4cBpFk6cili+59kBp1mdANW5gUEocmF+cORRvdXOHbBTrHfwfuUsi5mKQd +IfmxJE8DX39+CE6kLgUSVr9uGus460JRuX4ckEKkVJWepNj8p/wBLGjr1o/ah6bK +usFoz7bjNTPVVv6iLzRQM7g2DZvzYaQAts+5E2OCipmmiO3sNzG5WBDXqroKVkBN +M6TkWum5/tan+deClulhGZ+HJMgwR34oom5zNSQ3pktAl0uFsXUY0uprnhxjxFIK +pUOi0OLDKt0xUoeTEATOMPYlFrQVTnOCIo0cbnS58qb82IKqxNV3vjhvEPep2hou +OlErIDMchxA24WlVs/nDk2nAms3ZY/6KrKrfr7a0arbYT2Zt3j7p1YWXV8mv2cRg +DITnjY38IAKJzg/Cdp1t2h0HKloxhLiakbWnzLwp4ZT7YyUyC9iPFPB3y9MNBFrG +IZeNnVIW/ldpB1PqXCgxLSKFHVa61+MqMpICt62KGdDOHfOi93NfG5forzgVAYem +X2NDMv+NKbtBPLH8maisz8dFi2A/ic8DxUHlwDbHo/dHHNDir5waIkMJmoWnWmzI +w0H6XoaLahLSKnHe9XWUuaalCtudLBREmHMlyYpibbBdTC7z8xGQFK3IVTk3zupx +PyXlhpWSEgFfwL3VnWhiaObgBeQAtfNcYXaqDrnd9Gzmr8EJ/eEwm536GvMAGAaT +K+1B6jZMzr51paJ72YIw2bdwow55AhGcpX9Z0WrQAuOKgQX3gebd/HmZUSQTBS4C +0MR/AUAu2PyWxbcWH7/tnzcIRCWsTS2XtNwOfl4qDNwqcUNjiGrDXyvroDtSpUwE +Z6BLcWedpYxvaI2aFAxiWBC7id0BVFO5nA9aPoS0NYl0LOtZB5squ7OPrR+/Ei0a +BYzMYew0wvp9HUQz9N9Kw5A+ntubEpqBiFWexWm6mphlFSIQ/+g7msBAaiOjYiVb +3g8e3b1BpktWDNjAmpry7pvjEqkFvWnr1YGgsr8LhGFwZyAe95cClzJP2KSKD5dK +jsRcmXE/8/zslyoTT1SOFpKJmWtvFsLqg+88zqBZriFbCb1/C8GvqhwnXCJrKAHV +lnGcarovPwi/7enhqxYT75vw4smqAVu1ybZDZHTi3juScSgU32d9/qpfxuKBAl42 +D9qhvGM764fUAtVMUImqDjYnJuNhYOhcrofrMWo+dhRRsnrSaawDYbwmpR61LBV3 +IAX+EaOAoHhlMf654Pe9F/7omVE6nhqADIb4XlNM7wng8L0X0NXq2kBT94f1xaDu +25Um2pIPIJmX3GulhU8nYlDj27hILQOXftwEe1MM9vEPtv3XPPjOVtaUZoYm6EtB +sNWleM5BPI5ozIkVskgCEmOxwuxL2OJRYsEXJqcNQFJrPdkURK81GiUz5OUN1aV3 +3YJpQIpedv3VbL2ULRg0LawixB47iAyXl6+zPE9coxN80oiwAxAAE8jz/dvjnJoD +JYIfuvqeSB35h51ZtLSrfX8WN8OChvNFGXdG24HA2g3VEoTnsnSR6XpVZdq01uDg +SNZ2c+GtYURqaxRDtKl0Wm0t5LLeMchnkieM0IOlk8Oh6hA7puHyKCj9IwfG1maN +kCKaSq40DuV37NWiKs1bm3sccoxmf7N6EpdILPevxGVmN1c9eZZVxEROb7KYsh3Y +Nj22lRezxPUW0TLq1bEjf92NM/eqMR5Z7BxFClQmzZfK0GrP9FiJ6GV6DIhEIMiK +zi3KByUwYcTeZ6pJvXbDfN1tBwCPgk3FuW9OwKt1R/mhMFyuBfayOTjnMtmkXsdM +eqAuEGQo2s92yis75k5U7+6vBBlKXjsaag65CAYAhYVQum3NaQIgddWI27ZKx7hh +NEsTbEV2U79aVYvs2cqvnKfkAMT+/Ll/OYfhW9wqo5ZaCpuT7Oq2SQWVSYV0dO3k +vAUbWtWeDdIW/KyABA/R0+I1y1cSGuIjYA/zOrEusMeCD7cNY7qISC12B6jjR7Fb +zne7dFuXpJIfiexEDQDAlFs6ExCOED/NV3HR7b/8MyKvsR29YRvRT3BtW2kkE8Ol +3NR5h79ZBxBrSfbmk2LfnVw1qKpnqg1YxkdgVBHld8vBXK0ekzWHz5kBdMn7/aUV +ON04yfpUq0vyVqCA7dU6yzfGapmna4wPOSxSqJIIquR53i9N3w6MUQC1sCJTvVwZ +XucokO+HLf8ZOZhtMqdZtt+2aJ/V2m58QKHzWB6lb4EwxJFMeow0UhCONaHSoNLR +ZiH9LciwPc/NdQxQ4P3/iTnoZpGqBlGKEYBSXF9VJgNYXYXuXfwbmvRIYHN5BCxz +eXwwuSrUQbyuHxIbRR9vyBBbUDnSSCGImjASLCZGTEuOjg4aj+7/9gc73ZGeQTXz +7aBZQDwLUjWxTpdcM5bRssjeM3xJN3xrunCcd2kjmNTw+K6TYZhz7NNh7TEbH4gd +/+5/nkupxHh83qGGIWayNTkoy88wr0qhQLjSWBo68bPL5y3fsWlegwXo1hVMctL3 +ezTh1l7seFyJvJd7BiJvTlyJKcZoyEPYKWG3SPcqJnbhSn4qMwPj5lRSkgO8912a +FOFQeBdQmQV8870o1bGG5kzKGgTpmYNR+/b4tmO7V+rFVr/alfp21MvPi8xfS8jj +G+auoN3jj+weqXMBS6TW60EDOaGBjv5VrG0MB6lIaxgK77XJhT1Em7EoAZQStkSC +qS027YgAKWl1jSPbFbHPWxfZSgO28CRRY4UUZtxEl1OSS3nypKnJvLbxg3GLA1Dg +T3zCeL4//yOgK5t1YQ7TRUzdbvMaSCOfK9+SyJjV0PvDyp/9eonr1JmIe5326ZGC +pYJgjueAf6rr5p3Yr1tnrJZWi1MY481f0iJ3lKquplW/zVQLBMYhsBF0dfPgNlZJ +0EE0Be1Jz+NfgGOwlqDS0mtnhrOGqt/Pa6cZFphw1gPPCKijR/TIkQw3JmG1OXm1 +3eS3RQkvJuDH3cX3l7U5O7mLra7J18JEtED3P8YLSAlt35jUgYvYJGalmGh6YW+5 +eMiouD3cF6+y9LEdhEVqdJIgxLaeUYpLxprhXvc184sFAYPE4jz3TgeHWZDVPnfe +SEKiTV++QYQ4/plZXIooGbDg8iadXszuQYuWEZrVbpo1W94ZKc3Efe7O2ykimYmR +hc37rbwU/bJXexJFsSDWsjYO2hDzqBIBbaKEhSTEsM3exXLhDIFg1755S4lnquKf +8R8i72Zuj8ekrBfddYNdVveIX3a/8lBBzgpqU+dYErmkvvuu+vtJkWTXHhSeNhiv +uHrY6As8/778QeE5kjtS4wv01HUQGIYG2oAF46mMusdmBjeSwO0v+11yHWhxRdnI +c61i2ff8tXrdMX9OtMBNb7BXUEwsXqIAj6KK15Qv6/DtiFk7pUP4JIVCiAqFteaT +5o56Qrz/po8Ao6heFCcv2pxdyEX70aKPlslEy6ZMRi13jmWEwbqqG2OVK2RNVftw +fzK7+nzWNy5Jcp2ELR/2DHID66Jcp0sL8EXNdELyyUfJrYrNMCzFnbPtM3P+zmwp +gjM6NHgIHp8jUzDdR9nfV00DvlUym1vZhiousMsXsPcfdV6DrVJ/HLI+0/TQvNV+ +GnHmb5WrBRFhdHXa/vV8Ut6apHpmyESkoNaxE722xtMVggzoTV22gzcAr3hEkbKI +3FKWN8zU3ScRlf14kC14Uq8DBaNvpOQMuejicQd+bJx5tvVi7NwuQBSm9hKaWwh0 +5SEcG8/7C41k8SOOZ99YqJI1TP5cdryOY/m3Z9FJc7G4zyCwKOwoDdCWuGeX3g++ +DfpiA+UfFnBe/jX7GekezMXCtQMOMQ7WUen5HkkWFohlpJpdPm8eJ1uS2VaMlEdr +6km8J3Ww7nlBXW0HLIwHAPrRmFcyXRm1kRJywBjy2zJTxg7Pu8wr5fTY68NfQ1GJ +Lq7tdRLh6uDA1LerRtKthlPlVWQpnH7JOdGaOAgYxmBSJWTIUqT+oXC6iC5z6Nka +srnCi12QJchHdl7d/G39nYhxATwMtISh+LpPgD/3QHfMs1zi82Nal7v0VsGb7GOa +zoj2U5EtvtkCSDaSIjvrYXP5ohvfYvg7VvMFkLz35KLZs6uei7OVOqJ4euLJ8lCs +4cOjjVvnnWU3XxTq/udJQOoTN1e5BxYAqkbnfZvoOMo10c2YwI3kL959KHjwakC6 +3DiIBKTfg8zRi3wB2DTpoEMvQzuC0QUBOfAzJo8QXVLJMQrZ8NaeHjbLDjTNUQwZ +/5lsUsZftJM6BHrJ2OCnwR5XS1tZpI0uuqqy1XB7DQceQoMNPiCs7EvoRQEOV1Lj +86X1wDV4gWKjR02Sjn5nNDXE3uBSWQxvTKufgAaxkRdx4hpZ3FFdc7fGUXT0IXvh +W/8aeSBw1/6321/4FrUrn3Cw5QFpmrR4Rek3UJ5cTSynxqIt3WWpM1hqQWVDz9No +5M1ybvDFDR6xP/31Tac8jSvfhjGqpigLCHvy7t3DFP8ShkCCOjPHqM/I8CC5NYB6 +uUkJqjMJ94ILYXeme2+mmpHuXe37ggrJiCtY67ELm5hxQvhcDNdtgjMHo7Bq9KmB +OS6y+wdqH6kDZBxpsg4i96VXPg2+J14FXl6IU1hyTaGt5cXHQ/xhmsbf+oUfm5A3 +H4Wu7kzvft2V++GPspRwPRB34nnWJBvmwRwPYjrjvFim7OU0SM6DGB3QBhUtC1u+ +mDuGgbyxnO4wGbc0fJZ7YtX1ZZJmHf0jfH3iMjtlH1jPS8EXBi4DwRSY1Rr6N3zA +d8ZpZvZr4XnSqrA9fYjjP2h4ZjJ/N4ZJ+CM5z3bI7OM/TgFgPqpnfGD38q+YaZtT +Bu/o/47brG3paGNXJlQdyPBnruNGRGlMt8PiAFF4zbDD5V7BPRidQXpk59E7fEi+ +Gy9Y5wFJbwqzByFIoTanto6555aUqY9gjoVONFf9ds/jphwynzrQ6OkSjCheMeR6 +S9lfGle0HIwuWGhx2NNgGd8FK/LzqxMqddWIclBcncdQSocYKdJAJx1lQFkU5wx/ +EmEuL+5GBeT4/mYyi84ieagJgF2scmKpo3CT6pprpAWH/BHEqmxoXuuS2h7pRLkc +wBP/kjLWoY3w1VPoKVJIr7i01l4UCEAdsXIRg21adM+W1WRpnsh1khM0Xsy6sBK0 +4KvIOr2yeJCp9jjfBJy+B3yQtdT7i4+fNSPoSy7eP8d7XhtOcRD6jbXfMUfdnx81 +3GSTRsanPlIxUep89ucihwpbTdXkLdbLUVSf8fQfgHeaGEIHjVplsYlyLrMQyec/ +FLrPmQ+GPH7wONFd5Noe7tFQum+3+zSQfyEy0f1qBaH0Bky+x8RNHQLKnwdGxsDo +LVNDNKGI0rY6KahjRzT0jNEsOnDNeMoZp4KacDJGNkfJZjPk5Ox9NOvnFkbAvM8Y +JIf8itYvL80WjCxaNSaciSQci26iuJxXc7AdYl0yl7a9Hsz7V9bfcdr/+Q2BKIcC +TeuN+kDGkov1JS806pf8ejGNVFgGwxe679hM5WVMUvDxKNT+7sNXvHRNEI0eGL2l +r60ywFA4131XzT5StYo4XKc9qTjHou+K0XR1+bXh1PaT5BeuUp6doRkdh7ev3F5I +00h7JwS5SwKQ8IIK+Q1G5Iv2Ehjc+sJrPbYmX6lw806lPeMYgZd5MNDsgJpVirEu +pMaOxOWPWzGGyAdp1gC/x2rcut0eXemzxQZj5ObMSSkvApRyMcuQyuKhPYi00nIm +z/ahrPEsWm1v98QE8doSasZwp04eEDqWvOdM8/lG0qIp7Bh4P2PQVufcL2i48kvv +1+JFs0hW+3umVqq7b5qIaRj9uQpi9hoVeQoWgXntnuMQFjGMm9QhEHy5myocMLIV +J20d2n4ZO8RnsaiUC1g3f7dfXMO24VEU5mNLItm4xCst+SG2Kj9eO5duMcYWYuP+ +u2j8yZqRW1VmLsfhXz+ovzL0MjgKv6M1i4ASRTXFAzGxr+AyzC/1/2EgTdYe/i8B +oLPKhIlEWAbmGxheYb1mW/moG7i0zJZ4RwdBbBg2ctk+EVpoKgSV2kx368IjLfxM +5lbM2wI2dDvBXyFKvwqAW5BqwUjpgGZxOOFMz2ZOY4Ewp6LyskKzZyQUyx5lyPRS +/bpRlQLC0TjlQWZ+wm8Kbt+hyfe170nNF9MPqq2LY0+cM6Q2Rj3+pb+N6Rr/abCv +p1uDA/sIewdz/ntj8bnLHi+5x1DhayMsR+2w3CO2ZyOG97FyGWKNB0l90ddf8F/C +j+aeYzoUhYZ3lslTjZ0PLEX1xxU8C1uMjSc90blKe1jiH+joZChxDftRpD/t8lMq +Uy+q6nYOC8KFAtctYdGPAixEdF+X1wA+Jkw6m+kFptqrBZED8iox/bT58TwIMqYb +U4PDup9gY99s/rS2j2/UHVZLxuJYnkFsnNb8HSZ7LQiCSoM28a/z2+48h5oGaGfN +k/9VBgowQbF0ikdBymOa60ygLYAv1+26lY389eEY5K7DbBsbTXwkvC4ZAZiWWgNK ++bvUVuzzxqQZJfYeb9SMq6ML/XmWlCnVWGytcTxloQWVGV8INzUjVqZM9Fj1t0RU +qsFIp1fb+zMiIKXYJckQ8QLVD9B8Te1ijcxI4KhmteMeF6AFYxJH1mAJFnYiTeQH +F70xj3vW3d/LkmBvwWw2mqp8H8ugjFYejbuPDIVaKSnqHUcl8bt3ovKJ90zQ6Elu +ZcOplyZw6kEmgW1njDZ8OYOewnb9dNKnbq//ecdyH29Kj1YzGAFfpZQNCE3GoLoc +5BaltsqLQa0iZl/3V6lFEF8HatjtcZ5nOqN/rnmyFShK+lrj7+jm40rC0Pt2BuyC +qBOrhDO+lFnrLKXU61OMNjUzFjnyzJqpxQ9pmCVd2D2oITa3g12X3JZrzEfUC5uc +nDzF5F4CJ8vEfltEllSpkJc+m6NsX9ql1Q0hNhg3MpSqXkrYg4LgRBYltey65oq4 +Bf3Br7IKaBxuE5CK8lBuSdlz6XW/ViXeUKH+4iGoknmx/53SQ9JFubEvifH5ucn3 +aDQR1F8tvSDXXxH6eF2Cg2vCfXBQIW+8JNyvTp6cve/ewVQcZsz2GZiMn00ag65I +L+BspjT7Qz4cisNXrJIZdXIKueCj47WmNKn7K0lygJqxjYFZ7ovvh6OhbFFIwUii +26VvplJJAZbcxQE8iXMPzkRsqtK956sErcb3POfUCh6ldC+TLAaDbFMWZidjSQnq +hiaS4zqPX8x1Eji+PaCVQCyo42hj+59uleWeWtQeSJhKVhn6wSG56Jlw7LwX6G1s +SldjqbhiICWsd9I3GlNFdImH8dHufnULaNpy2MdzTR+SNiiHdMEQCSVTsJK2ZQ24 +1GXfMy443MIhRSqzYA877/hC/I5UCOgpKZrSAyB5SoODGB9YODRi8hOHVwuWeWnm +0CLA7ZqW+Y1vopgoM/lAz4uJPjITGsCorNmjd+8niINUOqMe5rhEDWyfI2O5aBW4 +ko39wkqaZYXjtDIqdpBpGIdy3m7oJmCTpeVCnOHBbhQsQ62GnhPDKXzpYk/hDhb8 +yEM/TJYj1znww5Xh+2KD5kXf7svIBsfEsnUfoE7MuCFrwxZfh64NjP2lb4uz6wsQ +omiSfpjxSqCNSLx4g72yrwkfuuwGnxvdnGn88c9i6mh546Zunp8qV1qPq5AllKNb +eK69lJnNGXj+CXWESD5QLUtZb+JBsiTYKZe/zkf+R1zotYlusI7DRPvlwquQt90x +12S24VoGf6kIB63vGCFzSAWV17y9pmJsaM9/YNdzQlbneO+2AKGMlriB7I1FCxEU +pE1lsoUaW3lr5RxvKlADRIfGYKV3JXnHDrXW1dbQsQcRlGVYKposzgL89onFve+/ +y3DHp7tgKBC4RlU0OcmGNaOw+FuN8MjEa8u6351gLjrXgGVK0f4A7iCNQRurtmU3 +zEZ2NciSMd71MhNXQa5hRITGkcW0E2lZrmithZZ8YjYOk3DLOdlzzDjm9eJcbTyN +zoVshLudoZBqfEzq4I5B1Di2tpqW7yFNVWfQPwpkDxmlajOJf9ELt9/nkQBHInwl +fKMc4jtffxFbGcckEcoK8c8qDqIHENvUIeA9B+jx1475m2xfkx+hJY1GAE1eSefF +eJyMUAqN/ztBJv0k3W18gCzbxou9EXIAnXcqY3Kyu/mVay/Eku6x/0TDJMiAzFnJ +kLrR6s7Z1Avy/9pjI94o0WCP6YBFyJwVx5sW9ouituZ9h5DJvwH5cnadYapIn7v+ +oJotrJhV/E078cxHu86WSx2C4HN4x7K1XuUE/hA42Km8q6u550a5o0jG8A4KpH/X +IriMqasn4HXQf0pSz32cdfkE9ww+n2J/7ZWPHnuy7/VaXrqN4rWLeKFvcQKh6FrN +5Bc26/0PJz7q3F/aISmJwm01LZVjSXWbIowzk3XRseEzYvo/g6p+KX+JmLVv7pm5 +DxsOU7f37LK4GejG5tNKXwHmQIwQ1gURKtTcfTIps2klJ/RX9VMs2RpniN++MsDb +RXE5RjIvpfDW5uRAeT7drqMbx8xbGy0GmXMC6gmiEzhD9blg4xA5efKUL5DZck2S +poGrOeMPIEEYyn0qclxpRSGq+oKxm/j76FnPt4Wx3sVTbwEiG5aQg54YvxWKtMdf +oVAHqqBdHS6qZxeT6gmCCdTpLNwPvYKiao3uifROuPQDHmjrWDcgv3DC/SqI/j02 +zeB6nvnUD6dnCNL4CXY1EGLGC0ecSzBRyoplCqC4VfRmNEjm3PSvktER2uKBj+zJ +33jb0oS0jx69NK4CVQB3CRYNcKTkK/UBqj5YAkNaN+qkoURbhcpeSYfK8QWHZpRw +6nq7pMhh0p4fii6U00VMJqf5n4G5KgNu4Arr/Jyw5stdxZeGYtcadw6WFBEIquRZ +xPZaCCxU+GXZkoINvB6QkkciVwuuwT0YVOPlFq8cHjsTA1hIjl1UtxgpyJY4Fz03 +Ck8nHPkw05GEij3wiMgqWiB0fardjGfVOqa82AzyND+8Yu0PQfldLTfeSJIj0K/d +pHnE1iPkMvJDXYES96Q1R23o/EBRX26AaV8ou275tU0Ds908yCAu9LwhOxLTn+fP +sM/LhVVBpNhxhw4zjm3y4sEIraBrvuVc3ICXJ4ltc4yYZ7ZRqy/FMBwJqivQcK4V +PcQknOBxpoBUggIsAqXiOyT52Mx09biZKrAtMnll3AMXijeXkbpp6QM5A4B/cEE0 +7B35ysslmFCHGJC+PMIWBXpTt6XucG7IV5HAzgkORmQyp46g0feKWThS7wux82i3 +pzi5tlUHce/QORZd03DClfPVlREuHShyDWCNutRuhmrRQipc4OXrJMbCcLi5cMFm +jTofCQhezbp44wwF+236luK1LjAw3eZng03A2IArkm39ekzxHxfuZMycaQHxzidG +8bg5RJ4MH2rRG2yd1JnKtkPqbgjkY7lzy3+CfPN/6Ww0xhWoyDY+SyBVeneCBE5B +eOxxhJPHvfzr+bmaoTW/S6GClKKOdCJhdAWiNOUdoFoi6eJ1XbX4te4w0XXgxriI +vvwPvrKgvoRiNuWrgiZIZStuDvfeo6Ztw5+1E6BzSsMlUfLo9zjQzvot9p0+kaQU +8LyCCWqPuhyKN2BEoQ2PpSzKkwZ9p8IbonUp5clYQoXqUhUnH+QG0ZkNI13VBYTx +hvY+Ypg73sCgsgyYq2CkWdd/Cwewoy8vfFPlQV/Nwfl3WKRyklHUR8cpWTo8I64y +1qhyu/466oZBCPUciQwB4Glns2sFs2cqwETndEBnqEdRAkRUWOEzsipZit2hhOP5 +k/8D0TV2/wsorqf76/k+VaKOcM6OCD9k2QLb+6+AGeTE5vevhWK9PyOSEW77FtQh +3yyk9bk97l8gqkUwvcfIoYY63FPCEeGACIAT0wA0ft5rURppPFQzaPpao3gcTGKI +gr56gS1eVoQHm2vVDDEhKAiCbvH5zsqB6djQd16HvmcabQIVocUtV5fgjYcSk+2i +93p/it/BFVL7zICa4KX1A2WhubWltrN7kMLGCsdV7EnPtQLTlb9NIsToEIGfTJFW +g5oCQD+9PC0AlJ9eVnpAI6eV5CB06dcpbYw5Xb3bluU6Uu8WBz7SBVkHtdmpLWgZ +RwYuHEIkZuAHduErkK9xup5op9bVlNhcqdURgj0YfO0ipZ7nF4E6v8kvXYsO/Uad +ek7jvNSsX6AmftRIMy4E+eeRVctOCphRGb6uG3sTtzJRzxRxkEdK1s71d+Jdbz3H +1eDDhQuUbV3sDNa/d8+oWaR+DO0S14iacO34nj9c2ldKmCUIJ75oFrwOs/pLeDC6 ++guX7SGZyCAw/qrD4MBoqn0nO+ewdpn68bZXVKRSE116v/NI4ahbnwLInndnffPD +Yi6S4vhBHCEr9SPJRtxJ2/NHgRlytNUqEPOX1fJ1vG8aTHB7Cz8nBb4a9vrSdLJr +snJvEUnAGMK6q4//mFiPoMB94C2D14xlTLxbSJI/60mRwyAswnz5ymtTOl0mTqFL +qFUvlePBMrDMFYEQPjovErQtQnnvtQwHXT5S7SsKrnoD75xsekvxJysgsotEvxcZ +Skwf2b7mnExM5onhwSAnrL1qmjjdVGkFam8qgzsK+5cOb+gil2LnKX+4s/3B215F +zHQD6JHDszOVJkCozBUNoA1w5K1BilXyqhSlmhZKpj3T6NdkRdWmnFL8IFGU/bSA +6jT4Ou2tEDhl2wQRIJq9PtcSklGwzqIcr6AIxnQEdh/AuxhBwL/XqaEISanhSsg5 +8qevJ1zzO0DLHK9pDmeFuH21dwOxAQeMnlOkiD1J06zFIbahzxTsfY1T41c2G3L1 +NcldPGf2tYz57DYxgFLzrR1DGQ6b5/a8V3pI+EVkGklso5fk33qxPsP/at4EjKPQ +510JFqZgcc+ZFZ/DMA9PhqEVg7115F73UTq4I9eonxHShiMfbsij/kuFkmS7g6O4 +6prqByX88YedXPBXoouiL0YRY1Dxtb+6MOIejSpGYsGvYxW/zzlTZANoODNeil+Y +Msx5TeojiF5lQRTRohtrJhEfUWLFob9K1gXmZ8t/9VQqODYaSx/vyEWhenVtjC+r +YTguIY6ObfSmlyyA+MHhu/fZxUq4zSVsTQVAQv7C+hcgaRTfd/YFmbY2x/bH6fac +TkXuudNcbGml8M1FDIofClU47Np2vCjOZ7pOsjoFxrDopN2sfTsHurTNfcOwwddT +lJkqeYE/qHdjBYMYPbACyGzw90OCPeh6X53+cP/Ld+JkaFen5uGYPDjc1F/SV4bh +qJRR+MyBXWBH4JbuXgA9UkrW63gWWZBOqBAWs0aHL1l+mv1RJXhGOj2HAp7mMDhz +VCpVGh3QmdcJ9ESwn4/MFBrKSIHV7smawCFN6OTDYXWIljhUs+j+YVtssc2PLzb/ +7FdvXrEvT4S5lJpBbb1c4viO6QjUtRFgONXDYHNMmGnOf6MlLQtHvjfCgE1sqNhb +rg6sUHJeDrYpA2t63UY7jF1fHir8pxDIaIA8uKi6eMmWlbp5YcuRculEbUeBi2PV +CVYVC7cD579O/QzknHI7IdKWYFiY3hRN+6qa/uXXBGts8GHrJ0jm49XPWBMZS+ph +bEimv+9IK/DWzT5snPMiHG2ICE5/ULXXN8gI8STdvtCrMU9hwEF3Zt/Ty7mjaysy +dfNxC01q709iapawBwhsap6tpxvpdrlUeoxgZrwgezkp4mQaahGI2TiueJRILUGf +xzIggqh1oiEz4K3b2GLgJED+3RXKmTD4umUuGmVu+JdEPm4QBEd7/uLiy3AhKFoj +nntTuph0O0Yl0kmFnZwDUyXXtOJ4tqRNj3B5ruUnIvvpT1z+x54yaS2bPAMSllXx +ftB+eBrAcP/RG4+bAQtgluVp1GvYZ55C0I/12B3LTcdckoIdfC+/8ZYMRBL9u5bv +o8QV0p1dzgbVvZKVTYTSX9QKdu4D1gu1lsZU9I4JwgZxqFaWB5FssNH91GuRV0di +Ij1EDA1ce7B4zSMrh+LIw/cBBEaU65ANgB89L4q2ji/3/3jjd1E1QiScYYZMc1tf +vxnTWFaj+JQahLuI6XmMWkUlMdA4GDz/hwzmQf5jUO6EjoP4k3lU3vDNoU7LZlzh +iOpmIdQNu9AaO5X/Yz9cDc3bt/+aVFZHQgghuGQouJsdnM/1+eKd2XMOPo/08WM3 +UozmKIswnSlWHG4n/gtP2SW02HUL44ir/9mUNDG8526gmc09T5A3ZvYWYwrMD1Kv +f185P9IHO4FRF8gRtGPeOhLhHI6ctz3kLPR04s+gVn2WnLQQxSNtNrrfg34QO4xb +FN9KUFOA818/OhsyQGf0mtLuxuL7etn+/Q3KI/RIJHm4Hb+iBmSRvrNqqSfeNxpr +569IoJchj81xxFIkmHjunvsFMlk38zgZD4crYvJjPeNgV/1Y/YT34NwcNRw1QyjS +OmtwhHBLvlbkugSUDe+6kB9vIviLR0TFEaf5C0sNsjk6ojSWMTp4iyvtdP4QUoKw +XnuKstSfWsmMZuX6Sy0WV/vjbcu4wT88BtixA6iqqce+SUZGT+hYZWLJsbG5100g +SVNv+ZrfN4PpoOggbtjS9Dz/QFBA5FaQwBIuL2hrrjmc3QqcnsWRVh9zANd3+FOM +Dhc0onQpYQA/Gwt3Cq2BVc+55TYxUw9w1/bK0FbBjkAXOYNhJaIzfxIRiu3pbfJJ +GhyCLEufY7LZRn5XiBvQvq8QCkqnOgc4p33W8/obftTOXMlAChxxG2jv84tH1biW +5KOjEIPiOx9rh7p5cFO4ZONG7B87TqyZpF8UqzXJ3kAglDF2FK5GAI1zIYbsGiqr +QzCTpwzIPJqbHiV4k/qV3DZVvbj6gW+Mzm9rxBP/argi8I2+XAji9DXfhTwi70/W ++X0CR01vQtadJcQclJWRgkGMnDhszGaeZFt4XNhTh4Q2ki70h64j6O0O4NQRuH0P +5ccX88dCe7uAzCsLq2hH8fqMMr3ER+L+NBP4DeVXWKH1cP5DvGA2Kno3g2tK2YCi +VavpLcJvxkHyu4chhJLtb4tjmbsW426/KWTPIYUWLKMLXjRJ6hpyPqg4bwDjxsiM +F+shnZmLCa9OA5ORt28SrskghJITubgFjyPNgqj+YAVAbD0wivHAxFm66h27/kIW +ni2M8SI+PodvMM5VKCaNPggS7QetVrSoMv1V7Hk4DTJ4kKaVBj08PnWPnTk0oq/6 +1Mu20kwJEdLWqCjaD7fWz4ujDHVWRoTAo8z1Xt34OBsBLPDRfItssqw1B7O8Vhf1 +8tFrkwIPE0KbjY61yP9DpcA2U2jkM9cGd6u4qWlLi4AbTRGsN1wXqGxjI0yUlf6d +s/HCTssrd/bGjvHbsVi9lIg3YBJmUOlT5gJnp59fbalqV0nu2i1iPORSixK6h/nq +ntCdS2ALYld5uvrmtQjPVs+jZpjWuXtnZ43V72XR5fAI/M1F1Ujsfl1BZRe1zIP5 +b71IfymBVlHmAFkP/44hAODGALXSk83yj44hvOEjZ6q+xG9e9LWYqdH8wGAm+EDW +b1scYQQc2uUBhrlprEISSkwnsSVL34NDe+03Kz6mALEVDOaUnJFwVzANeH57Xm1Q +UZuKUIJ11v6ZhfxWc5sZXa+R1AEQvcGZEkdm/kb4PUJXDYpPJX79DtNr4R8KIS0a +f9urSfmY1H9RWNrz2e1A6TOKeN3oQwoV0x3mzhCWFYxf4iZPXYyUmmLWl+q0u2Jz +JBtkpMrHsl4kIBJGA+Hdh6TpCW48fiKS1uEcDEUYRJRbsamwZBSP39fU3PIoEyuG +zn8MNHs5ieW5FUotgHmzTgs8ztJul0s2Bm6i56f5fg73nlHz7y4XVFdYXLGhqyHI +o3vWRQd4dgLiI3Px2vrzGdiqxCoumrxT6n0phK/aZlJ4+l38Dfj0lWtg5HvbY6Ue +q2lEd1faPluy9Vg38/x7wNp5uFfF/hZtrKKvn2TExDHHeBB/hwGSDf8TL04VDYPy +s/MwNcTBqxmuUEbybCjvj3xFWVVl2y8VeqrpbA9M0ZOUjMtZKuzrNtYK3/eSEipg +BYpE4PdxTuytwNy8nxO/kBCyd31peao8Ek57Sa94FXwPZgjGSFn0mbqaebLH+ico +kWenJPsnSFNYwL8Mfp6pe1DAOtt8tVh8MLjVAnm3sPqE9FVXq4ZggkvdoIu8qkc7 +IgF67gHMKHgxXdngO8ujH1akWYDvJ0tBTA+LmB5FuUytSsn4+iSbYP30dXqiUOCQ +ydZQLGybYKPitDJLX+0cbZEbeRu78W+s2w73Z6Hhf0GNnaF2Bo97WO0bcQHC/UF/ +EBSY3PD3nGGS15V9KRL8D9f1t6hYLsI9KyvrhhoufY/31XbZ290+HDgzZmR+wamq ++PXu0rA5jNrurZVpOnirK0zRCjO16lNLZAa24sBjeQoRRtBY8Pv7CCfJsgP3LEDC +/W3ZyZxlT8lifue2bf2CdEHSALPDeR71Ch4zfyLi72FDNcqMpezeSU17GBF/Xn8V +4cNXFhouurM6L47RMTdl/Q1d7pib5Wf6U6EOUwtCg7u5uhhCR3Lqhb4xVcAjPClu +ENBJf2vUAcZ645kEt6rRIHbtIrfFt08TG8sCEfkut2o2I5jsedpuN/h8Sd+ZoVaD +zBG41NGZrdJof+8MK4onfKxHSSTPRuxFoDniN27lAXFhNr1QM3rv+74tFMFrfQWa +LQs1ewtlce7wKHzEMCorR7mGXXMMvVnr9fTGxvyGMZ1XwLl8uE8qEaZP7TKOalHI +jLWj3rHNq6jEBhzPjEVCg3imvD6NLw/UFwM0yyyL3hywrgTLL7nwjV7WZhvojHnu +0v18VXlP7wJMAsBZQZGmREjgMVATkqU9V/jxcj1wZ+3nFXzqIU5g054yjFIyKkao +MPy2CO9AmXc5rPNgwdFYzqzYbUqhHgdv6L5rlevj3dab3nXrJMYaa72JHA1nKnaF +Sm0Yqvdm5E097MjPhqMq9F1eI65Wb4mZAfrUDy1fzhr0aU+7iUebvDefWYg/z6cb +cHxBsZte1Z8wMrcGxrKOObbIs2emKTod/tDjEpnHZhQxTZvbiUQb+sWT5I0GKROD +DD83p+/AsOEl65zPPqcwHp/YCBckz+Uxu+yAM3G0vKUqeP7Z3dm861zp4YuCS1R+ +XXFf/QI/a2kYZCU5EhmYw797/GbCk4ZzUaTBmM0v1sMws/lC3Dma6gIIX7YhBxVG +EIi8a26Jrq0AXoTXoBJqSPdlQPoE7kfxpIXRwNUZJZglnkYjzRqoiN/x6MG9vNu+ +4LOYYods1lDDPuZZcQLdEzs9TARrHHEKwzAvZSCHq866OZst1ouv3Rk69bdomjTd +rskgxADtSTcqADmqF32qjljHy1pu+LnbfUIAS5d0iy/71X9h8em6u62Z/xpDCp6P +8sVZRiHU1/3f8u4bXsHInqC5B1KEFsOQgNdy56mjrgsj5rHtJVo6MYHSlrZhdtfc +N/3HW08Yxc9pEgUlluVManGVrT4hSv40lYa6PMZHGmZGR+rOTCoBIc1ZnLK1H5/C +0PR27VSnBKmA1MAgoazOggHI+XpI8vVkrL+faGVUCMyT5gWHU2glQLDD2W/j5ryT +uKOWV2/eYl5k6URarZIN79uffdJsLDlbg5MwtBcTPF2B+sAneedCUpRciMx7KPEo +1XYqmoieWkuQSAUJHS5SQn+2paoS8LGa6s0dg4TnUmOhBr2PKar+hAMEIzUavFuf +liptsxOosT5MZRv8wqWkaTwJ+CXhwl1qPuFdcTaKvw7zS4sm2lau45UdX+sDaYHr +kMK/L4nmiNiwT+QiTWbnmwEE915i4Jhn0O6F8ybkEdh+7uJznXiD5G2WvK2+N+qt +OlMMgxWXgcfouoSk+0oVFhg1nWYQIDVgiCUKRzdMFnc/PmwW0QFaJR3M/cH3/bV6 +lhp76yHfuh17Vx95OfzBEDgACa/F4wdP3gtpCP5zi4szc04TeRNcx7aUDxFHUOMk +JQulctk92Chs71uazVtKhdFcEGPFaIa2NbZfXdhD5FTRPgiACFXOfJGBj+Yr4tVB ++KeOiDBdwTn0zeLd+nfHBSTj76Md7ayGZOc2KKlxA7z1g1gzJ14oGuDF3wdPXgMc +lBqwzYnU2wF1yVISNRtSTZeIzGriazgwfYuNukZsJ3jv0BQ3jgpdgkelO9FiObiT +X1ewc6cnMwj9BD18HQiafcsmdyEBw8SwAjMXg/hewoZwD9PAaiIvptIMkQsdf7EY +dAbtgJLXUTPAdTaU/8q0p/yJPI1e/qCvZmtYZKaRl7n1sbpKfTiudR/0iwzLmNPT +x5KU41VRq+LIVnljauC+amerM4aaWhzSqYqhze2y/JUXf6HQhTFm3t0eR4eOlev/ +6SAxyc2OcCPUiTraqnC1w8MSlcHjaZyrYA2n0jTFxopCPwMNkWp4L1WN1CCsNEjT +uZn2hAQfvbPiGth6KuW6lp+z5fGXkEr0m/Jf2zTMFkgr24x5MziJRrKPZAW9/T2o ++zusNyhyRGKxInqKypLSj8yeSWS4WPi3QrLt/MIXtuoEj/iUIvdqxDludz2+No/d +2etnto9FZvGPKYN4fqYHp7YIlpgtOn1nT6zwohKYetpWis9d2hxEmLVUoMkMb+Zj +ePy0A97zSrleX/Ez2b0X/lKPY2Jead84OHd+v/4H1svmcQMTXMYBsqyctFhyW7fN +EduNqhvxOb28bWVr7dT1PwInrnx5XWFoD3DqOmrXGe0xeKy17fh75YMB4JT2ASyH +B6GiYhjb8IQ3+TrhYMcvaNc769nmtBTlLFGdAkLFxp68K/9Bz2IiKSexmFipv9j/ +Vx9BFDFqiPMie2LZahR1Dxido6jg6ScdmnddKO/kuhMWqyX1ugqoLyz5ZIXdhj/Y +nF7nqbfadokBtiC9MS8Do96qK9Ts/grHDqBJcEm+nSAqOdGMQEmwypU54FuCv5X/ +5UBJLX67w5/u+TqUnIzCRqF2oBBZpL2WTiv8sL+gwFstF/29l/c8D12Ji+19XG7P +OOo4jdt3ZQ7qqvUT93AZNA/U5B+h5s3uMMV3bjpqo2q9SS1LR8jkOZj9IbOjChPA +nxAf4TjHxl2bCxr7GK0eu8ctc99zlmwsXohZFyJf2J6/583al+iV7KY2lwErFdPR +Pz/6HzdczRQ5NkhIXU3VDstHnn35OiLSC/HAvKKzyJPiZAtXGIlienrYBA2Xbo8S +aneMQRA53n9lCLSP5tZTRicNGFvxgUPIAte32DAIYw2UNO7VclSPTheMWjqqZ25B +w6IK703W5e0cJ5/DpY/T256jW2kERXE3KhzvkL0WAUL8RZNxG0cigGbf9/K9lpd4 +QxdxvzLG3mWKOm6RcKD+gUmCFqUgTNtNzUHNE/D6uWJwdc/32S+1jk6ktMyYtMKz +VprYICWp/iwbDgy1eV6k//5soMeDZQdL8Hzgc2dpEohsX3SKqKXLTdKmDHD1RD7I +4/AtKvMQtgt77Wr+bdCJhK1lS7yPfPV4Ip23K7AkXIfyI7bjrZyoywNar3kguW31 +Pt2GK/ru2+MsKBc0FQkkIGUlncPbsLLpVt+iUb+4RfW7IlSSKXGopYQ3Pdv+gl1+ +PzUCU1lyvno27x2Si/OLhFGvvDKIItpAqmPo8jyEP6bRw711q9LfGVbjHE0muXpQ +Q9ALGoy7sGeeOmeHNTR8bHvODnw7lG7pBi206fL0wfo4Yph9aBG9pUkBme0KOUfd +gRdRV+PiVZXdwfh7DI58UFf0SyZVNYHb8iFfAZUGDnlCjLqIEQJ0Gdf+9Dn/37Sx +iBOuZgmAjpVFBCdpx9YtpOmpRWpqRVZhqG1h0GvYdTF8299LzGJTEUtwMy+Fw//C +Vbu4J30uEcP814qL2o4W5f9qGngQy1ae8uZvPpaV238P7WGoR/vR8xnmz8RDG8lG +Yw/Ha0WOt7sugy7SlTeE2BRZfEw9MSuKHBbVg0PHdSeWnUmh2LAg4HQU2VawJA5o +wE8SCsCNevaREgfKAL/z4lMhMWJX+KL0eV03VwAyXZ2Gbdx1JQTNfGaguZ/hslK0 +6hfLRvcISoaaSJorXDDslvwP1ly+R7acXYRa+vR7ezUaRL5PMpOSsc6v6S5XWR7/ +xR9hpPWx3E8U9l2SyuZ6SOKNLUQAecR907tvrmya4mEiIvEXeQeXwJxzkoZq9Xtp +BdzytYpZ+af0+JUNpAZdoMcne7+J8xaO7XD3U9GSgnHtCIgNiVrLASxVUCCHZKzb +OhYG9SpCnY1807g0nHcOJrXU2YUPJegfaVFsUfxW2al/Rqtdq3FeJldyXXR1Fvif +MbhUmVIMNFC6vR3+ok5qjOB0q3h8/dUwSf5l/dYK7TI9I+I/9Y9hg+Sr5HCsNs3P +FYTbJntzTcQQDVxAjfZLNZbvR/xf2Rgz5JcVR1hr2Tc2fyiMEjRajE/EfOgCvYTk +uF9LTrGiah/l7VLS1FoWuaFCTD0NUJTKNXtU8y0IhCvFPc0PPosDTQG1JJps3iaX +4wVE147kaWuhSM+x/VbGZkg64cgsJxAbVpVhnDxX2XU9aAHu/lNuookGlsTd+4l6 +K/h3P3apFFrhZKU1Dk7F3l6NkxSMcQ+fmV9Fa4ES14eX4V5CZJbcwXJhNJ972HW8 +IhwXO10RA+MVrhvMfUQCJIcoo1v79Z3xR2GSA4KjMt2zyv4Joxv/yvXiWYKsaPga +ArdhKXyVOLNRQlE9V7PExp1t6BMLmsHKzAB0gy7k9tF/pT1rARPWFEsmtOgmNecz +gT2miFkO6Fnl9AEzOCGt5KtRzY6lyJBd93RHOiZorypsIHFosiH7SsqNzf79fGzO +R/F2eXX1tA8T6Qj+DOqQn8bfyhSv1a37EmbO2lwWpwocrZI7Za/VtqLV/+KG14Nf ++VcSWNLMMUTswtnnnvj7ig2vivsMlrh7D++qo0YXwz7l3YtU3neEqYwO6u9SAxHW +FyxfDHtLV6kLCPET0k+o5PktRCVd2p/QPEWo1Bvtc7oN2wZTRRNriiqu8F0tgMeJ +jxGY2tITP1jaAsIItQIIqJ7Jo6aRjx+mU5zR97XAUGtJlTvv6e2P/eHESEuY+HwZ +maoO+2kcZkob/oVA6gbisrH3DJrk7ldcZ3rEevt3w0n3HoRwHwDJIlJK32sz4qI4 +/KfxLBNIkjzYwNszVNHFsKHCjUop7Za9MqMLGTVmzko2QGkfR6wa1EIlaE4Hl7pl +IUZw8C1wUySZrc1z0k6FqM9gieuZJKRJ/9Dy6ccsCqYRQOTiASa/9BQN+cIe1n7b +iJG+6UgJfHn6QmJfjYn8wnJxJ+x+kp0CSjlk+qZDVNNKfU5Vv9weSUJ8HsByFN64 +P+OAvMdIV7FxiGIBFxTfPeeJ/iXz5iAMUC+0r0rqo5coaqX3y3K5PD6QmdfpEY5e +gCifRLj/QbJSzGVJ2Xkgli8uBsi2CGpdZB3C0E2JjlRzo0yGvH6azIZUn2aqLumk +roMDVUSNK47qw6KYVbUMpdTv7Egg/Fh25xe085sVBC0jFOEAJYUx7I9WwWgbu1u0 +uqKusANoVeEeCijkbhU0zPFDQddpTcsRHJelL+IBt07n5d85iov53uzzDSM+e3x+ +4F+4t2tPmTkOZTdrbGyq3mwLwVP0VQ7j9pJuKSkXWB0w64jZcZMdGJRXe9H++uiG +LpCmb6RKcWBz9DtE15VxvEwfTzT900vx/hjWgP0j0BXDbAJowXArlesbCxHwnd/v +Gzk17BLfdwZ14vSnYou5Jb8iiHtTt5hCpSWOyK0F4TMqy+o34Fu2LA8mNBBnNN4w +8WYuIowYBl+AvDFSGloDy1tyCuBX/SVObcs0+dMz8U/t4RlMDLmVbGvRRK8m21FZ +IHZmHCNX+i6EFTLgUYGgJzagEzSgSjJwfWMYHxC4MKV7sy1bXTbTLYg3e7D3JOEE +VFc2rfUxl/nUIjNOss4k8aMBLOwpxWodX5pkYLbl9CkgJgnauV8XGuumlLuBG0SE +z9n5JbOE7q5nBj+i6gKdku0+h4ZUEraQPxLBirgL9KIoMAF8ZxdIorK5dP9ib7nJ +xsibKyVF1y77El5P0MYBWru77xdGSkGgcohCi/CFOxpLIgGHWguifyRaTIIEdBma +MdpHNn1HIu+7Gl59He6HagCCP9JoXeVsHIxQhdFcNx10rW/+E77Ho4KqpwhuW+gE +14o34GgAAk32gMQvqKRaVSWrLia24CxMP4mZY7cGsJBKexhNKfQgBXtefyhLeeil +JgRwLB/EehEm5EKTqLDj/pvomJZtq7ZjKgCPgntcTuJtpy2gL1NwHYIbBadsoxGG +tOCSixOtFnUFoJTym7AbCuLw+liuMDU+UIvI9RTrWQ9ENFGEG070aoXWSjQgu11v +FlSbubwnjKhoveM9SHnPd36MpKZuJSy1d0UhNblmTSjsDJ020MU+lQyIMbt2SvZe +FfU/8GLdv9A71as7Q2w66GvGIN2DhV8Mi+80HgpAj7eiGk91OQkzv6uJqiW2mu+7 +OoWtaV6KSDhbjmfDxMi3TPcfszm4xqPQv8RmmK8xyV12s7sW2x7mbXPeJ0jnbKqS +00qTSJZ9oNClUCHLdG5Jq7WnY9ol0Og8RqC4YUGl2Y1yPi4t3l1JJa8qLP48jkYj +ITaikY5BcDjrUjzLK8GYNk/pVBasTL4U9gI9Vm/oBMv6gMSTDz7myPdKvrcjDWIo +NNrE/jl1zXlhyBxaoMLI8EYHXHufcpDxX4eYHM2iY9ZUtIQELOzV/f46uyUr7u3a +K/A8d66YElpucqfsjItP/YtWG464f0RhdmTUlPgKjDKliqVSSO9cD4PyNP1iHz6p +n15cjwpV0esEYyFSjOcsoXygE/5LjF/FQqOHvQMAoxROEAc0eUS7wD61/UR3HrMB +q/f6B0iwE7jVUUC8sQObNWW2RmwR1U781I8h7h/IB3Jmfk6XtohSH4F8IN4gY3fO +D9lGsIM9SVp4PJ3Ly2LoBj5rUEtmz5+oISarOncSL90d3c6JxmNl3IJszcQPClas +sE8V79qTyzgCEg4RgXLII8spaKWMwJCdEmNEh1VurZT8HFAVHeBJanbTwK0Ws3of +b1v/xGJAyAycI14Yxbf9nUEWgW8p2+SKSqU3uHJQlhpJdHiMen22XwizBWC0ztKb +L9PWutbp/Bn6mi4FZ8x2BoGxnJoQQW6AoBCvrhAP+7ct7lc69asMOBPb0x2Jrm7s +Gb+dqrWnqvv4CZt+x7YwmfZYwMudN+ZWRvReGrYtW49x8Yzub3sb1HCLjgmdCp2l +e01D2yAdtBkn0Wxp68xlgPxbq7x27bVU7H8LZWBJLfLkzbQAP8NafLgdZnOIRZX3 ++s9ZfwE5FJE62JCSdLY9H4xF2J/lFQRIeItKSfjtR6Zc9kmn+4WWJo3MjHd+lWm3 +easkhhRSrLIAllZa+XmfRXW+EeS3R1VOrvCs8ijxL07UsEbHLeg5lKdicfliJZSz +qbUw6YqdBn9XD44EjqGaOssu0KYD/DVBRVkgOE+NDNETKZti30xaqlycDtvNZ0eW +artnEAgLPtFRkZr1dkH+nHoDOCrR6+3TnuanT/CmEJFje98OguPBk0pITYsHHGYb +/TJ9OMshcJ6umvf+ksF7EkS0B5XQoQQzk9/siWbqHkJ/KRip4hgIeWug4bg5uR9j +STg/KI/BquSUnddXQ7sME31vOuL6N83XsMkdMs1thll9aQTpRCMiIO4ZxCieInT+ +leSGumZ1IpB+Ymoy/kKYVXop4SI8+/MAAQZiL/xjajaTl/zBkv3dNaJd7qCYKNim +/tWwIzFjTopFQC42Uo4rP8BG2YeW2THyi2kJDJgEGjYSLpiMCO4AMK5lTkR9vjPP +/iCkyRh8BBCi10UUURTsgHX8w4x2FxA3/7q6vmVbYWkNAZjK25QD0OYasxJine2D +08y7lxwpisQcYM2tyk+iyj1IOahZrFaNJzzFogJBknSEwLiwSBXE7JOA5MKz5Q5J +3/udtq0w9yN7T2MwfsebT49o8SZwOwlU/3DnCZKWZ4fvR2ms7jEKt66FNZwL+4lS +FuWeVZ4/oDji+M4ZVLnar7J6E39l9HUyTsT/9c7eNUHk60z7jlRIRZVIzuoBqB4F +45T64O0Uo7oajGwjgN0sa3zYZXqg0R8isP9/L8a8PrbYUoQEOTqaH/unlpG31fXu +F+6YwyjT57jL549+3+rQLByYLrfYAQve/YUxkzwGf0Cqb0Z1WuD7KC0CrhlRmWdm +twAa+qzvs6PvzbNSyEqzDJQwBQmM4FAxTbZmRo7cvmUrFUu/hhxZ5PvUI9mfsRr8 +aYXFMe7puaHfYnTFmNvriqST74uGU57G941RlOu1qTIWuV/jFOEsNlVC2YsZASwV +ae9ey3poeULKbRvreywmI6FbRArUHtiav38zoxCmhKzf225TIcmijvlaBgkWc/Y+ +9Rz7VeVQvdzt3bmUgccB0pN6xk7yFwoIGlLUb7CFS1qEQ334W054QxmRl8Rx1mTf +AQns7kr4ECHVco5C3uRfdlvhjVOqCYDhbA5Rt5Wt1pe6LzqzleuFb/QbWZBLgeKc +steKlL2PEwSenYaQSYJ1HzhgOh5Aq8hFBiW3JDHW4t/5LGa/u6S7N67TVF/Fxnli +DcVBa4F3ut2W5hheGw/AHokDuP5wwXPVmRqVCdlTYXrU/C2cqTM/fRZqnuZcagEZ +20L2ZVfIIqLUB0Z+Nthc2VOZ5NJxDS1Wa8YPmW0BJs52B21J8Ya23Ci9EDcpJQwO +TkILTMIhuLUwobuekRgFOMKd5JrLH/fym87hcqJ4dQ4CvXFjecaz/RaYz1xSe2xF +HW5oMLHxvsl6ONwId2cPoD+YKr3rE+rkRLGR+d9trIL+W2RKD91kLeooxo7Y3cpM +AipGPlSEehe1JYG6y5I0Ynf4STDI5zvtL6T08/BfFgxCtIoS88CNl5IALJHqZzJn +n2+LzLjpeKZvWBRsnN8dM1SzkngK4E6FEDoGFiUWCIum01jMh2mfov5pdhDBF73u +hVUFFTXrBwx4G6JTbe+KMmNOPS4b4U8uf+U0QSA15cukpA6GAFVeTe+RhiIWpKVb +QwVxeTJEU4e3n1f0UUfVhj6rXuj7iS0EHSj86bpVhgZOUN0h40U35lxnIiWhmjP4 +B/O7h5AN219MgDly39TUemauJPOBB0QwZneQnw8t1d69vBcnWxJIC1yuUcsNk/8N +DiccN/tfLYafKLbig/I1Lu5nb/TT4CLdhyTJdXfGdlhjhXxQdGiPO8KJqn91lp6O +AcS8VIK1aZAU4mORIOoInqF28faj00BOKBVI2qVpkwJWUyyfPYh9YSXuCXneNm5Z +L+7/aQ8qrIJzBGgastxCD3SUayndqxfB8p1H5TQ3brITXxUqWKTl9ADGH8Srmbxy +xKUby4c+hAvWEVABym8nd4anPNZDNHg/2npVHNyMG89BbcD0u/kdZx66zSrZcYsq +aCzgolGrbnnQTgv00o7zc4GiituLwqikvCj2zpM2gRA1PE21cU0163UZWwsWZ8gR +t8Bt+ibRt5bb89KWqndE9bBZkpndIizkdCEnkK0w8VkVGrVJCD94FR4Q2cj41KEp +bi98SEs2wwjcGKPqlFzQgUQBuZgzvVY6bN5lQ7ezlp/JLXNeHMKHOWdbXwHHBTZz +T3pGJ/4IPWw6Rsx94XJh1Zdg3Llfy1U5/mYZ7ybiwU/+fgoHzx1UpZYhjoaxBIvb +sAwBYvEgIcwl+MmuL+OzpSGLQzs+N2lz2FlKGvBP7qKvRE2YgUKUFnGJICEusGYe +jz4NIzitG9KOX0QwrqgFxGhddCdEnKoR7f8G2Ec0TZXDT6/bipfmmd/w5kdz8ndS +mgCJNuCnJJ3sizkJboHQq3PchhrXh9BISyYLneCEdC/gTtdHvUhScYUkzwi+vynY +LBtadCYGtGs4lTkeVm7EMhoXPcvL9HoiS5+8phOcBCFrv0C9SLL63RjXrK04kSqF +f87sNqS2At/LSKn0DCu7shAuWf1ZKnCmL18qdse/JmMpDaK/SW2hSp/5Zyky0mJh +rIf5VIEHNYE52c7gnZ9CCFjFF++B/mDVOX/LcCL0nRYMnBQ9ZgGAT2NeRQO7EEW8 +HO1p9IhtnxB1pozyRlhwtUa99z/4RI7glmk9LPL0FrBEdBAydGP78xbg415Awdcw +nhpULC/SxmPWzSHecAI+oT3NdvRSuL9nAUOklVNoFr+rrwfFA8aBqK9v91C+m981 +6gpPuG0hJKPWuO4ySFGud53ZP+grb++SH9i/agG1xM+Jd0iCCNqTKmER1CQo03Q7 +ZMPQAvTjpXQxNo5ne2A0OGN0R0D3x6i090pUyZ7TY396B5YKCwH7ZiP2/sSFdA5l +uW7LFMPW8Fx0WAQqQwdRkk/d5FVJ970cuWvYLzjGEhHvYRz07hBXOGra0B3NzHG2 +3ctfBz4zluLR3f6YlvcLAFVV8MnwmdhgQShr7AlXf1F+k0mDTccPi8iyF/XumcV/ +B0fqKWTTjlM0I0pcAF5d4Ez9tqHkW4L7MSUM2Crg9AuEp1l+7MST7u00XEZcTCpC +7zMP58todD3joVcFxSIAxiJZhuOYPy9dh8w7dwwNEGH6ee4K9IM6jH6uCpKpHpTn +Xhx3RuALFTDPz94M/sGuEsh9OkTULTB+a9guy3OkWxsLefrN+MKQsViGsRe1cbVP +ePbtdNjubs2YW4ExZezqFpnH3kRI59AtMbSkwkB3E+DdMDPhdkTtHI9XmdEO3PAf +ph9Wcxbln13XbwnBJd4Mh9wHKBIeCI3+oVQf1y36wWVuLhKEdAMnIvECAJoLy7Cs +mAq5myt6t9SIBbkexqKNkYbb8jSDg6asavuJ6KG0orfx+WPQTESkuNL5JJq6a6HW +COUBj8Q9KtIKK5Cg/hLOVWbBlwsWbuBANt17zMhK9c7nfLG4h8T0r9/6b73tAZOK +lRK7mJTLTfhNS5ZyhKZtx36IV2J/A2EYrXcuhHoUyFU17Xn4ckHgqZhAI23bXsNU +eXDEWbPWD7sarLQCdxeCkSB6N7u6BVwjy7LFytDqvyzq+Al5GU31BkHTCWif8djY +VE63dHd2Gyz26Mh9lqvZtX+aKR9eDs9V9pe8oNRKP4o6Fbxh/f0K1BroIvx4ClDB +2whkT57z/3SK5kbQSyRlBarc8w1/Ly5DmHWCidbUZ9yfKjzm7OvTBeRgCmto43iR +XT9U/5exsFEAdNy42MqVZUYNWQWlvgXnxQI/RqwIRBhPuewsP3v015iRfnbvv0iD +Mn0sjwTtexNuqHgpSVf/nzapgf7gFtl5upg15n3MiOMO482L6okanr7MhcbP4Yaw +rYIcu0dkUul7LIpqn9FJn0cOv6Rsi1gjt3p1TY1i3DDytyINeuYzXrlYkTP7Eop1 +/bBibqiSIzWNLiCwnDobkiie+jZBkwBHgQoPSDG77Ap57DZviiPTlAIB0dS3Riss +oKANS/n7N3YW9CjwB4fpboL+bs3t1JJCRLjuURHTuOK+nbFuZlmMwG/lS7v3v2f0 +rjWtUuT64g10t1/gp4v9vSbZoGtsY3DaqkGd6JCRR7T4DoZRUt2Nc+0JIysi0CCW +JOZwki06pYcDRVdQnA/ONIPm4nJ4DDor5fcuxtU7KMi3TchaMphaDEzEIzMZCmxA +1xIHVaoCAAtFbD8SHcka2Zd2+GFGSAHraLX8MBxpol2JzbbPiUkR5tgfQiYgh5XD +F192/IWM08kNaoQNfc3wo8BhhDsdSo/F6RA7A2G1zlTQC/E7XYoj0RWNuKkF7bZS +8WklPNUQ6oQTneSRx8/dJNOvZRpPqLP8z+ZxyGuo4DReM3HFpLLSb1wTu8GWi6IN +Co7vi/4NOPP9IMX/7zyTUFiqA1rxUJv5gnL+RmKGgU8GQuJAqO/2FLzUxD/uJP6u +YHGGRkep0SXw16wit+b7aBco+SU/cOxauptrYUAmYB50aAfbHTNVnJS6bAfFzECM +7JZ3KB6FKlHJeTcNGsJG3GH8eZ/MQqlc/d1k3GRZNrPIIN364zx6Xy5n5l4ptlFs +OzqehgKaXcks/gnyJLFiliCBNPvt0AU0KHf1Wzncz4FOwl07B11T5hwAm+G7IdGb +ZIgY+yK/qWYReJLdm+Ln9sI75Hhg2tvl162GL9UrmWL3LTEY44xY7GCn2YrBzj8C +2dDHuHAOOoskZOZ17Pf6EuZKXgU8rsWU8r1lzeWGoiQ9MkwRl4/rbyAk5bRiLU1D +h3z0KY8/QISO6+BoOpcks+0GDoGgh8R7hToKXnLV+gMa5qV0l8A+qBvIFpPaR4Pv +BFYzZE9wNChl/p4xQJsCTcXRVpu/EnvFmsDdnlAcbbUxfEIz91phIJzw0pwPGdP8 +Z8ouTI8tWP1Nc8k4gRcPvfBAc0c+cuNT66xxRp+LRr/nFchqBMFmGHuNYQKSzugg +TO1Sy54vV56rGIdfxaWYDvAkoKwqS5U1ZQ+fGqepmS3Lg4kOPNaL50V69uhhUXkY +1VI1RMLbUtMxqoVBx/FYbqSV2godgxGsTFpmmsLIqhwdFxNfQBYWLyPxAUze97Kp +F9jK8KfAIjkE3JmqFSV7M79IFmobg9p9b+amN1AtrIQuPkTMLhWpgQw0+0YRlHii +VEgEzMrXudHIVvDzw72MhC/2ZZUvs8qyqc7Sy2k7cJJ4B3gM4pBp1khDcUgIHLX+ +kTd8yM0H/Wn+ZrY8PhsGvwGinM1NrUMFw1z1rWzy1ildCQQjs/iOctDHsZ86eA6/ +FbLeEJ8D4p9+o2UICnLlqeTtQnlad/8oUCTAgbzE08TSa7MszE+40zdDzzZ74mEy +yuAFbJRoQR9FaVUBnvIkZfOXo1xU2cuBXna24fWV2B8tbnNiENKgCeDmsBFjlanG ++km54a2k1AYsk8xB51Ai8xAwA8xpIXP7vl1A8G40ceG50dlnP2ysaah/5pPVnEnV +WUk/pUIzmB+Fkvso3S9FIGKtEqdtGqlwFoiTJJkZe0n/enSm4Io9qTQIlFZEh4K2 +u/VlaU9OrnMNELCXPJQtyVcgOdXhB1okp+Nbd+fm/pYx3Gq8VfKRQtZPkCAhQvZ6 +WwwajG0IfeQssInL2/Ms/4Y9ozAIhc0YRxzRyUFACBCWnGJ72OIeUNN5SydI0K10 +IWxGoO4YawKZZcfAKWfKAWWduqMgm10FHoSF8CEtuAZqNBhDthhTnbfVtfv/C3BC +gat0SWwz7joPGe2Ldu6H8STA0ZN9Zf1g6XPsA2y78HaZsT+8S5cmmKNwINgYG2Xm +9sy9Vc1T8qnzNFzA+LSMUyFidAUB45/WSE7G1Bxe9MSyUHUkuNFxSD136K6y2WWa +1Uh5S5ZvFT5WMiMnJftaClkmK0LStw8hrhmHlsan/QzwDLsZtVhIZJfknvazvgC6 +hS5dwucM3KdoHrwuC0wLaQanug87zdMBvtz29Mobk+BnVeh5vZKxsTfTzybcmiNR ++3w6RCxk6NZPMSnwwwu6jzFcSC/hwTl3KezNaBxJ+PBkPcKQvUzX7fFMaRyujkUf +U2wyVIlpao4t/z4tNgUaTF16I1LUhVXSN+2/80RhktQO8/yKPyat62Y1HQCNjiEC +i4dkJNk3o1dUSuAegMu5Ph8NN+S81Hhwuljg1zmBFEbkPVO8Mve9ZPS+1X8gaJaA +EqjO1kFerTznwclKVDw2EVMENqlYVdNY64zJclkX0kIYIfyfT8lNZy9t+3DiO/Kg +60yTazVqwkC/j4ojkiYySSb2RsgswCR/MehfnkmTdUWD/8cfP+toyUZA2gCBRcSJ +537sM3zyrTaLtJscrwevJ6ow6JATQjuI299BI1Zz2N74fRQzAG/ERdvdj3pIeruI +0ULlzMfNtpRKzzBke/26KHNEtcvOXj0MnzYbY7lx8xIFfnCKrkMNpVisXePZvyVv +SQTPZEXcXYjQQIfFicr3NMMkfAbN2NwSh6p2pAeFjcgAuin32fMfUjf+lDQIkUTN +Rcck7Psg5vmpT+Bq4L3eJJucTevXVHMAc1USKVIMd+tmA0/QHnmwRNhjzTVTKFUq +3v2fyTspiVNIOXh8mIsfyBELuV8VwIuOVHNCuylENOtLspqfE3RYnECkrLTJWg01 +Vrv5dMRUfD++AlHrLLr5rb+S0a0A/FfbLAWPARp8EbgcTyhVgdTiueh3EbGb2j6Y +FtlFh8ASm0stzXE05T+lgBIE5TodwhIHnSj0l11NdGRYGc7W/N5Cmh/dkevICOHb +ZxSw7LH2QUI3PNiOMORy8/muKYJh5pkHF73xhCRmtDIGLvQLAUjfu1gdxgWIac3T +ahBYSEEtAykIagPM10ljbGR9FQygA0XKsCTT8l9sfExDl5JKHF0CgMCIg+Je9l8a +b2Co1OQwECNZxMgBSID1o18xgxDuoSBWv++EUEW4lJ2Q5L8vIiQ4R5fSK3+dtuMG +9m97aYweSiiUoigw7v3cXbVrPwl+6TOn9MIT6wcNi+JdRSxFvmQqt+ytZY296qVr +/ibZ9HyzAYcyc5cBoHRrMBKmqP0VT4X94x7t11EbugyyNG0fOXdJsD4aKoszEyl2 +jCpuqah45D9DaLknjqYiNUwUCTYWUWnYu0+EEgjeG6JRCkQwdqF0AKd0y0d0Y3u5 +eBNW2PF5dHfAXe0KkoOo7w7MaAtXEYNiqI/eWSwOL7/5F3f/her3wRM2gTv7SxSZ +cE/TIuU89b7Jddz6oOEF6qGpDRv4l0xEZqD8z6bfN4kZVsXwTu/ZLQ9OaaLvwLQa +X2ATXckyn8s77KPpM3bXgbByrlw2Vs092PjYUgLQmcXUzV+JQovb0y9Jaj65K4ET +MIQgbMP1I2HJbFU94a1QmSTfbl2RBjpgavhC/lVf4CiUVSnRGtr4MV0g4bCZaewf +azz1W51eeuUHdo2/QBRWumATExVSylY5SCmaXSFm352vrhn/3JdixmrDBKc9Wf7N +tFPB6DIA+7atiiLgeVvRoFqypruRed34iNoMrxwmwn34dYenVlaUN+RpQtPJbXva +1DDF8f7vdCxdpQwDplufA6KfdlTLES3c+fPR//smOIcLWz1/xv7d6s2dnZ09HTDD +mONhsw/CiBNFkIGiKcYkJH0xmuz6pk91CtxSJI5wsrQwFZbCc54TQaAq3hXktJlx +CxWeBaqWCK1uMY6p1ZxSTP3eGObUK7S0d9wV5FK2k5Y9Fo5jWNBILMztvwkP1G1x +DogVRKBoYqmMyMzSWv2GFu0o4K1QnwCu5PO/2i1EDQLL6xelJ/DqQppbrjAfT8yx +SrKxQeAQmjEnLb7i93e77/IfoWgxyCKAguJBl5gs2tGhL1q1w/zNJOw3hT4hGiTa +XwavkWLs4Z0CpZfR8glICk5GJUrEU6rQdOQa9+EJGoFo2AlRirsAAIv7wbhjX7pJ +wJH6+zMZLv9zlZPGlkc19kFgWSGYm16cQzvjjCWCEdwwB2TENQxcKcSUA7qOq00b +B0fsaLAL7r1+H7Y7ChdD4rCpGdkmGtGYIpHUJzPj0Pj17BaPcYXw82sZN8Vtt7eC +STd+VYqgbWkMAn4EpqaBgGZT/vVoPWgLs36KCqwCA8JzNi/ZR7dRbB9ly5LYK4W0 +OfgO3nHmWlWdXMdv6aSj+cd2d/7yqQUkYXa/8bGwzN/uv15JKHu3AAj1i+mXAW2L +QFoVVW2lnphZAbkdyLzups29J09DOeJMI9v+xZhjVInCuI6ifjCipRnOt7WQeZt6 +5pzRUr+xHbLJx43eJPT6/udQBC0GFAmEnhmXuRaC1E76Lq4hjrzXhyfuJWCWBZxl +XmkVFmdYQatcFmtUzr+fqnb5xAvKLmJZK2icJWlpjQEXR1MZ8SSmehjrG1XQ3a0j +jV9N5qIsvGPG5PgQPl/ENav+ti0UcEsqB8xQ2arCx2Vja6UvPjnUbg1mnXpvDEYw +O0wqIyua2c0yXjGRPOc7a6zbImnlyIsQFoaQCWRH8+T4ZFCmvLQNBwuwqo26qKja +AMZIkU23dZ4W6+X8TNHksSL+rQSQmrpmOIsV73DSugkDnEy2XanibMoVpm4WsDuu +JVzWUQuNRgqgb57op9zy//eCVAxc3LEarSUZEro7J6nIW0HTloMI2FWUZAzp+tNV +H2ljtyJtopA94ic6xCj+g0AeG3tjQ7BaeC4BLAS7HaX4O6VkxWpoKy1FRsFB5Gai +PO/PevLzAvj4DqVWoHWkLKb78Hus5ubnHZOr1c9ws+cu4w7D+2IaYHZ7y4hjmSgI +JOxfLtOX24HfS6Cs5D1eD1MsYbIJklePYGesflp2p/ZqgasTMt8JAd9zVeAjJTS9 +6BWI3bIqPO60a4XktYqTxqaSPmFBm006x1gzDM4sdZVJ+jIhZyDyLy8IJxwnpSRs +AbBP5pYBukoJFtysNptY3uRJACAanSQQ5wa4NY+myfOdfYXoDkE/26+s+8Vjdp2a +FQtU6k2nJt9oyGSVmdKhn87J4OmcVQtGQ86BmDBH8ih52e6zNTh9tc+OMEkWA/Em +dL7V1lerP5OlBcnIvIuH2orwLhu+p9HqKrOx5Imakl79DR631uRxqbJH4o8pkGqX +IJSmftbnew0+wYkwpA7JncqXeKq3OoY6TQjJLhhUYaah/XEdacTQeawMPSI6aHiB +9Syh9q9SvLh2z+QIRH+xlg7j0ymgcoSpxEkgk5wEIgXrTNfXx1BiYO3vFH+NWia1 +chNGcm0cOuZ+x4YWBROh/6c1HpsgIk3xWlQJKG0uufMCcwR1dXw6zY+bSklTUWvV +1NivQIE8cVMmOGCcOtjGPssnwluDzx3KuTFa0+L6EG7lo4KNPMj2yjCxB6KrTrir +BUTSfUQ1DqPO2CJuSY6Ov+POTbBmd0iwRMYfmb5Nz57Wu6vLbF/EttPQz8g0iFQV +OcwK2GWQxL5tzuU2CjJxf7uEcMfcZFNn7vLVU/mYJ5z5cnSSUmPWLzUESOXprw0a +5n/nFUPGnSg6MoqsK9DIO1iE5sMcPAifcWpHi9SS/aqVLQrAuzlmrKVY9ZE9X9+5 +9RFExU/nk+KZSqY4KLjcvapDENQM7swDc5Fwcw6OlKKomwAaEFXAubUOhkqpsmTL +w2exEEM8eqYcFKeLyegilP3thrRDat5/ws2aa55vDPhL4mTogArPXVDE66enrvEy +eUiIda//UaQlrjnyq+/N3bNvJBdBxSwIl7wcu6+10zbLvyQ5pVs1P9ZIPUuJJX1t +rLzCNaBgcmtNn4w5ajlXLcINr3OLery9HuTEZUeuJcrgDk8Sk4uh2AKTAs+uS4kO +ytJMgGidD73siaBdOCOn1DI7tqOKkb19b0IwsfXwAeJlGpkomcmdEaonUI1AxFu3 +bAES/c1JMPgpH91KBbRKhxPyAY36LI0ThksGp7jz9hgKHwvrQlOfZGFqKUp5UPMU +eu0E6HYWgKv06GeULLgn/wIxtgalEaHZFXri4cQJBDTPD5/nE/uxnvfJEgULBJmo +c+JuKRdM9TB6tWeYZXhxZDvn07EYSlaxPROL2GG4PbdZ/KqlklYDbLv2hdgMmqG/ +U4M/gyLs+Ohq53JslqqYHvu28c5bFEuF+2ur3al2CCj363B8d2GHbb/me38KsxAC +x+YryZJ+UPCPxWSy9y2kCOqKnnD2Huu+FtIRjkWnq+rBeZGYYOFgOyzrCKqe6f4f +M981WgEEUo51zOjm3qPzNaSBBsvD1BMBnYEHpoRUWIxYScX+xXg76F3FFEkD0Eut +8qg/AJ+SvXkJFD3kUB3MCXYSHKSG7T4XLuwuUNLpu2DvN+N6CjR7aUlrJWxna2Ya +RE7QJjGWQSbfQC42A/CmKjoAOX6HCRLgwuioTajoKdLtzyx4B789DZJPS5Od+LjN +V3vUqtbWVGZN6BDYXXX27piTM6s7/YHfwjJhfL+Yx7kM9zzya3nD+6rOCcwl8gi8 +yFUdnsqVZbNBNTfC9r+mC/NgrhLkdubDOHzqCJOeuu4TGCMoYNpEmGPSD2Gteg10 +PnB+kwkrYjv2IgwgdXFPM9kRcEMk/yOa5LW15WAWK3q/O2req19Lz4MO/nqihX2e ++9DJmUHiSUlWrjDhBYrGA5B/1WTzmZhYlo8E8zDRcYgxgjnt35YeULxxhVVvP/2u +ZMIKDnODGJOLQaMFjp3hMlvYwR5VEyRXkCgMy/Uns7YtibNXuJ9IO7BkdMxzvwe/ +f8FHyW6P9ysFDlhw3WXm88Z+iV8gkRcN//s0hJ6jioYe69FqN0vWpAXxglgqoZYE +DMS0rir+yNhGGFR9NdDfbvNzhm3fFU0FtNhVh3oTHhiCDVnOmCi43cvYZEGq3G14 +dB6aMceW+ILEDRW2H95HRDN5gU55U9GmtXvptn4AgzTbi6qPicBqLGySvOxls2cr +GMSWF6CLAW8mNUrT5RCER3/xjGskaVI6+B1BPhr6HGZcL0Jw8yiAIA/oJ4Bw/ql6 +hjw+hCPOXmTstnbnz9jP+oH3cCILDk6xgVdRSv+eEFknmsJLLtEdVVuvaI7TEEpO +H6svPuYmb1435ZfTGvMtmjROKtjQZ6Vt99UAfgKMesID48MMM1oiKVIRJFukkcIK +QIbjrOH4zocAqgB/1h/78eU7kKNS52RIRiwD2wHyLxOXG6PUi0xe+io7DLb+YUey +83xCjCz1MLpdHSIvHpvHfj8yjLTXrVbAV2swvaYawYScjMXeOm1RmegwMPgtmtKz +oS4aFgp7wYK6f1+tTJzOzZWksTblqhEzgsZm5441qhUeh+rXQsoam8UpmwXQR2J7 +gfLVTncN7Q3HA0JDTEuRsMvhD62C8MgfokFlUnwcjXuQnUXapP+hqJ6Gv2thXmNQ +l19OEGYul/E8Gx+VQeiMUYhhnflxzsGR1S4ESYln45Ryz1dFyPxBWJrj6SuQlxA1 +oXZ7VXGUUsXhyH++46G3Lw4CYdsdHfhaSI0M9Najq8wCN0LoAipf6k/WZQmtLoEc +S2ECYrViKAhPwly/ScnKjc9WNJvwUEx9DoyXnoLfyp9nwUNNQKN62LaqSBe7Dt8Z +L05bopCfloPszp54KV4ye4XQhHZbhvGCl8q9lIZRASNWDW8y2xR4hrZ4LNSQ47dx +obbnK5OI9fmxR8HxUfz9PFv1Ab+kHe9bs0DHOgI4AiNmRw2I0vw4y/OgvHWgmUqK +wjx6no6piuyED1toPkvtvWAqDEJ0fesUG9yv8TokH944hA+kFrcJoLLqKFT4rq8h +MF90XmhOCbzFY40VTeEpsXwJsMkhCspByro3AuKACJha3XTAbUCS8Cdo0HITnHMM ++1gRj8GE6BWaK4B9gNsatHobkDCh0cUBXRUutgG3M97BxjiIuaCN9v/WV1gds3WY +atV5eDJDqfzXMeRuy0x2BA+Lt0lYR0qrSTt2GSZ37O4Xdy95Ju1rV+yqPEGanFWV +0zX4UOgoM0O/uyvqgCLhJ3vdxo43k3y9TNlrNwQu5PSefIkZfATHEoxVUCTkPRVU +6eBZYb4TeTw84BlgOCBI8JoZ6plIuImRM0eWY2F9NOmcb1ydf1OsPM/MmahxkE1H +bsL1K8ix5AyVoVrUGl5Tvo8K9BnX4eQGuxkJMQEYlw/XFaeI2eYIwYljQPMXTi3Q +yqv5o4feNgj6xWB9u6RiCE3XMSHi2vaWWEBp+uVO9vWnY30dxqLUx3ZNBgjF0Zrr +mYkkRdPUEgclS5yFUvmh5tlLGrIG2/UnrEPIzyOEP3m64EiRrjhqNWX/vS4pPytI +UpYhkqEqhO8Nas/uHh0lVpEyifrH6LwjgyzZ1TWPkkYfn6XkZjURopgt8BGZoXtw +rJ/uHJ/MZPziUFwr6Muklf/YJ+SwRsqOUE7vBX+mbI230Vq6bYpj29627W/KhUOv +uDoAHWJ7pUGhomA77pQDxgD3sxKbHzI6/x6pr9s6ITW7fMrRp358ZSgp/NNCrIao +afDfr54o8YcXb5DXwXzJSOG76oZpp9kIpKE2YpnFT0unqZBtzwojLYAK7ylRZ11c +aWeCcDfFSSUMZLxfkbTIxCdTZ9pnJaaZk3vl9cNLsWKokcbevb3G+CvawT7UmUKy +qCHTPGXP1JNYIL0aErhgD1tqeLe8MzrhGMatmtAuC+pJP1l3YW38LDceZJk+nzIt +inaaMn4QJrsbQEcUZ7zmH/5KpXvvT0ZL07ODdbsiXYbb72EYCfoKidHxz0taR/9s +/2OFvVcmQe4P1MtaKLqQcjBFyh1LXE8xSAi118vNUFBwQePmvecsTD58Z/cSWuzu ++rW+PFay3MvIdm5ScveDpHJDifP+Ih25KbEEpjj2aoPFpsKqdz4N6RSpaY2r1SjQ +Jngm+B0XL/63teHwMrVeEPXFbthdyy9sqgygAjbusIez+/v0yeKH9y1g3P9haxVF +EKGGwNCYgA4gY4ANaFeuqtrF+5KmtmkG5dLlZq/8+VwCzkU2vLnPZ++tqJRIHZcA +Sg8UQzbDlk/jFPA+VZhhazxjuZYYTQuwb5YjCaYaBF472xrwwY+gVS7zl3EcffnV +KXPbwdpz69jY1PosbD+RtQuQB/kDvbL2KDpC+mkChM9IeKpsovNU3mU7VaPlxtRd +yjOIn4Ru6L5YZrWD/5FZa72uOUOjcIMO0huMYzjeC5GtRxDTTcpvtHiWMrMMVmWw +X/C4/Oo4xtg/ELp7Erpbjn+u9oY07uQ52oUw1FlNBR2Sxq2y8OGiGjmqINurGFGP +0IgcVxq+9tvA4AbK/P/m+JD7eG6R5T67rvRV7T64jqv8piXzNG/k59JFriqmhJXb +J2/MFvwGWRS1RLKKCYCTv7ClMBy2WjfEpdXDM7goJqaCg0bGVN0cHfvF0ooVDH26 +o1x1sfg84kdsoKL/ixeIDObSUbMQpI317grSXHGnWvjCiE9h2WIdHGL7p6KWQM9A +KLzQYng0WkDrW5aaDDRA+ybYjFefFfEm51XdmaG9i2m8reIRk7gjItAS66uUhxrt +Vl7MJ2YBtlGY0Zv2Yz98SgxMc1bbfDqC5dQFBN0OX5yTF2FtwHGmwxkwUHlVQK+I +BOPKgysOJg2mNwRfOiPnk6jbLjYdUnhFGJ63rsTzkCrGcM+Fd30OxIYvZzz8cCid +X8IwXPkpJMBCQljiqjbkGc7y8JdXMyjxFj7g98QXW/MhYWZvnjahGiGlLLttFp5V +Gstp8EX+lzyZwG11lyDdZVHUZUSwTG4HTjqSOcHJTrGnINC2C/KrKcEBc+USKMvi +Sntr/cjrmq1z4PZKuDP/crEPBTuFb61XsT4Bu7J9je122oPMqEmECgbNooS1O4vK +h6dEoIny8itxkPVQgcCzYp6iMofE5OCx5+MZHqOvmeg7F11tCBPlfYjsDgW+iKWD +PtCAdDXBRqdaxbed1gVQrhuEUWokH4l38o2KeprwdqChkZyn0kgdgEmfRTvcFS8p +Wxhsaq7mvC+NzNaA41c4GjTtKZJeVUXAPBV4Qnjb77uX4uT/+hsn1mojZx9o7Au1 +RUSdGlfAuURcUcC+VfMsHBuiM67EnagdoodrjCfItYVhXG/gXHAjtSK2PTgPaU2M +pQ/1FrLYQGShOYZHt04zEXawsDwLS3TYuprJ0Cm/orvOYg8cHiWFBXOKetGqOtYO +qSWv+QXnLCVyfXyIB62qNcpIQjMDmjZFFOGKGQ0cVljMT9BNUletoQTOUYjrwiUe +2wQLRxrJfsNu0B+m/13XD4/bGWuS20O//HUMoHMGBrvokHeGvdbznWt09q3SSQB5 +Y0DXg0eEQsuPus80rU31cFvrdz577AdgZPIiSu3nNGNo09DCwzlNAwxMIV6acFrZ +KESe3xOe2AwBrlqWrU5VLa6fx1fqY3wYeZdwL4189EGHTvJqNjm3bHAfOnMN/7kJ +at/ozQWK2cqWEl5afOp8KkGmRBZnEqcb408XlWNTvDMR2Mt5Fbos9IDMLjmRcV1P +0+kiftTQ55E01+ndO2D6ijR0jM392h20MF4Fo+kK1HZ+UTq/eSzfqOroUgXGBvJN +LfynvEI9bWU+QwjaWbAL2L50lG0S//jNGOxbyzzKtxe0KZcBmkWJJnmVefpnv8eh +hrBPdrvi6dAJ67Nl6ATZVYkbKYzB+8mqbKE+iGu85O0adPGq6AepySwRzM+8Er15 +yoEcK0h2iXFzl7onxgEGMFBBStllVAxdmFrvNsHzqWol66sEhYgibkVovYx1lkN8 +IOkpxHnM/AvxG2NiqW6pPBL0Aufvb7gSqLAx5YSFBb6faKOo5Cl8Va/aNcQN1hMP +qyjEfWqSYJ4il+p3hnQPaq2M5gO/XchImQG0h3hv+T7H+TmtMVC0Xgx6jRuQzlRV +0ofO6rihvVCE6wYEFh2iJFH5U+z3Y0dSkdoRW+NCHGAvU73wHFqYPmAV1jps2jaR +OnLp7POUc4mjNXyQooZ2+iHKFdB0BeN9P4BL8Leoj4zPTP239quzrr39WKn3ujgw +Z1R8dSMBY3FnJKQF1yDNbpOx5mXZtZuHc7nC1+fOeybmPpQYpaooPhqui37L3/83 +YTpmkFD5Y+JVT8c1ygBkxgHzHqvyC3QZewL+SYxjJL8ihLMKwHgp7FDS2Ccut/4N +4visKIdKHkk/lqqVUsP8Y2k+sJUA6fBXSiAogAfCW1Aw9KNeRamFQhs/bpCtAnD6 +rtM/F5oIkU/P66HF4pYmS3axFBBFB8ITc3iBqGdbVvnuV2+QDFSZ5bQ6qWktslWJ +3vsgJ6mKEeYM7oxI+7bSNvZsY/I87uckZhN2XxuAItNP4Gmzb6kbxJT9Mxwa22r0 +HjrilZAs+j2G6eQRHqv+FQUPX7cMdEGR0PXK1pFAhPAOyO7cYtmOYq1sQjwci/Jn +HA6W1Y/xwqq22dHKBpIxjKbEFXkiFWfcdKbLAM+aRUvBDzvrbQZYQZqPtLvf8s73 +yVyt9Xa8gYBbJgjz6wHVw6z5m1IvpWMU/ipcrs3af0lzwZ2FWawnPM6vuS4WxDJ6 +inOHyh5I6rWcy7+GFGaq0kr4s23m6H75FZeBcThv1WExcbfE2xQAtFlo18fb1yzU +qA8uNCF2HyKVYW5nMp1vXzr7EmKKrTVn4puWxQ3UbehxZeeLyyKxK2OF5Y/q2UMB +vsSD7rHpuOuz8K7wuy8Yq/wtQ9RPNO6SmCvhQtFIS/5ydOlZHkt/VD/UipxqqZKY +AuNbE8G1oD4sea6rhqtFFuthPv3LqlqCaBQIHwR+Zz3NysHS9jexanYg9d7nwbRi +8oZaerthjOdPmkaeosVWufyjMEpEEwZaFaajFOpezHlimHOPJJXUFhxQIZw6Iomp +CrJrsLGX5hI8fyHtIEz6jNbP/x6n6myGk4hXUoznHKSd0qI0z0Sx7ptTnLmvPHEB +/jTesjU2JbvyP2TRdsIgJeX75LZZNaujSx1FQ+rEkNoLYFFxvQ9rhkc8nL8nJ8We +7wv4cwkxr1V+6OtiONmaFzD09Vplh96xY9fOFnoqYaT4PEdn/iD1asGe7Uqa0p4m +jM28SbcSUVJUC7bYjZQPZE722OQNvVtwaM8qBGZtJH8Un7jOvl+KERuVvjvhsuk7 +TCHpj2gYcw8NxlnnKHrkZWLQ/Ne6KeEe+TxqbIO839MsVnVRzhAajgJFBR5K4Ub8 +J0oGGCa2Yhqmx34/Jcp0SY772BexKCcF21zz/yuMUvvECjZ9yKWxQ/kRBgFHczIh +GD2SVQVnI9An2jdsQ/qG+tVNGSW0ndSovj57lp9b+tVHuzgjW5HCtu1MyJefhz5a +B/+ce6SzyI/SEnwtZBdf9pljTQoAH9JpGBczRLm0u3yCAudhL9eAUIxNWNE6TSt7 +MFXsTQ7NS+Xibek8DbOuSyCH9RccgkkAFC+jyGTa0bSX92O4dpkRwKB9pHVqrUGc +xi8iTNgIbdkilCDSnLA0Gvf/wk9iToJdvuMtwz0XQuID4K7A2mxQWufC4J9ygAaQ +ErfCUtTsrF6yl+3KypAlMl8NdSKNaf60Eht2HnfPP0+gStS3rW1K1cxPH1/Rg0aI +NSBsDrcSSJzBTC/bD38IQXXTiiY6io93j+iHdrgmQaBEh6J3QZzG85G+Z0TwB6Dd +j+keAi+o2xZSU3vzLFbiXf1ixYhT2iyxPKiP0b/erbzhILzBgsjr0rtM4L4wHwN+ +rKEDkm+z5e7MX8/bBCNrPHr0sLOwUBlP9s6T5/kz25mfjo+ewClWOZ3O8su0x5td +eVUZgX4eKFFibxklHlvqfojkX8BqC+BXSk+mGB5OnMSwBzHO65MiMPIWuwVHEtXJ +PNNC8ACCKx2yoZW3kVKFMU2QqXKbYGG4LxKb8SnG+4hksejjTRP1W5bgTfgDNp/O +Z4cH8luhIQaNVVewZDypsvqDrM7a27tYErZ31Fxlz3R6NtLf2clqNq8X3NiK90Kr +xzZNqxHsXZvV6aUITWh9hQQA3kBxg6+8R1E3VtX4q+bU/hK09/kwO7dYD2cN+3AP +dqlKuit8/tGuHgdZZnblpcJF7c5Z5PN1Pftmb95r5A7FsQyHvF1rcMuReuCBOSm3 +on+7BCK6Jik6mKSTGlCOePp64coe0HGJ7GgjHx1thiTNsc+1mtLO9nhberOr4A6i +bQqi8Q9MT8Pfz2AUVWpX2NdQBM/98XzfTF0EZyvtVek8VkyL0G2AvaWU2ol9VvxN +/UIyem5kXOKIVF+BgEqRP3cCFhbHlSi5ih+dZ+CaHangc72rqK6CSg6BCk+FTGt5 +uomboHjLNcOoslp0vkUchXq0mtSgmsF+lXFXpiE1NGhsQkOYDQxlRAU4gl9CBE1z +TZymkCWpvpQ9DnypmXExlachfKRvDJBp486Y3Tz2DvP8Cym8gTiSATGcyeJ+uaq3 +X2YdDSTNNWe/XvZ3MYU0S3YcSL8JrtZzNG+SSdavNBoWTvM2uhJdejjZq4NI8FfA +WZKwsdNNIZKIN2dmBVkFKU7KnkDA0oXyyqD5xobNCBgTZi0Pe1s7Hi0C06ifqsei +AFWW8vRasomSzWOv5w7HG8evJOGoT/uq3d3Yv6t1wAuCOAkhUraAeUKY+WtEm9Ty +aiwt7qINBl3osCScr1GKUqpnkWkw2OeacMsZODpLyMwgadAcoOMz7YwegsmtP59h +ZIqwDAT6M+brfznD7x1w3FMZjBlbJ69aC/LxggnvCtTnm7sNDO2UA7lkbWbYot+g +oTenQT4EPZbcd+iWIvqWiyONmrrNpljppziWa0WdSkBqJfO2GMSDTMc1wnWFdkJh +kGBmJ5KNdSzlTiY9ZgA40sva8J9v+oOR6sSsQAvz1lRi1vHAeUyB6ETTVjFDgCGX +pfCWdGHipPw6JVlbchsCWNN2DL7de5TJJxhdiuYLzIwKjfKiXOCgTcrQ1cv8Pc60 +o5NN+N+e1o4LCZXnc6xVXlAHSB/dnkPrjEGGJHIoxO79pE1D5Oxpu9mQedV0Kptl +LU/KGA41nfwCRMRAws1q4GSNxrxOKueCgXbb3mpK4gVcNsD8oiI/EIfYXJ7keoA2 +Z+7+2N1iDKhSBsfJMhTdhv0NS89RxubQZvAuBmb+9srBaW+5bh+XGhS534Hqj8AM +5B5xWO1iZJpu6hKxlDtIeHv3zxkIDc15ECWeJ2StcztcCtTXwUuWtIjg7CxT2kS4 +Sgt62kt7Dd2n0TOe5yQXh4HKMB1VggXTdFQ9WZERKinc9dJ16JWrZu+Bm2Hud9z+ +tqKyoO9kUDdIDdR/zfYXfUpHlupfPXuxIRBtuKky9s/bFTAMSGCeu493NvMDboyY +zq0PZUHIWvTqKXH+OC0f2ZGrCA9JNECs3sMbNTrf81LS/u+q4G0m+ZNs3A3amHKQ +w1zpwHDeRyoPOVH3FY3jAKDDbjBmipxdMWQ422b+wLg5yHlBF3T5Mm3QUEhdSy3s +rnoA9oW3L7UPZYasVdGtIHEtpIWr1fIooLzVmt6chESJr0bHvtDMVjtmoa1okWn5 +0DNIrqFHGRbK9aE/vcigx7HSJKY6mYH/tcPi1suUpKvKFXH8PG70CCegGjHzjRja +hiSngtk5eWOXK5f6ZcS4TqaCBHfLTfcQDuawLRZZjlbEZAhLfQt1yX/PPY2WHu/T +oVj1sJSXdB2qoCayh7j8AVCgRAsa9snMR2LF1Gdyx0Usmnbp+YC6sI+JNNQhwjEl ++rRBY+3Z5NVtd5UeQe4oRxU7Miaq58clUAJsbfByWnshAcVsdx9nTfEI4gU4T4/6 +DKRtN4JNsXAxTnjvwHrYRNQVhZ+kJSBcVBCIa6Fb2mk903zFjpssCHXe3sF4Wm+X +wTCV4UHIgHBcX/AWylgQ+l0qM2u4k/LJZXAG78T7haLoqeJsIa2JPm+hzCgFr5a2 +eAYz+ccAh3SUMzTYiHltWQMCDjwDMw3+dG5NIsU9Iqr/dDc//wjqFM05AVgN5R44 +iTdl0a3izNuomKxvCx0ndLd44A/38x8sjdBii9OiU3IqaVGzrHudR+oNFbpMS7dW +6YRhZyuTTnaZgF2yYKVrEwW6z504pv3elc27Slqyq5GwySzoMYFeztmqsbGujOA5 +HO+KQsWNcv755Cp9sufXH4TscPezPqLYO0uIoncKAFH5P3+kUeAfunSJzA1RmJEj +0DBZWDEUkBfnYokoCLAE8FvK8gvVo4rVR1uwoqaPZNBnaaHrZbJDuOfE4hvhmiQy +iRQYJsUeKJPrhazdHrxvSt8Bq5X08MXqVc2hGseqnVZNpHyZ4ETF3Zz9dHmlsKKs +qGWvgPi9oBuoq57KhErya/v2EvvRwRT+LIjPJH8Tmd8f1gYPX/cw0GJfZJl7aT9Y +0ShX+BxMVDNqtuQYVealJyrEAsdh7dabZLTvW6oDRA1hcK3Sh9UdW8Hm0UGodnJ/ +Iz6ps7GLSFectccN8L5n/uxeWwP8G9/PspFVSAqFtu7GMgxmYzP/hPIr3emMHXvm +eloordZwU+S4Q1NyEQZ8RuJzosBjuF7yL40NbfOawVBRfrC/mQPHG3qFtG1O7uVn +ezCq9Hl18F8ngW9OZSkxw2PHv4MmqESGDLS5+8aC/wSPGBmgsWQM7uZIBXLHg/dm +srO6tbViY0k3sKCp0c8hA5oxsk0tmZuIhfigYM6JbiEBBOzPXt2i8+YvK1OiuMzP +/qZ0m30LWuqLmvPAAiND3JXYDBbfHPr8TZ9ngbGHO71WRYuFxRGG7itV5A+cjlnI +90uj8CM9VKantJ8snfuSv/lXsEHbLO0jWQV/KsUXH6aJeEN01K/JxW4M54aaJDD7 +vjRMv5qlDn2XTFXSijTzwSUPI0v4qlKByazR6WM3WyTigXp8a3DUO8PdLCqWHZvX +DPZoaeCQqE9v01SPIw7wLVmUgGV1uDVtDAQqaUg/vTIeTYOgHrKd8k5rZRbXsk+N +7YQUJYE4YixJzxffNIguQ0JevFPqpfkM8UDgDL5HhZcmxPKHR1m/bCqGGtAYgIrC +3unLknjH+qMsIuKsHfa4Lnp5yAca1SlLq8l8UC19pG/ke8399g0cwGSlPmH1MNIP +rsIptxYoveYUC16SIRPqEHL0h/GV0pK7QKB0LHrmHf5CUCf5+XOI3HYre5rK5uMy +ExVWexxcvcvF6L6w0zRpDdbI2IshkHPkPEL+2HyyqV5cMyH0xmMgN+5xG0QVvE+9 +++YsoqqKLwl+KHG1CNzm/wLk+5FqFQpi0QmzZ/VdBRpBES3m8Hr8ftR+7XquHk6n +BJwzo0LIzqU66ydwt63ULAcvXWSiHJDinUJvRZ4I7/wkxlvLkfxwTsLgXpk2wM3u +IVQ4zSwz1eXB5kEZZyHjuLLeyhvCgohgE37DT45ZECzsJoLqFG4yhz6tBVIhfuGV +fMnnG6dYRAhdJdzOILyVO/ICLOupSOkbUEML5pGIdZuGhwSeHtaUiBF7sPmt6PgL +RjAppPsNsd5fGy6YEr3ggmi+FSkTOAXHWVi69HLsxwIkJY9mHoUf76jdNxOrj6kn +YBeDyx03pkKVTP/cJ2Fe+HQ+qHEwDws1S6UfX7vdVhDLUUSvgbGs4vbaDb2DP6L2 +938L7ME3Uq/k1yXaAt7jH/lDMTG6hptDqKDDF30qFO1fZaVfiU+5zqIEHJXlj3ik +lOCGaFd7vpKgoM0iOR8WcNX8pEGy47BZps6EqqCE+1S1rRXCyPqYwJjmo9aweYRd +1p8ygGOU/1veBPjsiQTJff0e7HLqO1XcawGZjksuLcr7siT0FTaPuaaZJAZgoMit +PTYuD6Ma0fPRk2kqocnyo6gnlxiTA/GVThW0DmlXcEnffeJI4js8NKxE+unyZgW4 +G3/YMbfxc519Dkqe8FUHagSPZK7nI+Ges+bL5/8Y1zUuBjphrj10ti/IFa5fVG9U +PtKOKYvZ+sqjtEx2hMlLvEuRyAa3pzcZABZhONYHAigM+j/aDAySN9nazNVB+6FB +xc605+PYIQdgQmxuaZB6j0PWj6MM2xJlahWNex+dYbEaL4FiL9Hpf+7Kvud8ee7r +esDdvpFB5rcqS6EoDmsk3coHlByne71cv48iEqZ8KqTbyPwM46YXLJLOjrSlUKWE +8DfGX8ej5iepUWu96DLgGq1M8Zm5WIxeCQxElhWW9gSIkf8nZQJLSRnWyWbG0d76 +KW0MayJuFmyLeIVtERQFYGJr9EnkT26u8L15ks7MOUYjWAK+WyNj/ZNP6Vil3Nd8 +Cty+MbE03vtthy6wvWMgRR5bpKY81q11RZJs+YyUwCsZzihDO8gIR//KJ9jtWgmK +X74zGvFtYlQHI+fBK3W/PfY5GOHuWQrFLHxKXypFLLUyAd8piU+ILTE9Osygjlte +GKpRSfKMmZScsigOQw6owDmMn+ES55ksweXutthBJ8h2dJQp798PI7vTHUMsoDZL +DSW4k8FrgdShVHPD+8TtP/D9BpMOR1i9md6cR7OrvQ0JUR6HWH8Vejz3AOL/wZH/ +GcR2u7xBAYsrE3mOz0+ttf9Xi/zZNQBC/0P1BbhWaf0cyAytNQOpwsMR0NSqlHWz +GOYTlU0BIpFgjYRScahJKjl+DhlHGMbYdSllECfCwYXLuZMAUzjgyjUyAz5ph9VE +1+m7+h+Jy3nBkrG3zykP6hBvO+mZ6/rncTqFBfVCgxlZZMbXXtY3AkgYTu2Kz0+8 +WUvZpCHTq72z2CXtCA3DO5gzRUvwB1KZGjD/8SmlDQiWqHLEWZjC2/yCiGgv7eT0 +Pjj2q8cc/8fPhaIJmHyiYcrsZnrgHk4xvXFnFw27+ROfFEfz+U4/bvgAkOhUkhjx +7QqkPxEHnhbVHUL8OjqGU8LhoB7MsktEHl6FUttWraz/jmS+gy+2bm6Na/JhABnJ +18gPc/1gf0MC99Zopdci2sawlTVND88pxYkrdIlYdaWNSyNGACOndIJDZv91y9P4 +FHz3FiQbhzD3Q/Chmp19VL+VxAgfSYylFGcShrZ9LQ5XLBxx6UkpkY8niHeuqtcG +hfGcdvvh4d4vj73VDiQgYsSNoQapiqXTIXxz/rYTnqYz48i5/35SacprRDQYLN0d +EiILBsu57FlMujq7bciW8Xs8O8dYZJfqyDdLRlAGKaeIAXHZ80ztx8vgGy3q+IDJ +pLp4xD7Z7hpfX7Mqf8S1rSEyEJOfWh+hkTuyg0pnfJ8rb/V71yOZt6GE4b/Kbb2o +37hfI5vF0qvJwBOFyuygOWkuWlShi97w/X1WyaEsPIujZxrkRDcnnOxpN6GvFvpr +1IRsaQJMzYnkkNX9S6dJcGwt96ZlnaeFS82d7B3HrfTyr8tggsMrZ/E+51zMrWVV +yuIqBwcdle4bla7FFzukx0uxu4ADckNn8WoFMfbHiz4ceFm7VG5y+5I2KbbEXn5T ++jmOxNvhWZFBFxHg63ay7EQy2As4CP2cV1osC7eMa6FudKwBElW6Vbv9w5pnD+An +YU9+U245PEH8lTYoLEf0sB7N+y8ue9s1OCJ/sC6qoT5Crg3AV5SEpdtEN2HYhG6W +xHfaDMwRVt381ABDtb/vw+ByJGyPYErPaYC5gwAxKvfeUh5k+ntaQjIqpx2r33C9 +tj9g0OFNpQ6vCKqB4SBvqREEMlo8IHkJNcRl51kOrhN0uMc6aefMnJFY665SrNKZ +Yioxk1UPN1ioboJdQIVzcCJmrsD+0DlyOVClEzF8JKbRQLhGWLkWeUqK+amN5QzV +yYscWFpuxShyjvhA4r3nSmcVjSTQFp8FKjLSnf0r289z+39akELQJveihK+i9MlU +W2Gwza/nG2Z6MLmVM+UkAvuPuAMpgNNLpUFAMpoKkQTvRhWAW5N/MdE2QVLJGi5W +TAP/BB089/afspEnh6N7PcIwQcO1cCKPqKm9PweL1QJni+9C0P5ln+DFIRoB0ngr +D+cEuXY6pjrVL0KmWgEg8kRwt+TJQ5AWHZqO000/PwjmOgVbGJ/8pVU1pxgunD+m +Q18r5pUqMEDYERUFKT6KDZZL/YtPd2CbOMFewHzhcQL9FK+zeoOgpNaDk6i1x7Mu +pPu4jPZM9A0aImTGiAqR2WHJefNVGyVCE8Eel1fwQoOb9jTuQqKmGtDWW2xjL9fu +6toj9BmgSgq+hGa/wjAfUSdu8df9QzIchf/g7OCh6M2PUzG6F+IMJjspcGirvI9G +/Fxr60ldT1337xnbajECJWrWwDmbEc864UUgBbl32gQ3ikmxu73AAiLwM0VYUGg2 +mZRImY9t/1/dh3TEJKh63AdHZkwkUKtqtUXsoNhAIK3KiaUon6J32zJvBMFfrIn4 +RlE6Aqol67aC2Que5uYUZXsOkzzgfShnxTnrad0S0wTl6lcyF+uwvhkhytp/jRzk +aEG10Y3Tr2Jh51MZa8yfwdrJ3ICSTlPlyrXpR+zyk2c00cA+Mo9o1XB3KVqv+WHt ++iX7/2w6H40Pt1uzeqZ6vdGrNlBDefSJ9zohutvCxzc3KCDzm0+mThohDXswQv2J +entKlpJPx/unM119VhCxVSge1Ckw4+eDeVkbTW2sEq5JN+sxOM11kZ0CfTc+m3Ok +QEeE8yDNrQDueLDgm8p6R5opJYNet1lGSr/1F9xex/nH2ZR4L5EU/EGGIOsVOWID +FcHnqyoxX64h8i6Vb+YxtndVGjzihoCmg0I65ZHOwFpJSsMj0GJz7L/wexfOq7jX +Lp3Yuwhm0sRPqJNGCLDEPVQo1rkykg71zsSHZK+Qc4hy5svBDXKlEKvPQI/gNB0t +gPLQTPe+B4Cjq5IOpVRFWG9be1rSYDKhB5MJ3dHrz5j1R+fSNsMLeH7i3ED/+E0R +g1BdqSJ6ODmoaR+p4UgGrjyUcYLmI2HMDD574+8Dqu0Fb66MAFzVAxka3CpviSHd +k2oH4AJNW/Zrj9QF7hYyg4Y3ity3ONeLkAUBmPa3eqbRSoafaHSIrT3y8/3pydim +H9g+zYqlOJ6KSZ41IX6JIDqhfgzou8CUsv4SpYFumQNVQ1zAsbYHk7MsFGQ0C0AU +s1XVLa7cyf+nw4Qpb8iJQ+dLrMsxJy2WYS1AXzJYmRaI4XY4xJfdpsvruENrc7Bq +LX1HpaaxxMfmv+62JcMoJPrf9wmA0qB84GSCy376HGOVzp0hWRD6OhbXHJOEBW/c +8gtSTm+bL2siqHlR0Dg1xnSCd+bYHG7nWO9EVh9t1/L3sI4fEtZ1cJFbrEGllgOQ +0zHgcjGqV9KBEF8Veeypcrr8IyICUM0nbPc9TQ1DtjZdck4C3QNIpJppYQOda5zF +QwYCg4Z5vaNzSgsLsQ4pK1IH21OUenOL0K1415xSFUWj8vmIBC2fTX4D1K4Xn7yq +/0Mz0PWaawD3UzoYUB44wIbNcR433T67LrabOn2ItkviSZXYxNVuxZVfTq4vmf5e +P4CbLl43Cok3bff5P975130WpfWAbyZzsxfql+Ami3tXn772VNsV84G9AhfAM/Mn +kQWO1v3E1lii7naYjCbtBQGdliWD4uJzkQfG9dDufhibFFTw2LLQ8qzMmzQPDu60 +I5A/VccJR4e2N6I9INaDuBuy2PrYlgEfF4mQljeKFW6hxcE2bRsGYs7PpIKqXo9X +hZLZA5SdSPqXI2Li8ikaimaQCbERmQy97bIrZPHYJePU8YOKeFnjPig/9OLbyhTY +9OzsmcesPbrZbHpsABZhMg/jo3FkCYMEnQpGSuOpjfpvX5RkV7Q6ngIy3k2bJi2+ +7+UTn2DzGmKFxKIww5xcBoO/5Z4Apd+FExfMkIcn4f1muAfikpPy2OcXvIfKogzA +JFsHJYiuNf/2u0BECPQYpNIQwP5p8vQKYDL5VGLi8w77mHgCWgQR8HilQ4itxKC2 +YjULxGfSjGKMxMK+FF0igFphvEwOwQUOEgrxSVGaLyb9lq1CPLy8kNqyiUR91no1 +BVgGz5e5zre6BpMcsKczFpzer1uWSc8py/UA963pwgDujGgK5Ii+spwwzLpbtVLH +az/POYo+tWqsTK9ZlXKh70Uj+wsk194yHCMAanW+AiM28YTx6B/YWQd/ODKgP/TO +emqkaKK+uYnsLE4lNjZ6qQkSWCDRwmtSV1N1f45n2RNG4Q8qG4ka8LHPepomltS1 +2fCnfr+aBZPdP9YqnR5/kdWtaDpv36IiDdqPWpU9elY2lEfldlyrSpOBlzOOBz5V +6nxuuBsDKQ+qN9fYEuaDHDAvwwKnHo2fh67jRnVIv39wcNW20WNxonWzaFzoczzW +Z3rH7c9icQBicprfvCNiEmETkBmV2h+7DsQ9ISnbM48JEmnvryR9tC85urJGY+ib +foS1Sb4XYzx+qkHAyfCY2hZKh9IJFih3MFikPlIiAVlvZ3EpuiMgypR5lUKB9pKp +8p4P1jddP2KihXPOYRpaWUfiM/5FljJRLMePKgxd7QcnV4SEtKyx8IEdWp4EocJw +wWwJW8GfkThk+8xRxJiKo8LlhNo4gHDFyCOZl9BybqQxaKTTBM1WqZ0Dxhr0hpll +wfKGehth2/DR5mXDxXWO5Uc7r5bOBlCu4rlj9Sb2KgjWEDeQ59zYAKht0NR7N+I/ +U7vHhui/tNsDkmjwDsPnvzzFOIpGX/J6h2uJFOXs2KFyaoh2wRiIDUaBKwWgggIQ ++MvjyVJ5qAnvkBYrtY6V0ggLfDuMot6ajYRSqisDx+omFrX9fn5BeQcScAxffhHJ +cb0R6VHIjQzOeJpD7mI2QibzLRUj1CAnneg/JjeBhuGuOB0yfnQB1BNXOAuiWGD0 +ycrINrPfp+c+UcDz98omuwiTF7ikWHkTcsChgkNzvSvak3X/J84rJTnM/1EDi2XI +9Hwiec4bpmOZqMgWTLczu+5vqtsplgNYNBRyNv/b4BwwR2FSn4YrE4ZWPkOp7PNl +KFctRCUrtsPKNgPfBJPqlMzno8WylTlTSkKL3V3iFjjrzMxFaATjwcoh8svFV1SC +TajwNLQYwhoETJ7lgwrqpcCgUmJW6asxSojdu5YY5G4fsp4YHoeZWgZnvbq8zwE8 +6ZkpV1aDCzNfdsZl0ywe7054IPfnVyz/4YNa7AKoq6ONoZDCHtHwxZ2ZAh3NhbPT +YAQRPbRevAUfuT3X4IPqFDb5furo0Onq59kn0KsFpr/KeitYiky0TA/UOosYqZCg +PCFcqJ8pzT/vLQw2UekOM7rW5VRoi8/LzxHVl7SB4hXmLCg7EpEPyLqX4NUJZuwT +KiG08qlqPP54EgmUz/bDEzUDy/gVTTZc2RP7f6a9BdX7YPEcU4d2/9UvA5pH5wfs +71T6Sri8PmNZcCkPCr1E433uCQQkmc8NzUdczjQfkmE/p0gQikoaSZOFcSliGnyU +pLwh/bvS6iYA+Z3RNAZfJ4kMWSecz1HCZdVfjDdMve5FvpXFLQJjIdd88xf+/NCF ++wtSGLCDoFVcBM6JhmWLjyMe+Q5ZtdsY7Moe3V/DhBVBJonuelb4Qgm3uIiL5xCx +dioNmKTE2yvr897A/JhgdnCBDjrwlHxE2P0zjKJT9CPG6RTxJmY4882MyYlkvmkn +M7eKhwH91k2uASvPIKfklNxhqbA6FdG7OAGsb9IyefYzJIeLwdY7iwNmTEWu5Vs4 +rp2q9Yzb7r9bPP3y98beJERSGGjwgI1szb/lSFd02Wjgn4VHnaFP8efVpzt9FYnX +hjyrWeQxH+RaoyqAJxu0/SJLmtLIzD5xJwnc0+8QzP5p5z/roBuZO+42UTUlFuA4 +94RnAG6mj7mdEMgDLNF76tMj+mAhdirqtH+f9TzPN48gsxJkE+iktfKuexT+nxCX +op9g2YqapEn4sXFH502lgqrNcrhkWeAAibU6J8hTaQt6/TKUzwQ7+303YBqLbmJk +XdgT1dWE0HvmyH3m+NGDr09y4y3srXBr1S2XYhp8/Sj2Br8NDN8RI0rT8kH9zo8j +g/6NRDWcrzC7/7ArCT6tok0YmnaOCmEPPoPWF2b5eiAF3BwaG4e5B1bIucUdtI7U +zUy3RQLsMmI88mQrjcrm5rd2GnsMgpzO48dsKsPYnVyqeNNoZ3okNH7CnOFDOatP +7qOXXAEp85b4oXjoH6FwEdvzY/nkpo39UnK1n7dW2ibfcLCSZ40eWp35THvRKeIu +SUPaMIP4J0GyT4JC5EI5v+mzoupFufnccHV0fGtGDaTmPzDP73ZEvlfW53p8bFAm +n0OEKT88ZvL6GCF+sgz7keP41SYY6mcKef9w6tDjx84dTiByd4glObi2rACxSaaB +ATe3qQ9jHnba6Q2hvo3RPTPTf2QxJh/Dusn4v2VsjkOKmT3Z/dYxrb4imDUiBMrj +nbrUNQ3fOAMj0x4Ayyaa5lHSGM3iF6QKA3rHvgwJDj3jww3GH4xSO9lOG1cJsWIw +1fXSTerJGna71SHVyGaxSUZ5xDPSraw4F17ZXTl88byPehXH582yoItT0xGskIgq +M6/nsGid7uWv5J1sEYdSSg2ZJ4XakQbA9gdLuig5us0KdqTLBo2egiqewFTBPkKI +3NDr0UX80WVBTQcMK28LNgV6jTq3KZWq3QTWw/559Xraxg5vgOgO89DiU+EBtRqT +XKoMDtZbzPX22aP4dYh9VGvXhpybIs78coz4F2ivKR+SQuby9OypDSTe2PuC8YCi +0Pi6aobrHeJFnTV0QA4BUjdp2rf4Letj4joWNWL+lj4B4xLkPN6RMebsYcZZdHb1 +9rKTtiF7g1keIx5nf9ng89xfgPrtyU310HkuOPPtfLONMTAQRSrC5XmxeStRIrIy +BonlMk7M4MvVjRUpm0cGIuOB5TLWmaQAMKJu8uRhorC/F0wZ5w3RD5XWAYJzMV2+ +GphibgHoaLsOm6lkXaXGEfIpPIfei4hOx1+XqSR37mRNpExggCpXFJLeC/nv6EPe +2OgFyCqsOtrvCbkjLLD20cJrb4VAOTlyFFMgiEWNz5Bh4jt2KnYFDwnXjRyYRxzW +vGxPAc7rdaFFYfG0lLW9qwnp0ANPKmqHq2VXgxf6sqwcPjr5rQ/M+Khny90yX5wJ +3TbG4WJSY0aOcceweoKNcIBIAvyrhP+mkG7dP2fjk7rHMhHaR2vSNQ6dqdS6G7CO +4ulleApnAgAvu+m3rHd05PNsJbh8woJCcF+SXC0hqdHrvWpAdBiQGtcVjHhfoeC0 +1lTEfuRCQTi98Pir7oGVJAsuymPAnN4qhzq6JyOrXBXFXicUQhzy6vUWFvxygxsg +TEpdyaI+MudmY4HdVWYNHypHJThv1ntToD0+My/FOjLbqqJrozbAsgaLiorLQotv +5eMKFryp6y45MyUkOerDIsCQxyh2OyU8NgWjbqsFXwKzoXHmNRiulTlT4W0YqqN6 +NQcem9i+kHlXuCG+FC+uX3xtuzZhhiCqG0aV3Ft/IQrz0chOf/yK81uWi0KOXxZ4 +ZyixuWDvSgh3hUkHN+0l/n3Bg+gxWe1JN38SZVKVnfKf397JO8b4okRNePI0jP7+ +WNKFX2GOkU0O0GdKi85dyjiXTVUyzsYiQpTbwPiOAUXc0MgWXqA7My+TjhJbkrXa +5oCzy3mSU2LTE+ZdCSwhtyHiou7U8+xYiKQttnkEfcwAesrAfgdr2cRUZhtS5+41 +dERWTBA5gGeEUNR2VA0uAEiG9jO6gAEWsHfw1edZXgmh2PCxqkeD4n4v2nXUT41W +aPyoPFvc1c61Xyqgba3/7KNd8w37XdBl3xmN4qDDqWW/WqLV3VvdRkVGXxzBybhA +m+041aLItiQVqp0JDCcOu/6Qfky7omqLbu+E1E77Qbcn7S5pArD/Q7sQdBHUoLM2 +LepZIFY/qfbIA4EWwKUOF8RHZ1DwZQzdlR24qxfMLM+UYr8Zhj6917zYBI1fxtlX +l8HLxR3mpePt9dKHnJ5cEkHp6eMkk9AY6z1Ov3o75Wpqlv2JGYUx0BNcjmXr5J7Z +LGwLXc0Rscz33HCTs7uEPuY2SflTvPTYgIZpzYlGFrGQIZFjGqb4Fkc6u2MKaX5y +bi5p9M+2p0HBQ0kXWbAufvyqD7zu05M1tNvkM+3zyZ6+EB2rPyJWqcAresfGn2/h +0rVIv1v/dOhwHA+SkxHgC/R3ZoaprCK/RtpRsPejoz77ETxUg+6UWZnvIkXkKQyA +dckS3/Rst4gMQi9yqD1PgmzBOO/ZaWdjy84gNoF8a4oFGwyvvimDQUBhmV/5dzJb +plfgtqfmLqooZc1MRYPAULYOSL/hdC+C0t/DlDo7pSnI3cxKcV2b2UO1c3qz37hy +pv9K2G/dwmVLZprDo19VsJ9SUoTxiUfguGs0Fn3bJajKfHLBPLrpPZh6FXaVW/8I +lkpslu3aIVosVu6AtGWqcm5snAbQM8PZFJfiR+WNCt6wxvefiFZTKiG9OOPB66WF +ZR9UtYgOlshR4RGlZv1cZSjq4Y+Ye0NShqAjpcdsZisZAOKyzIUX2ROnhYHmQMh6 +SQdWSomx3vfRSlE2OUZJjDU6mhGmQRrg8hxkKm5/fMHTgcUQTwJLoacZJicJBnPW +K27fljdsf0dVVMvHLDeADO2yxKJpa0b9q0ZI8WtVUmXeZxVyI3jqJ64x6L6193q4 ++zB5ecSLsnfmYt+9i86LKrUeYdxNlitjEJRuuw2/zJ9ulfcyAELI2QcEXG7YmqUp +dsX+Mep6E2wWqA5TtifpHgb6g2WKcx+oXB0ksXG4hVG8TgPfTxwSxNZPxv62Gb6p +OioT7wRN1PPDxja6QAGhPAKaFIPyOM9Zkpt+STAZ+UbkGv0nS8uO0B12pJcGRyxv +OEMKpaTfCxV0yg43xj70T/QbusDg5/yZdRKddlCZ4SAqIY2iK5bcNmpas9Lhmfzr +eWIQIHNhFwQ99lW0cpt8AUDbnrsa8oRWNeKADVSewkGbLQw23FPWAfoHBbvxyTPP +M0hK8FGD9WGGU2Ge9R1bryXxKA0zXMn27bASfvDBDeMh6fHBSCaIm4L2CdlOTVFq +nnskxDmIkjchL1LawRi6Y5mIYJhWXdgIQZjTyQr5cnDKCnBUANR/hIbx/qIUlVIU +O/f7x2DqcA88KW6gUIlY1V3onAUdFpAhxzGgCFedQk4hNK8Qr9rDdVxYob36HvUP +hFI4Plz0pHFlG+CamwrqzVUakBp5tsyiLu5/GnaHIvKs8ty5X/LUCH36tmTMSGBJ +PHwA8VFx6Gvwgd3RYlvugZnlUVvlVmkxLMvfU6bPXvdoHoSPNyfxGPzNGE8sFRUO +UER1t0XcjVSR8j9JMqs9DadFgj+At5eKfM0UyZ02GBxgGrBt7fYk8yG1Z6rMXqsh +qaq1MbNL8dtBnrx0NWvDaGkEuz7NUp0Dn7OCkhUPpPDW7tKAFvfTv34XgZAFEpyh +Su4CabfutKUxH2TUw0XDsCkAvVGBdVUMT8/YYnr/1W/0HaWrLUMAWFzpL6jEwXfL ++VECsAcA5igxW4r6QpzqOxW43OCSMw9fOPCfyYQkMkG811LLdImIr2kHvsquFAH3 +RoGVu3s2qCDkf8u2urGOit3JPrIgFRbNjDwpmJfPdpPJkf1/HuHBZyamc0mXdGJD +i6JlViIDMgGF4WcrJmqo2TZJhPzjoBib9sWuIGLaH2anOXjSMG3EhY+KqAXnIUb1 +mcFum6gRyaq4rvrQygYmCLfgteKd/Qftj8CNTmcmcP8/eVs+QIK8fiBDFaVOBq5o +UU70p/ASy+du/J0tMAAtfE6ED1DpAl7029EcUL5auc9gv0jM28HOsckBpgfBinqZ +5TaMeSV7fyvyl943FnqxfA3LLGPNGqCPPGXqj29JaXL0ziqeXzWjJiXti1/JW9tA +5e8dxO/yB1tc5F8n4lJvvl84wgNITPK6J3WxDMbxNAwocEZonY2mrZUID+//m+Hu +WJu6nrsJEh+R/jrfK39ucTSszo6cW/F+64gQSgcN48oNirl1rLzh4vflxZmOXWc6 +DIE1pOJkFOD35Xm46a5ncI/otJ+bCDit19TQNPf2tHxeoX+tbtPHaAk0PBKJjA1z +lkeNxupBVfDmMzEj7F6ZBR5njWw/G19+nGQ9GU6+db40sSiWembnh8R7eNeSIG4z +HUhs05cHaMS7x1T54GfBnldfvCg0maLGbBmB1sKsF8PqGKjcbgyO3xdoBC+c7d5U +A8BwwFQJApjK7qJveoK8tU4cGCxh1BU15bZ9DkvlvS5kX+e6pv8M13NmPQ7NHG8C +yq2js3CcWN3H70bIxkdWP4jonOwpcFKCziRTyOmh9HWiAUiUZq/dMG3zyvP5iqMS +3eajNTKL0AQvs5mi3fdYweZEu+w8mZdWg1+/Vmm41UYf3MFVcrMdSf1oQ+/mt2ne +bm4GcovbjASDVla+iYz1BpPTO2noVPMGfvqvqbLwHxo9CoDkgZ2rZFY+zfdutnhk +5x35zY6nuILwGU4WOpP+t8b1swaXUuQuxwW1kEXj2hZkrVKp7LWOx0BR2+CNFs2L +1TEZBQZHMVs0DJWyNrUCKyZM4IRLW7HEg38+mJQlpTMWT1u4J+UnzjCe48EHQabM +11QIPPxCSQzm0Z2NVSeTajdez+dxYg4HIEwDDYa5JwaQY102+wSVsj9d7D2Zrtf7 +de/0qQtyxDA9gvI8Anx0kN0EBvFmi1sVCpLR+VEps5q5Do1G6UtzHWG2P7HVsBw/ +FZ27vicnGlILNHTY0ZMkM1u0DtEvG4arTemb6Ml8qLUW/TPQuZw1H5UQIUySby8d +pa2l7g+TyCBeDRPJYhDERpmKwhJ8nioHUqDH+pqa82ug6LnoO0ylAvchtpUnY1xC +WmPHV3COojUjfpPoLpN7Fk9gJhPp/ilNSRFzm0oWLZJ24SFr4EUQFzisGr46lVwb +l3rakwpD8y0ZHj1BxAyBK8/zNZ1iB9X9sFW1G4GquaggwP5Z9MpdK/L1XKim8Lfk +W7+RZvW1EyZkhFG5iCkMSyGV7a6VUolSi98zpcbcIUBZ0KdCbPDxJW1yCFLqE13y +3Wv7PE/RWg5K7RcMvxeM4W46uqPtCy2tQ3N1Ei8v14W0mGjcgJwGoKJLecbHagP4 +6jnhfIFY4bPGAfxVME0buJ+i3y+molBz+t4bfVuytkM7j0vuH5dX9OjPN6ZbLhAp +c7HfcgR7uL6wmbIZavCQkjx0ljTAA9gnO028cgwY1/UAQo4dN9i3OSocxMqSXBiI +Ind4bsQzrzf+QibVasTzDAYAuoJX6wTaMmWLcKkBVRj7I+79yZsOn6JxGifrOODB +dpJj8ivgDyoFi97imwEIYZTj6wiWLhDYdvh4eUglxQUa/NIDw7lE1V98r97j+q2g +O0qhEa8mIwBfK+hUtf+hnTWMoG4g+ycQ0AEudYKLMZglBtNRdUsbaa4d293xpkk6 +WvpprHyiGwv91tDVixNbsDnlavJSiIo8mh5BDJ+HHh5qJIdE4AzatwHIFLyw227b +mKWQmjQC6JnxJyeuBa7f693jSWD5i7KTU7dzQrQMnGHNvvzw8YGM4KSy6YSqcQ+2 +1Hmtq6kO1loAc5kpI58mIupfcsA8vcqZpHx8yfSoaryXKTc1EmD9BQVYlgRnTzdq +70Bk7ixch9I6igY0ljZWwfXJK4QoV/QzZYrcyV2x28JoBNef7Fxa083s+SBhj/3g +H1CHKdzr3geYs0Na5eEpKLMHW2o+MbvjsAbdFkZKwRx191u6song5Q+g1k7Z09AY +Y/qVcjH+iEdr4jf/sGsbIAWQoqbs8yXBCyQgD6K9S7K/xeXGjOfOGBZYrHAEMVL8 +PY8c59DMiOBhZ4GPDGKqzha6i6IMmEinXHTRYWDCk69Hc0+n4XlU/ngURqcvzZh4 +9q4FKe6/IMrb3paOs8FaRshScWvxcg8ONtSJSfhlNlZBrBc6HMOKXxhapzOaXu+o +yXTe4+pC3i5Rsu8kdqnlp+uHQzT5z1a+/JNr6esDRqqUFYRwJIQ4lI0hIBWbU2UC +F+2knlsFjdtXTYDWd4n7NFld0rqZ5cCNTZ9aNHM1qu5nUgD4R0k03Lj77+fMolqY +9v5MoPXY604rUPD9D+Gy5v1/zmkWqKnbQeFmetuxLQUtW9jhf3SD0cwvqoC1RLUw +6NK1/I1TfdCxNZD1ahNLtwo7q1CxeshlLjYi7YDDtvkrnlVEO8bW18PwU5ywCOqW +N9zRJuecJgTWsSMbtxIpCRh6vrojhn96Ald6TtiRf/5Nz5jcZWu4OnIw7tbVEm7d +HqU1QoR9yxA/w4p8sdH3ZkbdgkuA6zmHI2DQPlMaoiLwMks42CgUJ0RVSsvCJolS +fmdMZNlqb59EEYAZEVQ88qgmbYjU9dR8HugNYCt/krhLD2ZnIr2A3JaTYJy2U9Wy +RKDU7CDjSOe98qd3FqQIlh5AhopvgjD9utosHmQ19s0tSzqdozqTotTpK7E1lg94 +u/eChSgVwgrovj+Hi0Y6uBYZfpZzPTB7Jn3G4ONF8xE1zBqQUQ0EtrLiSjhosJDA +4JCt27OpfyEBOUbFFBswbgrvaK9+CbjI9PkXdNIAwooYyAVks1iqhGiyJkW8O97J +lSQYwtAUQAZybhoig//exzsrBDbBdTt4LCwEQUCYNl59Ft8PrjoomYY4GIKcgNzF +QwFSYJJnxCN4VvQgNNNIGs/FdgMvQncOGSVf22xpG6yCRr62ty7CM/EmiTHvpE+h +mqp3OkHun6a4jku4iH/i+K1o8wIARe+UXvNBWm8mLyq4XSOMt8B2hZzq1B/u9+Ta +yCIxlKjCSXSJpSa+Xa8mQNMZqLW7ozUZpPHzvDEzkMRs+QbQMTPhh/YTwiSI7XkF +HiJak0SuPWUbeR5FLQTRZIWMYiw1FASFW68r9RGNczvpPL7J2CoJVCeGLJ8K+kL+ +3VsnJAC5AGeDEU6bPdg5TyNJdIxm/qeHVOAQfYld6Me4/Q+uK8M8Q3/t3whIoUe7 +lOTw1LZ8b+VUKgxSf6isZXwWr/H8vEXT7lr3PFXlxLOTs/z+29uRXaHI2yzDWF59 +wyPFIriYCp0vEIAouekOINDgw8ZfWQYNYxQhhT19GIF32a74P+SHPIxhwYxkbF1g +XyXhlKFJEMuqdFj00AKmAnTjzAiILbhtKopiD2ooBQ7OeEiSNnzmF7vMuB84duvl +MjSo6aEYFXUEq0KtYhiiVJIFFHQgJdCwuRt3CkwbyxT2CXYb/iEOhDBSctiOYgZA +2hfS+9Y1S4YwOziObW16j8Grh08a5XyT/2rtm59hQdZOWjh1zL6z62x835wrbFju +MGQZwajmgiBD48EoDNlGqC3/4e/KXM9q/7CzNzCeLYX0Oo2l1q6qK9XZj4ERIGq2 +Gvyv9DmQ4jQL+zMNx0xCfoV4U6nWrRFyOQB2fpaUvx3j6bXs2bqo+eCEJoUnrTC5 +9o1+tBSQ4zP6lGZMaYRgpF1X1CXPlJXhn6NJSFki7uNppWtulDfCNTtsVBpdEo7n +JR9Uqrx/yJyhqUs15cHnMCWCG3jvCvbJdkCEAq+pUWZYd4mfBpxAYW+OB6+2ljNe +kb9jcb552bPTta6Qsw13kX0E+kQSTP2+kHXERDcyswJBLCZWPwkOIXFGGK9sqjQ4 +MdpneWsnyrLX2rW9SX6mLZc0RjMAb8nZ0qtw2IrQrGkJ3bFeP1qj96pW1vWRr0sb +XbcVgObfRjnG7zsIwPQEk3jz+84IChh5635wE/492VB/O7my3cBR7CdvAw426Bvd +jMod0qHd7zCJpYgPhnJ5ks3Z/tTD+DaPI+aTAdBJI6Q8CNOFS52c4k+xpX5yY7Al +33sRD0JRSCp2AF8FhTNmnqspOJCidRIVUva4ar4qgl37/pJpEovq4khSiL8sHZrs +8CRKn/tVWmhgO97cE0r6lDJ80SIIQRxTto45w7oCX2xZ0SfLVdZmqrYAW9PklL8R +9C5HwF3gVjoPt8di+wj1+k8z0eabPeRyHJnAgawXtmX842cHms+huQPI4vRWV4eB +M1G/yOlFR7JX7/VsLuZNWTChPI15FZoHHC8Ly8oEhd0Ci0UKHbqYrKxfc6IF54bT +HntZ1x52mPUmh2fhD3kDB2W+QG21wC16dKMQGwbwbehtO5hFL4BG1qLbUHSzlY+b +JhMacDHWiGifaBGENPgu0mJZoJHwI3bcXGmtmbu6pII2qeFC6uGvSupuDnm0gupf +8URhnevNG3DvAlqgdVzFWNLKFirOZN5navIn5hM3nCTALEfmWex7mLDvDqM+LwQ8 +i1C6jFJ6za4kWO4FWo++z24rAhNlGTgb++7qDPbHa167klK/2/VFpv4fySRcm3OI +5Jz8yLh05pj8QFl8Ba4oLPVCgZ1v4J2HSEGezpR80MQaD39bHv8nh5iD1vHnnA+M +DFeAJiivKu5f/cVbJ9zyJEtpdIRWug1JB8qwXk2coUlG/iJ4ZYUplZZmouzIEzBc +yjT3YZVFJbLC883RXShaTmv2gxBgqHvphRw6aAn4iwSfD/dxQrciMc8TgLBjeUl1 +Vcp67HG4SIPaKQpjCPloeqZeZPIHJgSQbXmXUCFvTfTecJavCA0mqiLb4e3JMOv2 +xBBSTQMu7dtc/iVI2Z6x5obrYpgtSZWHpiZ/KmvaLAbQSjGu60Lc1wsC28wjQ0R6 +swVhcpkSVXiM4n2H5ryakFM51FfCtM8BQfINJo/8Z2wQfsrFeF4yGmiL2lX5fSYt +euvQ1/rOTP4Cq0NkQXbYW4vDbwFIq3G/mFd+xAgADkHUoGN8kMdOs6MsYetARJSL +IQS5lcV73kmcgN2GwOTqyBY2vs38kGuhfCMDFtn7unjbfomFSiu62dQviSCpQ4am +3RYg1nqimJnY+UpxEWWkRVfX1AnQ0iaslGKheOGAq9hCSfMmojWsBUp4vDxw7FOr +IXEJOUmM8+KYRDTlIbPRj/cV/cma83zAFkccXNoHBake6xaN8CCM7ncmoovUE+eB +/LHU0y3c7fqsOlkJGSXe6mkIhZ6J7w8HyJ/CpPljJqEAzsJiw4zFBL8Ng9GgZcxK +7jpO2DWPVfPvZgLmN5c7F6nwKHJR8B+LdMqi/vcYXV/JYuZ7P/zesX3VKoNBDOYI +cS4Ag8jswcbaRCg/1iGtodlaNRGAeqrEO0yQMcUJhhDScPBD34Es8Oll3AYcrkbh +HjtiaJwEgImrvZqt8L9PUj+k11bMrXwTZGBKDlztxslFeKs6xPXon5157/XhgObk +OZMOQpyQVLyjIPIismsE7tq7qOys6eUU6KxQ1vD9RLESc6lyLcMDaE79WlseS8LU +zAtbjvL8Vy9PxxT366vOEfEwICwGU0Zm3IZNsptKEl3/6CawQAhQ944TNsQvaJOi +594xfkBgI7/lomL/lzc93QW9FFfytDEoPiasgq4ogAykfyYpXWXcG1AiEHDX2+/P +6y6TZk1i566MYEJ7YBK59RrgQ4mGedOi6ltnb/DnY1G4nn+UGn5YOfNOm0+1u7fC +JeDx8kDhZznmHbHu5B4T825dZPytnfdvt5ahFjtt49lQX554TbGNxdvYccG92EuP +Ujj/EtI5j7OjhRZ4Ht90W9q8Mhe+o6dWF7jpKYRsXZhu+5xfeclIxg1kN6ES3vaT +tlkPN4OkAZhMzznSJwwYGdudlsSzV7N0bNqpBdtvAscMXK/GCbyUAC8zaoMwUf86 +zUUmWfh7J8Bagp3rKylNZh0XdKJjS1T9zFdZFGsK3L9DEC/CWG5h/sYRR9BViKb9 +2QCni+G7Md6NAQ1dgPLYqdHfQGel58OJWk1YjxvcPKmsBJWziEe2/BOSxLevXnYq +bzzP7mIvEC4U+xoTea1UEoNSAvMi9tXMZboRyBQtPsZkUwV67XuFsHlDnRCcPNkz +YEY8RlZX8LuseWO1tFtYzwhUqiZNf4Uqt+ge5Uucu27o5aQodXOXUbMzHqQ3CrPm +ex6pgHRPSQPNrD0YhpdrPEP9S58DAkUV0dX7Ng0RKH2zo1CEg0y2hZQm9M7i9uS4 +PSd+iEzqgQMasVftPsqse+/IDj/MMg7bvKXNTEassj82HZzYC1awx3r9mk1rMv0A +BW3wUL1WYfK2qmVMwLmh3FFrhkgBWKcnUUFbnGowNTvPNFnEaTvkoLeGUi0pn+2h +8xmvnLANLVCde/o86kJ7R5UiIFoecbyxnc5yrtvkhYkIoBuYsaIOrUXh8Nas7iRR +VyyE37k/XU1NFfxIJ+CGfBZeaMPoFpXNqnmdzdMscLKZKsqAC70WRaZvPC9rGVxB +OXO9vpvibxt4BiL1sqQxoprwy+wdPOAlizXRVYBKKCnJauuPHPYGXdM8PWXmYU2t +QiuV4nMwlJeAA5s0dRvrEqyEQBwJq9p3j8UhHX0++vTIZmsggTHK8xXILb55suyj +mt2uEvaSKgWnS/cQfBQNIfvvGQaRRUZstniXfNv3LFc3iAYACGCKbzdkmZ+6dIhV +lWI/9XWNNsmPFkX7NXIMRNYaCGRBS+ot6x+8RS914ojQ921Fut+j9KIZxwOPGyOX +iHithdQqIHAz/kHuqq7IU/isrgBainE9HvEj3AOGlx3TJ0Ae2KhyiLh9IOMllWqG +S2Kvx2ut7dd56lXB4o20gSsT4oNv7MlhitFjMWtOs2WysoUnBo4/QwXud0JYhfKR +wR/elwgbDS9ZoRyfJu35jZjl811MFtYiVLdi/HvMvN/kFvuJJ39/8Am1VXulQrIo +Y3wHfp+e50+TapwldmJNXWtP/5lW4WzcqR6rrVFdhGZr3zj6o8Y9XUESQ8NAOqHE +C/2KpkUxKglTq2iO4bpbb0rQGulLcv2KYZC2wxn2nt7gI3g6tHH4SHsLuV9lfU8/ +hvZDQ6C5ng57kJCXIeKsb+MadNCXLATJ19zceKyOkuP7O/7cJ/PDdnY3GxPE1cgI +zws5cPEHbPGsf67UwG9vUoydlZEdCmx29ykyW1teeH2TH+6Nwa7M0vaNepUCbWD+ +brilAsHJ/j0HjolgQ0DjYUWy20/RdMGkmZZ+nJulX0cHXmIs4UpXJj6zcVq5F7Kl +4jFH8gXdUUE+fv/ehUchVq7HHCyZkLBE5w0VHxfzcgb9WJoxGopBM22wkmEenM9M +AVFIS9iYmm3IoLCsF0+6qnIBT0uY3Jxd61K+lYs7gEIPfXjZlO1GkAZy7fa8zvAS +XKt1VqOtimtoAvUOinp15dtVLiaSuCmFELqAolBVEpbXdfaKlY6UAa+aiWq7A2kX +ES5qhpqLK0lwzn0pAnwuKiE6WQP1udoV5YxoMsKM3qgc6Nnw3Eka48utAo7GoWLn +dBXilBVqTAXu8rzjvivRLo/e4Dv019yXMT9jJR/9771V4PLA/e4zXgy7MQj+YH2S +Hz5fxrEk2w3oyADVEe3MYlpudLKzmmlajVko52OBWnKfmqTPUKQZqlxs/vrqtXoI +8X/hP/UkrIKMUxwGM81ZjLlzFo499SFb9ydjbzZsy7Gj+77q6oY7NppZrV37Wtee +NkY0ImI3FUe/oc/CsUvO/PJShETGjcz1fcfQu1bdkIsfe/PXA91088IU3UvAX+HJ +JAMcqokFiV4DpRHRxWqdTddWC4HMbIFQ4t6zOCWJXWN6gWm4SU0U51EGkkvzd/ze +awjd6jnAoVaQ47N5rw5O+hfBDBmg8oYZchKGbXFTrBR1G+zr554+Yh5ankl8swgn +8Q/GOeSq7kpumKTAGNU/1Jm2kpRviDOITeUm4y9COEq+U3TtMrSnAmhWAWe0cGwb +kH8SeWIB3YoTGvoU91r03IMhCODQnLNZhQN2kYQcuyQ38VwQpRyIrd1fFR/32zAG +mWtNYve48R7p7SlA0t+ZmWeYsKnYHzpNW9Ul3+VJvpGY+W22M4mIlUOZPQ3i7N28 +UOuQuJ5fzuJIR90FQfffBqy5cJoCMFko0IlCvorNS5flTDkDP3AkP6wyyzj5cnm4 +VTyomNHu7boDDSdlejpYBwbs8zu48tXpqe0fdacQlj+TvFd/Gi27UyANzXLAbeg4 +o1Obd4ZVNK3Ss7GTlQIyekiQvtkYHAch3YZHTxZTdD9nlHmm3vdjWJ2/b4et8Fd+ +Qv9i1O+XMGLQWoFlHnd7V4kbgTP0HoeE90f9ZOMBzvCU31vjmjNbys/sm5UV1uQd +25xv/tOX3aFH3LefIJR0s2l94lRjaXY/hDisnqLX9E8yiQ7N9d4/Ja7jepdTaEaE +EEgiDP9JjVmhi1VsxJIRJGWKj5R6wej3MmVEIUwIDgrU3ADqVYDwwRAu8075Y9Kv +MQMlojqXVeWXo1mqxr6qipwbzZSRaX7wwPObutYGmKqsV3bx26XJvP1XCva6e5zo +IjyUWc7AJxZFQSE8lLe4JEL4e43hmkA7YGWe0PY3Hg5UnVJ2HjfDrDzF8ovXVsNX +BB4oa4cb5aODD73olr/wL2AsKFKTRDWqMggozXQKkWd2E9UQWNmgKM2WEdFW/i4m +f4hazvizw5yGSJZ9IECQXo1QVItannY6QJ1hVF4GsS0avYay9KP2kud31Zy/hwLg +zZDpoX76l9Hg0BFG8poxH3OoIUigFru1qfw12fmINOLCF+g/JAu8yzUVCWz9PU5E +4zbOSLF28ipdea+urQcF0gYIaafMSeKbAF2u5hosZpUok9990DBhqPuTOf92zo0L +I1dSoRhlr3tLPm9EzcQfF6ifEPRUF9MUFVYVCLIGZH11BV5P3nTs7KG6hg3L8qnQ +/qVjAhrML7XZom0VhodxtReBQfy3D4gZIM9HrDVIb/QytzT5h1HyKczcw+f8htSF +ZsleLqlLeP9TkZ0bADSXA5KGZPAHDBaCsQdS3WOxOLcn3KjSOwDAXCHN61rJ2x8F +gLqSWarsn5p0mHamZa8qzbh5icZfcGgcV07unGSAle39THNLG7hRZOikqLzVLDQs +ULN9Wyc8UHuG8TCJScm3BOburJQRWt07mErvqKUvppfcb81EwNSjr8dc4UoelwAv +zOOGTdd+Ug+n7WaSmX0t4+F1WnxUfdZFHWHU6any1uKSNIx5GU4T5QSamvOljhRP +ZYThLBem8/VgpCB+XAHSbC1asQlOd/OF8rdW28G5sQGcmSzXvga1hftSeEu+eu0i ++79aAT0kjhdTdRlDWQhUiWLtfaaybjAa/7Y+FKQv17bFyx7FbCSPnvD7j0XlS/Qq +S7iJAtF+GyiVQ44kSCpNJ358uw+oY0ipe8+km3d0pqu3JI3oQogTEogqALLeNTe0 +9gtFSDm9V/yxx79fAag8lV5BSgPWEOXGYkShfxKjlWJBKytzsbc4qLyQkZFUt/Fs +m+qeiAK6NOLgqiOxJv+1FeY+8JkQp4xdf5P8q5iTaIvhtiqZV0FcqpAxGLWbIZ8v +ngfBBo5wQPyicAhxBcp3SnSa3n5uyHrnxTYvMlA3NKDOho4Kx0Y9QfOWFRsSptVl +C2j8l7Fna3S7QpHdAamwFkB9XdeUHaVro+WauC6SM6JsMX+ZF4vgO5UcrWVuru5E +yxkhmB+QMDh+iKVR0VIZLXdDZ8U36+NlrHGCdrzmD1pVtBF5YNkVqn5HRgQkNfSk +GqMTLrmfPJmko5oLlwOuc4HXWbkgSOz3QDnyO255HaBZluVX/lmgYsoyXtLz2b6V +RRhz6Z16xh81QvkPA8JBHU3arGhBk4qfvaMpcecoRA6MXBxetJLhk5KUVxD89/zt +irtbPx8ssPxyZiL4mKoMXyWgzn73Y2d9uoGt3JcEjXiYag9mV8+xQxxo9eh7QULn +BPDwRSp7rCTasDAEEkzAgCnDnADD7YGUthfUg3Vx4+CqNfe/QQmwMW22lApUqw+J +jNhpHdjQh2MkVDiayq3vFit8H8tgNJtNrFHP8vGGVTeDmuqpsONHPMpnBfRJwRCG +ZtbFF6svVwx+qpobxLnDqxWHn5oyxUColJ9cfDNgMjGzgLx0Lw37C1WPYzEr9gga +clAEi6KCRUZHOVRBVLLmjTE0v3U29HB4TyGmWb28PeWjbKtXA5JnktD2JJCJYWl+ +xhfY9hLVB00g7A0gLFiNc1fhkvp9qzTA+L1E81bg9uo/JJRBsPGxMCSbeYPA9KO2 +czckALeNElyy9XTXivnK1dGzWToZbO/L/7l6/oTB47bDDd1NewZm2E4PRWeDABRz +AgZES6H0gaGz1BJud3yHm+dogmhTSXPdd3u6x/jOFtXmm1J7jbd3/WyBOGUvTzOH +khT78xLwYxExLUiT9vbC/H1+qmHYVcrx1/Z3KbMAgJa8cB6O6dcIrxaQb0AN0TY+ +R2NeBW7iOkkLzYpeIx62eEFYsbNHwbj4Z9Pp2nPEzOvvPk0KCtV9ugRosVYsY2gK +MUhgRfup71OvN/Ry9HPFIRJG94XSnY9CdoCXjXTimWCBFsTczcKSfE+tOTlyE5Zm +5W/dhAZzkiuKTUTW6TUzBw28+LtC1ziAjKmmaOeFseDIBRkooANEl/j3DPqT5Us4 +4J7p4SHph+srNerBh4GmTywc7/D32CxK4M188ph6TkeKpp/ASxkVr+R/o3RybQqB +4mzRLrNvd2ZJlFyArSklusA1EFTZnO5u85Xr7uClx1N4VQlD5jKkrbEpt5vX8+Zg +/6uMJ1shnYYsYy/GP0cgJBtxA9BWvJy7rLshwozKbCaeQOl24u9Fc1ZDLUjDWD6R +eaqBFkJoA3bcqcrF/dXRFfrwjn98QNZMej2dRdO/O0ly86jgcJFf9fT9t0dhV7R9 +DlTLd4JX2SedWA8uSSHe9jQ1FNRiUUf1unbus1k7zO9bPwxkvnd7XStxTpDt9tHI +S03eC2KuvTcWe/gTc/mjnsQJ5JaDeGIp+YkhztyYO7pC3FHGWDs+4m/c1695pGhC +U7Bx91dVFhc8+IbvyoN2r03Yq9/J7XH73TgbQamLBr54XK4S/0HzPH11NV0XnMvy +oRjppNpdRYKVInFcsoCfu1IxvZDfW1fgndgqkl6RDWMJ1QRy95RW1YV4etBxq5w0 +cxcEXytAkJtSy4BIWTgJXwD/OQESn63vwAkYTCbGDfDs7jTRQrSQEk41oP+Jobcg +zUBUczW7nOVhiSemy/R/Fb7qJn6S6+ypdnqAC0l/YF0UP4IiydpIspummpoJ0kZ9 +S7IcpBk+4fHLgkfYSvFULxHzGbUPHXvG3RQDf9+QF/kyS0kJ0XTPcLyjg9blF6c5 +prHWoLQqpT6ofSt72ly06LupiX6ymQKQoYTGQFa+RfD+HDptdfM2+sqW7HZs0a6l +ZdSdMB+f5JGy3m1gFx5yMavlyd23SI1dK4HNbSb+3GhGQBeRgnOnt+uyhmnS+BG0 +255GFq04Mj+dqHZW8n3vnB+IF1n4Vq8mKk5x5zVYAfFnWE2Sgj8VtiZazd4CViFr +qfDGXz6C/vBgpvWKl2t3weUgDY/Boj2dmWq/rMmF++B3b+72Myry7yIe4FkbmrVP +F8KWv7Z2MwKYB9GMtOh8AKcxLcgngNVwCfW3WTSbrVAuQD8uqYYIJlTRFxm/J0Xy +7aYMAdBOsl4Qm4H8/gHvVjqWee3L7t/q/SkeZkobah1dJImLFt9nOwg2WKlddkVj +23p5/cnE5pAQRxtm4+vFL3kOIqS0ZiCE7Akj20TfTB0pG4ho8/zjphpMowY7z/6H +m+SBMgB4lCdONf1CcFICJKMpM7ikpRwhyhZottO3yYpPXbl+iQl8X0WlQ4/MMolA +0wi2HdxH3SdgYezBReWk9M0T3ch2sQkVuDk7LZJmcFqxRzsCYKZnZoFnhR0UvUxz +r+JqISpqJ0bmX/FZ0c8kNSmDdW+9Ez2jm+f3kA+42nMJybevS82z/zvNQToCUbqS +EwPKPcHjnb2O6V+aPDGIG+OWOy2bpBXSO+6CHGPmO+/0hqiRwE3k58havzwb32h1 +6lqS5zl6JR3GPPgBeFyYfiRPBUkE+pmt9QpmGKr+rpqk7BwsLjcgRa3hva/q3MIw +Yqhz7/PK1Tj2J3DsoLjZJSmZZdOphuWFHe8oQ0DFh0+Zt+RikhbyliG+839RDp+q +JltzGetJClFZ4IijBd+JEV2XZnMoEmm3t/Qm+VGJMTaAP6lIfm6NRnfeds/EFhnp +viIdgMw18wp6+gjiFBiuuxnR6KpmrzaUkDrzihD408/Np4tNOgDSS0JYWAS4ngnj +9+4H/OjMXvstK9OgVKRKO+TWUZY4TvkrlFbiamsPUxx5s2g13MlKmYtuYZ7GdgPp +k3XNENkiNiC30soX/2gVYXxMbROP1feua7xIjQ4AL6lDOc1RprZFFBUFGC6BkhTR +qbZIOe1ixmp47rjDlIJECo+eTNh/dqNigowfbsgPDFlAu4mb4ejMgcKqWHbHnYbx +MtPx7qaHFXCQIf+abCRoEDwfNs40jtJZIBp/cAdpZtn4qMJC/15B6VZCSIUNBLHc +Pn2w4FI3NGnQeCY7kuaS1cy9xm9BrsNMKwdK3qlY9KbjVNZcm2GL5vAgdB8UOmrF +j6MxAhaYMoHFR1s3w5+tmTC68uw9n7rc25FpxtWjVe4rgKukjtvVeUNDk0+URVHb +w7z+J2a/NZHUe/V7uqOPnFoKuxYa/QAluOxNd8se+1mdD/tSS4XlP9empUUHGOYw +hmkllbI4rokuyloCUN+zyimu8/eER7lMehbSr3SuljpBtVXhJtnKgC2J71VZNygP +BRwWeoobIO4230mMOpxB08HEKfUzJGiREG00UWCs3274dfSQiofxxiOt65/Q+ye9 +iEh5vBevKcI5QWzWTQud45ikJ3laEPbBcWCFQ9W9bvKyeji9mcHN/mibdK25jrbF +xieYiHZB7nzA4fB/HESLB3iRz8qebQSCTewNuJVhxTnK0UpVmtEOr3+Bx+BEYBIk +7kg5Dpo6q9MQ66jnTx0Afe0TBcnpnB/11JAh837JO2Oh/bm3VYwfwKvED0W3vv+/ +KgJbpxZeeVJyD8ubMtXyexBXLFx0AF22P+VXhVtKTA2H1Qtv4UlTIeYGU/jdlJd0 +SJ1FgjFBkuy8TvcZozmhmVUXIodjlei6R/L4qo9fbBASU2DWNL3F1ipzAMRSo0Cl +cg69X4ilvNlfu57yDEGW7SIiKIO5ZR+dEzqIZsgjNbm04w4HHgnw3ZeLDUWwbLu9 +PQZ+EcQ60b7iGiDKQoOl0EWniZS6geGWxSQLJceLLhEGCVVe1l9nBX8eLwuu1K0s +dL3tOyt2ZaG7xdW8828QtkGSZKzyAfapDRjmCZdQmrh5fQfuKgpm+Orj5I57tEf3 +LqMYPgR9ANGiZWSMsc3ra2SoaKCsSJO2oN3i+fOzoAz3vjNFmIOFoeZwNkMVJ2HI +MU6/D8X5N8rq5uIiTkgXUz4/95FTNpy1bz64BXrBED2fKxvxXTWz2K36+e55jLmI +rgBBvqvvjWp9fJrp1sQsyMqNKTbuZvF+Aqn8KDMHxnEprukYroe6mIdwN5nXZqqm +EgRKNpLumtiiNu8HyoSgzGXIeiw9nKxPfPCtQdGVb+0BaHRV7kI6taODauFIBMjV +2T7iHGMLavwg0gS1wKqZ8xjpULkH+FBP2fjXqJ4fdEaqPlrv2EuuJ75Sk+r0je5A +CoE/6/5vCvBWHFeCZ7yWQKFzb7MAZlo8+djGFVKKzFy0UH4n4qdFXUHaIDVjomir +s+BL2XHgABzLunkZS9NoY/NydyXG7vszj5S9rW8Xad6HRFL6R5tcWlufvezKJuZl +GJ/cmt161ToePo07InxDqYgDt8KtgPsWfGzHTZN5S7w+gX4Oi4xhXNAZP0C02TwK +6aCdNKIzxYAYm9wCTa4Tb5KOjJquuH2Qm2cicgO4x7q/1Fcksu9euQHaxw6N5LfY +LVfZNl5/VKPyRKNPKCwwuhCU4gCO0Kso+Gnm5WcwcMl5LaOH8hln9U4OjKOfAMZB +X+SjhcE5jQ3RqD0xSOYN4oYvs41Mm9OP1SYwcmyIrLi2wGty02yAPxA1iojfOaIF +5POoU0Cko/mQ/wZhFr8rrSY3+af5mP8Z4LpbunnsjaFPJNEJdXO+oIrodwCPKIP/ +mTidhvpuflIEicLU+2rXLOqh+KNb5jhTxq1+OO5jTUerqHXjR3gyhwLDM37ss9rg +uxzObJP10ST2uZxRfKKp0Bz6UQO+rhaRl0ouem5BEhg/yQ7QwoF0UL6BMne7oTeD +SteKuhNNR4+hfjVEUsk03FhjGU38fdeWkUSwF/MM1fpdIPb3p/UjDp1itDteArT1 +mxHtgFLzbvwf8JJyJ2d5n+ccARQqL/5sMnj3ki6sahRmsRBgk7uv/Sis7Avv4A+9 +lW8XMB7MhueT29Vq2YnzKD0DSNfadIqcu5cBXUBLV+KJH9ziXVBXsDD1y1xcfezW +2D4ey189gF9ndt4IEUNOw7s4EqStZg+/yVhgYFcUQlaIwc0auxfur0ZuLnuRXIKS +PwALvgxOmy8ALlektv6jEGcnxYpYHVQ+vVBvGNRyGzqff+A9oRZT2fgyzvQAoK2Z +ms5DHLcJqi3pfJqUZ8P217Zt7oWdIgBaaepzyvLHi0NeMFxBaXp8Ul+vjLFwvBBA +xx2xQeNJ5DAykN9JcgoYUyx50/3Z9C5aV/aOWPm0sWS6sVQu5jffvoxtnQ7zBhsc +0a6W/H5l9hqgKXxCyFPMv7YXOiYji2wUEHMhqZZILbkx0yL5hYG0Z4xHMSGmLnm3 +iU+2cd6Oc9pARt3+mi3N0XmyYmOHCgpcO4YZt65J1m0gFg/1Bg6nzTte3SRr/WA8 +2FgCs+RaHSNExj8g6iLmr39KbLdaQGuBUwM1dCkk6hxGvvG+q/+4ER9oERSkqGGW +vzoR3k/NAH+vg++XAmgzeXLwDJQ+zya6l78iwhwKGjPtZAlZSuZn0AevNSyCSfGj +W5PJpEtp8jofPW6QU23e0Fy6wvYQyEB/oi6NOzMp6v0vqZhB11O2g8WPCSr0Hgd8 +JKhsm8lDi5zAw90Z4bdXTjBJvzORV4PfLU5HM4AubVT/WnU9qkGdnBFf0ZYPsjqr +UxgZxplzouYtcCST5YT+WB9Pd28yVq8Pn1+9m+lnRqe6GFnwp2zQye/bhNC3gu/2 +wViuSz50+jjiFYAA8GnZHzxYyXWOBpXdnBFJ7hC6yNc9A2CnZ3vsAR/otqulXHRU +rSg3ffRc7ue7JTvB0yR20DPVQ5bl+xKyACTsOkWcxGscGudsSHidsgK4mJsTw6nk +qc2cz/f4krAJIhYxR0T6kJz+kh46xkcLholRwohfSVrIQBGW567fkYwI5MKqdxV7 +X4uSC8YNi5UD3o3AreekISLb19C97BSrXF6ZfBWtV5XWAznzIs8P+5uD84s+jmfR +InO1UiyvvHJ+mJLGxPW4hpdQizjrEUYmqPhCi9ris9c5wmTrH8mjPunKItKwXXWS +ezVohuDtJxWMgsvKqPX7wF7/HTt5mRYtM5ju2lLZcYejXII3SuJa62LoYJ95q8bc +qkVzWgLWkrJjj8KtnQ44NavoGyLCN5CWmWF57vAmFDC7PMupEVwhrdcD9S2hbBlQ +XMRFV0XwYSX2w26dEzKr8aurmVFk8oAg1V3roGAebdnjST8gHlrw/OkJ4zxQ6Wi+ +qHA+yoqj/k4LGvkvTJyB5/5/y+5LoLNvOzA3Ql3EhR0H1TJU14VDL7wdNGKaNAJG +HIa09ksi0QOxy2PIdWOyFBMGwf5KSvEbftA/SKFASSJpuLNI2o4YT5FVfCPdEMSG +GcW+DTMuuLVgu4SvJDv6W69lyeHHxLM2sZpVMKbtxc6kFUrkX3bZePtlAGZdXtCy +9xVBdKv4mFg0/3Bp4HC6vWKroLSz9BHFZKxOWIM6BIdOaA2MOOKkw5RSk2suz4JX +QBPd1D4YNjkn29y9G0eTDS6aADFMmaRPYjh98J1GnT0uD6SZZhhzdaiE4sl9vQ0C +/DtEzVuXG+QLPidtlJGIiDVO8WWEdYiTH0WxIK15g++u5lkpAx2hLUXrOcOFGh4P +OXvfVcPStphT6HVhE+D48S8SI9Kfo8HRZN21ylDw8Mtv+i9OQ807b4CTeknbnFcW +fV2tLuShtALQXGhgqaEcXLMl0TBf2fiKUTkpqdzlkiduH6SzPbAiGAgvWd5ppi3P +KrfkvgNsnjgN87df0GlE2F+AFUfZY51QPqp0sFyvVCod/rxHQiP+3gchE8V4JKAc +ExtYpnNz1bafbBfLSHIyMdAivzucyzgq6jyX/QDJDm7t9aLEtX/WmhTeI5a6SGsG +51e/w4TYeDEtMMOBgauwJksFnQSDIApnSE8sknVvJkdDA7sK9FizwnK4H4QS4n6h +o2/VYNhvyk8Koljki8Iql7gqxhqVz073xoFZ0yuPRrr3Dbj/O2Rh3kvF90bT64+o +nBlQVlMFruxufbE+CotMe3DzYW0DNIIYZyopUEh3qLFLmAEV7iZb+B9yz5K7afGf +cyVTu78EfmuTsjKAeRrYo+F47A5homuN1VP+xm7yWa6nNrIDaxuWJLKBbtCjbV9n +kDdI/42tTMxQMrasITvE6Pm3PRmDXaF+XV8d4e6O9NDyOp2BxWNiTnuiEdoW2WXC +ud9lk78CiOqqAGgsA9xu6AbD377e5r2U5dz4+WCGrzDkCPX7qdtLsTgABY71a6V8 +2EfoZpC1NolT0smrqnuIsmJqdXzW+jrakj5dG/tG+HX6w/k65jsrSmrg/7inRexa +Oy04NEOPfDQkrubbvCqOpEpY7ke7lJqD3WOFE65Z39C4eede0/iLRvLYRFpdJRyE +nyYComCTIlHt+q82WZNmsZXgagx/uGbeA0ecMNFK19lJVNK97OvT8Lg3942cAHKc +lGRAkskCnyR7owuiVtW8T6DagTNOaGrGLlcy14hwzkIBeBvsfoeVhQ62M5a7N6iW +C1gMl+uB5nNye7TB+bVKj3fokM83+/ks5OkDb/IoKgjFkCSa1RqarJrScDYwTHZG +ghrII/ZslDQdZlSO8n8uZKXFh0wOQF2MQ1MnBCwSc9N46hwcywmbxajYZTs2XSAp +I798zkkSGOK/Tx0LdFRs095J7in332odteNSVEQO0Q3pECwSjOjHkwFebpF/TdCE +nrGEsaTkAJOctQdkad9adsoik2FLEQFoItAi3wb1/YrdG7muQ50tG7VYGz+Stcz7 +ve8Gp5mZXjTzDM6dasZKnCgRn6uQ3XgtWClZzkWpzvtSOXRz7b2W+CPcF1lBnYot +BP2aeUOFLy2OdreJG/j0O+EOAPG8AUjVmnd/Vp9Y9OMntqI4Tj0Vk5fca8Ca1VQj +jA5kid8FMB87Oo9GbvGbS7KeYtkBOff14izf2o94XaeuAuPOLDMquyrs7iMSEEcj +biGl/NAu8UEpnIsVd0wD6LS207T+xMR49RKTok6SEWj1CBJJY2tNVCw9a6qs6ZJ7 +qVHs0guZkbLQU78KfFL7an+Hov5LSo/zvTpdwLDXCLhbBgstK/fB7TFMwAS49KdN +Y5zKmdX0lkiT1iIIsHotfXt/OqBU9qqEb5W8orKZXvehjDeTx3onnNuceAbb82h0 +Jp38NsBsozdup2YtcQKb9FwL7yGCZjeIHaWnXLqu+MjprT5HdQhwbVy8snFAwotv +zpIc7cam+M7SYpsWukXKa5HP0k3R5W1VGdE3syg87dnngFt9YgpF0F0XmfOY8xTf +3M7tPFF80GFF2VIVNsQMPJbPCtcO1Hpj21V0qiKV6Q8LwnAPYJZ/De6QJ9rI/Hnv +Xtd0B/0evRtLYg5fZn40v1DNWIXHv2QvDUyJzk+2z1h+/NYepuNc08yUKFr7e/OH +N+rzW4ZelPlQS8MkATiudqfkHiVufFszYYBlcZ7z4PdsihlsGCOZvMXmY2C/xNeA +Wo7rmLmVRGTf7PRvhmKEwvmEhYb6MERE8q7IIfRIUh3KpNkK3HLl9p/vEqewWzf7 +H3A3LxRXAXZsCeki1nN4XzsuxXyaHuV9t5FFJgFCi6ROVjkmB2g9mjOCPinI3Wad +/c4d3pYN4ZDzDoJpkn8SsBTlIAZqcTzGY13P4yXgdRZOVmNtc65sUXAQqECUPBQ1 +ygrUSMR1E/LmoOu4u79xWaIaKvjtorotpSyG9MN7NQIxo1CVBcPF5cFxLfK7NEJn +sa2WXFp9evVSRFN0HPxf37BtgDJc+LP0JKW9Ldw7VUQgWerCz6SXaOcnQI0IHS1k +dN1IIyrpuggF+sEJXEdZ9DlG4vkDzzwemnNXBlDpnHb/VU0HLvJj68sfSW3OOkLO +iDAPNKrjgEE6dO6eF/HwxblGYhZy07qKTJlMUmUKvy2/7FEkFVA0Xtzur1OYfryu +DflZXVBwwVsgST90SneBMQiCgx8UKhP+njX8QyJQM/4yPmNPsfIUKhrueRrf1GgP +ASRTQavx3gHT6A3TM2S1U/YpkkH2vMc2n0ASylLSNxx0/FY7PkE/skMtqbCKDT0F +zKZ4ukEPV8slTfXJJsXBxJky1a1g3qsZqYgw+sGKrx3ifR5oVkRLXOkcFddr4Kqg ++pUsmvhvmZ35BC3xC3qgwvfWFo1tBkAnE0qkTf7AkMwoVpLjSVSSZ5h/k9Zvlfv/ +f2PsUmxfvXLIS639Dxemv2htuPKavKbDXpyLunEDaY9yCz4A5Nn/7tzMnGfYH03p +JjXr9TC8bIKNgG5LKP+MLfH7Pfsoe6cVyJYnMpzozqH5QzbPHVRnzifzsTpm8uMn +ba/02xudDVhbxKTmvqSm6YoOjuEFwz74eGk00YDKdJzN8BE2PLRR1uldnGfRpEoW +aPOPsx5pjfryYlNpSgAPlMjAnOZ7V9yJ4x2wpEOsrD2Hu1pRcbSbp3o3rd1jHsB+ +H9iTfjWsKdiAyBy6+yDIzl0uu4yHQcN+kH1R7pqKsh2Ifq6IHNDr4bWlZiuVFxwh +JahJauxE3Td66g1qBSAIpoA7jmOGbO1FKzGhmcBQ1Kd8mi9Of5PFe83OlGzl88Ds +tuRvTbH+3pJ1OZwvIQL/qCwO2xklQkXsGkiBCpHb+oiJneDg5ml/RklSPruQUrvm +6FFJYqehHvNMH3cWqmnHpb/zwtSptZ4oKf525LU7ic4HujhP1BQnAmZW/sFFQtuK +Cnjr4XeAFUB24BChcfzTNT5u1ZJZA2OJn3NPDFWQGqA6xoYIVPTTIi1arg19K4Ze +qrV6m1I8l5XggRHzVK6k/E/KaDl/imbFwZuKB0X9b0yKMzMLS8ojwj5/cLNkq1/X +TyZQ/8TDaHfdJVs5O4ZvTdG7HFbjznxgNjsWQcuTpK8zk3inLOqA8V4k1qYdGPeA +Ce3102VDgWztVnhSbl+AB3rg9xi62qr7U4HGNOhWPA2GYfHrgjOTwLxXuxYkL515 +CiCP5ZFMRP/3iF5GXr5TyfcGiy8+/KwpBCDgK3rV2kmYnrklSKDf2AJmwi1Bsf/b +lIVbGYiHpNzoIcgU6kk9qvroo//zB1tVMQamK4OciIIlHCuUQ6dX4HNfCe1mDDup +DMFx234NFnJO6Jb8mfpDZuNTDLDKnEvoQosTf9LMaYtE3Um+FVcIry8QJ6BExlpR ++4v19gKXxXZLOz7MlGPz7LXEZOTYyaI+feQq8J5QGDFUyLJidlfp7abthZRvSprQ +Fi0xaQ9rzGHOA7QW8Cw9EvBeUUyEQQC1KcdOpYDbYqJ+x01WnYAB59mCmSNYb20U +md4BEsZgC9/2gOOP0XgiAbJ7QK/yRkcH3bTfRlKQzqExyT561Q11IfLdKwadcRwo +M70iPeEkdtqMMmuEMD3EKPuLs8Gg/JSlGWEKyLBA6n9VhFtDY7MFjLgS4BixajHj +8hFneGrmq3mOKfzj76ClrD8rXQ+MiZQ1EPogXdjAlDWNbu9BvtD3x8eAoEVFEEmT +l/1TV6gLyVyR1tG2Di38dty9dmBzrlscKHXbKGkK08TUL8XNnZLm9MwPblXRIbKQ +uaCEyeyjIIDMXln+woB4gOBGK7PRSfuBUqc9l6i9dDRnEr0y9pSUaKkFhiVHKa8P +omRHEHS2niSz4roKGd+c1HxwsfV12uxVhzRTsnZEFKya7CXs0eEvG8LllxDGU6kA +aDhzlVwKft88pn/6QXro9NT6zNvhi60AC19Ld7sCW2bFeuxwjmCxN5z1bC3nfpB4 +kf7MZPfPQDbKKHQwcnCe83UCDP8Jyvi7syF5KXrrhLitSwZs6dSuy2xgTQ0CbpeV +KWr3LE4kkSYl8UUlPxwou9PpcQWd1b/ubILRGV7J6NhnaiufBWxmUcg6UpCU0fk6 +BIzTajfsK1FdC104KYZ2iJRWg+DgzgstopnJq+ZHaOeRv4miO7xu4lAzE+wgZlvk +d+puB3HEUt2XfB7YAd1DrNajc4G8TWrUHwUsKL+k3bjpWTSsFZm/wuTSdOrbV/s0 +A1E6WGPZRXUUf27H+HliWROc3uWTIoxZpNEQ90vtm7LCBvKeevoa3o+BqvZRI2ac +kvPZlAN3W5zUoddfboZ4A5iZqZaDotLfrbRrV4cu1mwdJTxC3qKllFPLwTUf7t6v +2qC7FMXXs2qCeV/ZZ5DwbmrIonqy4qXrgMKfi5vrm1MKRuoruhSknLvK6gYqlxUl +oc30ij8u8R4rybs5wXYs45ivBdEWFXgtfYyygUtlaWX87lr2FcM8ofT/IIh+X78l +z9BwyKdcY+IakSP//Gu3uDdgrYmsW1lbjTXGjrG0ih5MsOg2mVRBlVAClYZtCHFs +iunst5j13jZcj4F+ukokzvesaDwlCsw8c2arrhQcFz8RWpfbrsxJBkwdDLgablIa +zozjXmz4FYVk3eidfYjerlNeAMYa+LAdnUJNWIvP3sW7mtjgPH3pqlU6z+sOipEH +Mw3ycgsAwK++c+XXAWlg1PWgoEjd4beY56fuWOmSEVOq5EnxUkcqXiVNpcUyBi28 +Lsz2ESOddb3Ozfd4ctSEFRCxvuHG4QNC730KKIkPxzf+uX7N4yoiBu7VAe6GPd7+ +FN05y4oa7rpDTlXXhlWb9CyoPFSHa9hvDX6W458xRjsbJrP67Y5l10tlE44/wDEb +lcVZSMr3cdJOiNbU2A85Ap+aF/pG9hW3RARGKNoKHih7uey29Rdgc9COa4cfaMGV +ILFXusPDS4aeD5Od0LuzausowHknUDa1Ikmx4hC9gex8/IVtWHPu6jClldSA1eaK +wN45y+fqHs2nZDZxEMWZlDRDVaPeao+VPRDzC9jZzc9baOVXI3jTTrltvWjqHU+A +iPFNLgsPnRCKQCWTco+sW9tcKRwOxdeGEQy/4l6Nbs2H95yGFTNmCQKuuyHjqrSV +1YbTJWLaC8QV+Jk86phvTR7YZyLQpMSeDL9PNdXHmIhmOTup5qHgVStY6jUuqU9g +F4QSre3qDmBN3vv+KiluO7uWPGIbM8CYc8EMY5d4uKfm+/bdC85068wavvs04z04 +fqw0+WfRfylDSNjwgnLPO/aVGQ3aNqCCfJC6FxmB+PJzYetv8ML5fFMfFe1c3AC4 +54aGFSshjm4qFgIBkeA7kdbwp1MYRXNjQ1BoBq8+4n4Ey0FT6r5xrWwa44vkUGeH ++IB9gYQwsxuszL5MWbeQlVN0lQ+uBNZz88PZVFp//qb806zjVChjV8O+NkYKfBrK +mAXlV1Q9SAkNErIjgwwIVBOsu8Ppmc5wrD55VxPwapklCH30feq3uXja+8SatZIg +uHWz1jnOrWFJFDyCwRBgtk6AyaZMeybxq34L6vtbgzeSXKwmfBe1Wftsst0nFPjM +byCB05sjFAUrlZMsqQ7dBbBdYYzzXgQZcu4V5tYG2+XW58QxJCy3SXYwCV1q2wse +ij+Oab3i1Be3gYiJ+e8GBCHkLAniBCFUHl/Cs6fJF6kc48WrOsPAPMydwP/R99gM +vQzy+aasz/JkGGBRD3UUPT+cKs6RsVFasI9IGF/tc2U9CIr7Fzpy8autY5Y+wLjW +RYf3Dp/nGjsgihK06Olq6/3wrwnNXbGdNVi793KdpvgHinZW6JBCGS6W/Yp4jliL +QqJRJO6FnhThk5J/Y8MmD1yOUfnVrqT+6JsocdKhfPdyD9oTVraNSOmxlqaDATw6 +Toxp5HULUNpXwgBesLz5A5Jsj6iEA4sWWPBKsPu2mMxrWuwBaCvrEUrnkNqrZ+ac +8dQIhIMGNov0CrOVwVZ/lKHhf/TC7q5TSwOxanYDJwiMwVJlBS7+iactQrQmNjoE +TkV664JrjmIJfia90UeHXMLFJrH5NxmjhHHSMX9RyWUHR6yQ8h/rRsDBfoBt43qU +ex5XW9739+86dysn0mIMDtJhJbIZveeUIIJpZPip4h9GEpu+ExgDgRLRoyXRgFti +vfI56ZBlb2/2kImXJJSJYd9rUWYJOxu/S6dyIsHMtZGPHyzBIBq5buPJ3hh2NT1K +x/u5HwAUMmK9lL6cqRU5y4y7EZhtT5PUPNNQyQIuUFZL7J2vp3uSl4KIHUx+pQOV +ghjz0EDGl90f0O3n2LmylWE+9tX+VQ77SpifrA+IkWqcOPi4nVco33B6oRithPo2 +h2A4Kx3mBcS6zz7/rWXyK4W7nkkhIFmlS/+gbycHueFQlZteFuTW3Lw55rjtbXp+ +zk455CpxFPK6Ru1OQfXZ+Mo+zWoT2C5gnxe/e3D8bRp5irtabIfKvGSyTHMYVTsX +M0wOJewpgZ4nW1V64n0jBBV/NWrdXn5dWL4VsIFN2jKWSedtXNmZ0ioKdixwAwVm +vciPm2wd+iqnLlO4WOvNJvBV6xTNiXED9joMwMJxC9tEOdRw3lki4RLZKfU9M4Cf +4GlSZ9C0TgMW8G82gtc+ma7Z+jCkjm56TwBsxDRmIIbugMjW3NFCrgZ7UkxIAuIc +tmRc+YJo1jiKjs+QOuD+O/jficMSv2+qFzjyzmmSuRoZYUFLbVwPRkKYatB8j8xt +3zJ51MMT1RZtazbjbbQn/akYLUG+AhyzRdYv4sjZgb0MEVBG91U57R6BEj+NGHyb +FBeImvQe72NgMQxyeOH8y6AM6wgPOr7SFUawDco6tkNaA7f4GAQg2xinQmXwgOAi +kUuxfHJXIl5ps6r8kV50UyWaan4ezFPnsuWqW1Nx7k6iRfJ0SKxY2XuHGSHbM1wY +8MCXGNkegNKL9eiNyxrjOWYrbj7xiF2DacgyiQysYZfTaP5f65+2E9P9sYBjxc+h +oTRAFAokkBrQfQ8VBHHs5eQSoI3WRKGccpWbksHLllg2gyZrc9UlrMSGdU4PlC/k +RxT30QuS7Th0sXnKa24myBMOVH57uGtuXEFiZMtuGtEEa36bmbOsOHiL1P4HKVa6 +HbIkm0MNwjWb4nG+21qe/oat3QY+JkLHD+cGYCLRwBAhTGFm9pi8BtGdKS/OhHY9 +ALEqt6qyUEdWP60f70Xhsj30avBBjdC/HiHtqOrAe4QoqwwEhb/3bRiXKciQ3AhL +9pSB/NMjn7Cv6y/HjLSwG3k/18vupiVzx3YKgXxOk0I4ZPAFyUp7VndmD7yU/xbc +i8N8w7mOUZw3Sfod5wazCbR/qadvZRQgoSdxuNzJD66OB7tgLCFQ0TebOVZBpez9 +3rOCpnWF9v9TO3kZrWYGDaPQE9sky5XfmYAx7ZXdP3E75WUmJ33KGg+JzKlEGbB3 +4uWK9NPQeQG7cPoE4jRVi6ed2ElmG1F6Re1YR+7evPJynKEew/8GuCrUZNPX2FE3 +7IEqfzuwIrNTSzFyPzb5IPZRbnWvT/Qrh2CTNYUkVZ8N01FIRztmGK817+DdNeZY +kJuaqlQJj9i6I/tM26fWRaUJkGtfS5sYyt88zm1vTIiSYEFGy9TmbUno838x3j3g +FAjceKK4+qp0f9siFi2oyCBJ8m4B0el7CCC2BKnbP2Xl/sQCQVkAd2ZxS+9VPHhk +o3leNcmNHH9ldTbInNix1ZrMJKOvSRDN9zKixgRDATVzsgvD9vZAPujfxvgSmU90 +mTvh/kLtEi064clRfRBEWLth+RGo1CHmNelkUq0zoMlSJZb3MvtK+W8dLBnxcxn5 +GTHM4gjg1Au3kQUIuVJMI8mu+9XNbMNB0UVo8mSuyT9gW2ZI+4wKVn3P+8qrARG3 +9xysNglvpWldCuryxR8Hjay9QOqaAoTrb4Z9UDb9wQEruCBKn0yEhNo7U7VOktWE +x1+GJ7UCf9M557WTLKjtSNkMs6JwpryMLtdwtQaNVgX+t9SfnwSOeCYOxcNpo9lt +Fahf3CXeeM20rsOfs07TXo4MJ6bwp9l7y0tmae0wft3rOUsG65Mx1oeEg9yvYsUK +PINEPQCehLgvayFcZLiZ6MKUv5DYQxp3TXiKwVZBIFk+h+prA7yaf26aJkWpi58f +mMREKCeSCU+LNcTNYUVU5z0NU2vB3kZ1Rv7iO+ou8u8N4r2WWur7OZkFTw3IDb6S +8WL37CN3vZFAzHOoj2Jn0tHugXXKs+C33P2aLyfjOyOtayM2DKhAG49hrWF84Z9a +10P0h6l6+1yVhD3iqvrQHCCxFwvzxRJGTgLBXfEECOKn1wLiZo5pDt79zz2jrzYd +J3n5PDS03tz4p9UXwJcuUAhoG2boIc8ZfaeJO1ICuTXz+MOfef/q85lntzZiY1NQ +7jcuq5Q9AXh+X2Njsd/rty31E2x/sytkxzZdTOaXGBIh1UAFEOiRXSEyFe2Tb1hA +C8arStfFjOklqcpq5MyWHruQs+4Nibe7W2LzFnDKXit2ALgpgdRiQpaG693dZE6b +jCJCfz5tvLxCXjvAsV7rZd8Fl2ApeCvKkpRQ4Espf2JikoD39jGcnCP711fnQZaB +BDOgccFWS0JCg68pDGGGfYzhEY1sL6zj2DPojyqTPUG4Rgs3Am+XIVLwAbF5KLV9 +0w1LHbcqimFj7rkYNRNH+SfGD3HrNM+iSXVhPkVF2RqNT51+SU0MhPUiCPTljSP+ +dCuxo/7xdPoR9cSrz8aCZIq5deLfaH7UT6cgT9CXfnt4JrJRMsXyv/fIvq0EUMSq +CttUpzWJaBAox8wu5UUFrwNmzGt4GmeaVs3KSJpiIaYCSqfgQd7Msq9C/ZhK5RsU +xuHna9tlkX7+1Y/JdZNtbY4I9NP0hlqrsI1ASEpYc8pMtmH8JT93verOqHiUoO/N +7SVdQ63KRktCUpmF7ul68pB7nxZ9FXzlmrWy0sQbGezFm9zeIS7VRZbRYFUIAuY1 ++/rph/IhZuvedCi7S1c9Ba+SLes3tzJqSeGQWGf6BMKsslP+Wb44EVhtmMzqB/oX +M5ZJBbn+b0UWsMLEzXA27ihDtteVodriyS/b/flXQB7MpY0LfpRZOeW9yqWL5kTC +846xlk/PG3B+gR/NsmaUcymGxlUUvXxOvsXuuGGJMOafgSyJaeRjZrvcdnJACI37 +sxRjwHdf47Mgz7xyTj0LLd3Kyla+VwBZhHkbpd5Sk4fvz8kYcezdvT/sdgvJlGUx +KEYke8fpdemriTF469O0h1UYRNdQcYv8mt+54kLFAOBCFHy6hAJ1Zid9b3df7wVB +2eaxwprELTKLGWpSfIigBCPVNhnR9Us3YXjD1hzAHuW3/dhA0RQSZKjAXDUkzyKu +gu6X/5A+q9RoXBXuZouOhB3tOqaK8Zavk3yEnIAQkVojOcxsKcQTRrZEjC7nIf1z +1c4GD+IRuBXDfLckLMkbZp72laual/x7b4P6nMgd0wNvDunjQ5y6pNrTOrQjr5oX +aXnOjhyVueQ/osulqXPQYjyCHm8pRnioZiMnNhTxdFuvOIeUosGqHopVE4Ap743L +4ZQwQXgZLxsCX8vF8VkxhnKxFwDa9e8cNKFrktB6qx5YjzE7m/bpfhQXuI6BOIry +a/l4YMWwOXL+EomYupMh/BdxP3yqvNRKJNAF7IjXe2sZ1cfxHFJMc3CDiySn2UjQ +xIHiuc5i0YPVlcCvTjJqNRcYP695tyUvyqtMEReCx2VgFrVLIOGpjp2ufbmaXZ5W +UbFnaKdSirmO9DZweZuV3mWZ4e09vFI/hwDqJ5+UGEc5TAigP8D6JrnBq3ksC3fK +vaIyz24aIHtIab5YmeMoqr8RqJYFHJV/gV/8YYZlbAUy44UZw6WFHt2+Su7arvDM +1rqHwQHXIGObnZ0BzS5Jev20EbvJ2IInAwsq51h/wPalB+nhd/IoEnEsJS8uZnHs +WHQn9WHmXsd4PICXiRq5WdSVik/PU8RKH2L/h+ChP1wlU8FVEcgXh46jglRELOd/ +ruG+fIC0o+8EPSnPN0Hb5x7vu1XLhkVr3A7of6GH22iZaibpPm37hDqEK3TGvdo7 +KltRu+6J3K8QQgJ8vd7/CgOaSc6qVmaUS/ujB3QArehmhg1ZV4YBtKmb87/WAPx8 +HXy5ixnYb8a8bYTWeUGrlzEKshSzQN5YRqc2EqsQTRcreCsW3j7W5yurMnyGtfPN +yQhVUcjmSMNeicl25WQ4Q4bjTUI8pSxHeB7kcWVwZhYsMo7oI5H8iZPLRo2CMnaW +6G7TbkKbRBlqgqd1h/U4nQstgS81Nny5YxHhehR7UEKw8Uw/VlAv53lfFGydXLIz +UOvs/hKfB0qC9eDeyDEp7OVqcIojlelO9vt2MhcG68lEsYiNkfYrtxIvXDOm32OQ +I6ASu/2/kJHscbG32SRlIBMkWb3WawLQaZX3qzzF9Ojrb3QGw+NTAYYCUlfAbppM +4MmV8su2pbbscaCvtVUcULQ3neW+t65osVlyj5kmoKWZskcOyZmezWyptZsZoeqI +0UXVckF3p5h/6aFMKrGyCThHrfRaftpM49lCUmwucUkWxAie9vMSXSmhhhPHqMgn +uSpiOL0ngrgASHVKjWTzMnM4uPyFvrhM595ajc14i5SpJKklM09lbdRcU82Rck88 +W7Y68do110FdowtBCFhvatmz6SqCj42v0lhUd31A74cZR49xfp2nE1HPcyBmzEvm +B3UnAkZVvJYIPm8CZcM95J7VJQddgbXI1F0+fcEpzRpCNhQOiaTKVNy383RoDz0m +MZT6FerSzhnLSa5nS+y4JVCiU3diVgZ6G01yWWqEtIs51mfr2DK7Tq97XTJiPlYx +RC9aFPblBF5itS7EjJJHozNGw27XXsW4I+0Ux9xRQJ6DOIVbyo9ejoNqTyHSGxHh +0EwYiI+Ky+FguKMNljJ92XjaWwXi3bMe05d8wMNvD65Cvzu9zK1+ConkMpVrGEm/ +m+kL6Ow9VKd1IJRsN1I4KSPGj73XXC4s1tAAH4B/pcgCdCdTj/gvcH5sRGFJA2RU +fHkCuCHJtj7DS8eWYVVJvLOxJnqg0DgIQZBpv8AOnCUmbPItPN2+w1yjvgGMLBOn +eHOlxnDJSibYFpR023BvuCc7lHqTz/Lu1Lxqt9Iwy8dedK0deBUJuJJFrJCJAa5Z +xiWNWdR35WtPbraWTtCI2s40tuGCY5774CxxXWD69aY7ewU48VgN6X8Kc2Ln4mac +7FraNYcKqxbW6e7rnSL6lQYgppt3oSabpNRufsz6GjvIXGRMlM1nc+o0D/G8+gQs +D9SJcSdR+qvqtWguUeM1iAm4ugtx210rCyOKucaBtX3u3Q3RiWMcuqn7JmecNErm +Bcna/I/d8g1H5fuDsT9+Amq8yq8b/9uYKJ75+sjGG8QJh4CzOrvvA98kx8LfFuSz +n7OeOq/6aRgF/ih8KtgpOwNMKXQCKh67zZVxLf4lShpSqteZwd7ZXNpXgJJYVS3g +4MYbecXZ/nSkvwJLEZr9hBdrozY+YulA8Cu575SHUT2TzbDuyueFU1bhQoJl98QM +xaNVGpLr3CDwSolEJCznyJV4CQVWCJ6CqjqcO/cy1U6DI2h5l90rkXo0OJA8reTV +52WO+ep2qWiiECEv/uh6z2tTQMGohyZtFv4IqRctAHNNSl807jWMAzn9ilyUMFEg +A/4GrxENLlwP7BXdK0M5CJTmy06VtP0wxNjcXBBrwYWuPyaeK+H/kr0d7Yp6lHLA +msi/nNE+oxQ5mLw5iNoZKyJNZLUn2RqWsw2ge29ky3a+QoBg66ByG7uSma7XQ7Ic +UDGdg4DNyKalMiHD4K3/gqt1+x5d4NC6Ogv8lB2Mkojei5Oz8jC2QBFIditdZaro ++rx1Dh4mqqlRCCGSDmDdnhm1kmOcFwSRCHdk8A5s/lNMew6uM8Wy1BUtmC+p3545 +zAbPkPYVxOxG8xv6Hg8+zz9UQl++sTjjsoBwh91gbD2peAJD+Zp1RL3+Vm0ntpiJ +/fePpoYn14xxnSMtswVdd9a8AcAAp0E6Z7dAFjULsKfjj2wu5goJ40tX56b8/ZR4 +opEJb0/XUM2UtaNjMu/0xOdX+vdhBFxjX1h1JabectcktdCJvRPGgxUuW8cTS0sB +/1k0+e1SCoNJsm+rFBdWWbnGIxOSCHRNKU6YnSMZlXnisimeTyePz25GKSGh09vS +T22be56+DMkfs86uLiBksq1J/mWbhYPIl3EOnWSZIvpV1MMMIWkjZDRXZACZGMAh +mVNhz72ZRZM0sPwRkea8Z8lsuk1lvuK2V5DeUCtGNiCIbNy/rpgoUJ4OQvi9wE4o +rb4tFXNW0Z9ACQXNQwFdWIJ2F66NjBVyO0NmjhLWtQwd4NMDFz3ZOy6N8ClJgXoI +Vp31dBoxWB5dSt7ILvsDLQ3p/WP6OEPIIRSa0xxSEL5tIyz/3qAsldW78VzHyIw3 +t03B85S3C5hdqUhd1aBjjoYvr5L7OMEo8qJWHq70z6eqKM8+J0CObUXdtw+TuAJE +N+BXYWKtRCPK4bTOJkX1LPk3gfoLERKvHRnx7enJdH/NqyJHaUfB0+Ih3vBnXy7h +02vSWQ8inTLOkjll+EyaKFaqACe2vWrV9cQr2uv7nuJDnNdhYabDz4SP4i54I6+e +vsLKB3DXQAbNbTdCXgnT5wiXPYV2HreM66JraH+bJSWDJ3erw/bx57BVIjFWbqZr +axcqGHZGgsi86TD5tvsAJ861wS66CHNFuDLalH/dxmlnw0SKDzZDJXDqopCtzk/y +vtxmZZ3EfHl1r3z6XGo7NNexP/GzvjgTb35TVHraLje1wIZDS0L3agEuVTIk1EU5 +6DLSkApblbNLsSjr9k59GsT9RQeGFpbhtdKBW3buEhseOvTbkF9+xs01RoJSAyVv +zCUZbDIITM7lUYlUu0nufL9qdZXMmYOYGc5ey1biAowc990a9aN6ExiayroX9fzP +6CB9eC3iG+g6c0JI3ui5apuCgoxJt4qLhXw/jM2Mz3qrfh0/eWWBw/BPPeOiH2xc +PWr4MgJ+gXmDntgRi6NDyuvfGW6jyYSbtQ1b+4pxltw2eTzq9CRieiIeY+nHCAW+ +Suq1C8ponzU/NJcEj7DMR9GHMRcB4PPCiWTOD0gHXpXaGIdFwuG5oEw4NBk2Djl0 +gqwQ8t2V96tDScvzwz+vVB8ow+XQ2R2FDFnEvMdvKuAEi53C/ZEircEH+fQfMxuO +qEHAjSVC8OPg61bn+wMcPw1W19nmdqvFhKu8CV3ZiJHxWPa1SOP1/KewoL3bDhOd +gwzQPiC8ls/L9YsFk4uL0a0Rdkqu3HJ9mR63DZGlSJafiWca4d/XFr8TEYo6JPLU +rDinlZUQmqSdzGBWVh15bfx6ycqk8JS5YwFmT6uHri+Ey5+2Qi4RvlowTMX74Q9C +CfV1SGG/an/ATMOZq9jdZmtyilwcnxW0mc0YfLtm5p1AdX3MtUOLiYm0lKavsF5r +iFroPGiZdtpoC8j4m4FhkeXvmXCRnMB/RsJO2EyzudEeG2j2Id1BSND0jHOb4bsl +YqJ+wHIFsMnGOFsJnjChVKtzinJXnyB7T1L2IpWUfFYQ4ecbmR0O9ZWw+Schrpjs +7QsKlkMTqJokWxclvsmO21fneoueLvQ0ju1vTMhudpnES7+XhqtLLomRgEl4XyF6 +MzEHagvdGQenaFRsU6KL8i6JHNCnAqc0J+872YfEct7NyDgOmE3EM/itcqOCxbab +RKm+yfLJsKboSySV8BZQ1wpWZ0aT0eKQl92nTSZp6nyVL1mM31CyEOSMfJRhtWz8 +G2+6MAcStIngWQjU7Nm0WtGlDf9lGVd0muZ5mer5RbLh/S9DxsgpP23BLjeSfPgB +2x3cvx6LpnBgg5TwGWeFt6j9/rznAA6cFxLWAGKyPGLmIPSuJnVUsyr1FMkx1zhC +m/VPqpJ6SQ+f5b9apIAilqP5qUY1wBTNb6fhEIBjyl1vh2lio/a5rUIeCZAwBIia +NqFzFi/+5T0PgMy8Kkb9hQlCdaau+dVUwNhJxpirAra6cQW0Ak+pRoOXOVpLK9N9 +1pKxToRjhwseOCSeH3Nw92qUjtiR+LcwRhQ4Gz+QQ6Y67M5nLhOtCmPcppRhquK4 +LLrU0KP3McZCIS6w73CkHVabcsz+5MLJT+OJUGk+KCEkNwZZYjE7yAeVL/ogrRpl +f9G7fmAwwIo1JgzJqIItamdXiOo22OxE8PTOTz1A6J+a2HrInG0rAPpEoRzNbG0O +JIUD1xibx3zydbQWP25RVJYusuLwVko9odJv8a+Q9psIV5dEQhTh7ojGSRH4BTV4 +G/GuXPYCkvuVHUksgweAhmLiyGX+UD2RWw8C3VTNf8GktohekHaGJSvRuAxa8cBy +uQMSOSFj54ORskkqs+J+S9SGpjbX+Hea5gbNwp2TZAhUD7eB/6+mQyDRvdbXbdpP +nqqINUWL5YZTBB0StUNswsqhIxyDh6gtSbxqYGHVFKMnjYzDZFcs19Md5bGfJLVk +6AY1i8u6dS3yQ4blFEhCkBKhMVR+ALhHtkEZ0Ih5894e1e2TYw8x0MzzckYBNDZK +P4e8I3nqEfm0dczXUmO/ohBFV9t6RWZDMuVvoJrbqpSk4MMRmoATHmP8Rj0TntM6 +lPCrHaye7oLtXFjh5ewXiy1+1zjn0QjgCximGVhwcvFx3BALVC7WLV9wc7bxZUqb +FjwvoI8Xao5NBP9xWIxXB5ouhkw2r6dgcN8Me+d16bLNqf3ERT5vUmnort+g///x ++cVDuZ/WXHQ0Z/x5zCb8z0PGD5EJeQPP0suDam3227UHCo2gstShIyVtSCcVywxG +HHRxdkOBjV/qS2P9aKi6cjKKCuEodKVKRVGgPZpn9yiL4SrAFQjOLBSm08eX396R +MMur7rep+l8vAJpp8dx2IbfZKaNXqcu23sr4fcljNM88TOVRKtPk5/Z33YhfFvTS +53H9RdBZdFet2m/iwrvuozvNalYErnpZQ28qdwT3nIkDFh3aNxgkpUTMCnxEiRrr +sIBhqLwF/GV4+5QehQ53Xn09eTu57vzHPx+uumYtONKADDAfScXwTd0qVA9yyerh +GdWVlTZEbby6eP7zOAnTN34rG88f+QNQPJnOiJRI8Om36rI2D8CktlAckGJMkZN/ +vsaoyxL7MTEE7biG8IIaV0BLKzUFRE4dbBvzsZWXlcjVLXe52vumD3ulQwuVw/xU +RE/DKVlGfuo4qeTojbGROZlUF+AMjHVlP9p/3mZDkF6pwy21hCk8so7/rb68YkgD +/RAAwkX5nL4/vPk5YQ/TL39mbjIUk4LczkX2in+UakC4+KEcwfjYw+Gl7LLBY3fM +SJwThxpNAMm4dAV/DIOq7ZvWZFwMqfzmmkFR9N2ycedEIHL2mhSo0LMetfjBPWTh +V3gHHOdgpC7neBznzFJXEZp4Yyeo3xrPHw2bA4Rl4259o0A/EtcDYj/yijCJqbEZ +t0tMyZhZrl9Abqhfi73UabKEtUPrPomqFEmHiXbprQ9RVK3LVv0gAog+spveYppQ +T2+2VMQpKIaaLo5AVXJEmSO+Nvufn5KEFU6SSi3esNAzdoTubNswDAan3jJh6MCc +3vyIWitLsJFxseYJjAp1f4+WJaCwNEcFTRj0a6BvJ0YxPoI4+onfEouHZ/x6Reak +jJi0NPOIMz6GxSBS93DOKkAwwHfq0OXlInjSosXE/nOC9KzURG2hQw7ZQ29xI8KX +uGHvB9Rrm78kgC8E0UxzIbzkdk0b1eg+wG2lemSK5RTU2B1KQRH+ja5S3RJ/r6KG +r0XzC+vilMQJrUwp9tW044wMrd1S6M6CdvyCfIoDgVOiEnN+f6glJ9CDXfr/xiN6 +lcXuqqx20os38ssuDkSzidoeMhzRxmQeMxC1JYc9q0+8BxDS5yOBRZjQhvn+F6z4 +kcvQIYL8KGrr593b6BMqUF23o1gjxfGxILAVRRYK3uXsxmSS4qKnsUgjQzVh4CgY +WEnwiOBYsiQ+5lNu8peDONeI3igmlNBUcP05uOQN2Z27JnAxzkyKqRs0HhsmjOA8 +IMoPwfJp9f7Afrqt+If1CyvtbU38Ed+yBMlmu9pLlnv10BSQZ93vagFD5qxJf4IW +/dtXEzBIeUGQFbQt/2jxsBYFgm4p4MsyJ5vVSeclLTGqf1As9AnMpPF/YWXmAqAC +2MMW8H7A4/L6uXbbqx4O85ONWOGRCF3LILYrqvRpb7YufERnX2ot9a22Gs8q1CGa +xRZ8fO/yRpLQm2nJSrxSGAEevs39Jrkf0RxFCt5PeRh2rPxDy4BrSqjHQgQCkk78 +dlHl39C6dReYCJ6MjghXeNiUHOISy8ShwI+DsdNmYsHc1bUwjU1T8Avjnsm7TIFh +57wZKvfYNLi47cnUyISlCOcYIsYiFUufoqKJPOqaXB8m1Jt6KQW+5R2YYMOcAjzg +FZ9Q6GIZZHqdtyueD8jwPKzwyT3XN/xziNqViiTTkypgyiOjAyfY88Ey3YbxfoKs +0RxFxaso1/ebqCTsd6a/fR+cYI7cKBNM8dHiuC4uI7d3COpDIN6JgTV+EpzAYgXO +dWIvkm+5sDUCIhoo+5lyw+pCQPOA1fuzOv0wwgp42dnMFXSwcvcFYoTlkglz+ri1 +hj2V3wmUvCac4gUVRMJshDirIzGMM+Bbwn/rljkmMZFFnn36UuK9NTVg4DfiM1Nc +3j8Xca4nKyRPl/HJqj4HlZmTIhln3pHJIz4JXQ9DhAJm1fbmFW4ucHcCdUP3f0mk +xo3OlVN0wp3wgzXiRnmmGmLV8dWOvO/VcO+FH4Eg+W2h4yWlgJoGAM30sLre1CWx +uRXWNSTv2rNYPt01q+i1AAXBzfplS/WQMpK1YkVveKHtbw9rvdfWmuB0NwKCX4AN +2rbyFeosvWK6euEkspAzNPwo0mmYS65EBjjkIFtg0GxoxLXNG1Z9Wcul0YxGGIRJ +0hbig+XudLiK8SfE17yUPo+e5ejybekcVZwUMWPqxEz60H+z8W9OYEygznTCVYoR +CbxWWtz/8X17waYF3jsdM1Tfq5bIF1LAd+U57DcUdw4x3pGjaQvk+q25CjQfW038 +ORmeyLaY/nP6+BQq3+9lL+arsajcwq1oNl7b1ea41v3DbLYKrEsvK/12x1npEJzx +SytyDyydUKom5UJPQCdjdjsSlly9o8LQgz+kUqFT88nzqH+qieRW/VfFhRWmydfq +TcZ3L3/RLvkm09k6KimaJkUBvYq8JLu0MeCU+r8TlTGBbi7DNlfWDKXIhVkhcHuq +qtVQaEgCzxJiqvfxZRERpE+eIrrCNZglwIoLkr70FVjkomiAgzg+ntzYZ70GEvxQ +L3NggUwmboneINxiYvQRAkJS701987dcAZW0kBfU9cbYdU5UwtaX0iscG2hCyv50 +Z/0EHgldsw/CYShU07xV5We+bc8yx+dyvc5LYbPUaIPNP7voiaSeXw1495YJFktD +kLGTX5n4Ia0lGaI/pLu/zMF8cu3FhaD0YWcwNsFLVLQOjTgpkW2gl44W8WQy7HGZ +B4EsKQe+ak8b2NJfKNPykdIil1gf/p+J41qjSiSW5kL8WJnUg3RvdpAClvtmoiqb +Dceq6TSTV3ggVfvq69V9u5F1aJkmS+vCXyfvXqqMVt1iW79Scocqvqys6Y6YWD1I +IPNBnxTh3dyY12EhJ23Y3lkIz5Bui/Za+kpBYwwGlcZ3UFg8EbcJODQrIusxFBTL +UsDKhzWXeLZVg0K7xu0MvK8kKj0PDiz6qhiDl/pvRarRVSmvBkrdTBfvWn5h7uNQ +wkTFp6tgK9wRPS2BeiFMGMc2FduU9zl08s0NexUNBAmO+q/tZ26nDJG5DbIz0CAg +uWEF9rUA+K1vfp1zmQ6/Iw0D5p/VJMpXGu+ECskyKXYkXwnTACt+Ww+AXnmfbyCZ +Iv4q6VOjAeDyeu5VCt75rHRckYz2ud8QxUt9XT0Z3e+6ZgWIVPHInntK23OAHD6J +Bw4CBitBOsPaWocCzLrMIU0kXmLCk7TEVO7Wur02C7ALjzPmpPr2+p/A4qljmwoq +oV7JiAxaE/yCfWXX3XrKY5ZUg6lmdkGNa2Nc2efCQuS4EgeDvqFH2GWo/Ev6/8Ba +QiaLAwVtiWK/Yn1XzwgFapa7fy8gsGBa3x6U9l2yDBgQfKFYz+ApFbbT0gxmTLSl +Be4qR1uXvtckHQYJgI1fh4ygcOteUh2wQa8ksY7oqQvfPN31UOLQrdnKAxmHxxy8 +9uc0Cj9NtvuA8J4zV11jpiLgs8CQ0ekxlZSN5ax2rKy97+Q0eU0jyw+y/03FQ/mR ++R2gXgrJ+EyZA+TUKpGUKJmSd9Y5jw8Ez+9mcxnJdoSDvGzzu3I3qZLSpr/aWKco +jS7dZyIZPVxxC8dyWXe0zU1H+txon8ytuZA5yJo1td+oxEn5BTenzxHISLMOoIYO +23G44j2Kw+lkps9zpq4mVXkQiwCqAqmy5QESlsWq8IpOGltoDaH7pWqHU+hPxZIz +9KGKQZsYSH7A2n0xZr09YQ+vAHeSYDNMeAd+8L+TzifsAJzHOclaPFT0oNv1kkuy +3OaxaRHrq7B/AffRt6avk20Ty7vcUISuBSH6gysRoTv2kNpODhG2VGTm4QCbRwn8 +UONw+MUoDzPbBKAsjs+ccXII0xr59u/jAQPO6vryv17pQHwm5OXZurVv42yAQtcy +Ok4hGkrh3XuFPp+gXFajTU2LafROC8kFqnmqwV0aeaspsuMjFcCmMkhg/9yynIYg +mtkqcGtUW9LLXnSUYViQMOuT2chDQ5RBJusr/shYidXueK5Th/QYUmO0TwKDmwaT +e7Pl1LRkrwgvO8XP5SK7GqR31csvLq2f54MPlTbSQ8QYs0Q0QMp2ila2vMjLydTC +7sbv+TFVIbjdTN8SQLoYnsb8dqcisLzUrYm50ldWAiL0DmfvCK5bU7htxr90Dq+E +fNBjw5NjJ7EsybCcbBPqfuzbok2iCGAWrIOdUNetifwC5uwapsUG/mT1ECkhq2D6 +7jnrcqp6qNIR3YRsI+s31RzCqG7aE7UM7erGlQ5ZF5tuiRSu3P2oU24z2E0AW9p1 +QUE4oo3ExRy7JSbGcoL0CFWun+H4X1RWfH3CzfpJVeUMRBDJ7dHJjPDJBewPFAY4 +Z8D62cX7YBb2advyLGBRZJ6aOwOCmH77l+/Ol0/ZUd0LpCWbqm+iQfDMWNGD7H6x +uNlb8gxV0g79fh6BS8VOfRTtHBmO0mSJo+x/VfDQdQXigXACE1gGvOA17POkyAYM +gfDWVlX9qxWZS3GzQqgWB3Kcwem9rRiit4whvMeZYzA44OY/e4oC8QlFJIqDpo2o +tI2tOXEXzPjiHnkhg2+y1viWR0mvJ4ZoL6F8c7qge9ke4Pmb89mHkPTJuQqjGfJP +k8W6XrOvCsgHjvQfCIVsTL6hWyDJ1UD2zMgOZbEY5IOl8Ts8YoPUS77VcX6aQ76x +b6N2C9RHgMqYxEIO7cuy6ybYnUQZWzdKCKQQccXrq/7noq2X91qS9M8iPTFJFa/Q +z5SEgf1yTWk/N7fWC8f7KHFoFabgjBlZOBl60kRWLr4Tqj+M8VP1a68fhDW3HopB +re3ZiheATXdvMuDfOp549pu4Z2hhzY69t+ozxQwT5dE2bAZw+o0iKBsSUD1mgtKV +TLyJmoxuJhxB/qwII1PIi5addq1nithBvF0P4CKIWyJiFdAiWyuXG6TGlkH6ynAD +c7sJXhJGouEQ1L0xAyHkk/rGPDxBdV8688B/SDIKsJHi62hZ5GcnsY59bgXTP6wT +SDbQJyK/WvTQBFqmBNC31i3BhDDAWt9y9LI6wPxUmemlE9r9jO4KkYr7OMHI4qVB +JAQJx/W4PLef1G4SINFo/PNehhUH78hQ/Et80xPpxkZIdlywXuQoHozmsvcsr+Tl +QoJT7797oxHWCHKWB6POVBzJxIyolWE3sU8pZmiY7k7IAjZ9IzG5hEYo6p5BWTga +at1BRG5MCkZGuZpsTqaEODb2Mj0b8gKPG9Ub2GBPAXCHDrxKIYfHrPAoVhPWA8z8 +ZbuVrNEmSs92rWQn4idsRctqPpkNw1fb9yZz8I/ivQAufDOjWmWEw8ZrTkiWWuFk +MAFaMVSaZiANbaoVnDI9FImGOi1jYdtmZCOkbxfjsK+atphfp96As7/ci0pCMlh7 +kRWXSY2qRk1JEex3MBoylOfjJ4alGamPVsmd1D5vlOoHTtt3NRqr6ig0lq8g/wyg +YA/z17+2wNvuSnDtSKsaUCcka+fRVWEp+o5dYMig1jAQ6lsQkZUMU3va0bVKE2Bh +fisrvpPv3dQ1fCAoUfAYwqY3kT0sn6NC/JUVgea9qtqaMkgRiCeUpyZ85reC1UoI +RyPkH5RUyJh1KROdtaG4GmEr7jNVUqafM+ayRPq2CvJqVePGo7oOWBgQ3EO5S5Bv +87OeFwsfOjbjElVdf0wnR+8N3HraiYGadkRxDoN4Xq6ze6viz9aEEkeyHj1McnH5 +69SWlNP+1qalINXWzj4qV0itVeaOMln8R9IC5GBuZz2IkmnuPpWhUDsfgyzrwygr +nCReJU5+rAJmX26l3GnYx3M1DhbiT0nSfirzQKgmWdp5OZWTY+Lgdk5dKzsM7mAE +tLMs7x9//eo5kXuJ7pRldNwv3FOqvJUcBc4rXDtlbKxYbSIACgGA6/Sr+a8DEI3F +owdl74FYL6na+b2caWyxry5OMh6prj6vB+PhC6i37apU7jLahVPfZ5S6SxwbqnJm +ZBzPC8tJRCyL+PsORsqkUGFEIV5+RjeCGUfxlEgk+V8bF+Uoafg3lIQ7xaVWEhho +6Neujz+AQyKiCLl+vgmU44Ejr+LhAUzlwZjy7Do13kM+KpzN96+UGtAV6ai/iuZq +FnCsydEpHDp5pe10EpycFOJkMBKcJL1+kLMAzymkNIAPhwmrznZvg5XP4lPcAUx7 +1neZjgeIAs4sE68rTdm7q1gM1Y1cQYB6aLJxflbOGdC6ph6OaBTL4cKOUMlypzGc +Jf0Hq/NRR2P/WrVbEra8Jj7j4EccwDVYSBVcjCg1Vvwm6OU/dMCcUfKQfJeF7RYQ +t2dVblHnBuxa6ZFa/at9K+F31xGZh3eb5AVuJ/rn6jiMMRg4zRsw6xAAfxPddEfk +hpcfPgxuFHUiBVY4jUM1QRmj3sjNxZ4pT9ooTDJqAzWPEkTG0zu9dSd2MQR7qQZr +b++mfXweDRqdJxdYwGGDLv0A61d1MLcc/7fkyCH6ko07G6h0/opMLVzyYajKHMoT +nsYq+W0tjzv+k4w5Mb8Ao10sbefoCcG5DdEHj5E1Wjp5W1WItxiyZd2aRY25dW2a +KWZZILvtLZ0ioK8mjoxBKlJKSZZRMaM+i6Ewl96F/19OhpX0qmN80qYCryYJpNcN +5aY6URVt1+OrheNpBJMg0HPrHoFu5Ic85mawL76iHeMF9cGG90lwUpPmnUzOiher ++0uY4zYx6Cs1AE1Q14KLZnt8+hDSCgrc5vfhgJ0wtqhzsm4plTb4GjKf05L7QomM +MDZYZZdwR4q6W2RpKr4ZerLKOwJ25zKDYkY2iBZoA153EAK0d8CKBSrCl3gNht6z +VEGtXSNzxTphJrurNw3hQL8/NSaLVcPTURZxHzhBtdWqyWSkD8S/Vyi8BEs8xVe2 +2g7rCX72wRdHql5Dmirj5VYqvPPnHYEUv1+0JHaFrg18b8VdEDVMh8itN45powBk +fEl9TvpCpinC8jW9W7y1+YGQHS43u/UOz/CIy8xnV4t24VxU+RqybXbNH0Bmp/nK +dF9TRpn/K0MlNbWVJbqsM8A/FfMeuNbWN7SvCh0KHvKSB2GxhItzuIpyDZ8yadlU +dgTo2W+LT9YFohwu0u6fu0k1Dv/C/RsTo+iKxdZis3atrLryWivyJAY8969jJHCv +1vuRAiJW/DP5/yRncmr+2EXeweAnBTXZoNiBCNx474kltLMKNDcG9fhwbNYPtFhm +NJT0W1dN7eR9Ueyn5i1pfy+1cpkKVL6PIGT+H4sRUeYy9x4fFXCUll/9Kj1hZ43P +SarhXaOj4rx6GCgsARCNk1mfrjhSIJPiympeJCklJeHZdfx5w6HZIAoC2ewE8X2g +d3N7tFwth3ui4dtwP2YwTbet7Q/WqNFujPefRdLGnHm6WBmIh713LPGW94XIoYjj +EFohnNbtzy9uAqGXvgEMsuir95mEYcZ2F1rlU85t09QQdWxB0lkMru8XTBdsdDeK +GMbwgLQ5XP8cAQv4cL63YiLxlqm+NiVLUdRcZlFlnpYgsq2VUlJxJ7D0HOgV94pp +757GgKjiq4BE8m1FOuYZeaKkVmcJixWBcOk3abs9s/eGmjD5OpiS3NmvPxLV/3+d +ORBbuj6wNcf1IDJMcBDeNSp29zUKPvj8gA1R6o80hEHZRR8XBVZc511Mz8Xek3R3 +HPjpmaIgb86za7/dbWuOLwgqr4QVa4p+I9hbH3d3x6I4I5wChE2y6aZSFRX8TGkR +ny5NbWDlBEB1oobD/y6qtUBuJS+VXpmES/uXZCXSSqQHNOutphvnCRNNoiGUnrv6 +e0GsHPZ4+5mVcIaIHoUYhGC39FJwqx1xXLHVXZJ0OFGcwQLTXA/we1/2hY6zjCBx ++HaBX8hfeUTraOjoaIDYMlPUJwFNoyIfWmmahR1Y3irpAK28lMRhEMBTLtGHgecE +ta1PDD8VS+3lSGuxW+g8GzmsOqD4N6/b9NfB1v4KVgrUEdvkrJxSD+BSIlDhKUhe +6PpKjjaIPlfOxXNa7VbM4vB3kCZ+/RO8NENnzjy/d/8mdFDlyvQTC96sXDff3DHQ +vpVAFaveBgl3lk+1+/rEgYnP5US9JAuV6VjJ7Waz7rgiz+T2C/Z7T+A35+IIpx3o +hrwYEMoAZfwlSt4s4QAr083UvwtxlgpuQBvef6h6CoXAwfokd8Ml6KFfFo0Czh2F +/g6dAxbdQYAu7ViHTBhvwrflp24BtjZL53hIgQdSOp4xxAiaSq2HPKqnJTwvIZl6 +l4O8lpqEhgs12DExgMMZ1VD66fKZ36PIWjvX6Ld0yE0IToXHwg0Ow5iRjIxdLVy+ +kfzHBWo0x5VFYay6vQCbgPMYXKfrfyf2Rn56ZKbr9mvGT/+b6tAcY8Y7/YfBboIE +71Ab5fR0UQzxsurFK8Zq3WRoiQsjN2Vz5HJAYa6OIqMfxkCXKbGHg3HEy54aMs2i +y0OUXWC2211lwq6tD/ja24mrE6MJc/e5V8fGqEbdrVJc78U+hQT5hMFhWlSLYTS/ +DuNvHeHMv1DrikMiZ7fjDiPdc8wjngrDqlLyVAC6J7jZDTAGfeTDYFOcs645eu4R +0dx03uAPZe9EzcITYsxkU4vu9ZmWguxvHMV11Do+Uu4pMiX1gO1AnHJLOHg7X6Gc +wnxe+hy5IjUOkHLH0G8akSnFFQEg0F0EqadxE70WDHKQQ1s86y8cN+o94Ob8Z7ND +4Jm8/3+tcH1y/N+3k98GMzV6AxLdAv5unl+y7+lry563Cty9B5sdAFWvsSmIx9p1 +uB5udTrUwtDzmWM08HilvCLuuB5MPavyW2mOMmD5kb6ol7YVjFkG1RMzkXK1q+fQ +gNMlLp2O71dDBiWi0PYECScFYfEFMZa0EyEZcJHFGD9sZyTWdvphUpB5GmFld7F0 +Zs6qkGxv4zmiM4EK3JuPsidA13MDqp4lw+s3xXOim0sMkvPuUMrXxBzOFgIIMNKw +l6TdGeN0mu4PipL84T+a+isVmha2RBr96jCXYMuSszKAUm/0/BDzfYPStEhvq3Ht +MBmT0r8NcIyETgTmqS9HgGJ1unrNqC+XbzqXWLUzKsl2goOMx7AUuBVNMzmv7sUd +2s6BQmCbJYn+s15Tw3H8snMQbLZxcmKnKC5510rc8qbxX9vQXop1IRS+2DVmzhWR +RO6VRok2Q1g7n7k7BjrSyaCxetY9llm88uuF+UzrbK6OjDh5F4Yf+ex6hlVjPPI1 +TpIAFXyxbBbF+WuPLDpGIAEiH0v66eouVjwLf3hUjcvVP/qPrKv8UJsfpH+02pIc ++6W1BqFGJmjd5qAeHOiAYolt3RPNHlHZ5+LJKdTPEYlFIOW25PwN8ljvtD/uEnk9 +sDxz7GWEd/MIS+h6hB2Hxx6EdEJHXSVje4sg5FIvyvho10eO6kFKXjpQB3SeKa9B +ywQDuefvgHPBkGq5Nzq8cM3nvVbs4tXeGwp0k28e3qyE7v/tsKeuULR7MteRjUPC +AioDuu2yEX/91cmYG2vAZtU4Dx/A/3jMbqnnS4Y8KrWXt2MLEijR+rsoSjQ0iRY1 +Ch4wUsO5hgc75wm3Kjkp5SusXAO5Er6ZNh4ViXkGJSKMuwsgNK9ezwLC5t4QS9Dk +DhYfaipX7NGr8tEpVji5mgo2sxWQqOe+iS1WPJ/jMIvVOt9W+fIluFAxzGTCTLDA +8327p6jsYDlky1B2uKzZw/3KzcDJSyHuOurpHgwf2z8M3mkS+xpnWpV012j2okLd +PrNPmGNjIiBYimiN1TOZbt+57/Hj8qNQknB0wO2cHTOXHgF1CE1lzpVmV3Ze+FEI +299mnsTONRQUAJujpjPKVoIaWiTL3R6WAv9PajKutQEoapiG7urDtH7GZhBs2tnu ++h/nCczFO/cxIl4oe5jGLFGgzNjwl7BT2ofj7oWLsOmhjOEr4hyfq2V9URf+cqQx +hJHqIaU2qyIhbp6XBg2XotTKQz9QpHXODt9oxfY6n8vB92SqhFP5IJLNFrlZhVhv +Dz+JWYkoB6FdxdcGproEIDosodq0xMXTX4IO0r4rT9mZ1vFgVEN+tx+ZLZIytWC1 +oxBih8VShHVjMvJcjHyfADFNOVOWM63EvfOHhp8Ij/oJGP4VkOEw+xrUTmSLPQ4+ +uq7Um213+0uuxGKIixmKS/fU8qS/q2L66FAuQTMstEkd9muOTkQM6v/WFGju9ny7 +Ufqi9ynGcd2gUHaIY3bNKktMQXZwiF35+rDKQz19Cvi3/ZJaRb98QeI3BBe8OQ3G +HR22XZk9nRrCrsvR8PgPKTN7tuVfH0+aggAdY4Cj9ROWEmLNJ6v6EI5JOvUtsj2E +TtfOF2B9ImCN+cFbbwKlJfdzzewOT4HbFrSi8x/vWKS27dsKr0lu+JEuirMKJGUK +Z3tQNCnJkJ0Z5pOLJBRZf7SMQu0YnkJKf66no0ZPmRRrbM5621EwEpTzWziQg41q +oS+I23p/CrfHiPgNHeC84Ekpww3NM1NnveGMqRFrr1OV7gQL278AVAw2/U+EBoAp +QPPDJSwMvEPL8N64/nHC+WDKACeahK6SgoEfh0F+NyKJwDCY7iadokGbLmvAqUjy +bNmG+eoLTnzgD1qx3dEPst7QcBQe+1qc0NwyLEHlasAY/yGij/v5TVwXmceWzhPm +ReeklRQXI0VJX/zAjkVmwnS3kOIHvPLFdHuztQg8Yi3buNR5M84wExttUZTS1f60 +DAQoyJQSYcQLnXIjuwjs9C4K15TJWi+CvUNu52qwDbhGJAS67jONqXV+ka40XXuI +wwBIAiRBl83YvrRQLWO3S3iQVavk5F+NBzmCIwEyhag9zztKV+4TT4iIu2yDZMOw +YixSpi8V67kw/d5V3DYR8SjpZ+ZBho714t3p8c+ARS+6ezO6rNz606i8KoYmnb40 +r+q8Yi5VrnVZFzwD7ovIq5KVrIn+3xqDzvStnTBXixM9pD1JWR5xNoxsjR8C/itg +Cd3G4Il38pHICe2NnLAP2ybgTuYqfaL1VHuGXAxbeiZFlhWjz7YpeYR80MVDbaip +60cOzAwLQT7iF5GqSdduET2Z5K+MYt57MPjdLAUnMjntK4U5+duZlXsvQbysE99R +v7bZBaDyExeVIiNh9I/1S6+m7TYr3g2wF298R5XL5LBFvqLlXXXDO1VaLllLNRED +qCeqg0ZI1Ae53lF4kTSfxVNmKvXz8xwje5q3N8zE+sNUMolZUhB0l01A/fJYqm3Q +Lzuswwqzn4k3lpAseEeWLTOmnrKZzroH3g0W5kvH1gNaCNN4CFnAVgdZJgdfqPBE +fU6CkFbRqzzUmLmqCzHBH+SrfW4pG9cUkSQsWpR52g0csJqeVFe96ACQ7+irbRq2 +BOk5xgmpg/QLqCdanT0ZHzrpeXfLrocw/O4FMRYKbGqJRcQm6eDKUJZYi8E+mNer +OD5cOW0+0ovUVBxJ/aOH/XR7Lb052RJ6eju+S4ZQaKVgQdPpDj7jQgDRtNehM54E +3ThsXSz4ZRA7U6IVwNHi9l9J732fjFWltlUA8OXwfN6ypwKL1U71A8UjI0SOyzGn +Zu/TCRvSdaWA4fWF5XuVdTJIFioMx9RXIlambyl5G6SwAWUtPGcc0/BLJufsxoZj +RQrCQ+X0IqAfznJ1wDWwBFAHlaeUrcrbq1ldGjjWwz0n5cCNtFWRbNWKdggs7GVk +xAhjya7BpkD70BdhA3Z+LPU3UGmIsHDxJiqdMNrgiCCjLvYDsaF2nkU3s8sn0Dmw +8LoizALTeaNswexjViqNkJSEQ3OvDuy+th2M8FvkVf4AORWs6J0yXrx/KR+UgcMF +yjeLEZHYnnr9cJy83h+D1j6bcUkijK0bs0OQVlAyiqi1n0ERTyKbfWapnd141x0P +FVGZNpdO0llgIsJW2X6fVoJ8HqzUoalQDcklkjO9AkyvcFqCw0ERzlVoHKC0uqSI +kEcuDD491qJ7afObJc2s+6T6eoB7hyWRRCmKPbiWUHdihSA2OI9404j6ol7mZUpG +YfS1Ywt5mg7UgCXSyR37VcyFuPuujdQgq7/nmtj/Z3MzP1/stc5u8uks474GKG6V +oP7l4kzg+vBBWQ3Nau8kRmgpkuJ1sZpJEWIXqvVnpKHZleqDK5OgzpFvHPuWPw5J +zt2LI2R2MNsgRmvTk/62HYWWmpzJYP4LiQzZluFhUv2KH7tywwwqz0vRhwCXazMk +O0I6DW3FMGGpTzG8Kq57d2AcMSNRv69xb86jgHFVg6doLNb31j974FTarR/8h9bj +tNcFHXirGnACVn5CEorPmcxt/tlrXJ1Nnt1mIAekPFl4QkxEgkdghodaE1Nupsi2 +J9l3+V56EclyG4Ff/cruzuiwiI9PZ8jUc9lyUQuFnk/7QU1npZLqqrHHwv0HEY30 +KxxkjWJH5749Rq/K+rL+al0WImUUwD/O+PoUs32dlxfneeIkoEwQ0BL7JXTEf4TV +6ZrePj1bbVroBhmxkb3xjkHbkdDhySa3Gs6Ja7VBjdtCFsOL3MCJ3m6orzpROXBD +10xXijIU1yVgMcwb2YpUnRRbmSo5zv2Y5hXzPLLcQdjmmj5ebP0MQSBG8jLN64uK +sadd9VdnlboiW4a/9FUK8O1yi5jRuPVSlDvcHAQpTaKxQoqoMemOFAKSHEWTY7Kk +2jzYWTwcneUYwXQDaTyd1nbuXbCff3BTeM/eO+5ha0bj8YzjO1bwdBsEyFahq/OV +iEsJAV2d7mti+c+N1YURotUnXSBapvJyhI2mO1T24CrSZ3wgG3G776f9gSBsx+nz +DlGxmtI0+wKJMpu8XloEv4UP4ecb5u+Y7vnhaNxxf1jtPap7k/et0nv1wk8Wr+BL +F/8ajNhg/2DH6dwtEaDENRM0hu0lpj3+7Gw8ow0oM1MAE8EbDw49/c6ePL84Bjdz +G3JCdM8JKFmF9fFGrVmYcWAM+g90RUnm2LJIIo06gXzqW947AthgsQbic2mqLZgB +DuBXZhLjl8oJZfg5CrYBCPr/Lt0GqF5O9MDUl415oMepfHHPEq86fmlZq7rzjvHy +WYJsSNwU4hK5tXwr5yq2AxGGPXS5YJM8RCnkzPZ68AOSHevv7lQGZAXH2Zy2nmSo +CNCIrOd7VVvqHL77jmpLYeTf7n9X3Jxw0yL8At9gigAnCGypnRGQtvh0H2an0RlG +J3czS0yny1Mm1ZwvBajODQYiOZfFl9cIh+a6PhzjYONwLBZJu+BiuEqRYccuzns/ +QdBvlS0mo1IbPDhB8Tyq8RLUsxvakdD4g69FSrci0/ddBP7BZKnm40BAIZkc54M1 +DM9vsfdV/zDbjKvhJnQWMM0USsxErJZmOqeZbryZjs3Qh1iQEN9otmo154XGKQ09 +lDA2Rz9vhbwjexmWhtuvTLMsoCMNsRz5zDwMIKCz7+39dX0+ddkAo5TITUtC0cgh +lWsh5oZwluL6tPVkLMnqUbV8yxTM50546/eKHuEUF8vGlmHY1TWjfskdOJNoGXfJ +0yCRcKjR/MtGEXKd57ytuHIoKhYqC2jxBkzSGFURRwM0L2WNmV8ICFTM8NoHbe3e +PwtDBAN48d7a5kDpiinV6pwomBTv22p2haq9AHiOcMJfNixvMbeqa1xe5erSxHEV +PWcK3rVmC9d8nzyx50KkR3JMb6aXDoivu4jmDSglfdC/0Lh8i4MsN27TDXeYBKdB +WBt5LMIiYnDMp7HgwSNd4TcR86QRqxt6WqFtkvhfS3rJIACTMGIqvTVwjetKnAdK +hVB5muVPTLrSlDHSWHiw9YNMu22ggQVRvbMpZkWl/rM3iWFOubsNTmLAn11PmpZD +ZiFbU3ynQw+Pto0VO5KwSpRQurvqauh3uDktHNxnTqxAeOG0GNU4dwvpiAf2R/DK +4DBVFmAfDR+onRNhPVegy33bs9ztC0dDKlfPQombvDyR+bx55gxQIPIQ4krTln6w +l4WcxukJ1wcgm/24baomrgNMnLJiw3VrNXC9M7w95To87iBlswqbO1rTI/9YLSiu +p9FKRA6rzssI87QncNZdtcVLRVDYCSD57pSqtrKo1dAD52vLfG5ncMIOQY7ApxUT +oot0GluvBJM1vczQlisTxP/0QMAHBC0OpXaBpedWXpCsgyYw2S8VKljuX7YXEkxx +k+v4JrNlWRKqeuIB2KUe7IunagOOF91dDDa06iO5RBjeHb2uPu4lsk7XV1mlu9FY +TKWLcVikvO0gD16KpB08dckikjZ1VapkrmO4LQXmhvOQpQy+D5bH33cuQfVP5erj +b1vp29gNAcbbdDzBsewLQFZrE8qUZcGJ5eBsJt7PSV4dEO4/0lp8Ahua02kH/x9e +Q+C7da9RAnzgR45AXKeWPMzNtaElmEH23Rv4UbTwxZtcsGolAL5kgpj/hv/U2fn0 +VzPz091p4RLq2a7ODbgBekB+XaxJOGGi8/ATSL30rdVB1kt4eT4GTtkUhvciuzP2 +eeEfGUD88x87lLCnifRf5u8MhfJb4xSgp869+WFoiI73MmbHLU3UKjH9MsR44vnk +fT2WRqp0XMktz6MniuGGBb0brwG2UAu3vKn6rwiIUBQH1T2X+re6Ef5TSBLm8sU0 +e/YkSZFhtRSbh6K2U8QYeAo3wRiu4vK/YXtNMLDFCCXpJ5odr7/UOdczwJywxKsH +RTTbJ/qOtDrNWgAlGF+MRAU63sZVEX4zC8BCnYXHR0ga38DngJmo0TsGC0eQfR6c +ca/5pfmHhlNywSVNpBtwrslheulH8MZEUEKQEBGDiI2aj8ROeAWwlx/fMaWc3Pmr +PPQabsXftn6NveowgNkHy8A2D+pR/tqHW3ygmYktk8MDeh+3jfniwTPsjJm7xZGw +18PPHgIWP9jGA3mHabflumock8MLXjEDUpbKeVA7y/wUkb+A9ykwcHHy6XdvKncM +B6j++El+CLm7uRWBWxUEGH3T1MHjGqR5hYBixtsvzKApWUJL7tgN6J2qY4rgE+Jl +qmxDTuglRlVGM7PqIA0AwCfxU5OaMuL1oPd97lNRUSC0a7RyzGBnDU0p/myTj4TR +xqv72pI+APeJCXMTdzfIQOPMaRWSUbANq8Gwac9dCYoQMNKSgQ9/nC8oGoKeLEsf +srilC7WG8Cu5U6u2tTsKJysSxgJoXEuuhTtM/s087GQElYBF1zDDno9Al1iDA5FO +mTA1JGvzGyNnjJBz0G+FWOYcMazJGN3SegwxYUJVl3wQoCRMklQNOYKavItxap+8 +oJLyMPAyU2Cvi3l7ZzcNL4gMu+Tu6MCBsa1kc0ux4Qf8Hg2XT81PPgyrISAH+Q6S +aVqeg8Z59eAwe5EXMwgazMEmj9P5g4oPuwlsz96Id9Xr2pD6FWitUONwd+K/8jBH ++bSyXptaY2ZMiL8QeRUAJbpgbdD5FOfm4mE38Kp1dUzVM6sj1rYsqK+uYH5Oecc8 +UrP95ZEKTXZZECeK+C/x3bmoxK3hU3ScheQIorOvp8ASO/c9ofPgwW+HqGdlAhYa +n3OGgHTc3ByC7xzr1zFBS4ThJDaU6kvLZDvYpO5MDyNM4R9KJjfxIQiXBZOLK47i +LoD9NPkD/j4oedYZ5cyI8ghNlfoQp8WnbDZmYn/vlUVCJ0XcZPdlL0Fdrd0K85FE +sASk4HNtb5lNZRh3n4fPL2WbkA2RKteoQYKab1C9vRc0x63nEzpFXhTudn0dbHzn +qylTlC4YZMnZvaZpy3XaVhfJSsVcplezfVTiX8skiMRSPajTdYX7l3dOOKHawG8e +TNqKW/yM43V9KVSB69NibCz78oUQoh3choTNIMX8Y3jtUS0DJ3ZOJo+pQVZcY9+T +IJg6zNpfkb1RGE96vn7b8fAipws/ZhbzGuZUCeHwlSqtX5AALupiRFRMUwqg0vC7 +343btzjBuRPLgBZDARpMorFBHs0xcweMWuB7AHKPXbB0OOG2BDOQASnv8Ps48R20 +07pDIQYMyFUz1RdwAgS/jj7EV0jjurblqhpJ3k9vrcyfUI1KzUYYYH5jSPAMWqlm +lwnbPFPlB5LOI6nD1fn8VZTpbBARQw86zjxdjaERlzSlr8HljC/7UMnpSM0RRt9S +TXAjslN1vumUBb+3woxrlhpGXMCMQfMWLrqrEtG9nMUEnO/dme1I46umwqeYFATv +Rdjy3x59QZvnA/PPWyPYZbKAtQzMc0G1lbpnqvlzgBPmA5sfJ06VFjDT08rF0Bzq +f64fw03gYmJ9bvUY7vdA2RDHXQ1va8TwPugxFCf0GVJQlo7h777u048GwL8pJTL9 +ljjPTUVAMipPJRyVmbC2xizXVTk1uFKWfk+Ua/3Opy3vpDLRDW+3my+/sAmWdrjZ +tsHodEJa+VnfXzM7PIkrMYbwclu240oE6hBf7hUwf15uR6h6q3zDQvEn8Wj3rc5+ +unOLOYgGN/dsgJo0VvvyZXJ8dyJ9GqoJe0SYfc22TeySmMjge7DqabI/c8PwxR/A +ciYt2ymlnfvZRtb3kjmkHolrQ7auDcH5yCHmMnkbcNPiY6SL4ZiKEVX+5yk2geV4 +4kbMQBU0VvxUsWIqwiVe5riUFu2YsZUBIw3u/n4tV9hp/zZUi8VOEIrIH7qxW3X/ +keS4STd9WueDQtPY6Kr4F57dgLezA1vaWPGv2gbZfrqrrJKxHrN9R30lrmUNJCkv +o7jpMd6xwjPbFVzODXrOqDcXR5VXHvB+bHVaORJ5py6mZ6265GlbJ9Km3XBKwQQK +n4v45zOoS/sivCcnEMWu8cRqXmugW320oj8ORiG/F1zJW8nGUvM6aLV3zOIJNpOn +0liBh48MjTA0rDT9adoHz2lUUv88kk1qkT56hB3YdZopT/2zRGOisT2FqSOM8YQ2 +0WdT42qdNCzqE11CZJuEMDmPMPf6qiHbp3VYlzAnh3yJgrroPdW0Fgul6tbWxuhG +Eeefktbi2A251Nw9SXoKl0WZqfoDDRx2xTFa82PvCEXc99JB+wOilRtBXrsj6pJX +rbP1awCKXMreVM+Sdaw4InbWr1XgmDMXY1H5pwMfGbWJUdHhyPzEFicPPpnZoAV4 +F81iilxC4/Cab/X0sTzTwQqBz9EQo58OtVT1MfYFCDousGN+1axO/Ecjj0kDUeks +rcnmDibLSyvb/2PiKFeZawToRQwufj4mReS6W8tWM/kUPY3zxe98qeQsp74JLBEJ +pptmyub8qGc1KQPw2haPUsqSRzXVlw4qkyA9QvJvOo1pSVDxGGC4BXE5yjOp0q1g +oJ6UQs0h+Y0H4EU/Mi9eieCKITJ8VDXhsJv3ghzTSLBjYEakH0OTXEltxfiKnVG0 +B3XuAPaJDNg3LYLHg4IxxyC83e7mMx2npPe9jh1yoaksrQ68DYYiexjzUM5Mv1So +NW1o6Ps2OmNUrtFL/Nskkuiig+oI2+VU9VusJhKjCjkdMDAIPSUosQQQSruRvHX2 +5/iO64Pm6swKr/hMQiRHYij0BlrGMCfo0W5G8gX6oF5E0VQ/O9Qhch6bfh+dyM+6 +ksCLJmxL867EVEbuQnB+zSSBxT2nFJkHpztm9eJ0zS8ZoIJ2cWySPFp2X7URlqqS +x1JJ+XF+gNduQE8boYuq6fbGOuCPIK+YmPqlbsS+i3bQEtZUYfVeo3h4bfVXV07h +immD+mLaZQcVCt+5flnZWfGr/ZZ0gPI84j6vvH3TVAGJOlPmVMTq0YvJ/UB9qIEd +1jwirRoU3Dq/j+LaiIMom0DPn78IB1wBVN79s81S+lD8wVCR9Yz5vY2qNWCS0Ynx +ptc3ihQ3k2LgpQSGQOMTpCCBVKu3V7RoGO6Mowj82d9MUzrG1cF1d8MyHskUdNq2 +tI01CUSfaTjzcQyGwD+35GzW5DxIRPUrX8Gj7XL9N78iHu3YbJd8pZCJ/gKln2YE +INgySgDh6brk7ZVayd4evOyJ5FPX0fzjX9MjPdR4u6loL466bA0IElMA11RRHBYH +9rkdCHzn25lzTo6m1Rl7UUuJp63St14N+MbEQHCq+sfqSwWyraiGVUccFuE9PxNA +i3O6AVw9paVwJzMkKJQ306VZm44t/1qf+Qhi3MTClvHF4rzsZ8K1FqN4QLjJlg3F +tg05ouNtlEiy8Hl20aT74M71fFGDnKCbtgNgr3sR5s4z4SGy4Th+6fITDDdoriRs +bXJORXg2N8aizFef7qmA8y50QzhKpKKnBWXT/jcPTYXQtwZILdC8lyWNI8UGeSba +YE2LMZvYJDKO5UKzKjmA0fCB2lwdd++dix7Acb6FMEWdP/BZrTZtntwqN5mpXLOm +UMIVxf8qwvkbKS6K7ZVTpAs4AneMVHidwp46+Degswi/zAqTlDnY414twgN6TBD5 +uWKwbPFxw/FO352fNdVw0+dEB/IsZqaxTz/kJylxtW5p57YolXgnzwdnb7xoxXaM +FrvjCYgYb4pbtkWVJyz/7Jl7LMtrrbGtX7uIBVlfAFSdYxrIupXKBtxCOMfk5xnM +twAIVo8tivnwVn+ARgdKzDXVMnZH9LWmP1kREUUPmEgq6wyazfO8yzfpIV6aiNId +wBROyFmJTZPF9btaHmY0uHBynHls5UKFiLVVoBk0II8/UOmq3XAlCPpx1auWWL9y +9x6mID8o2REUp5LP8iYf70Lr+X1ZAiHONW8g3ueiLk6VJD94Ivrb+rYUh2qKuMK2 +3Y9YFI8AQPwmqZzY7sM/curars2fFMebvBBPxYrSg79sv6MPLTacTz/EwLyGCskX +blIfxMgp6yenroEZDWz/q5QxYskyJZywWBeS7HKAUerb88+JXmvjU1GfI4X9qE8X +kJTT4ZMxoQKQDWPiVZGPxQE8eAyCoBKu+7xIAUc4htO3/c3buploAJqiW974fK+o +e/aZzUAB09+tnSk57x92/dM1RvOV0NLXVoGvYPSCluvjx+wIh3jd/V+2HYyueHjw +7+rgyy9FzeGRxaPx/QjYM9/I6uHK3/0+2kVCplN0yKsycHev2KsFCsYnEgt9NQum +hqW+bJxrVWBX4A0ESzqkxv8q7xQ1QmsmFVgr5Crx1J0x/yFwbs6BfJEKuKpwcIH5 +dZpDDgGLpGybIpy8qbXBb13O5kThVGpCMwudc1NQuFR28zBEZOYhORjL51ZfOdbs +Wg/kDyyZtmnvo/yWYgkrG3DH4cEofNGz4srim22fjrnuazCIF8m6d4lEup6qncq+ +dCiyWYnjRAU/fMK6PLS2QmCOYVNSc6Jrj02I3uJQd8b7wm5LXbT12McBu+DCdsIN +QKgPe8qsfR28VP4wpU+F+LLAZ0eczTysLCP17SbCngHgfeFYm+Y6YrePEMQXCGLr +lo6/ILJ6Tm/xvzq71tz0Er8v6gRWBRia4zvf8emL3hEqLKy/KxR2zzAM2Blx5UWN +crrus80WfbJDLZRnoqXYX5TuyRJWqKLEp4H468JDKQZI2NLPkqI9uvhqXVasFUwo +7zZDzW0znUYZ8GtlTAi2XTrATx7Iu0vJr1seWwkHjN4FieigFOjkD6omfuKmG5xi +fG2bEUtCjg9jlPtt+PvNup1NeK3N6ljNNOGBDCo0Al0ehQeRTEQzeaYWu+obttqB +D/EplyckCQTo6AS7Sj8ctKUHONaQ0quqj+ZaLU13fl2VicMjbCuVkk7Ty5R1KMtY +mKt8OPG7GIvnFqb5NsmMz+7pfNRfPVR8sLeAtRRE/r32Q+g8+R+bYt/1ee1PfYv/ +vRwP0Y100eBOZHqD1Qyue05oo742+jJnSDHiXVdJHFJZ0QqaoXeD8F6h/yil6ml3 +xsDV5lN5Bcy0THXek5BoRnHbkBqBLBBRhYgZeZzwsjQ6GYCbOxD+ObmCmo3t15gq +mk5qwRCocuFVurN6y03/soBcnPol43vGpBR27TtMzCnXAwcpeOASqWvmn8NXlnJR +ksFQU59SzjLERQe1GkCWhN7Jwcnjn3Kk1i0yznFQgbh9uFXMdgKtL9VvniqTZ97c +Lt5Bi4iaOwjxXpFSukN0I6KF4numXcHuCCGmphd4mKlrUXOKcDX3tvQoBCaZ0TZ9 +gYZeqBTajfYfoG1PkPVOqookhbM/pQCiincNc2yzqMmbAlNjlE9vJqb8nIzWYW5F +7IAmNTkQS9cbgNF1M6xp50YPXEHvZi7gcILlJVFaKHnp1yLTDo42ulAgZExIlKzK +6OJEMckIwVznaSGgUKQbLILN9qtnuGMr1cgOkEw8mnREnAMihMVK85dPnNUTCSFy +lL1UOi+qfvAbfT45MomrqROWskvSd6LylzpV9cpr3iDJjgCURFsRA55FbgnZ+8jp +c3XY+AG9lrdUByxIp3OZoyl+IdhAs0IMQH5fGvqCk11DnDMNyMBcN0CgW5OyZq4n +J2KJhesus0QTd2XFgxU+RaaZsywTk7Ug5uD2BSlAlh3IYf7c2r6ThHhATtvg6ESA +ksM9w478jKgmOaxALGuhwHRbM2dmlDanL/bkpFGDB9GSRO2xN8EBxIRAfhbd43G9 +LdRNd6RT3w1Z8d6uogSTqpKVsDG/TxA6QCgihId6s+ShL7bA4/AG94MX/Fp8yKwI +PNDmtElahml8j72LVTD5T3hYz23DH/byE8f1f9knhFc5eP8mgGhja3y2jsU4WBM5 +c6Eouo3pVhGRnIhj0xoTdl6hGAlp5MglQ+eEfSgFsevekNXbqlM9QKtu73/HlrCK +ifqn1MHG86nq0mwcs3XrcMBvwjLJMvO1jRSXNf3QpQuG5RDuFdPB6mvJ2iqD/23y +GcL9M8hSEtaFzoD12ucCJWKx+k9QUzPV/LAU1zgno7k4uBOMsyNLvRxPP4Ik08Hq +oZSma0312zY4P+MhyaarKgH1+PgxBpszwzIEhFO2DZp5x+8nRxNl6ex/u2DekIib +zK6lTVVtnD2QTC3BHg31YPQNyqoIaMKMxbJ70kptEsaJD3FsBi7hl1/B66BEhN0u +Yi4ak0TLFyJypWbpbxi93GDU2SYof4frkyHF+nbm3Bo1djhOy7luuXLWzfP++41I +T4MvhxxB6bwsiQqG12U8cNpmxu6ifxvz3j9iIFbTMg5zoqaT9GAXGQfh9z2FOVr+ +BpZxn7OGUIv1CRdz7jsdKZtkTMpXLm25JH1huKs2l7BzGkl37KHmTTy7l0jRhble +4fVhLdzYI7AHeNpu6xVxtkmo59kfND2nMlan6ETm29QehQo+zUatN8sNlf7YCJeA +4Q0So2j1u0UNofvPtBNjufJjhMpCcFnxm2a5e8aipmWqiiKJ43thBWRg0Hn3ShOE +wiD7lpEnCtJ11dWcvSw0mD/2Cz6WH2LOG7MsObtZ8dcXLKOZl0b/bWYVADDLAqwE +md3Kow1xhTqzKqPdwXi6XEgJ6ZCQHw09Qo2TkNKF7ZrHmgIT9Gf7xeIg3IPzkQeK +tpmcgLEqAv/pFp8LOp63SUo7bwUOhYsCaM2LWlSk+u0kd9j1QsBFTwDNrGvoDkuE +jvttYy44WZMlw/kwUXR9iowWTMgkM746ljeB3yp7aDvRGz1c09F63DfzeoNG5NoZ +z3tU04SmkkviZ5pArOwUsRURYz+jn2EmYVNfKXyAPaFoudqGdrBMTz+/gYolLxJd +c80L2LRt4nk1dizwRgsDPOHm4OoLEpDq/RJd9jWywcqqypC8JZdQQsDE+NcWwigZ +IVJalC62/mRMS3IQcWfXt/u8qtJDPpTRvvXkF/2syWnt72Yxa+o3DJCB9EUXflnH +KKJTAbjMrswmt7HCBBMHr0Kf523u5NrVFZ4w660OFaRFMRZwy2cCX1EN2HjOSWIG +sHk/wv3mN5aF3lRzzFS3iPjULSlzhoDrccfVVryKvp+64VG5qWh46gkpoo7gNeoI +kfLnRdyHLsf7GXleyPWZxXP4sKI4zGvLRsR8rXGu5Qil+neV5StoM4IJO1sktm7n +mL0lKThrfPISIuywNCWW4yW4fTdAUex+3SSQm8z/rR3Qc9VtD4nRYm46CG/XzUTM +JQqSi65SA+FQVI9C+di+8MgCHUfeqFx8a4s4I7/HQpEJPa8/+5/KFNvZDYkQuHDE +P358+BqUwt2ZXnFYYlPtRDLlmMNN5TU2Q28qX1sNXEPssDfmxaG+EqsrroEr3MRH +WhwjsDfAo0NvJvfc5Sj1fDzjA8a+0Hg0h9aQeNVSHzFv8877ZQ3r2b10VmSFKD9r +Sjdkadak23ITEKVT+Qg32VC/5F2wQwmTlkklWuHUqiTrvdtyzel+by4p+Ra04LSD +7ItGZmcI0b24lukH3V/nayDDNCf5LUn5Aik5iMUd+qnbAIaEj4Lo5mny7qXsrT8V +pZVPAc4HjYqV0rnIVLXjPUFbVKYMv32UpOe5H0rIUDN6kvGr60zxa+K81jxkoS5W +Y2WBOodVQNjVjRX94gIJrU/Dqzmn2CJGM0F9xU3KP0Q8hKS+FGeKrVb11noxw37b +fD1bTFXYtNYgJx/teT+rgN4Tym8HmKGRoTdsmCRb2wmG5jSD+Yz4Gj2C7h3Un7f2 +ZgxwsUmmI5p+YsnrBa2qfi8LkjnqEJF2tGYZfDYns/FtCQP0ibogxnOAHMz0S1LO +5PP9rfoiL6WWOErFBEK4ewPPw/NrKZ2RXjhpOL9vY+MZND98fd0ppO0RKnefP4kV +NgdVekn1vmuqT1+xyrnbl3nHbtLPBWLnFrKHve5tTDd/N7cpynJ/gARsCeMX+Po+ +d2hu6RN7oP06kNUioon00AH3eYnK1G6UNTxDGWHKIKZkaXE9wuYlV93Q7RaDLFn1 +FxUul1TQNq/2X7kVOIWMNWcUE6KV9pc5qdFUEZvktw5V2tcgdVc79xiVNuweQizF +NwfWJ+AbnjcG4pcSES7Dm1remWu1HgBvbOr6SJD0d3F/CxxNDH5VoN04J2l8fB4v +AaO8jhn7XxZU6OFDP7YBEU6Ru1iUzGKS00xAJ28bw7WbiiqXN+LsEUfxQ/nEENue +p3ae2Q7IKMreYTNGAbbEGHfE8BIwSvacs71Vee+s3fAcmy1qmCod/eTZeWzhBk9g +pi+3vYvNZlWOAWVQvhfCbWbRh82CvuHUjJoqdB5ZQJ330F5yr4JgDcnNODhx6w20 +GbDACa88xsGuei4ltoUnQTWAvDVqghCY8opKMN3lpWLi6wQXrmphv5KbGzngh0Ef +0HmvyaxYZLaKF+Iq7cf510qlw8QcggovJy2QCMxttgngi4aOEjUaoHUWYoOXycYg +nSZ8tJCcYBhatFdm7E2pbD02H7HAQQ1HilL/hRgAY/G/Y1ligSeP70/ORaNnhMJe +6f9V7KujvmO961f36bMmqBuwnfVZxpi4a4wpU6LM90vAhKa6jfaREpeFa2mPT3HU +1nUD1iDj/fQvE/4VPDsN6F0xzBXEgwnpi7kcKXAuKGOFRZ/bm77jgtuwxbB+qckV +WQ1A+dTbyipP+mKeo15B9f27PCzG5AXKeuEO7k8+jRvnaIDwNOTh943CUUiQH679 +uViMnM+big/50qhGjS4lY79v9bONU9Uq/DdI3agczRdRnqYsp3SFRnKvxbghBTSm +xx/y+qM8BvdnDFlXAl5JqggwHus8WjKALgEfSYXANaJwiTL9smsVkSxnHZH/lGRY +79CEqOnCPlab9U4pmdvhHknlLO1jlhvlbzDVXEyiEKDiRvFCB1IQTA2kOfi+gSbE +JKMHwrAl6NoQM7+V+Tj2EG62LT8kcg3CnYzjs/WQsA3HoVvsk+8qewvHjsx53FGM +/YA/iFnCbNpFLAfQdia4cw5M0qQ3q7Cd2PQCw38wyAXgUDT6K1Z9kvn2HLVCK1YX +qWEs8hYmSjw9icDVwjxXIdVbaYfg2yrOZFcih1WyVMa50RRNDMU9SPxIPebBr7tu +S7pgsFH78HCbURTwr2+9R+PKanRUTfBGa/G7u68OZXq0fLd4pf3M8ScTi4fxtTNX +vKA65/Qu+E7CM5ShfBpWIhF7DHMlbyAZEhSiF9sLRp0IaY4vxtEqIueg5tOMFD7k +NQe7ST6pjcoqHK2EDAggheNpTStoRzEZWWJk89mmJaM8hq6AWnJGVi4MDCb1/x22 +xPHJchv3m7oA2TxPSJepLZ7ZlBGmePzDw9eCw0PQfbp4ireClG4gkzW6PenPC/IE +CfLEcl9/DA+EuGtHke/2gSPWHvj4kL1N8WzCJWJCJT7ph6K+xQspyXJOqb/lmuHM +nzsnqNQp4zt+SGugbaLvuBYNCFprBUtHcDmeR4TppNpvF3Xmt+SmHEXx0eknsuhf +IBIsitkPrE1/enonhFUUmNcUvBgCl6mro3jfoyfgO07HYHFoiWohcg/ojnRx78OG +IDAGFnOmYEe0SgypkU2FUkchIjbVXHQ/gNltDhYhXyxpTBcwd3jmqFdeIiaLv8lp +dAccRKp4ayh4PaOkf0f6QGHEougNe2Cwk+/54OBxCCS3z1Bnx47sKFq5jlGocD0S +aQwoChEKe+qs2dJQW1viVMPhzlx07SvPbk8m+Ki6FS76d2ne9JiBKyoCMuocApzG +OlH1Ny/k6aLnofVTRFcLLW5+QrQjKtwgNSx/b9OYBmL+AfKEstwwpFXDrLzuKJ8h +443jRK1AXweMy43y2qKHR9/kavgRKi28FUe2fiuWqI+UU90mrB7O3MBiDwpKyjgo +XnkifEdYQePvFRgSMSwbTHkaeL/xy+LIVbd09Jy8w2luQnm1frWcMW2O1/Kpp/iS +iMCd0D9AzbJDwSofkJIRT4WXc5H00iLjA7nd2fPOO25JqyuVdDNYgnGKzKQAJAcs +14/Q/ehfxTBB81qmF1ICr3bPPVEXYxoLnZa5q9LinjSyH/NJa05xPNOR1ZTUPe97 +pphe7iC1YnCANSVJupq/+KezaxkuRi64rz8Qc+fQ5qeIeBDxSmcZNOp/99OdaR/m +WHVNLZfBoNXR6kL0VaJ31yyaBTg0jlcpx5mjvgRKLkCfzXdagNv45xsnQcWY0sKY +yLMNiYUmRaNXEy9yL7MSbwXZk8Ak+7AKPs6L2Akhg45KFLgJ4tU7dS+hPy583/sZ +KQghVeIwio+qOsSLrdukSo+BzQAncEV7vkiajnxLfJIgX/03svZPPKIcstlFmXEV +1d9K2CLRE+hQskWoF8CJ8FvwKMUajUKu0P07UQINpuY9Al+pjcyuSb+1AlgNinFT +4NrzX3s+lVyoqNTE91KljF/w/a/3EXnvoX2y7oUyqoOMlN4pAsmtKUAiWpo4JELI +mJGRs8I2Wd3wdytbBKZxpBiBFJhsNNWG0BCs7Aok94ifh1LokAEqPUNVyUB5xSzw +2oTeUQ/dNWHlgawXWBlgIG5vvAEmaITy7QA/zPwyrgS1e3rKx269K6zstIC+5dJ8 +C75wcfeui4AHQlrQI/8mu+GpNahklN1biBl0DIRI4QEfFlUdmfK6gBRGFviXujEI +Hj3rRKHFZXNcDiL5zCYapn8NnJK9TwIK11VMGKBcH7i09n1EQQ4MoYAykiNPufsn +MhZOmF3aqyvCR57nWN4Aj4nqZiGhp0LG00rRLDjnUDQCcJ0BRs22bfFxLi20WFJh +fERkY0Kyd1GeFpBApcP0YZEXwTm41zZixfvZU1XOyCVT59pxFZ7bhhBaE7uRtIvc +nFUFhsN2axFJ4KwslIoeUJ6h1YOPKWGI62WeYzKyafMzjgsQSBHTHJyPbBs7OVcJ +aujP76M8idwcpnRf8JhQeHvz26bVsnHzyt1vAVf0+ek1F9rOWYd9EDbBP3MQJdo4 +cC9cAVwIjL2oLrLKUpfXs6k2+Aa/L5PWQiDPRKLobtVR62KJA6yGZbkCAuUGc3CW +0V67Lb/Ua2TDWZTLEPe1fmp/DwJFN8S8q/wPxRh1ILQS9ViHUEakR6ZLKdTCWrL4 +J5uzUAX4o1gY9SdDwe3Zl6TiawVH54w9pXR4l4vWq5idQu9Jc0iBv3WiGuUAekeb +pfusrOO7iu4FcXHpQWpBdHaFnE3euMicXjxEFueThg5m+uyyFi0uAD/UUesCcH64 +/Ad6KFzfyebVGYZkL3kwNZzTmSjj74DUCyj/29sk+yRKpXS3X8SenrINIhRDCqn3 +oZvG+5JwYanHNjUFzb2/LiKWb02Ibh8ZiBnURhStRRYOKTyVPmccynd0HwG3Y4nU +ycFnaye3HwmauKoKyiQih+jXEIx/wEG6PB+84oTHnqy8tt7BhPxwhevCb6XfV3Qz +zYgZUnw7gUrPUaPbNbodLoxZUt4I7jynxi8DGGjCVFy4BZP8Z4i2Ho8lOHsam+DD +9UPxg4+qAbbRCx0WkEx4tP0k+hEBuR1zfLpqsTEUXhFRlxOBn7iPfRSCK1UX2GLF +hzDjwhy6/yCsrw08VJYv1+rFne4vAt5JqsH+g+DEd1olziW+EVJ2GDIIIg0AUdJO +DaCbCYjxujSa0rFNKsGxqp/KnOr+/fty4ugyqNwIpEO+r+ufYpediUhxk6oMT+VY +/Xy+hH2KqVDDCZ9maQbsnEf/LIPLWqwezQH/JJc2VEFoNQQpnLOB6uNMnDtd8iq3 +JTEXCoKz4V4lFUqOXwvSUq7q6DbxT0rkDJLh0m0FAD7t1LHuTz09pcGdYJ0sIYUI +8oplDEnykXMjjUOPT7lrY5zt4AgVMw3r8WFFT6VQn5d7CDGWsEwQe5rjfwrZMCTv +u3AEez5QfDu6Y3f8dcTFfODqHOii6fjKkHEhmB7Z3ZyP/v6KL/cNI5EZjmHlg+Va +/k8lWj3QuowL45/3x0+bRiWiWN3YAhQwR41nraVH6IZu7bK+g1AsInYfhpStVVUF +GpNfAdQ0NgS0xBNM+K4yC3xop6+AuoFphZqtz0kr2h13n4JhFj9HiAC96gVCipbJ +c2ipaPuXvAczPZmduUvTaC2WrEi32NOx+XLK4URPwbqX2peaHHYZdhXwJs+tjEyE +vqVyzPHTD7szbYNKmbV6lNKdXS8GsgqPZAW3gqVTpc3y9uzU5HeTtzX9ITXqeoa1 +NKGUrQQbYyJEhySnKa652MO9EV5OzmKbTOSfEQ3ueSlTtIsamalcRQrgAiez+vo/ ++Hr69ijw+3Ys/4QQdGWVhvrU0l1wkIE8OTcOopOwvUImJDs9v1MYD7MOolUwCXbC +Zkq42F7YVEUU+eWSw5hnqiLduBkpxB47slIDJbqwwiJdeniXT9sAL9rA4gbyUUc3 +u22pGNoEj4oruKtRiS5FfB4V5R/Dj+DxbL9CgWNYLoENezRqwR/lXF0VT8iiPfLm +T5vbcwcaylDNzdXXrrRfQeeMxN2HXe5aciMQx/hsl/dTRF4vBWKn5NNm+kT8meI6 +VXawhsVylg4Mekst1caj/N+9yrxTr6np2j8qx82UtvS05Oh9Vd2buSoxvBpdsBIs +iI2zhm7Y3oNWijt+rGedLjdV3Pock02zShJHJ/tOYTAW+8GnrJI4xxkCE8FFAj0z +VeQuMWm5K89us91Grw9CI/9khT3j3JAxrh9JGwTJfNAxx++VfjWYWP4NFgtozy0F +2eHlxFhFHHawA5mDRkojt7c5dqntaKI0j/H9AzIB2pqEsHiy6Hub+fO6/44wKAuV +IlYoRZ1YHQ3IWjX2l6ycdxuBZeE6U6E/g9e23v6PdphhkUNfGadDTQ9iJWTLLHxc +VU46lTpe2j+eu65M4MRxigfgvKfi8So0qI4/6Qbs75ZbibAdSNv+8Yupi3RFZYiv +wCfhWKqQ5/H72HDOl6nJjH63+XsCRuJDNcF/eBJHeBrXB8E8GntXC3U1EkNnzkCp +LyhOYH8ir1pSFEfH2d9YQDP/15nWjyL8EowlIA16TFBPKmjd5w0TFHTt6JDswTFV +dpW6OrryujadCC7ybAABzDKNiL7BV48vyy5HqevIzT5LC6rpc1fN+fqQ49HMM3Cn +cPaibHp9WAnTZhRqMnWthA9xe19v3sbqli+RKUxvPkozoDrgZiLIZ93HCC9ObILh +HHG+8cZnAr0w+c7xkhWcPWk5caQ/FAY17y8DP+bAX7qKfX9qIMlP0Wg0pY+4+T5I +T1m3LPxwQvTmBGJBRNANbGEcmnQeD1oIBDs24gEt29xikP8jLoEe7BZ5Ch2yroVe +7TMzR/J7UUklAtVYK94KDn4QAGdv2oCgWSfw4DOkYUpbYp+jFSpwPLYQBHWZIay+ +tJZ8R5y1bxZff4EO+kNOyXK+Ykx6CjPZm0t1G3jny+r3F3Ipate5ol2girfT4fM+ +u3/PLf+zzhkC53VaxmJdgREHlOo5gjBirGYlOdMuWoOs9KlohxJ2LB9u0AeBUXAP +WL5K4TFJB5lUNV432dvyR8FC1uY97t98+sV05LElWI8CsNcDh7BtK+1u72/DaYEK +TgJiGhOAmh5FR9R9G8W8TinGNf+J40YRxPErbyuqcHfOZA/J2TjP6YQk8mAmp7KF +AypL4ONd9QuqBDpGUu0h1jdKoEA8jjmFiunHnQv/XbNWPbSpfNgBzI1fAZlzOz5A +VvlzVyx1Rn3AR27H0rCUaWJm2yXfLMD4vIN3SApPM/OsbkXF535HXHM+dR/WZfz4 +2YPbb8L5Zx5kV8ru3xzIN7T+GPgVPAgcaQod43Hfq8nF02gDyIbBLfw/b0TxYPRJ +n3GJemK/ydWgieyKSzgf2Veu6rGFqcsNw+//i1U20UKcFH/EgoJ/6edbRpAC7xQR +Trbz4x0Q6vpGCDtP1cOrw45DgsP3ZIZf1++0JR61VuKRHlYIVsGZqgGtMZZTZUXn +eES2oKYKJjA+GyvAgjCwOc0tb0nywBS4CZA69O6hg+sdd1CkOoinAly1uvKKTENJ +ISg3lJDz6ngAXFFqt2C4nMIhRvZ07vJbDxMjsTBDWvLIU6pz1D0GnSzZF3gCPjaB +kVH3p90kUgQ2CqvtMHQ04mGJFDDYFxb4/Cn+A+x3P5QE8hpJ58ihRQZnly84d1j1 +goJvCOgKdrGWMxFo31ylN8MdL8XwNqGUy/qv8y4mAg1YMiktBSjtdQ+gRirN+JGY +HXov3Z6q0qIi62MIbjrz85QXMApB0z27fLV6C0dzsPK2ymA39A65rzx782jk3a4D +XB8/yy+DCUAmcFZANjTDAFECNnTqJgavWsTd5KT/T4QIS82sf80KfMbX74H/UMDC +HzA7oSJ39DG55rcTC+c2zRfU0O7DKdmBjvta8uN+aK7dis8vZrQ0y7ezq7P6By8t +UCeoGGq6yQnbJIISC77cpwz8KN3l4ONIZXDQOeL+xqqQTaJT5nNmlgyOldpD1eHO +UDK5Q7wOV1zXfXsoRIvvjUC6m7UZvL3bMnuzaYc94mrdwxIFtHzw2KhlEvLy9diM +f1xnB9x0OTB9r04eWh8B5VyejvNDeaIVwz1jV5FZpQO7q+GgNhXJGMAEHY/JwtpF +3qtIlk/puMHFm5RRTYgxxI1qfq5viI8RXWK0sdmy5BjUDfM0bbQ2TrVa6S7Ni8vG +cRCskE0cvsVNJcNG0qzE6JbY3OBoEDBE7zsRnt2GUqhKHt8dicTkhsoO0q2GIB3w +2PxXAIqSEWVxPBqOzWcPv71OIzdphGU54RFAqA4LsdBaU9Qqroh/kx9/AWoegvSg +Em0OMnEgnI8td5NKSiqBNmWARMjDsWrPvCGMTz5HJhMOkNCG9CF6Ei7u5uAHAtsQ +6qbm+3+vqK/yvVnDvgvMyC27fB44hcYLd6ImYDEw1OZbdl7wOklAArM0DqjccSbX +OWoFliz+o2Wyw9cA0bGzemqzJPrMjyZp1QQpgraFhBaylxI160Sj4C7bCNsdYbYw +8+tUqGZUYu/JCctB0LYIAx2ItCmAPKN9rC5Ltygx8v6D/I4984/5IkMleclHw9ep +PqY+arsdhdrDfoyEH2Flo88N7OQAzGZIbcrqVdhtwgMsvAFxJFPdOuBrCblGBQzV +3BwYlSTk8iFRBm8XT2dkFbOTPZA6BYNgW+9xmohuGWI21jE7giKlk9jymtK0ME2H +MNeuhpCiIMXy507/pOpyLAQ6gLI3nJL1lv5mNkAro43FvzksbE0hmxlrKsD1843O +V0uQYfvdMLit+/XoXGzMoZYvXFwZXiHCgqtrJM20O12stj+VBeozBveGNYrJ4OWf +W2Y+Kg4NnPydFKtWQYWjeo1w3Zagf42DngLKSoOWU3ylA6/9wt9WR2zswwmD42jB +LMHdn3RKy5T9aGM8aC3+dq8lMw+DhwWkpgUjLyrdIkwEeMZiBv/vw+tL2UY26EOm +rsfGKcILFpZqjPSIlsni17HbXdXTnBSJE5j0KjbZPBjFc7ER8LWVKDjmdAoqMgZL +Cmfs2yCGv4HM8jbivy5DG5qoA2L00uiE9VBjKAbUGVYqHnK4cOwwEh7NJElZGhVd +Q7n4Tke7i0M9JWaKkTpqt3tfKyZxjTSPn2NA2+eT+3rulGMXyjxsfz34/p7/AewX +ut5ZwK9AxDlHWQQZQ4c4goRUv9MV7Sqtwn2kVI4+H+horwu5IwfpVh5Yljf03vFm +IhqGhYW6NTj6cC46YqdDTiw4c1LZZPWbS2CioznUWpdNqyfscVrzsIEADG2hQPvt +zY6L3Mra8W3Y0BCLIVZdU8Ikz56NLlpmjYn5MV6YPE6/PGCQyjXQDo4rWFS2kDD7 +97onbr0N+U+He0aKjb6C+4oAfn0Pdx/zv6BKUFPIVYdCYW/tasIIH5RdEeKc9G64 +ZtWoBYSGs0IdnsylmcPvM6gRhMusIiJuiooDgvOFeeR8/Ri/xzMwi8lfwm7HtLf5 ++PfLXdlIbFLIbMJ8XkoFHxTgkv89bVZTbvCTq5lHhk2yHasi5HU9PSu/pNkgBmVf +6CeNVAa2CM+GXkWe+/yp75vXAiUjrDsj6tyd+G5se05IY1XEn7Ta9u9VYd/T0EWx +vmZFRMAlueNXc8//T8MRZMAC/BzEKFnk1NRbHUpJmlfYRn0hCZ75Gh6U6YDhin6C +cbFv8p1i2CamFtK0NviJPTqWGnVF8jV6y3PIkn939xF1yUX4xAiqtt6TCxaCZPhS +2G1XNje5QrOfvQUsWrN52WKXWYEWzDEsF4bmd/JjfYCOhB6KFL5SnROu5V9vO0Kf +77Nn75HhKM4R/LdtIjFXXm8e+y+5E4O4FDWHfFUXUHzGBVedWLj4TBvma5NouG4z +9dR5sCuOL0cdWFuXw37stsM/CatQY+bLfICIZx080iR2Utp3t7LUjscKhgvYeHz7 +zph05k0SD2m7biXTYaBSu2qwTqewfZYKHaTt780CQInaz4jScN9aduOubm34iEXl +RzzC+Q7EuKZv/ub8ccyCqK6AGAZi/8Dv9ZGzhFN+V5Pib64zdPkoUb7YR2tcgEZ/ +iQSkgnsLfkwi3eVUFN/biLEXfnKMTS5HqE/YWALpZKjZYXVVEPYQJJ1nWw7GvKlC +o+dM4caGrC2R4X5XtUFnDJ4zweM6oOqW223Kvn6N3X/x8/EUxSO2zYzICqkryK7Z +FT26wKfOjYispEY7plRjn+leioVlhIqtxzFr0VTURlJtn55e6EGCTmkJxbF4i+4x +7zD01Byg48p1zIKTnsEd0HMpIk5/OeQ6HjsWjfRAgzOddC6Vv7G3mARB5tJNqX8c +EGZckGtl3CrF5EeAYg4DMFA8mzRxETa2Ok/kSOr+GapwgHowNfirkt/iocSMn8xf +qtpKftjfJBwftYeSep8ZTSrQzuZ+OB/YsHVIISs2bBQwW0jlf3BpOu23Nu4je/jF +XnpNyNPWGzfzpmUabj2zX51nAce4qlhXdzdz6kCcwog6QdVv/rWnXwaVgmf6fOc1 +KUEBAF+tX7o77+J5pxmnR6CVL066ZuHQlYPdsNKCtQFdZ/hFMTQLiU0knWFtSR4/ +2vfu04DuSSH/GUsk3hZDaOcjWgaExVPEdB2evmRM3AOP20830aZZ88mxXmsnZpvr +ehoRPnOC6j+MfxhBHjXzoMmZncunG4b+2ehQioKR77LDYHfypunSXeh70bezVc4+ +X3yzBD23CUGEG4/AWJlHiCZQ8db3gZ2cBMAAJ0cvKOc03T+7WX/bsx485bm+qHmq +b6a32CnxwaY1CVUUalQguv3ZGbcPsLy/m43o2N3yxiSHQfA7MTwL1ympirSMDIHQ +q83AKaBBS0MV6aTi8qxRC/ITUoHNU95SKVqCxB3OER0rGKRFGJ4iHe5l3i8amaVs +vO1VQMax9B9roWHFYMf0Jy6eQaVMbezqA2t/Ew0Rry/aiKICCXwqEDaCAK9Knonj +TcEbmiKuNg1jWbx64FjFfVdf39fGxvdNDspNM6GeuvnA4wScUS+UEh7lmt/iWyvI +KbMFZNXC2gqBnlCR1XHvM8Oqua8SKPc81QQ3/kZXQTHlKcidsGTYcsmBkUDX/6ow +PEKwOD+YVmC3ZavSppDVOkAZkX2EVFM5gK3/fmfRN9n+gxRkJsOG5uwu5LT6r952 +vfHwuE4mhdMO2QlzLWvDvNsmWZ5UIZdEMH/vEQ1Nng/Rko3xRpvimk63BSUr2Ggd +AsPD8ib68JwCQtgK9UmsEAwWBgBBT2xNFRmSw67PqeIjoahTPQsdjnQn3bEZuAZT +YPRh9iNih0M1k2ZyN/FSWHK4eu5/KJXpoTtcxBbvX1dSmYwXM9AZgiDijHmqmj/r +fdYrnAQ572Au0IUF6lKhpPA/15DtVmvZgwoHtD3IIK2gEXVJMqlmHWuDv0Bc49ti +SfTenDnybR/irhDIQGDugY3CsbTZUB+TBODCiCYGcK8DbT7gpY0ryXGxg3H5tEEs +9qp1vHLt+tv/zXSDW4HJBd5ohIgegPtYWOR6M4sdtKAmvxz1tUwcJ+6J36JZShLa +0b4VlKB0mkLSODYE/jJ6J8Fb47nxTC3EcnznojF3S212kFIfP8Lszcw88fUQCBsT +Hys6KyLlpGDvrzyv48qBMma0cOgQCTqlDY9JZPSYgKJYF7tgY2gwhjRmsmRsr2Sc +wcRkXc5E3vgg8dQVVLjMejEesvjV4xXLCy4WvghfgKpsNCzm1M4ZV6HJEBCXcWKS +UH3V/QqBckPQFWIjPnpVa8u7es9GVwb8Gss9mT0IKi0VW3emJbwD6ayuZmr4VPQj +lLVNtR/X9W7KLP2t/j1GLBpkKKJGob1Y/VZ1qWwoQd70dx6bynYaCPGJus4dATDJ +vNJLiswZa1DIXXUR/0VQ53wemmziQB2IKtRS8IH6LVyHpoWFq63R9nZsUe1OGDCQ +1kfsswW+mwwklSgr6q5VdFL/O4Q1dfqgRLTgHdqjhOysWb70CZjv2Mr+gunqwD5c +2kN3MsblxxAocWSZXozTADagDb2GbT+DsjQbYsGGcC6qk3k1yfZ3e57mCFht7ArV +F1vTNL4oTXEnG/+vMiTDlXrK8agkwClqBkV1U+qluDqP/vV356avWEba4jecSDMk +9tA8t6g9mnkTzZKpnzsGBdzp+fDJAMwaF1TQ45//wd0l3ppdXj7uRV79eY4SK5L0 +g+ZLTueiK4GnRkZuj6OxOzKRJ0sJy7RwxAZ6udqs05f2TThhWpsK2QUE/DegvGYH +1lpOpElZiz5Rw7KzAmraFFKOaeAq53SVPUapOsVsZnX95opUEQkIt1u/UJ1uQDBb +XYPwoTHyKO10p+Ay0F3GirS3aj8adhLS1NUCjk/N9waZDAoRNtjxaEOvycYmsdn/ +M0ckunHWohbRkpAFcHzRRYyCpGr7QJgDuhKlM4ONe2p06+9h/5oHATC0T7PgMK8a +Lyw4QqHdWNoXexzkDyxhyxKFrgI/c9yKRFEmE1LPtD3midpvMNN+93AWMV3iZFgd +98VbK1puh9/kE+jMCR+jKT1vEHUmqO1c0XbVwJpe2UrOsdPuQjWmsXNNTbjAs6iX +h11rmuR0hf2IEDFL9M9jCQxGDihs7zNYE7Da+MTGWpEBprUobyrbJaxE33G3KOmM +dBFrePT1R17NdACNWQc+1HkaEZ+XrzPGuRADRpfg3f9C44IC4KNY9vvvOwo2thUy +eE/LDL8oiNYO1nM2mrpeArwCQ9d3Wb0YMhv95N6vazdakLXQmKZvjK1xs9REDNnq +49eYefCV30WuQR+Xje0K0OgOtuEATFkxcaaS0aNOkY9enLIZgaky0t2NdeFSWbxs +nkZKLv3PCg/PHefNzzlhRjX7S4XXMoObgCJGpJUS+UWfqisk3PCRMB71Js5bqMFA +pQlgTIpzLC1PeffQfeRSAJg56R0JthcBmd6awURBwyS3M2cYzrZGSyiy+oXkwUqR +Iw4SNOHYOO46lMEUhRLs3F6OvdcvHYJTSLxo9YO3uZ8EGP3B7SZ3rkobw8iCOV+R +01IqLjfvR6JAOenvd0ddlzh61iXBywG8qJ4qZpqdVp8IyMnohF1bu6o3dHlcYKYk +uNFmVGl3t4V8IXMDsdDC6rqmkN3vq09tVzXSJvnF9JD2q4TCNTnDRfwRnjjs55// +m+dqvov05c7FvoNq9JiVn3X67ryTo7yYXJYChEWbU8wF4VTbwp2Oe0H83M4LZjs6 +iH9qyKd+4X96YNaSS8RCEguwPLsxYpk4l+I4xdjxrOIvxFPRQh/yZqi49EYlf+t7 ++cG4v5SAkf6BO3Mc4+dQLtINPNLGeC1WNLlfpDc7fW0oTZtJgAwOHfczdnD5qY22 +cPUyW7Tu9MPyT+VR8O0SBsv+2UqT2zmP69zTfgu1S4oRU7Z+9Gpxphx1aECL26E1 +d9TGELCJFP0Xq6jJieQr+tdwHPTy99YnvRmXRGgp/jn2nx0k3sBt6NrfAaQcVcrW +v156KOQHCDGYTJy3xjBd75Z63gdMSaYVDuzpViAub2shs3K88JXasOcF69HFyX8y +3QvZr9nd7Rq7X6eb4Uebhky+7ldmz+1gMYZ17hHttQLLkutz6jtbPWRODB3cC3YK +H/WdafTV7cRkL+KaWwU7fPuqYm88+abw5lODNKlUmKZ5fE1ntMwJfrq/5Mp/NUBb +BSROFKhN2kYArRnd620kLVokNc7OllHZj12H38Q9TgEWVLdtmef2JQdc+tlzKUXa +uoDFpfOxtJBeTOlWuBFDV2TcAj51nUwx7szG5Jp0axkpwfErWfTmSeereQjAU6sz +8j8d1R2QBcZkLKToIrY7tsY3duh4v6zWBV1yNbo2LAcjQ9RI8h8XQu36ZYR77HAl +JiLj1bDEY991HwHsbtnppVPL90Su8jTbIC/Eawndp3/I1RKqGgQzbhR2aezOWDar ++6vSRgxuUNAw72gHjmBn4MXD6Udf3RYLTkXE5ktydv/lwchwFC9OwLZHBssL6C8L +vA8lXZA5rKSGo/fbaCHX8T4sikLgFaFT8xED4Iyube9vccd5KtakQ9nmAOFJ/dva +p2TmfCvl8BUsroUD38jfoZjtCyQ7gBfJY3w+mbUC516bf2ureiZS5Y2qptPhhKhN +3gZY7cdmVCIA//9nmOdsEEk7mqf66hlHuu3FoetKcLUznrEBLQaJ/WuOB/nf5+HN +i0SFqbdAgeiFIXqCYKddX6gOehQPpg8zFoiDA3vpJKjQIbwu0YCcJaK2wP8a0SSw +AEzQRzK30XeYwRC4opTgMIkE5p8npHBvBXC7SjFSccKIHzxqag+j4ura/gEurpso +wjkHPTuyo5YJhqK68tdnZ8LGY9Lok2h/6LDFTux8SZ6Q2h6XSlZNk4xYiOFk+/aD +U1ZU2MR8YP+SzTzpAMfalqH1+tN32xLBN1BZWDrNDiWe83ZnvCfbMTO0+IHnokkk +i8pjURLBqv200TN6ike+75A4EKWrXXWIaBFGtUZqpfqmCQ5iCWxUT1tHi2qBv3q5 +0kXP+oMB2BCM4CAnNh0HBlEOmUnUo7hFhARmHaozRkrbMWXc/HupkuWTJlgTz9p9 +qoYqtuaHuzKTRdPKjRIzqZ3Es/Zeo/ouXiDMbN0E8KCE7dpR9oo+6wXT5aiTFRml +l/3zfAtF/yekTBBm613XsddmOhOVCfeoBsNZFDuJpcqf6Dp+/G7G/TRl7rzPS90H +l36rG4Tbi4Tz3rFH9zCoSIIloVRN/XhS2XP1MYzlraXDwrY+I6M4q5D5DwO4jy0D +jYOurfV2rUKs6ohYB1xHDSm868B+CdGFRZYxwUlEr4s1LfPPga751yD3Rg2us6Wq +AS0dW5yl7YxxrXNtrK1IsiJIb9y0Zs69HP/SFfMFlNYIlFUiI4sWEgKT7t4/q26H +10C2j3D8n45HltkSPP4VL3hlsqKRx+d2D5TVe0EnBmXjW/F7DfK8ga2msZhVeIza +qCvykF/xnXTsqm34ReanfvjHV2WJKc1YOpPfst4iWd4fNwyHeLL5iE7d3e+pPmcY +LnAwfbbOJAJJMqdvH3t9iIrrE4XV7XHTAgrApTXouze4iOjCwdb3XTtrKBO29FBz +uM+HIr2Bwd76iBG5WfCr5IYvo0AkMfCRqsoutvoVH40u9OVm76XjTJHHtZet+wPb +DH11O8Qk1poCtmEYAIIVsbeRRp8cfRukknuMpwdDElF6q6N4YUZ/8h8eSG4AYnJh +tyDnYwQkEP6GLWtslF3kKruEyUP8jYoAAhp+ZHEdBVwsjxhJr0tW0rxr6/c++C8e +IRXpmGCIZwPRmiQ2o/LrwpaklArcUz7NLsHnSxbc0oj2jsOuAp5ilrKRmLCfeocC +o2V9fahKNJikiC2Ty1MqS4Hbl+auJ1/BK5vv/IiKcNFyVZqLQhWYGpMydg9Gu8vx +KSA1neC6CoJWmbBEA5yXmdgM/lm/JtyoRsjbduOLrn4yUGTHoRW3BOj/Q5Kz4wZ1 +RfFhFKPyP41u6YX5S6J0tXpsMg0d0UkMVrAv4T9OKmzCWjTNgxfKiXoNKE9KPQEg +7FB+G0cQfvwSFD/vJ+iRmJpMicCdsf8jPsccVicHbMhqdI9+y24ZgF5sTkEvKUSH +abOJElAzzamKBISkWTEsvuprQmMmlJLJOWJJtdfJGzYhglWRvUN3ec1CIi54GMoS +lGqPk3J11W7pIhWby2comyKFJ7DPINA7ACGrNZ2u6tJOAs1waP72dFQPn5ittp70 +dfSh76L6D00DDqqwaXbxdzPtRyp9/Vwt5oSqZWXkScXTxLul/TRdLH3VqE2m58Bm +hgTSvZXk4bY+zEDpIhPzu708D+t/RejvkIT7oHwdnwqtBksPdaSsay8+7YSWkPn0 +bJGW/0ZIgxEWAYvqD4R3Ebfn73miDFyW6oD4ltg81rDoxqoGBh8TohjufruB4FP5 +vm2AifphtH74nQFuiQpb9Kf4RsLUubuuLfjX7CqXAsojbGbjK+ueFdt1em0YdAiY +cqa7faB7UOz072yLquzTa6VjJ5O/V68usFyyM2qf7SDn6FkCkmaT5o68fyUt+7zQ +Nxc0UDxu5GaE/CnRYlRXwEhXizMcRhmIokKHOx9EC9Aq1cW2qgvBNmFnoLDQj8PE +A6pIQ1ahNlIJmSh/AEKRDT+ERHSFxT+98RcpmkwD7wdWmWmOvvG8TQnymJOZTyka +7l4LrpiIcSlX4y2Jrm9Ez5N/fSvpZI2qrMntoK4u+KsPmNtcPNX6EY/hco1FTOsl +JzR6Zq4nZhvi+XA+KLE0/TbymO9dfAhPzII7eMdU1Adc7bm4s3nQ+5AOil+l0r57 +HdpVUxSW+D30MV+KqpVMzLgolrkox1sFARNix+mZ1ztvxS18LJn0pxqSOnFwzWtd +Iae+BsfW7Oj+GFKRzY1NoEB0wIfL/NTkNnUCVJfarei4n6aRd49GPg0PbMkYDrKj +qgfGeonj5q8GAOdEKWboTfrmoLOCyJUJrBybUhmB0fMRpnr4NKQJMQOhtuIswxYe +Nt1kn/1HNomRN+sOqI5fSZAHYq9GNd24zyTBZMc/UDs9ng2cDKaFnS3EU2TyE8UD +j+JzYRF05eU7xaNO4wDTNdAZAHBmfI2XjcEet+ky6h7CkaSPLYvVUgyG2H8gRTyU +aei4JEbk6C3phCsaede4SFLCr14cOM1TM68nQHU33aNxOkTY2/cjjZ974+NyN6Z5 +0qLmh8erOpAB3uDsii+ryd5a2Hw7ykeuAs7YAj8+kSvuPZ7aU5Y89SyBUd7fEU1A +1Fd9g2NxR6d00PsFa+mz3fl8J/h0neIhMlKBlikP6SJyPLzMpZ55Oo7De6DvDhSF +zLGC/mFFiVZe5fzpNiLZh1gGhZGjoUy9mprFk0OdxKZjr/yKil14G9810CW0Cn/O +Ak9tB4xPJviTkGq00q+8gxBmky8DsE/X52MksmoqkFQh/bxcYaa7RsxaK0SqbMdT +yQOcshXpIjVGLzmboA8VUtByvq2SSjuUnlf1P0NJgEWPytY1OS6RFv6OTSE1qLX2 +Bdqfb/wUd657pWNdrk7OPP4dOdvfigMXDHdJhm8MNNBTEjtqcjjhkH5IC6FQdI9p +4+DLIc2KHnFplg4Y8+tkr6xIlmVNVnWzkFKdzHGrsgUM+cJgNYSfPdMMyPGT2Vn2 +2RFvWpiiS0XGKftx09sBXltWkeeulk/hHJ7unccbF0aVw7/92QHCcPv2d8levlGP +mAueQo059kmgFootwGi7nwgdHsIlqfmmfL7dCtSE32jXQIeX2ckzSjLhe1e6t0wv +OesF5CjUgulJCFJAVJjRyyCgFOJDqJ0d01vud87mymaz0vjHPMZ54SPWrFjwDP2y +GfRKXkk4wU9OsiDBPWrr9yAxlHBBHUL6HeN4ta9i6OiUG/WQETcjZpbusBNBr+De +bo4QiArAx6QNUd42XRF32IL0XUANYKhkNh5Ygq4XyDjzbhp4ltHMGQ7OCGFT4kgP +InbC+4I13lBCAQ7DYCiAUuGkuAS8/V6X14YgkaDrJ7HhlB6LoRXMzgq3u8pqrWI2 +uT+fN4eLxP21MyHPbRrhiuAGjWuUTvJfQMOJLtOgVfRQFJjKWNuLnS8uiiXV3hrp +oB42fKTpB8kutULfbGO1JdnxdFT1JRkLWmcGe1yvWmE2mK5xN9N7ga8vKC4pgl6K +RFjBaV+zFTYzcTb9TiiMeLFAaPiyOHE0WcAfto88uRAOUFnnavBKn1H6g3sqNpBS +iazyyXnRqAmbz8zQRyF2Nf22BIbxMVgUcDuBaC3IXSJtsbAeWPOxFXyCvvlr4n+7 +sq/x2raLm8qWTt7Xf3iMgpVpmvMhiaOQB9XnZzXiwyEOw/mgHVvhMNMfsbkJojLA +L+9V9sAAZWC6gnxfVekRoWRgIQxW2mxfgmaDNuX+IzzPemeBsIHmDEzlZm3Z28US +mJj6u8OlSpfX8sJZ5535Otf4IWeRxAR6TWP0bsBqHBaMdEzcOYM2Db1xmKej+cwh +f6pQ0NMpA0h5AbO4sfmscCIxT9m5QiqrnO+ugH69dh4hNOst8T4YDuPqb9Gm7qHx +huLXa48qs5z1/jT7Zsfbh/ZdrH9zd0xg877eZRK+TrTzVHb/Y95hedYf/Hi6RNhU +/vrzGqxO1ukChh+9/ibNl7l8eIz8OCWrXoYmAVNW+4QOhhaHJR0w3q5kYU62SP66 +77dVZhrDeYCDqn/fEnNIyAmvs9PsXKB1oKwZvgW8+mev5Ye1g9cpb4rnI2ZD0Fxo +FWQqJB6I2G9eAJmrY8254XYypQynlZ3H6ebhEhIIzaW1AuXi11Tssm3FAymHBDQ5 +qPc2XYII9Bq4b8gM6i21eujebUaSEQTVIE6fEsZdrvyjRNdGim/yEtZ2N4Usow+e +bgI4Wf9YuHETApw0NAVq7k3ZUAtyAmhfv2K8vds8JhebeI5+gH8NHwGnaWCh7OsO +TzUFrRXByQp2nfn8dNXlyrIOa8F/IXbb8QqmQKA2mDo4xr1R/gLrPjUjLQfKOYeV +SgUFjWj1s3CDbVKRAOAhkLDQz3JwQWX38abS2Ba3AY9RAHzcyIo32Z0j0F56NsUM +F2XE5QGLgQgtzYBQuzNCECuk2w5zA8kZ2DZ4tXCsEaa8BpUJFjvQUylvL4c3G0kY +8d5KTNcPIScV7w1ViO0MFAK2lFe+w4qx8lEWKbpj1aVYJfB51VD4RxM09MkiuB4K +nGr4Vz8RhHL3JpIE8wb2kH724wqkNt8jV+7RxnsKXCGsifG7f5oU/j5M7pUbnoaX +hDrnIowxHfkYjO3znMx5ntgK9qosil6cftiQ8kGVuNRb9vNsmCXRu9ak5RaeonKz +sHbhDNMC87qYYw4T3+/wE3K0Krn6mV4k2d6g0BKHA00KGNuegXR+rridGi31l4vf +CjGNgZfo3IQ4kVRHTvxNRvCvrzUaCsE7V0+PbInbvOwdbzMePAZMbcKWAbQjW9Af +sVfl3RYvdDuNJL7e9SSVTL9jE2rA6dr/u04gJNI7QOk65hlUhKIEyyG2LY52fNXt +Rma3WVlgWNiYYVzuxIsuBzgA1Ldbt2RtBVLeHMLbEsp7MjW6dYPp/KGM8QHnNTa8 +aZz3hlL/7f/DnvUQXKQ0+TjFvpzhRQp7HvqMUdRTDMAZjrJNZAHPYeX2M4mfRe/5 +4z0pBEdIsq3BMyC7UaMjJ/c2nlOa0JWjPYUY6uh//GgHNSU7ExNf1bkLVg42RdEX +sPEaQ8yYPA470hXukOnwnSkBIUXcGRGSKuRy84RrGlTdm2OrUFJ9gaXYT8MWNQU5 +bRH82O/4r4vicMkqMqoc67lelHOsUxuALlvSv1IskJL5mtL0qvkhTQRIVkYaam6b +UKHZ6l5ZIluGGiD/hSaCmoF0vEX26k5i9xfKYGE0rqvOtufkIjWKMDyxWeW1G4fz +WYHzAn4b2DfyD3tFFqtm7a15hBz8SroM8EE0RO54WYA0flU1Z8aTem2uISDhhZhq +aZsdKF9o/zQH01NDtPxCBrAX649WWP9L+EzwFhTn9uzXpmF2kg9Z450FZhwQsQAB +PLrzkyg10N4ge4a4FBOtae5twySEmDO4wY7Ax+tNAbE+mo56IAGWV95Uieu5/Od5 +QHt7Oyt8zy4iQZsGuluCCftAFW0kR+7CHYu1KhWWGLaCTp/MkjuPMKAMW08g9RI4 +P20Qnd/KZuanMapVxYAX8Rn6tQ7ZdFdedVhyIz2ALcobm/qQ0vY4aU+CWK70vxUG +1UKE0TzfgeG8sV3ceZrHeYtp3RSNEkHxzqBe/e8IGuquk7OQxsgdd7CiaIF9L1FZ +kGewXAa/qkeKa58ohc8atfg+WqfslpaZlDwYK9jlB5SUktE7oDX4GobdwwbiTyE6 +sr4eDVZdvPT4qni4heJOZk+AkrCNEeBPpo10ZIv5ysnxItPyQueY1XDePFyAxfmM +6DfSE6V0Jo2G0hfsiI4OD6YgJ3gO1kfnH1Ch3QtO6Hq5ku85WqbkG4Hu6vmX5H1Z +/kva9YBDxe24lkSctdFRiNto4fMZp5mLhM+OkHuSPvuqipd02GDiEsB7R08kICGX +KICOiMZRInWeMp/R1MOK3L9y1le+p6dF+50RMKUtJwDCPgCR94z22a6ko47wFXM7 +bDpdZzRIZurpmOaW5JDhZx0PFy/zHL5qVtTpKpTv3Yst0w7hOgEnLoZ7oCgQWDcx +lnXgCpeczb2hO+FAt5BpVaUiX/DkWiNtImEUPLun589jSbVMAyLCtyAql/bGdEFY +d8pOttuDk2ALx/x4aj4WANpmYEgCH5qQeMcpBTFygSG0LvPkoRAYgV6pmVo67GV5 +JOINN/V8yv8C2mIZx5DgNhvjQT/Bxb97yInYKDtLArivorsrEUobQ86NcFCSKb8H +dhRHRBiIbRTQe8btsFMaUeTxMemV+IHIbLvLvO9Ov4TPJBI8IGAEqbcWXulvXCHV +/4T1hqrH3O8FHRj63PTtfPfqlI93mXdegXJxqEdYHi97UD2ZU0npa39aLFqFcBpT +GohgJepkNMJh7qijKOZ/2HJiukyPHWTRMCpWNqMhpm8kqwzrI9rS+UWZoYAVGFVF +OVVtZB72XVax6rCH4BIJW7VqAjse/zN6xuGYMXvvEo+3rPH/Ie6enzngXnGNW35j +pzCkCzvZLF8s+ww7kWYLw1v261q72/cmI+uch9h83sAeInUUA3h/IwTBU84tmoE7 +vXLZ5WMz5/wWQJOAyR6Uao5uRin85nqEKqZ17qdO/zMc2u7pBGFwYQmVt8n2qZY/ +2YS4mJsz424KRmcGjSbLlRmEXaB6cJSukzWVfkXOlTab3dKQKJDzPeP0xekeneq4 +Avn/R9Q9AMpSmIIy+xP3wMOytZpE0FK6sDVYFh79ZYqWht0RwJvAe34ONgu8s4H5 +RfUCP+9UoWaeaR1AtHEU6pmAMpYOA1OHZGhjPdiyt5w/biNiArYuKdywcdE7/L3P +G0Z3R2NWRm+BclwgQyVQqHyB8tr4pUsJFHHzoMIKQAHRGPXgYA0cf7ETrkYaYXPL +GdxkuGEroRMK1hZbpgH1Nu3SdeFXFL7fh8LKwDE6kygLOYZaRSheClPD0CbyhkJ7 +/SEKNrOfaA+Yp5IL60KCWrs+2Hc4yQ1hQgIzP9rzl7ubpnol99a5FEJHnv/m/vBZ +pKf1mph+RfKZu2iMEIW87UBUlPKvuiMz7/6Ef6BQV84XoESyRsbWQ6kuW+XZPnIP +OQAuKrRHCiSYnPN6dKGb3vlk9NMIM1psIRP/bFvGXP/im71Nm9UI8LV631ovv+Sp +dJA1rYTRc7OiEpb6T+3682EfLzO6TcYrgJQwNsXWkgTsGgQZ1vlkK3+vlLaz1Sqy +1w49x+/8DgnLmp5qPnvRegi2joDmcOtAVRyjo4nXf0wHst9q5NfORiYZD4n11RwQ +uH/Ky13o6zVFMSyVdavEa/u/9b4btngltQ5FZa8DiOzDXFl51UWeSvh/1J47yK0F +MJq8jN0XbJKncnbnJ3UyOI8oEpkqJq8CfT0+LHQMXniMvnV3lCk6sxrst7wd7wIY +hJhm0YjtCmv/uql0Pa2Zl4tgBGGHcixDTL3wSg7IlLIYvHeEg78Kjtcj7+tV49TD +kam3I0QrG0dh4Fxy3OToM9mdsbQnhg5ZpR7S1Fxr5VABk68eN+++2E9arJQ49Ktd +KfTeZiuuMA1Ou8cSyeerIfDbLe8686OEexiwNPsTw+7DR5XYtpUtz6kDfgo2CBAi +6VT9IfPobTzp6/RIimmyjnhNtpu3ewH4EO2Pfm964yY44DlSkiyr/0Chk0o4YKJk +BK1xVyE/dqMYpuQVI6ZysrWL2J8vPl8SLN1vRyT2xO/zdSmFFwbS+/hF0e9CE/TT +DchvcsWvXDq08htCHHMkqvQ2iTdvqyWlMwlmjHgdjpvq3lqh2kt9aiL9grLbvu+A +mfgP6SJFRSPiYZSUZUASNVJO64o4L1sD0jJ3+TY1/EFTlQPxzMA8BKiKvuVRJnD6 ++/t8nO/Uarnz1BBcOgT9JI+CKWSi600LrPzhnqAdOS2PmcrxibHXO823cbCn9ye5 +ZMms/wgtNlIHE1/xyM+7XIfwBTH7H3ETzVnK0eZmD5UH+qmZ1ZXCWhcbkkxmynTs +8Jr+Sf11GkzZT5R9ZZNelUJeh9gd4V499NhtOw4tmFAmo8JCJtqlyeUeWJafM1ec +uYyzLSTJUXfR4wzhLGyO7jNZOuOgR0Ia9fgmFp274HxolJ7SbfEFB/v3V/D73XHr +CvyOLh8GKKGJvn3qBYrZ+l2t5e0xnfzYQ71YOV02l4MopSc+3i7pHRYhEJ098kAv +EooC5PyBSuwPUZCRsmmWswEDNPb8iG9a4LBcKJkJO5RXANDp1/ZB3GiIiV4lGnDS +abAv9BVjGNZi/sd1XcU4e3uKrpmXHg7U1DYuscdgQ+ikh3sdb+KfzJWp8ak3FkQL +4RIwkPI8maSvB8RuoPzDAxi6bhwBfgnXwVWZ+Hcycnzn8ctvTcy8w79vqQIwg2BP +pnArKa/IdUxyjEZgiNB5VtiJfQaD9XHTOP9UbvncZGv2Dg49JoduzdxjdwE2C2jG +ccQ7I+5zT8eD+rM+x6C/5o9NHSNHbT9/u2s52RCZ15i6ahbM/2I7CNN6piW8Cy5T +MF2765sUxIiqJPmvxAEc1tGHqATM0pUFrju2QwgOWd3sXA/9V3QgKPczUAEFv7HM +IM+Bfca1ILy/3a8yFX2MOlH9QXL7LKNz5OFGiUiMP8HCFbOeVqZZzViVwhpcjI2J +mUv52G6sqnKRHfov9TmtPc+75kZ40dGskXy1qUMO9s/J1F7z6Ru/egHXmS4Jjo2a +Df80nzTE5XQaK2wh2tgDZM9HFUzMUHh19RltpdCtTPyMDL+jy7hF+0Y7TP5Hd+8y +ny/fqQZtXHSj1ALy+pMWKxqmAbDNFNdp0uDYX9dCqV5ZT1dKAPeePChjsbr4Q7Hy +oqQCCKsv+wLgN6QQWIWS5quj95773ijjU58MSrxreXVEpgpAxtkizkoQCB5uHQz9 +2UkU/+Bwu7dcxS1hwCtjm3X1j9+06hv5rifsNZq8ouyaiWzX+kPSAZbA+HzBtu7c +kIWw6IKXQvyE/6ALp1Iwoh88Uwcfo8ujOrBPBdC8fKvg1USa/FetDBmx0bJvtjTU +Iohzb2PAzZ1/kO8GUisBEuAMr0qC/GXQlHASRqDUK9i9bXWUc3VB0li8usePeds2 +0GyZM3nUOBX1lcMsbJwgbwdmkmpX2Zt/pGGeVjww1VdOKSgQbskVmXbRTpWriU5h +l/XMFbKUalMRiprDg9eUosCEsJjK0/050VIN0D5OChgUbw/CRo+u1q2mp3flp5Fw +mcB9zODxNQOrkePnnTMrV4+VMYBBD5c+LlW4gjTLLZ8xv2axGvgbsHcbIW4kpPWe +T41IVunglPGCri1sqzXJZ9XXKRUT/g24yh04sZf3czaLeLnErGlXQXChmv3yNabF +MEBKjrSfmKeAenqbJqPby9cEHO84FCZ8XqHLJLckpLnDXX1vyzaYfz2jPu8pw9GW +1Ca+NNlzn4qR/Lbn4X4WW4t8yVGPcfktdcrzVeO37TokDIRSRKtRRSIgCSjV3JOc +9xy7N2Ov321RUjeRIUhRVjVRkb5dPVVvx91ViG8c0Hmo490CwF82opMjEOfuxubg +m8+Uc4WcULJoIDE8i1i5fOX3aXj+zlj/uzgTGrQ0tjwI710e+aiq20xlPcI3yJdB +UoCG7c/CHlLhJ523a1daS4RTNM4U6wR6zM71QHCRViyOkCJr0NE+TS4RPT/Hd61S +QGQHtAxGnEPBOwSedeGdmtAddIAHIElWUun8kW5HjVUqX0I3pQWFcqWxO27BrcCH +3SB/KKsttE3KwuQaaLHsmzSMRbqfnHWUFQVKnsrYzuL9MmbGrEgJoTe+fdOmTjM/ +AgUVrfa7Ye50Yc3Le3B9wx04xrDQE7tuP1xO5T+HgnqMjCmAYzERbTWoUb8X9h6X +VImBk0ivJPRdBz9VpnTihAAwXP4CQzdZhpQR+zk1/srOmiVcU75M7w4Q2xf+dAMD +8xfDtGUUyiqEbcnjwh9nVXPiEpYsprz8zCM9EMOzLnB/LB3TYyK+G6keawR6S/ba +j2UpXVecKwPDvcqcopBlx4Y6YqsCCiQTK4nSA6Nk+ZsptpzmZnnDsG+q0wW4gj5f +A/hO/QlXcp9XjdBH6yBYEoiJpQHBkm5VLzIwor6uVrgSW/Ln3KYi9bdlE4zKibpX +WoYT27ith+qbHc4xNlm+Vh9klH+W1baiIzIK9mgIb9MxjfbkCU134DyYGKvk6agR +aEJ6wmsJIgj/Dgi0va21cZnuxABuiMrF923x01cIZIcRg+HsRZvxfiMnQIMDNK4w +4nDB24wehnoSsB8jJ2eVYweQKUlhulCGA1Hz9sXuOkLLBZuu8zKyZpYc7bLfoSef +AZA/sqV3YNxyomKdTN6cmUQaecaLFGAFYB5frPIdZQlMZLxOruGhS6ZQx0B6GGs2 +eZC/rw1Nfz4aOlIN2JdFBToL0YiyuQ51qAuByAKu+joT/XSmwt6ZeQBDzgovTpmN +nkmJ/7vGJEl9+fiubsN1EJ96Q5vTZOhF7SW7KznFCcL3i2MY+uv7PpqXsCMPVlCR ++ttnGmNiVbBIFs71OoeSpOeKrfCstP4dOZCDl/d090npHMfwKf11Okn1DUM7sbYk +nchjukR/vpZ8+iW2HL/FuqOkDBO5lwCOyjiK2bDPNpSGSVjjB3UnV3IcAztWe1cq +2PqbRYvK8g8EhcFpUjXny45JreAclRHHXW+SHBRY/h+H7Hud6+d2I5RzlFlWbiQf +Kc+PS6leg4aB8Cw/3oDGjwo5sO5P7Ujb69V3JzYymnpLIqwonpLXledx6HbxOjec +qo1QieWwLf3xbgE8M7RMBp/8BagjISnmzz4mm0Pgbe2QVb/ix7bdx8FL6fs+1aAU +UXzUWU0rrP/2jbOcaLklQXwagKw2QT+j3vhDKGq5UZl+yuuQuG65fSmVl4opa/6m +ihIIQyckPwj1y7/zbkr2tuhTtEJECX5CzEf84D9ISGQMfSMXPnfvC3KLjovQJq4F +BYJ1D3AZT/MTCNcm5uOg+7kEv6xbVSoZHF52IoVG7P5L8W9uB5gK0Of2q9eaEf4B +ZICjRSDZk/frVv11ss2D7DbQqxJxJIBqAGbSlHSgPNEM+ZcsZzzy2THLFaueoU1s +Jr1I3kNoAtJNPcI7C04JU3VOb7VZ8BtH1wPz1uoE49kJ4k01rWdVxRiVJK4Jolu/ +snIR2zlI6lybguxZ+MNulnva5/HYhxatUYFq3Ub4/GbHr1QW+AiXIiI7B5CoruC9 +k31h5TREfxm/kK6ozciGYh0KwljUk2WySCkzV9B5tRYdt1LGA2oKlYNkREiMB5ua +BZLyyqs3vHGF/Fim3FUGvnFNOlq939UJSEbMGwS4s1afXPdo1spCYMJJyZiBsxpk +lbh0uf5p8ZjhWu2nXUNk2+4+bFUqtjhHf92i2CxhZCxs9G2u3S4r4gsCaEWKD2bx +LN1UpF9lZuA8TMk2pmjc+11URuGyy8sHIRqqQFKGs+HkV677fZSX3gTr7R6ChaLA +Mfbz8cOTZW8ySeDJ08NQbBrxEcIFYec0rNO6rdxihKTDjZVxdCbR4FFH6YQN0iI4 +Pq1tKUt44D1gwEBI/yCD+EyKeflrWMK7/zeAuHHUyBngQQ4EDsKC/Fer2hgENByi +Smo6C1JaokUgBRo43w8LqlbJkj7Mn7lQ+SfFx1EV+WU8110OQMNILuYwbjVqXXES +8bMlR70i8QqD5OpEau971jLRntLB7DaDlvBrXWA2bxzt8xeMBa5brUENU6tOg/UL +Vf5wCJ5gqhDmXAfBtAMpaskPQ88IClQqQiSBago63Uw/hJzco1t2gvj8cNF/yXnz +EIXgjLABDPSZ92BEgOQkUHWE9c5SYmXZdxVXd9qIU4nBbUJtd3d4fvTz2GQi66vY +Te5m7KRnTTvmRpLMJya3/jiCsGK3XPKl6QZTewmew0Mvh0+tZbPlvMFGEvkqnyPO +jx1WpzLxx7FlK/9Ng2TIBYryxQtL8nhmD1ADLspnGm4sSqLSfZ+JWyKVCCH/bxIM +bD2NnIBrS6Qb4anHv9hPrsYxN2sQ0YmA8JNvm1P09CU34nsLWJsnprRtmfXCw2R/ +uXRfBF2VyGzDq6YUzJt25UorvK0JQ9vbPGFRWB7WrCQ3CgXlEoW5RU4+WFPC9k0s +4ugNdNqGgnmON02t/rwaT8LCEtzgfYETnca9cQ/+ZIIc1QGHZTJXs29aDQo0YKAq +RIFnCiNqIV/8YJXkUyb9jjyIcm6pKbwdd7uHfngzFd9Hjbw2NV+Uv/2Irt5n/JAT +g8b/s2otBmNjaaaH9MvCJ0n0ZAsZWsRQ8pl61DLNI33y02IP2k5PWTUMVRoKwMFH +IVESLcubKgkcoy6Po5IR8N68UUREClTi33LvanYX4cyATH0MApjONrkty1gNUCWx +VAVJehG9HmvDFCgFbbg6qY1beisOgn7uPtEKPe2Xst0mUG5j0yhqDVhC7iJ1JuQG +HmRlhJ8Z/Or2tf/Z2wMLdl8EZskp7GejVWKIF0FitPX0q6YS5COTKlSp7AjzsRKx +sYrMFQE6mw6JUvCYz3kKIUDB6KV+BLqveNFN56S+EgZaFw00uhOvwGBRiI8a/BOl +5+ARl7hQq9PHBJJ8XMhBFNh9RYDCH1DKRIXvgCiaZv/ai0ziRnMkRTqr0Bzf2YFv +kcFYXbF8ihAtdpg/lGtCyOKw/g5A2jgPwcEnVJFx5x2PMfJoAfqK0KWhjAnz6rZz +jttQqw0ke7s3emoWOUMo5nUsnTpDCDV+x+olw+HuqvUVJGwOu30LPUJUEU98dpBE +zrqpvZKKjb+B6djuEUJ3s67IYFsRPWCoM8731fRx7fthvAd4mvTMK7dR8M2lIlXr +rcMSCLB9Nw66E20erAMUN+Agpxf2+Bl1TEil2jyUF1g6BN8zyei8kuXCwzCUy7mC +zsGGiv27aRsk5fGjZE7ppNch0+2YMayGBelk+usgoh8ru6UFfZGT2sLdrJAPCEjl +gM0k7VmAK2aXK4esrq7peYyyzNJIArpPunKhYlHFz4g5jLmZwuANnlqv+77XkOww +i0dEqBoeBrHstvzUvkFI6UEOkGMOz9J6/96+EYQsHD9WkbCIjmvkb/6pEVqE4r2D +q3znN47yo/+fF/NY7lMjFganReuJAPFMeYewgnL5oy67QymMd1Vg2VHCtu2GCc2J +pXwMCalT8FfUuY1Km6BAEA8cdZmQLkrbgqwgfXQ6QkaIwD2GeB/gvc45WXLwTd5B +Uj4POAJHNB5r89g7LBLEQGScMsPDhz/BshGD1sX/9/4pbWt8l6U2iDqWK/BliwsJ +HWRkg7+S6vZah1OWaEO45gQ0kGRICjkHOELhh015v2aIOd95plmqXbDG4RkmAlHO +i+V+tp01HaZj8D7ytnAo2ypXvmwaAM+B9LdtVkMkMIQeLGTRrdtLyTb4PKcuP+uK +lttQwA6G29JRv2bONvskP30ZoftlQo+a1Vcpu4o3tV70tgPxy6uEHDYf0rMAGAZ3 +gpZ9hz/uRxPQ1MAfxnu5faCZsytWr5qn3HGGlXuqeQUxDBxkgjiuwidBuqILsCmi +iFNqpo9juRyEbKJYKB7pE1ks5kPoxiRSk9GgxEYfzNZPAeoIC6OIZSeKFaZ50/+Q +5CEQ/g486Qkn8zEQVrKWDBQiF1DvLHthJccYIiyHD9yBgcSX+8nJHdqc5+P9TGqE +8lFFQWfcSsw+HYulCmLed2EqwitGUKQ+qC3SSrhkM6j6JqT77YG7UPqYyhM1xxmF +LlNj717+b6cpdSgQuJ8UWuUFo7XRNlyGk4VP+ixkXp1Orn3GA2v7CHBvWpZKq5BW +kSRI6vVzzz0pJ4EBdhpM7qACheplj7YYS7M+hylsWUVcAhu/aqyfGglZjFpEt5mv +0XcgEquiEfC4Fh61H1cl8m+H23U1JfWVsfVHa8he3zs9xSdMHtsYkN+mDSNV7Jbr +2HTtj3v3lKrfJAJC33SC/KI4oLTw2Q1YJmnZfHJTZm8i78mNfqTuhLpEKHwzXrLw +XHNmBLlC0PG4Xbh6vheeqvVveN1BZguU0i6UXKa2rBSwZFEOljqhDluOuxgx+qmH +8U4E4MjeHTlhrPy1uCOIReVPtKqCKcmZ5afqgQosCqKJsUWJWfEfMjAU02gHg3mC +SHg0wpW2ZcyWXrjJ//nK/eF9Xc0YfVz0NPFrTdzK+CS7wEJ0eJSpw9fOxZ/LL6ek +CSws+pNb1e4oiwkzxsOIk0G+o+EcRDa2rgjX5rj0WGfrN5ZYYVT4Rvayu3oziXMR +/pShe3PPWX4Hlq3aLuGXOIzU3G/nLzAyUAhR/3pkFS1vhSeXpacpI/7oJw4NoabX +Vx3SEdpgt1FqhbiiunkjHj3bll+/H1+8Dg+SDmFSUcYDmj03luFST4GhkLL2fWKx +6LQ7UH2XZ2A61WCgxEIhuFoumTyfhrw0fU7GVLu605RMLJyRwBNxQdH3ylYc47Sj +UZejUdrXhWVtgPOlTLDJclz9T80bWieAs3Kmso3+VDlEA0DPSg8goAttOAPZAkeD +cmZSs4BcaU5EdiG9dv/z68JtyklNp9wjmMYwtkeY0/JA5EA0LwS58EGI3aCQMPuy +RitYq/HcszuyOVxq4VxFBooSZqySFjut28ApB4M1tAPGtOvjpy+EegYVXhh6Vy80 +keN1VDCp1mKdJuR8r/Cf231HXnL2wkmzx+po/BG++RWE/ERPTEc9EI03z6UMdkOy +kgYCyzsKp1hdGTvL7Gt6fBeUH8Pg+PqA8tLblpPw06sPAlH3QXHDKtdpMm8OYAYh +UKONwnA+6U7J9Xj4BeB5zz/zOfZ5DoJjmsx/QwVoxZ90tE8xy1aGM9NJfYAG5uOT +kI4KbnpZCV1ouRXLtiWW2tRkJ6s/Fnopp9lr8lgCn4SuuZMkRWod6hblKzy80+lD +VlJfEcDA/Um09nzAYraW+VmTc6G5AY5+83khCa6ZQKr3ScSwKPFBDEtAzcG80RUC +olhINR4sA/QIw9U/BFKatyLasCLF+WQ+Nnu04UNDLJvR6ADIDCr2szbxk/9sjAtU +yM+POhMzST+M0RIcnry4C2mdVk8W2PuijoopLjlWdSQ35zvbkXo5bvuTDi6+rTsx +cl1UlfRoHlHJGPYYA+UQV+nr9CJWPyWVuYkv3qBjooJWmn/XdgBrB2pwC4VYBXam +2kzyTe3imcg6LHHehHfgVL63sqnS/5yoqvl8wuBtpSURTd3qzImJPE9GH8UJLnsv +74Ll9SKOlamdefjYgUeyiKTmLCsss6Sr4c12/3gQnlede3LodGhR/3qwQA0fK+hh +ZnfyOTfoq4BIXglekJH18FOXHROTdYhW4lkSLTC6ZYuhjQu/TJgmNUr3nIJCw0zA +Fr2/PFcse7SnAE+jVMW/Q7tAVfsCzF2d7RASb07CIwN2498LKcfNp72zgHeNKUuO +TdDTWLyOtrq3slxR3UOQowszSyT+m0id2g4UZDsBfYcU+AxSCMsEIafBUxu134rj +fBTPjz2Y4Z1BX8DHNdfwaHG7j3mSoYHkBRCpBmXDuqCTXy0jLcLOzSvmB/hggVoX +6XhPbTSiYBj7H3NqyqxDwQWE9ntfneralUOhIJb5JUNq5iOZ7R/bJ3k93M8xsLG8 +8MQEankOBmE4MWOrlmjCoczDp9Bpgf32hO6CSrQBUmj0/WUh5HFzyQus5S4uKyne +Jd8oyKGoO3ciJ9X+8T1GIa9Sls+tL7tBimKl5GCc2aVa5UnymNCh7LMltaeU7WV0 +4dQQoaF7keA4IkCkO0hvzIvWTAVvPhg+ILyFpHczjtGwzElmS0WGksYEVVPUNpEw +BPpaz4TncxVLBA47BwxC3UBTuDsyjslhqbo20OHZlj3OVC+Le0K4ajoE2Hy2Hk5q +D5eHt13dTuXJ0rujtLtPgoe54LqKDpJqp/Pt+oxBdwggNqPMj5VehY7uuV03nkwG +XLD0w8oYD4wu8IvPlBOVQxXLqxb1a5lA3Nb/a1QDxupQq2jhdLTGLsIUztrBD1XQ +1uGaFoYj+W8NueUB6/MVdgicbry7A7h50eJ6QCJBPLLz6M6UZUlC3L6wPW1I9FDQ +gIX+H6YKCezcN5jka86UF+95KmjSwyGRK0fwL1Ibc1ux9/BcvqzhdRg+e+24fhIa +k8hyqVkogDqAb6GctQsqRTi1U+YoiwfViJu6Qd3ddDrQbTzCkhT7reAgYaSWuM9e +b47Og3H8hXMMs58mjSoh3768au7TY1yCogRWsyBpLXlmuX9hrLATul8CH9D5aPL0 +QDMDeiJ1KzOu3ZPDwp4C5lMXNYHir7eI80UQUw+jRi7rtAFtVgN0enUEoeWCARtT +cEXHIIOuOKyZ9NDMD+lyaD7ZhxBJGyjQ4lT4q+mg2ahmvWpSANUCfrO8vA+O/TPD +8HLL1U1q37YNASb47dSOxDCstfvPaSEhWNx/bdgffrCcrNGERXJlOcyCrrl8SjLF +cpauzDOMoTSht78i+GnSAhgJGDYkL0Wn8Wed6z+BIloKGEP/H9UR1lVugZmzkhfO +W0/o1dCJnt8IlZWOtr50SMOpZjGGIEIG+vBatL5fsEd6hqvwr2MchbTuobM5icI9 +Fmm3Z+nob3oDrdLigxGGzLI43qUtC3BtuOJqx7o9ZmpMPsfPPRGlUr2EmjKAPYG5 +4LoWP8ntTdkpu8zKNqinjloEdEtGjkuM7hz6orAg+c7Fy4kV4SMyBrA70taed7o4 +frfFm4cJnsXknbTL73uWH1IexRdWnOYe2JBlvVQAFc4bt/0aM0dy52INYQ/Bxiwp +3dNl/7QjnMFVjOGea5jpVPnMLU5zlUCqnjhzROiQpv2x7fxXwIWMKFWSFYlzXhIK +Xlhx69XDD+pjn0rtqCUJioiJ8GkIJshgEH+LEgiA90lDI8crEziPU3AiKIkq9pMV +M7p6Kz8xkSrl3IdKmyLGLxvz0mavRIRsyfu7aT7jiqjshOqmGdM3J6D8+gwdU1I0 +97MaZ4JVl0lBnUgsY5PpThCM9+KGq2F4iouXi0jzV9V4yC1EcDbmGw3sWCX+6bVQ +ACS38l+NtOxt+qZ2BXhMzVG/IMGLzeCSzzENnfnd0e1vbFysqsjGCna2oX6LG27z +9l9kchTz9quVpAchaEF8iVKaKQ9AVos3DnDUg5F2WKXr4KZ6asF/+NvQWl+U6u5o +eDBm/lQNdGr6Qn7reo/UAnEi8m0nG6tRtkY6qyvimh6d+/9YCTa3q9iKdsXS9DWj +vZh9fcZ7GZb8Z8RIP9zV0/hdWs4n3SYoCMh8n+N9so6WZjVmZZYrvB/6RGhvDZIf +9pBswFTQkfgchu3KEl2dm+PwIqoDdzN73IzdBWe6S8SSd2T3MYTkBl7aDy09GTQv +JuEAsof7qbsgJIoE860RauDj6dQBD1Vuw5OtDNRS5PDDcuJzTeYchjDFvfXSXL4T +VACpiHY/2mm0TdQ4rUsggwJBEQOYuogxCki8p8UBshOnKG3oAPqGVGgkcOpyvSjg +2oDTCJ74UnhiE2T7Qfx2CBkrMs88Av3od8Pko/cx8oFLnwJQdUrA09Vbeg7Zn0Tg +moy/Mib0//SQKhZiPFyjpySvgL06PT1RatvwuquI7ubzugxmDSMfjh+wmxFx+ixS +CWgQhFZqyynmq16MfarfeIRcn3U9nBLieHJOOEJtcPgCxqWXA6tQxsQ9bu8MGPpt +Ka7Q2ZzfAcc3o3qMy3SszaXAhQ9/tCpDnSrpL8L7VEELgwDXdnrkb7E0trQgHI/9 +kS0zbYE3f9kfdqFx9dLd88kLeo6zVcWLMXJSi4VPIpGcfie6iCjZ/NAunOH5/OUd +d0Ohtg06ndIMd67oTu1Zxw1APFfuazsQgfhRNkjCNWJVZmyyI9s/fe+yZYoWSoUM +r5+QoBZQn0LP0pJvxvgMgZUurv8IcO5iBYka5SQNR6JRhbNkVJTL1pem5MCyB8GA +mI+lVPrehN1qZR0Yyf49JIFvmx8tiajIhGUxJ7zWpsqxtGZo9t6K5w2UF01/isOL +ab0+Ap7wdbxCgN7MQ09VZ71R7GBEJIpQv59cubSV/sCQBe/bnnreO4zVqUKQ7TB2 +0g16Q8RdHWu5v1O1ELHmdRtcAf91Q3J97QHje68fPxpMKQNKlUsygEzBD8Qwo/Mn +hmiMbtkNriu2kergeyzNdh5OapwAQHwERZ3vWyeXiA22m5SnWjAcxxjfTsr8QF2l +6lnWhWsvoki8RHavtyK+j1ZmCwuLm8DJ4QWybutfCQ1gQcAUQQvaufJy8yVH/YoC +4eMFHZWYCbtZIAjZLOXu5Q1PSnisUix54cFHYfdyfLQFNZrqy2wBKoKg+MZ5Orq6 +2QG1Od6bgbarG0Q4naWCr6pnQK0ixo1vV10PC41+/e51yABJ8Pn+ZJ/iP+KpMtii +umph03wQQ56at5BILR9eAk0tcppwMeAjVG9j6VybgM+aTBNgfneFt7958BxmP6DT +YXrMpTi9bg8ghvGRjl/tEJ7/yI9sSW5ql1KwqdSuG23+JFE3DCGKWwwf3Nv26C7k +DYbR1rRyRxWkjytrUMkSafuwsgdrUpNXmF00vxOmU9xD2US8xXiYZSSBlwdJg13I +Yrgahs2d+EBkuZEekHvs9YXmHutwLdUswqFZzJ176bL2sGnkcxWCLw0ZZeIyA2Qp +LxZjFujnePTmLfczmLhRhdX74pXRlh7SHACbkSuRtMZa+EntpxeETP+4XZA66mk0 +Mwm+/HEXICgr2/LB+PkmQMmHDFjb5a8yJmGrGAbYY+y9qr8UAXUjYmim3MSdz1Kd +Hctux9ECao8SJOt01F6Dg/D5VeC2isSHoYIkDKwD5bejdnw6QkLmblDkX8wPji/K +OtBF/NjALhDQ1YZvSpjnJ4CWU7qqJqxiltFRt0d/TziSt8IAEZzBSuTOWejZ9IDl +yTJHD/wFuUftAxSB5jFdmRWr2+ahYZUOOICGFyJtrZGKiLqNnIyCkCQO8Twq9286 +7fkbV9TwcqK2U7Jg3zmQgzmBDl2SiN8tHOdCkodYUxZOtTub1v41TsMD5IdX8dfI +5/+j8V6YggTMTzBHijwZj13nq2nt3o0IhVjK7dYUWk0j/94u/uTqYJg1Efbb104C +PqRF3yKf+YCldx7pesPNsQlIX/S8WuH3xljxXujB8jaUhH9mCzQnqhyC+9jE45Og +hleqOV+LfIBjXUe0wl/mcCH2QrKQ1EFgVb+repc+ntSdiuhaokrMe2btdxPEIQN5 +K6s1ubpkHTU7oelQb9Vc5846m60ouPRnZm8nveiduo1VK8Wckv4zrFCGBp6cmb2t +AobwOAX5anxb253ufF2O2/MmZ0298qgedTqgcv1k1QClCFMwYo+QAqLQuhOf9Lyo +Ur/TGYvvB5uRaHL0pS8TB10feELyn0znu0rh0b+5LWFdCxTriADxNiH4SlqTnDpT +hCpO6EKHPmQW/LlH3ewWz+w1vDhOgdigmCXZvFtoaQ4YxBxzjD+MZ/P9YxC6bBH5 +ZANYcJ/URabUXUfNwoFWTo+DzzbbEJs+cvfrL1zYEvEqd3j01i9Nr6AmH07Luxtb +NIv15Jtb2jQ8NVehcLlrQPGHrTbexiiytccLGeRmuRea4g3HYHO2JpOHlcxiDDyA +6F2tWdZY+wvJSoSltEFR2pPSddPUG246q/iuc+dlZbGHxtP7vI0idsOmpp8KzuyV +HiEd4/Czb/YBzBB6BxcgQrVTldhDA21Y3IHpa2yyaiZNduw+Spi5EVoPHLKkD4HR +N5KvxWNmqRg/d1fdYUXpDgeiDAmvRF9yrmB3owDaK4PyDfyd64XcurW004++JWT1 +AY5B3Ioca8ow2G9DCYYabdGx3OtJAczKFuNYhf93zNkV7XvINRx7E6FKwGDCTB7o +4wJYZZEPB9d5iph9u1jW3jNB9rZwjBcuUqJG0JpiqAY5VRmw1iSFYaq0/KmpN/FX +QNIl1GG4HGvCbHZziyXMT5MR5K3hasZuuDtbmZZvLF2Qzsli6O3oKHGY0NV+O1DY +9Ki+XcAls2OtSJzuBiVXaif/0zYCpKCb+MHDyO6P/obcIkwdcY5LGel+pFik4tyn +a6hAcYp61d+AGW7OO+PAdXvIqQ5idY7CPw8s8/zmB8vdlKYcyS6QfBibEZ7M2PO0 +m3lcu0eA5+eDPUDioFx8DvRepbutxMhJMZon+s9gRFNO8me+NcQASxMYUs4vG3V6 +T93u+3q7127deADdG//E11iuwGcyfEnMX+p+g1d+NahQu8ghkmiNomvoBKXic0An +T6mtXbDR4CYqDb5hr6OP5c7rlLxBub3bwjqNUbJAr6R0uQTNStEzyVyL2CYAII0E +OVGU1M+2025uoqZNXSBz3EnuaQQZ6iNe8h1IxFUUx/l/g9G/aWJVcC3t/Y6Z3F8A +BmvvFbwSmm7KbJtm54kHgn9CwgcJccssdpgiV9iB8W4SvfxRmFYy0oSac5pfrta8 +FfllDTY49Q9/8C9vx1/RvcP1hFEVjyKLpiC8p1vHbNlUyESqGnO0r8jM1hNUr3Vi +HtT4W/nhwI1i7XnxK5PXDRIC60S52upZtfwfCumqqCNWn1tg64IcHVzHIJrzVFwT +Gpra7bYUWStzglqpNgrYVxFpuW2P2E5ls28VlvptK0zeQ77KJNNJTHo8caJ7rMrh +WIB5W7Ej/GYgL/58gWe3K+NKrccejkdJi5lVaoyGo22IaMkgDQCmX6BatQAieJPQ +uvIpPvn4XEApQb8NOWwtuFM7Hk5oIw8HxEdDH9ygIFtnTm6A+gxTSB9xvGx5ezpO +W4da21d6DRPbioSHYeTwOVmLpK4LOaU9BzpFOIIxMK6lLYruSXxMEzPyCp7ICdSK +W8fJHM2yldvJS6no9FA7uHIxi/1DmVMdVa5I2k0To67CFXlYm+xFeED+GF4wGxt8 +o0vuIn/rlEY8b0YpfHXn2xOFT7RZLg9Fs9181jPiSrjgXWezjU9mLGGK8Jiv0YZV +BbXUE3ZFZ7CB3nSjNqry6fpfyjULIIEpLr2iecWDnvti6dM4n4Rv5mR9y4pzCA7O +cQJGywTK5LGGFcuV9DFSP5qKLyaYtdfUdR/Wz1JKOdJ6s1AQS5fZyI/I3NzX1Z9N +uZgEHrEfsNyyPivHJNQQ5U6wFPZ5vAATASXzmFmkop9D59sEafqsJnui/GeWqwMC +A0Uln4TKCqZ+P/R23HByeJDJsWfi0xOqMqT2VynS/kwBKJG/I819oY4VhonDV0uB +H3wxjQDfw0qFczoRZIgRqAB14aDjWNdCrKTL4wiiNfQRTeaat4ZRQPFQsJ+Xx8V1 +Rdr0a+C6iKgDTAI7pIljd+Kj28ztWWjYGK4nJ1mEDMPFCoTSLz/PRRmWeEB/YTYk +O6zEJWJkOv565K6ZeDEU+csPEj8lcMHTqb3ERHesF8LRbjw0TNG5SeXEd+VTiEgo +sX3DCYpqnmqd4Jb3vVqqVY5q8QvRzL1vW5YSJghQ4GIXvKI7mrqB+52DyNHTviRS +n9caeOy1lTrKlZLEOUv5vxdq2LF5rqUR+6VRmTS+eqCbn99bFqqbtSceWHLJiLhh +GdGdgcaHFBfU+X2+lB+ijUU9WXEBYzcm6lG1aXJnyVO1A4DeZ+jBz4+DP4oPP9IP +Y8EvCUJ4r7VTEui5cmabDv2Rcit/B42qcoy7ZD2M5I+yU5iKTJzAKLrzcjtZz5Y0 +p3DTVtQaPYZkuG7nafNUjWuvq66dGiMOHkLLL0E3lYQRMaXeRSJ/UXZ4fgnGRTN3 +25PxrD/gvqXDQivhJ6XmeQAUVrewJvQBy+sq11OEcAWw1hYXe7/wy+rxbVyJiKp0 +Igp3N35RPDBdrP/Mpt1QWervDt7dgip67mNkl1srSpDLmdmD2snjxiLe4tthNknG +tpP6d+ED/qAtANHnpTlY5ysQvv0IvNxgXZdBb8ZBZ/9MQFszdmYaFx60nyUvOZtw +aLg4j1UkWs8VSOEzBO5hCGeETxEIzo+gWvU81WoW1J4RWanmZAie6ExF/1cq3t8S +BqCifuexa/RPwpRUEVYF0bbZC8/y6thpceKxrnOvekL/Qr91BWqYowRNcqu+wnbx +GQOzYrhfqonrTA2zGByJSD6JbAG4RSsMqyUCBjYdjkzZXfip3XmoEN9VP/hQkYwy +dzw0uObA7weEd35u7W4S5qhJtGrY0BBx3CZhBY7AB/ITBjVN3tvfDemoehRb8gBm +mjtLINjLOdXaMWGXWFbjL2xbwrVhvoHl1x2FdO08+Y18ON9Nfsu/odkj0tzfcOJl +wDdh3iXtuKfSd5QuWfPxzpDqf+iWkljIZND7kbCcz3YoVF1vt38t2/Yhj6kGciGm +9Psq89TRSbziWstWZKprqL1XcI7gP4JE3xFErANAB7q9uUadt7iJ6q2VdhqLfI5J +1ufDTN6ueGzKaWHjNHaPbI+e8B/eXDFiVJdKulBrfwKQYc51ApAOdU2by6ujZbW4 +K5xDt8h+OmRBAIAHETVoedxUEWbE/HfVRy7ncTC0yhvMVrL0sOBbZ/3qIebNjsd7 +7cnVF+ylgfUvFx1xjm8YNBvtQcNyD3K97C8wmQAHkuMtlJURL5onZuTBEqOlGneS +zaf+9Ex1FNO6jy7DzXiYylxp8eDuLCgog1K1ebHwEQ41wH23Y1qiuYDGioYLLbQR +jwIokhNGpo7Ucpp45JaicPfdJ6uN93ntVx8qjefbpRbhEZivWqkPyAaUNk5ffPph +y6GCqpn/kCD5p4kSCxBlfshAZ6a1YefzYcFIWv51jXmvJ+KQovqQpR+W3IlpSUbM +HxVMSKtsMBp3tZeMNW9YPDXyb2zSJFIUQgdTzwRjdtEVbt8xAuELa6gsixE6t0iE +4oKTjXgAtG+I0gX9i4paEjl7cN3d/VATn44hdkZVw713l3onY9r2v1Iiyu/eVMCm +jBITTZHwnUSRWkbtwl3bZZxWxG4Kw77B478FFC33te4AC8ZkAFL+gQQ4jHC2JX1z +BimRxM6DRTLRVW1djNsV4zrD7Pm1uk/2PKdIBf2MdqcRIfhVn3f6gIWlIQweuGCF +IfqNCsSamvDU+ogXjNY2KHXKCuOtyBuYkF2ZJLLAtWHvQpyUeoT4RnKwESeQ8NXT ++tff5OvveacQGJKqnJDG5ImsxoaS+iq4M1bT8oI8PZwc2Vkgs7TNJvQjh9CLH9W3 +h4xUjacpzQyVCOMbeSZgCSLyeU2ItRldvw0y06cazRdNzWnE/5TpWWtojLSLB1kn +utBhMY78gd4WYF4xIfyAWujiGbNTwc8jXZQ21pTYDndmfGmb5b7D3S1p+Wi/44EY +ydGOJBrbOjgaWB0bWohQYw3vpr1HbNYVfB9AwgyGz47DsJexG+dY0sBGWO1QTbmq +uVlJJF3T23emn+MVrZQrqJjNdfCO9go5Azav4Zf6NdFhbWXA6aR9eA514j6GS/TK +eeRcNOfeF+GMLKK7xhrMpTLmhUllpgJ/OZK3/VN9VolOnnuo+vqw/lpO9nTF05ki +TxcnfY6yXeOUj/EBCC2GOY7AYKGKX38Tzw0d3WgrNFO5fkluigOba4fpX9gQGxY2 +H63nG8lhdy3M4E3X2C3jPMv7gZlliVQAcb0OLHH1pGPKNeHl6N8E0i8IP2ibYysc +7N2spZvD0Z7deKR5njvrmIyaorx1b8hF2QIYFS7XZneX58+Z1MFMCQ/luOSuWgL4 +I24llxzbBSr/UhA957Axjd13urRlzlQI6AqKGS1Q77dKvwUAg7707k0t//T4rV5X +A43QliVWUn21GYkk9X5goqFkO/TedDfqTqb+E31JwFxhwQDNcX+9HzOsLheEjt1R +Mfs3ZCqh7sPKm0IBMSNRdd3OD7xODQz0sL95nJV0Imu1ElMfpmwP8kGEenjZD16i +4ttcJRVtDjnc/9V/SkdKNAykZwITzz1wjqKPgcKoZy33Mdo909JDk9tVaVtyLSUN +krxnQ2pRNIwfUifKjxxbbkIOYsbKT/7ddA1fGYz+CxEbfeHuUhYHpRbx9rAIY158 +TwYAnOGRe7HEUQv3lbi2v275P/XK5RtNvcGTS8984RNx3FGfqo6eZApd06svVnmE +N8ebqtx477PhlzsknRPWpvp6ozR76gmszxkQCt+MixE19B4rU1yskI7kXAt8ObmR +lCa8kFXl0hKyCNjbXZ4IX6lZRAjnrKVwG8xTwAmc9ok3H7A/7dqLBp3MkdK8eZum +RjhaB9QValLHh+fvRXp3V6zRSGpWC6QwNftb/WBD14pDAMF0sIwGBIOZIuRVkmjc +5ikjqmpj/n33oGHr4IQyYbxS+W/LumXxOt7FcdzrPvytZyIxdrQSceCQ8rOiZqi+ +oS4aUyrRW6y5y2tUcOxQ7fjaTYey5/+SSGogQ+kO4bg70R241unL6e4vetVfg72v +jTrTaJXtgJewZo3KQHF0Oa6qGEenen7gwOuz+0v+A1oSz7g2zDJw4MA6FPMNuSzX +H/yCfEhhY6q9B/E0cdalfKfXwM79ShcTL3qnnebOrYF1/837aZZCydSlw5mSTuVd +YQyaXT7Qcaw9EkRrHLbM04/V5jlzhkcYZIg4HbAD7HC/0oI/IziQdqZI477yloAE +uHc4YQ99/Z0xhVUcWDLkEqfPfiBXf4+5+XzAlf0fXD4PgN4XGAS+thV0piQH1ufc +BOjsuOI/Wly29p9k4wrpnsEOfkXt2N+HA4Wh5RmfOHgmHeXi5Lp8R/uBpTaqA5X3 +9lDoaHrUHPWFZ5VZC6wQUht1Y9TM+iHfuVaOhprbZe0XDypU7iSvTbBd7NCnWh9t +6bdjvTC32i8P5CUT5DSLPe5DzD8Tx4+tn0uzfkhr/IouuCHeV+fjT6wwAzFld9ih +PuciDoScLsB1aE2uGSukAtonckQ4j+mpz2kqEDlb2E12fPCQoKtwrkUOBPRnSfDq +zInVrinzy6w9TVNc2BEuHZ9Rv/0e55IxnZyu8Qrdwk8MGqDmpwJitsbmFrhnkHqY +ruG/Bll0YkAgXH7VdYsgHgnqcVBka05Rf/iEYe+Rbyt77Jpjn4+rVct3BpquPe+6 +oOku/wDyAi/FaDvoQgpk/u/LSv3MAg0AkBHzFY6aL3j8QSrD2FpOC3CfYm9mHRYu +bOjTci14rTu8+Tn/nSU2cxqrT6euOHZgCT7Zxmw0FZSafRyFNZo85EvfEeUWRCju +KvPduM3kuwIbCMBl0/v6WZmWCrTe3EunKHPtSpUpFPoHYnDFZqok78ur+YVHzWjS +4vjmGcdxeDNPYdquAdjxQDeDMWFPDM4+mkqTubvsJINPh6EWfJMUV+sWg33Zs2a5 +5/miY/cqZPd+rLKi2rWp9vYQtSJNn8LL7bTZwrBQPmhGy/Whc99qZYYE+KTvCQQx +Uq2y35OiUmZAF8LDXYKytLxXUv41nXCRB7JKnBlyyuiGfBMqSNgF+Jjak2LU37mw +5eUpXS0m6oDOZ24LmZEL65fGQ5dBs4hgrk321gplEa0RyHdGMii6qKXogXa4hU1C +vs0qebIHU+24cc+/hdmnh8zLqL8bvWGfqJcgAF7jeoywc3/9kFreq3ywzfY9qQY3 +9hUd+54RKc2+M6KyGcokLCQOMdSLctsUZh1crD5I3qBke4na7xpFpl5e/LLIwrk0 +z0+EwTZfcFfc78dNv0zK3ED3I622vVmDQvOOwEqrk69wNsHHpiadUers/RGCyr67 +c6zlZmR6x1GcMzBUKd0jsEPCqAkJKck3AbNkWyOKP4eqaTuSCzaNVv0FD6JoXuBp +at/E0hkg3IeXsg3aiRNhW6eKTjoFobYGROU9kN0esXAWIGQi18ndRQJyo2igpt11 +i0mYA8yyla/bYfOOYpB1payZuaR5k0OF1zuL2Gr2aTue6oXjoM4kMUROdLi3WAdV +3lySsZuz2sCawYs4qOYB7A29nzhDPOnZSAlK33NC3C6a3maDrDz9O3L33znAiOvu +TSjBCmOLsdDBqeHcK8dNGJsNfbsKI3DmrERVq/NjIHdLgBPXJ0E2meN1hHydESuD +gN7EMYXag/QDr5Hi3jbk7oPkY8J+/chMw5n2r3OS7VjdIw0uDHPkVYON7TucKAz5 +GeoRYcalgxcKWGler2X66v/tbyBXBghinV8SWBk5/iWTSx27Cjxst3vDSlnM2Z9s +rWHuzvgYvMJ0gntp7QxZZ3HbsF6lQsugSbtjNEwP6eIrYcQH+jijjBXYGtkcSM68 +wzGlopCqU5z6XKbC8RFx2HVZrH3EKrRy7d4P3rbHHaRR1Vyce0Qw3X/HNEX5uZAk +sgxofgS0X1XCraQhkMK5ttUfsXF49m0GpvhOZh6qZRLVe9vuOP2d6TYrQSkpZ4jU +58SiEDVgRaUwdBUYJHh5cbi2sJN+tyFaEbAmng8mWNqAIm2YP3BrPw1Th6dnaMg1 +VJX81rfK03D3P7U42BB8kJy/kfXK7nj8XJu2o5EQInkHArH10sSWcZFqWqNIrImS +BHypM2ZxmVN2O+vOkl7T0wJk+oN/fxDSQH6Kzfe6q4crPSQyfOHr71ZrJvFqJTCY +EbeepMBOtOj9md3fKVMmo//snuTtG24teKIUUblkXvVMy3ju9UpT7KPzFEKBageb +4gS+r7MqScNhVmGZUKC8V3vcabT8wH31xz0yJthFsqTREptYgbW9IBvnxh3UvZNX +G8rdkVJN4HOIedUBKtIjaDrwi3p9OvmoV94rnoRx1+YPuvp6Pxs7ZYExv/73Uj/D +ggkvkaFNggoxiXQxGxIh9Xt4XjTxddPFNG5uIHyS8d0C1dHaHIQgSlJeyP59HNx/ +jjrzQaZVEkyhicPX0wC4B3uIwfNNKi7D51CLYxcDlN5NbmjRnQoYzvqe53JUdoHc +MZgJp5MmvPDZwBTAJgv55lzKxsjUsVAfjwxe5O//0OuqfUIAc6VwjeE9P7j+o/N/ +/Z6X5+PkoLg0efrvxWNxqrX7GDzkv9ZSsdxC+r3yLwCePaj+SypGCfOUcKpkdX2u +G2Hh908jHL0tUUabO1u76OBm4fmT4PTAGkA81a+g+DSv1R92dUequHmghiIKOysK +4yU9hKC0GaqR5U7Bl7HxDvG/RkbOBxojQW+6qzG2eeZBg9ibnfAsEEcFRDxkCgjB +oDiIFtFvq0K+v/qynrDHVvIeluTlOE7WfErqC701uyDLMVOxb9PPzlbG0SupIe8C +1zRErPNBl+BxS1h8GqqjSqUmrZrv6vA1xqf6czrcLBM6tCAgrWfcKDzHoBCFbAxO +UYVMlPrGv/r92wWRvr1sUCGvFx0Klql+GJ9F5/HhwgVjEs8z0PUvN+EOemBfhXIo +McmZnT+QjCwr7CkQ8ZQlDTKDceVkU+5pGUDW4Eu10dFnW4M7Ui6Q9qmOd687UHzf +V+Gjb6PkUZ/D6a9dn7qztbROdJCnOJf5tFSTYLPV5gzIn8nNt0n2mpcv73RiXDXq +WrqxPpTHfbbiDNj1USpmqaj+7mHUw8DpdXCjNstvzdtMoDSryie25MAo6sVbujPG +gLmlrNdgZmxv1hjGAsZNvm4H1OjFvCkWe3qm6Gt9iV7OXrXPLApdoAMET0he5mMN +FnAuM7K72xzeGTyXPqluad8REyeXqmkBc4MBG3Pn7LXiNOSpbr1zN93Qb4cxNf+Q +5X24OIe65ro2SiaqxZSiqZiW9R3Dwad2hkZvNQgqfvGMcqx1jh1v5a2GHf3ySVa2 +DGcMNsWiOSA6/XHpetqW3FI4tSEB3bwoEJZ5fmXVGZZJKoQE/OMsblnH/0bUq8eR +tqQnlDPBKB3FrFu0j25m0WamUCONBlq5q+qfoLqUvk6RlkD3eLfjDLszMrgdy4PH +hi+bq6Yz6D/Li9tyiKJouNlQ6Cit2fJhRntjasTSje+LHDn4xda0fHdXV8uYYFwF +xij+tm0XnexL2mzU+Efw+XKZUB5WvDPORcZAS5JKQOs7D0rT+xUzB86i+iZA51dJ +filLQB8IHjhrLo0n+9Tz7b59huK6IBC3Nsfv+LkUFhwzNWl8B4xHygMoI/fBG3+M +5J8elC43cohBKob1OLWihA8FZ42AdXrCsaxUWf5BxwgPMxbDgDD5J80a+fPUhxIi +m4IT/3qKWqXyUSTnwbywpKVFu8aO1ZfNb7CwAZqCiBZ9C9W8K+AxwgXhXXSZOkpb +BbM5u4Gx1L6NfvyEdjoBCTRz6qQl0DKbldAflSRA7dYmaP5oTDZVLl/yKjpZt3kk +xZt+8l2WrnQVVmcZWqxPIb+cZ2jb5EGifpVZgzaFTWCNiP6zi4CdNZIQoT4sXREn +BNpYskBpjrESoudU28R8lxbWjbDJDONauzWYZdAOiX8dkkmaWsbMf3cnwbtAiigi +PVi0fd5g38WNG8BB6n01KNM6Ag1kC4erGn24W/G1GlUxfTLP6jnd2nvIoFipSFmo +9GGOVt51KjzrRQ8ocrh4n5x91Ouv5/KiuTpczLd+1SDlaz67cByQbFCmfljt74SA +MMuCYnUI6ncB7ctJEaw32H800ICPYtbwjJDhW4ZLZ7V93Nwj3LtQmGh2NJ/6gn8U +9eE/1vWCjvT1ZKzN/RFch2sw0Ej+Zy5wyR9t6QQ7OVcIdQtRMPUFPcDDtfn0eiUA +XmiGkzcjSW5oAbPaLlobVXF0Iy/mcyv4utOybvWMlqb88tjcn1uUGBQghr3O6Hok +GwVkEPipqigVpx6veswSSQqfWpcw4KogiigrfdPBZtFQbvyjRoMV7F83SUs7EW8z +qIl83mXLGDwHbT4IHMnOQzV+RPBHEP/FQK28cjq9bmjFg1h8GiRnyrS03jg74Yi4 +P+C0BY1B35UkqW6msAXfHOxx8pKg29nCukjcSuc/bg4eUo44MRrXNc6DySAHWB7p +X8FropSwb6A24sE2/IHGxIQaHfe2ee+ayvgbPym4p8VyaN3EwKLVbHu4saKj4HPD ++WitXljzYtoFBYL93SrLzS0XedImttgEJzrCXzH6ybo0QdXlE65Zky1/U0FaqdSe +e9o9doxxxTXTR8lvQQmXSe44baeu9cqxZGcjVEsaSKLMWQrVqfbgFOoy4HaJ0nE3 +K4X0HMyBoORGfrbCAToje8MJDOZNXSk5WZy/GaZZiBJ9nj3XK/sVRP4+T60iLdz9 +00qdaZmsDv/RmtYZJz5lazF+igOV+Vz8ho56SkwO4N/r52J77kXfBzOIYtSVVUUf +sylgUONsptgCpK3BuaA7i7SQykWl9yYGkBgBA8T0V/MKnR/FupwgXtfrfhxnHHiX +DO7idt5V53KWMFyKbgWUGlzMzrbzcBC7CYVlmSxAFgHUiYgXtZjX9tKo7WcmNsAy +LLy4B6eogUaIS4QV8en1nd9R9EqfeWpm7OQ8kx/jNlcnA5Qt0ZGiP7h3QFgr+8n3 +NT8qXND/OaXQ1/CPe45qZViGuhIFuZRD4qV/hT4JCQIY+sgYOrNf6iHOjZuCHi7j +NLkg3Ra9dYpE2ap252dUxdHo6j/exe3kB1j9aVtEEBFZiRHcMlzLNwEKl5OvxgJR +lhsM4Q2uOo9zMdMQPDgG3c44eD2x2iLCpKSxJNzKPPSAE8x5vtnaewR/pPTPzXw/ +Phe/Btp4rXjmzinzywhysYMKigaSNgkvMkWQsyTa8OX+RgXFig/Kfg1IvbMWvdAU +ui55fE5pzJ7lBwHOvTLrgnYraAJ066NxxohPZQP43F6AfYCfIoQjiolKcxDokhD4 +WcEJA+9chSzufhkZpYDAgKr7SqjLwALWd4aGExF1kAx4kYK5GffmngFsUzki1t+D +Zf0KdeNFgCEm22un1y6nIAYxv1EQnBUB3vzK1a9bPhcB8IgXfQr6m65+zzygk7fk +p6VyN4s4c3iWrQ2jlBcGYqwE2pjhPQg9w6GPpC9v9hIEGQejoVr04sqqKCn+E77f +8pOpFXiBQRVTmaVxZzGLIOSLopS7YFiejySIfSP841c3JvNe0SdKemFjnQGERUtl +eNtNXGBbJbgY+jZhzZL62XccRTz9NuJW25KG4ahDflsLR/xcoDvkHG8O4/Aa+yBl +z94vUcxrlm9v61TkAhYBFqRm0Idwaom4TseOxwZBlZQYTGThJ2gQ5y0KmpbKr+iy +7bYgwBwk988FNtL6onOOg8jSk7nwvEaGx/2XuSr+tUtJtWKZBy5SWBW9thO3yOL9 +NF7eeUVBfgOv1bYO5jlb5tbm3o7GJ1XS8tkuAGGY/ys4UOerZD1XnWEfAnIfpDte +WLEQ84d8e5pe8Y45jZGt4juQQvkOEdvvtgrcQzwAKGTHmjYDrm5H0y2S9/Cf4PAc +lnAvn7aIAub6ILOsJaVJICnBlcC8Eg6aUAR9QQrKatWOqjzfpeJcH/8CyNlpva9s +90EjRChEWjvgYbkvu6GY5ejywabPhXd9Qoi/4L3mEwBSBv3nwMebQZuB6dqQYuSr +rA7KhxCCniWb8hgZkDdHqIxN2QNqYpf5BsfciSura3t+6iU82md13kqJDkAOmJYZ +n0gqIMYIrVrZmYfogGHu5evl0aN6e+b9rio7veM1narT+o5febD+t1nad1/OmAqV +k/MMiBiyULTbAM5Od5B07yMAuoNAifsZWxxe0PAWSijZM+9hFgyeK5/dyQ9AZ3M/ +ASidIjN6ao2DRqQxULH/6fBFY0NY18y5M+u0watOO9j/xG391GBXG2vSchwl1ipC +Ek2Vr8QirkB365s7gCqqygMmVxsIjEnAbUytOD7IA5Z2p/iwWQPnEWiotAJbbwGe +/7irbnOdMMN7TkYl3fxu+VMM8zBUmV64SuKA4xF6G89rdeCwlBMQOoxOG4GzYamu +vQZUjZQ/okYbbzKETuDbIFzPD3XuOKSA0ZJ6ClqBVHtgnQ+pbRS3d/zIgSLO33KK +e5ELOxWMGLsI9ZwP+HqloC6JWH5lnE2FaL85wOvjJzIM6ET4Tb/+SYRyQdYxycWr +L7s+40mSqE6lLO18W+C0ApgNeuBYPzYS778eH/nWIO3E3594eOjnq4MXOr0okgGk +/UXFrjEKU3JqBYvJlCtfPmoT95fn0lfb+RZgULaaE74KnBJDzW3oUI21ItqF19N9 +g4Yw+Tw0DxZnHsl+Ois1ZFim10cgKvy/nDs+kU3tEaPMFbf4FBUzWgSwqyBimAyP +PJjFObmXpPXB/0zCHEMimaGMPxo6AykrJAs1li2xPrmqQuJ0kQzUy2TA2lnZHSyF +ZgR4efugW+YcD7Ln+krB3v7c+mI7pTgg8X+jvcpc0zJmgIgFaN+RWUic1/Lx+sIU +kZXqGnCdzbjZhw3Oj/g0y5N1FA/veoYxO/vjxZFvnwYGXXM2jSuPZPQfTXp/BMJi +eCjM4Qkkyj4NJHddEBUWLGprknVTUHwZIYKNg8gvs3Q8IjOXy5Y3KXq+2lpvS5es +izW8JDeZ2YeEkllpeIdPfZUTnNzJmgz6VSeCe3J4BoCUr7finqSdoCncEj0unCqj +UA/6qpz8QFt9qe6opm/BJwLUug04TJMTQ/L7oRKKCFp7HpYE/PlW8L55sq7o8UG/ +FxTy4rmkGKRl61DWXth6kARS6c6srSetOz1PUBxKNzz/3orjVEZ3gMQUNDbe4q66 +Ik5UhKt6i96YNN5uw78u3zsJ9FXwC0JXMnYKX+JEyx8OwUfGStzeGGkfXhMmZvY4 +CEJKx+LuPJxroVs+lsHqlj5qRjtSkC3jAmsEttg9tf3VNH+WeomSDCIR6fk1EXGA +a/66LckvFAOBnBCIfCy0DwHw/Wsj1t3hBsBud+Q2c/s65nUsj2RqYhozXnbPlWiN +494A6P8CvGct47hUZWdCzhuudCaK/gSKCSuKJC3vRafnTijlhWv2e6G+Ki3FUnOy +6O/L8pi0aNWGm2yFO3zrMSw56rYFQ+OdowecY6I24MbE4wPXbtO8MKsoLuSK1cGB +mEnTYF6HX2KcmMFrgJgvyzeKgzPxhAYCwrJokbYk+1c7GxuPq14bhOCnvatCjWFW +tWPg9zjUQq8KhabLmBCSlsIBoEbCBZWj53ZQFxmkaaPBe8d7d8xgQTHB16AcT8OI +litgela8Qr9McUIOO1b5M4ighmPS2ccU+0cWglfppbMcnU4pJzVUKnRnjq69DKmn +rSTj1RAaVka/vxjbT26ZHXG9EEiGH+NdmjNXFfnnS9z+jY5eKdtXBLu+PAwOSiWR +w1GoO6k1eDwijwBwbEOZ46t5YB0TsxTm7jjFbr38aDAOQ+hY5OFFH83KpHq+kmSb +5M1ed8I4VDgKxWtYLudgsEBF2njbMDCMt70YAv30uzLLUlHRoS/noin1iuRE9DtS +d9M+EQrvOzZO2Qm5aUbbOuusjb0rAWokKeZgjio1Nf10IplUrM47VvnWX9pOeoZR +DG2wvzui0GKlfokaTwlER3y0vVlC+7KFWPyADS4nDhZwtQx4/zMQp78SUPUayni6 +SaldGwTyu5UZEXd7TN4L5ak0JQ0AhSyezRW6SDwWtDyxegT+7WDIWOjB/bbLQNpX +4v9NqdC2cXPoTQcgBMWLkGbuZDwd5ReIA6XGL1OyVqbRH5WcMzc6HVysH38RIvdz +DXZYqnm8+Xe1aohB3qM6zP0XbMmcMdTUyKaYNV27oQ9ZxcwYHtq89cq582c2AmOB +oVU0XkuB1lwYoMDQQBdOIVfz23ou5JMH2RPYGFxBnTQodKWlzdY2vaX+dnfrmfcW +GXNA9g+qQVAkhWIf3ejWeVN+kLstmkV0GTl7b6Ttf4WiM5ns8bZzY7I+v0g6moig +SYyb4zVoQF3r1EEt5fR7cA+byXzwzKSK0hNMPn8+XFmvRVGj8CnWj2PkRLDh3u+L +hDoSr7s1qL6yYlEbrxSQC1hPsleOH3i3cKGPgfZWlsE5LQUeTJtfIVgO61EuB7fg +Ap1R5QhKYz/kjrvctnW6j1+ME5aaMS0S6lZCUaqdKziEDCPtkpyDM0CPqLYlio1U +HtGtykCMRZ7hVBo3QP6gTDUZafh9zeBBFSHqCZf6pYmyiATrClKIRWMnIxpBZ9Ud +LOAkifx+f7jq0OcIGlCLCMRFj4qDHAb6cSCLaBPstWNsQckM2VEbHcFLSAYZaCGK +RsCT/fadj6DsPIqn4MNBzZMkINkYClCcKvatkpVefXOyIBBaGjzaIZM+LRYXEQdI +CExxs1NQPW3tEGS+czVQSq+hY6UnKAqkW9oJ190CN9Zg/NbpkNstCb8xtVk05tuG +Six0KPqHYK5zybqXGmuC+qhM7apGDnKYDZfU7/H9o4kFwM4jepsWQxI6geu8wk2g +KOpCNAnz5TaEnPrG4G76lF+NCdjlR5Aw7I3w7d1i5nT2RUxOdl9mlWTt2MI3JQJx +xLA6pOWeOsRmcOool9/cWUKxn69Oo3xYo1r/Y8BwubnD94TndXHBelIhkalUmyh9 +UiYVrqOHafYTTy9hx7m1/ubjFHBUSp2ndaRTfePntXVOK6YOIn1a9aZYHvFOvK3/ +9Z6CibQYaGFunVivnAmP9JbU24i94ihK5KczY9UlRvMfySbojb58Ta7V04QF1DtI +mSHRGkxzK4sla0pAU3b07FUQS1WFZbGi4K8QKtZ6iGoVjdnamWiAhwk8R1Wk3QQa +ldYp9xlxIfGMdluHJ+EHJ0rIJTerHQ58p/CjVCWFl4Lj/Df8gCQa0BCUQ4GZe4L0 +qzC5QDVill1d5Md39Zl6gjBhue63tkxFBuZO6O+UBdJo25AWJKs3J1sVzPNrz2dm +5HKSyAyFLs2PG4I538vc9zmxfcLskEvGi50i1MRL5+98R04puwcrKD7TrtXCcjlG +LTSCjcceGu+RJVq3Utbw/Y7Y4T9au4rRT/mmZjTdMFx7NJmoW4i95TuZBY+E0aJ8 +vL1JMnhIMM8RwccZo4y3Zuvs0sA95UxV8U21jDf825BcbEdxcvh1O0KufcgG3x/N +j/V1F3uy/miP0yQ14rjgsHpV/gYg5ouQpmnFRjckWuHklCeyzcbfPmiTGoIKDLRb +ujuOm7hnlLTKbGmV2GLOSaf4a3TU/Wi/DuLzQ/9cYOslgdwwOmIsq1LUWc+Dy9UN +Bh3RhBWZ78+fSW/FiX1EubBWktM+mTtZKVPUH5cJ1AfA25ItPY3FOO2+EeEf3Nao +WJgRCWRbt8GeiPIa9WB7W8MnxtjjTYr2CDsG8BA35Qju788SF+WfaEbKkUkDJpzY +Sc9x917AY57DNE74llsJNKuDlzusAp1xpDZBIUZPgkLTxhMDWCmfg12+DhBifUUo +m/MIQce6e88tF4xg/dbRpiuPwJHl2f7BfuKKz3kZJt64HRK2L2jV1h69W1PhRsrQ +cjH5sFQiTNEiY8THCOd8Vaikanq66GJCg1D5nMZ34A5YFg+XI3Z/ZvWIHqKoqY5I +lT8/ZIbm5xiYJt3HhKb0mn153bzCRupvsPEYtAgng+VBB4EYCTzKB7eu/0/SWCaY +ceV7axEETBFWEQe5lc+BCQwCmSD38VP+Aue8YTFjDRtemSBnqduf/BnF7JaKSHwz +9dJ1CNKXWanrpNJC+FAcj6vudDP0JH0al4quTz5Z1ENr7G0Ftw+lG+yRiHwkIY2k +5vJBBF2Unid7L0ue78LdxJ/0JcQ//bvBM6IMxdo3Lt+I8mfmhY6bwPXF10lb8jS/ +zTwFPKLhln/ff5n8WeVTzlaHz25v9WolRfKz8pZPslmagZjWljzTivU3XNm7LgI0 +Zk8dZuDZa0J1z4E9JV1A5bCgr86yyCpOmcdKK7oAdysHZXkDV+e5vZTu1D9TiNij +spa8c4OrNro9tAV8hNS2BlZJH9BSVk8neMYWCWq9qSWyCku2Z0AiytQO4JEgfH3F +93HhgbxHeel+gw0C91whZ/5PCoQoDyZcPLE2WBUFW9j69GvL7h9blTAIDQ75J6zw +WMv/0TSyMKDeFApKy5LuXIzEM51SlDNipeomPBaSsB3bsb7ah+/aT+tiL70IuXUQ +06BtQVaw0nR6G99Ug2+mgmWgdIQqQfD2oall9YQe76NUtHfZQ732/PvXyLMIeeC5 +sOY97KqZU+eB1rZyOlpb6IILK6so3A5/qDjWFkelEe+HnZ6T9Z6qT91y5H+cicds +e1mpqqL4QWH0wrxkSiH58smo7sw07zXvPSaH0ATzk5VJScQzX5ohOGsAvd8g5o1F +0TecGJsiAS8iUqmQqotdA6DShDNtmf7QvolNej6g5hg5jek8lRNf3JTnHEWRZEyy +aRtBPdffQMK+n4Y2QoGVkjhPV1uk28ylU1UsnAtUfB/YVm12ISH9yZPHIeMX1rtq +iO6cnA98XsH4Ab6dMli958705Nn/eL0gxoBhvkxpsPDXQtJgOUZSBjzjSB2N3rXr +OhUM3nO6KqDS0YROR+fuxGaVh4OUPmSlTrZ2ocWZCpfjFNeZhMjIXCbj8wur4Y9P +/GAK31/bBp6+qvAFAOzD0sY/byb45uyyaisbZNMbTX2XNJcT2OrKqM2sp6FzL/vI +bJkzHBrYmj0RUi8AnBWFxPHQBxWJTFPU6M6+MPfqFryZ+svFiDLhwdwtvvu4eD0u +JTZ5wSsgq/DyZNO4xsj22rHJ83JWf/UFIAAyKtagK7c0g9p8By/SIb0Ia2UG8Boi +ol4LqrAYfm/M914gHysX5o79JxjcOO/eMCGdTQpWlWqSS6qyQ7YxnN9iacuosGwI +G7bftOhRatVoFMpg6Ox1dd+GtQ/cPTvjNgaYO8OIWIMCgmz4vWrI7ScqX+8OpUvm +tieDiQNm80A3ZNuoQUN+CACeSD28EIxelXhhC3/0UNulB1GXI5dMvhqRAuGZXWH4 +epaSrAjVSrWpyHacA718U1z8OImYoemRD2xhZH56qpTAa3yvJ4e0UJO7yggJd2Ij +z4jvcaTWnPSeWLAmmyXRN7GIPv3v/TQhW1RJtkS+Rgn51fY6uEw4+7k7WrKQAh2g +IsmeaRKtUw6yY/tBYCXLzmp4//wBBFm3ODMR4mtx/yE/L01wMmmjCNCwZNnDPymY +XB9jkpUQwnWyxFzCeOxb16guLO/kirQNbbvoGki4zR6t7VfQhp3Ic2TYPMesF0TQ +dpeBnpN3nakt00NKwh94DkSg/Yf+qyiTiXv03yQ154pC5xYdxFl4bx/EsaDslbIm +zN3nDq/clHPs2JcKM6G6igEhFkGNFejXGQ7NKf+EP+M11RruQFAGmCi/ur5tj8ux +Lq64g9Q0bllZEgnTlmZ6IFvS5d++loMDqBMp38Mcjw36JyGufl2fxtjGlswBKqn7 +u1qOz3xw4JZGKz4NyOEHDCDdIbwjR8SuVLPZtGpbm5dgl53ftgghO6B5LVjP3aj6 +Cdf9T9bcFm9d8X4+SpOGVZnoFoCkL8CvsNJ+s8yqGiarRoa7gZMQQ4DHDNShhx7R +au6yCeQAhHEsF1z3D16ilizasQjGLtwAz339yNtyC/5QyGacE1Fspahpv432B3xD +cHSpUB8wvl2z8tAYdhN37tKkj4zEXgGeVMIKgOcDduiZWM5TCt5r5PoiE76V69le +lc90rXiLSFE03KHmYyLEEcDasF/5EK/1h72Hb/emOfQE8OAsUcM5d88xmaKhFjmj +bd4OBQ6hshVcuZuTviZZdJI4HndIelEs5ojAMGgbZQH4UC8aqx0HRFI5K0hcDS2s +gD89n87zoUeVCvryUG6M86sVpD51fMvrV3IWF6MKM+6eEOgB1W5aHKtm8dCOijY2 +esL3H5XjCINK5qigonDkravjaPQVnUih85wbB+kCfyFAuhC0bvWxH8JDOzddmQJd +gbZhTlhy42+ZvxUKy6hNs7RlmSXOogLA/ssfvtNxH8NVsHpGePt0KvQnDyXfq9PV +Q/dm2oGHiy3adXiIJqOxASfkTEjQCfnuDVZcu38VukhKEq6fM8267JP3G+WJ9FxW +77c/IjNrsZbk79sLgKc0Eb2XbQ/8GohdzzZLXfUU3Ay0UB+9zoXOxfhQCGzDGfl9 +IWQY6fDLTNzcCyZHb/4F0KhzSkJxi8dLrVrA99p1PPgc0gDW3/zj5UoUEg7dWH7W +ZERcqxX1SJwLpNooxL78N7xJidQk6mVQInpEJI+1GVSkX1KUUdhYFXAwbVUminom +SbEOPFPSS1RejYSS4DKYRpPdnLF9r7AgweMVLLyNC6S5RuCRtLMYeJx8D/GUh5s3 +H4IaSplSYq5HR1sDSUoPBShoggbMRpOUyfkmpfmLUfkTjMuip1gywYYWsw9eu1iY ++SLPjJMXbzeJ2cUnAbQhauH29aRoHrSFXLqdJ2abxh3VYJk0ViaBM/7fvbQyGvzL +VUILkH6dPM6ltDhabJ80r+MZfBpJSwh1ftBLXhgQe7YEwmE9gC7ujcmEbT9srq8f +Vqr9rHiQds8W+CpzVPQ+PvtNtKaIFS8NTtCPbpx9ADmw8mP/OxQ11kwQ3UNwr+iL +fUWRbOk0VBLyC8xoEvNYuBN9zYdzAwnQAvknMruXsozCWoroVJgw3LeIOo8wzU4k +rVMYMR1EEjItwc73TKoJCEx4BVgqqP7BXQnMKO+XalguvJxnll7KSg37MNJctFmf +o8RT6x3qTpE2DsFa2n39Zy4F0C2qNvW2+tc7aayZE+c5MEhD5Y9BK7sSpNV1A5b9 +xPCpBK6gf0gXIsfoJHKqxPKMg7YRyFDUO/LGN7aombkDVNg6/J/4tU4FswOy2YjA +wNp4DelLEHtCBISONVag5+I68T2YsJ78TJhed0/U+NeKj3auFNVyMfEnEntSGlzP +EhQl8EEqgDNevg7vOGEh0Sl13pWSK6m9hZ5yRDM9YddjOqUTYoEe/Y/VqnWooL16 +LSaRQwYoRwp5QXMTZF6nSYCsybmmS/Ukg/aBYlbC4FlBy7wzODg/gFhllgBxoTc+ +EClzpkgMkqiw29H+jCKszx9eRSRMJcYaAFpxFh4TsaJtmF8FMsPwzEOHcqaPiRSM +jEsRICsIijdAjSkOF35iaaTS1Am+gLqLvZjEIPJtEdwvJ98uY3cVYMkGAwDp581D +JIsCbx/TH1UGvhbVi0+1CM3giy6tHAnh/qYT3iwJpWThXt7kqA2/flJ0bVlGXHqt +fSkuKs4P82Di29jr2XUZI/OKmZNJFNr3HxGLxnrXfxm28V/7bXlSC8wljbcoSx11 +oNfV/AJfn5WoE5ETI1cGdfC0R+865gsi6v5CfTC4gUBo+ljwOOgwo6Wy8GWwMPhf +BW2x3Xx6+ufFDC49l7CongOmB9jXfUBL35++AVR4Odayt7mCyNUrNoIRtWGPACwp +FySAfme0MW4jaUA0QKgxQmi57pnBD6nRT7auQD0JaWwP5zYV3pgNJWeuPTGodht7 +gsr/ZFgt/LdHGevcWWu6T8t21pvwvVZupk4NnNAEJ18PHQsaV7qlxeEsIqKoK+l5 +Qhinqrs0YZrnzRaZxWID6KYJ9i9C4HGA5iHNDJZeetIm9Sbdto0KigJHfa9WEa6b +o2Ia9eGz5bzmvigI+pi3R+lIVJ/bwr5+Ep2lzpRFPkn1wbab1MVMBkA2RIMapSfB +rP5tMAxHk5JkZkpdNMDaqW/IwoyR+uIJl+Xf3s1oXkYlLiqa728WtsDRE6hG4Wpt +HMKllLNEqedhQ9rCuGwqq8z2Ug0kGP+3pbtFcNExA4yCpBZGzqZj5R38W64Uuq3W +rFQOBCWGBd2x6rW9lwOee0JiHv/C7alk1Wd/JzFHO+TsqOt9FrcGdLnz1mNxhVRs +9M5nli0oDqnI8+6BwHZ7tA21euREhZJI/hMpECR/XGAvBXG6C7h8rjGDUPc1+ywG +v8JOMEELRh4/BCWq9mPvd/FlywU7H5uskXeMkx0gpJ7UgrtDxOJadYn+nyd3UgAx +zVgCvVaULEkbAoR5q0dsfBMQuqAUpbnZ8MFGX5WCVVijn8PTlPryJGw/QXxEhWeJ +UFwG3MfzZPlTbJ4d1aMP/SSgczd9sVVS38Yk209N9w8IZ0VpmG+5fD5o0sHD9b5j +ypm10C0J9ZlIPt9f9keFZmES5NcX/Vl1t+b7PqtUsLZxqcsMcE/ALUYxmQuyMZ85 +75lGHrQSCZ2kXxf4ZyUsOYIqbja7YhsjTGvmAEfSQ+2D6ySg6nUj7gB1iTnEzYA0 +7nPILxWQo1FbGb8FSdJMVO6Y8Pl3PQi/N3eRPTVmiPxa2zL74A/rL/Ay/jbKO99C +LzSoVctKCtEaTnHX0UqbqOVlx4izYVl7KQTnprI9o87Ot0T+OHSdtx5gmqA6XPns +W8XXnmCetHkPHlIOvpYOrJN4WHOagEtAtlurWkTUfKku1gHY4HUmhLP5AkuwG9RW +EI473ziinLlEhU4rzrjqtB3TdBEx9e1AgOmMR+tHhf382ry6oDeO6v7FVDNhGqSN +t8RwnosmVY2kqCi6FJrmZhcW66YZr8tVKtUy10LbaDInAdk0ywPiqZgFUDmlcmaT +VXK1JPsBV0MiffF0HhUYqj+GQhQlX0WxkF5JiM3ARlERUj+OCsWK8n+0qymXgKZ2 +Znb5ufxQZ502tG9euOtGAF4ppSuEWaRPbtbODd1/WSGzQXYDOBWEEEqK6m4KZzdv +xfA7PCqZnmG/SeLjKuYB4e6b39q7intOVU0tV2ETdAwCsJfp6fK37kl9sWaXvYFa +EhKDLlIaV2IYZGltSW2ip78n6kUsgvgR0fQJ16K1OeTpGKxm1OiKnkrgV9UPQdn4 +e2AbWaRbWULXRxL/r07F2xfZfhB036BiEp/nlCOv/mBU4ku4S7BZ+rRujLjOZiEQ +I+6CFllOUZRtQNDTUXg7twARRiXe4Wen1Ldnok+a6mjYaW3DUTcTVdqXcTDOwuDV +UmfZWZuut/gTOZp8MkDxw/E07HTNhs7prRa9NAj7U/kU5ywbDP05YkMFKLlnqhT4 ++zguIhBsDEigmuFh5sAkDGNDC8aMLAcivgdkZALaq4ZUw3jAqNHpwdPI4X5pDTsY +vv2xZUgIY5lnh8ODunYZatf08FntOIu1Y4wBsegqoz1eDDXZ4TQfsJKv3ncu7lm0 +Wegr22aG8ACEBbtira8yV6YySHRjMCD0IwuP7hUuNb8cbLb5eajuS0IGOV2gUHdY +2riWUk/LomhiOQEciot4hd6kFhLO1e44s0djl8hj7ZKNmX274OVCO+EoD/4M+6I/ +8lU0Ynpi5OyBtBRvApppQ/NY9gt91WbrgAGm8lX3G0YzfpXz6kZBrSn8kusPX4Qp +WpV92xvi8KHBe0Ln8cV6HHmXTOALb1VE1n7XzcyiLB34oQw9UxHBDVFUngww1oc6 +rZkrRkBmrksVZ2X+OJbOKvSrIpF/pkVR/XN4iWQOw21shvPLTxlmBfH1e7R8mRaK +M3wWx4KpmrrmwmDQByFhSY8FFP7wN0vlZtpjIJDsZ6GG9MsfaM3V2B+WzJuW7Ria +/rY4CCVAuzw0bMphslOQlBU8ymUlzUfJGFPxz26UAqY2htWqlKT+H5V8Itccu5mq +KiOdUiEHBAlxUqXkfYeGU5KUv2HEbDIo9XiOziJSBTzhiH/U04Kb9z5yofy4k2YB +2ZLD9YEPXTvp5UtzRigqkICBAbd/fvaHZpDfCDWJBgEgnq2lfj4POq3qcTVqY2hp +62utKkoX3/3qv3zewkTeoEvPGvei8rhxxvcB2P0M01Tz1kdNH6cFVu8wkCB4OXh1 +QQqzRgxjIQFz3YsdDgbQecfvQpeQRUqiwlpaCYgQJD5+rf21WwPKZNqjENwRTXE3 +sRjJFBX3WOyIdrfXj3CyB2omU52zWltwHttSaoOAk8gOLUaQrHwlhMj5hxKO68AL +yrEmfUJIFCWaIpf5yynUG+caVRLptae1gB4DCjYkoX/nGyVuXbVR/VLpYzkUe6gm +TZfRbssVmrU++S5Ofj7n4oIHsUptoKXuDyGxKpSxandIEOkrhcwIYL+YPXjfp26f +8H96MEKdD66Qgsrkb5ToZ8Eh6R8Xoo97edUOkWiIG+Fz2mtpgRd+YIFIwrpF8Zmz +FFsglJsh23v4roNWL1C/qWJ0b+LtSCdphGq5ta0xdIzraYIsAvuk1B2IOoQayXrY +zZe9dIbmA3kBHYujvTjOBA2UIVDqI80fdl8UCbtvIiz2+EjZ7+rPg5tROrZ6z6V4 +aMMeM2+WXkziepRWMDRebwcdJb2KjP8do6ajXNToTM6uHDNzQSd9qa4Y+yNNlBJo +h/qskhRbFXD7ot+lPfS/q0KCXZNOT2hakM9X32KD2IWXTZHIl9DP7zm97bOJnE/d +tJb/dQsjTsKev3NFSuIlizkSvajYTUlQIzuHRLh3ccpoHdDNksurxGPcdBkbAw9H +mnXXECpfU9lImb3Dp/Ux50dSNvTML7nREo8fc47fjfXcFAo+ILMuoqZE79v0bgo8 +QWzZd0p3MeQxEZU5BhxmjPWraBk02NlLtEh1s9SJLALR8xiJoEVGpmQXe9T2ljR7 +JiC1JWmr4y9Q5E1q7/9943XgwFK5oMC9q3P0/7opfF4iR+oQSMpMVlobJPruGB04 +SIWFTqLvTfFpH4u1Nv80rm2lAK2pF4whSuqJ8hLgkXZGv59UqkXVQZjsKZUh9kyk +2SlnlAp+ZBK2k6OPK4jhgUD0F/Z3wpuRG427Aie44osvsM0vKiPy1uyEkXx+6MEZ +RNj2NpySFjt9WrSgm7z+haOCCOId0UNvdUBX+1YWWDywqzSySIXpxa9P9v80X4ga +aBYKnLrnJuR9XdiwNo6vPTQKghyB30R8xFUMVxvl0vAHL1W8EcX+NlGDAsTrr8lF +yh3yZ+KfIG8IPopiH5AjaBSEdljxIxcNTAb7qgQqJJnZpopygEzS7l9wGTI27M56 +13bm/s8FHqkZrKYdfRqJ49yfgrLyeRDe9WU7oJT6T86biEa1hsKW9dGovlvKln9t +ldreHne3jYlwYwtQHiPQmPsw/MaAAqGDtUyyYcwtAc4KT9ppuOZJT8uEIFB8xycm +xkJmqEtmUXvoq9RH3AlubHfD5pJ2mvtya0IR2ZbQ6F596U6P4AHXePUObHUTwyOe +fxVCh5SEyvtpU59H2ZGo04t+CEWEf/LCRTue6vlGToeTW3MLcgGqMp8I4FF2UxZm +NEWb5dwHHSk1tXa5n5B7YS6oDV2y36Nq1XBBVL6OMhKYNhixLwOk5gxZXJeVe6vL +jB3nIExDk+B0hBR8CSzcoAd032ewMH7F3l7joDD9vj4weOpuYV6pNyq9vKnUa6ri +xIojexmwSWDj5BYpXYppf3Hc8eBivoEMvWUqMEgIVhaN+WyQ1s6D7+POcShOIO8l +5MEJfYjdrQEUXhN44sa3GBp7QyKwElhIlf5V74wnD4ZVLa3aaFjwDSddTV1xlVYE +7D0fzJaN4PccC8cDoSGHVHDxatagJP6bpB/HFeb/Ofp2GtF7pou/KcV7XsZp38P5 +aseyB85/ipw01AM664YOvIH33paANsW1R92Kqz7aye3Tvt5GXe2Cz15XwBsEn8i/ +PtSU6QOd+d4K8fb8zxY+EM6gjvruLvDcfEJU8e6QNlVKjGkASttD18Yd3taWhskG +t1yyofIkK1vLcyTu2hB6qg4bUi5BuElalQB1xB5Ni/MORlRDfe4smpgYCOzVGukj +BmnFotHbtI/4M21tnbtdJKnK489XCsMnJ1oar6YLQPJLHAwfvZ7NxGsAAkzx6Uto +Z5v8Ynk+oKmYM2cMzckeTd6bj6VoM/U4bfUgpKM4P5/NXjC9DBXvcBc9TpecromG +RQJsl20SeBnKyZ8sozxLISxyFvpxDPjY+AHKF95nrOsT73xgTBFuPJquRiTlcFRa +6YgS7JUmc67Hx+7m2+9F2f71sYdkjKbB/Xf/mHCcLd1dKMKMdaSEX/paDHi494pr +EEvd50bVmH/MlKChjYiv4kS9i1zUgz/8SjZ29fuxqH3aCykWdv7DpYDLMGgsV68j +xMEWPZvpn41Qy3PDmn5DCDfpo4Lp8xtLRtqQqsf8SOnsFCIVDpg0ogwfn0gOi5di +AQi35cI0REDfAneDemSS3+CbRCOCC9B1ZJmEHGml4vx4CuzjRN9L8Q2zjNFNT9vW +xyLyWMn1sYVol8nuYENEwt37zeQ4uHn+B/d3bSdEBwv2lV2WBMk4J/YhRlpyq/S7 ++PzL86wxITsK4RUC/4V/2WzMF+YJ5RGjiYuiHSnydN0mhXY4Qexp95AC8xd6BN+a +EFye02b/YrJgSf+Kb7lrEgq4uo+71rlhsZEhvpJA4JbRwaOjr/YQZd+Xo5vxke4S +HJcTd5WEOT5NTzXGqPZZIrWP7aDAvhjpdD2ITO+v26J+4TMUV8HfEdguETd4Hz6Y +BNTbBdtfONEvBbOcHDRaogDRaVkOzBVb8SYZrrrzB1JOEoulzZAwF9QZIbKsiMXb +djcpU75yekdOO1yf5cyx0qBwWfFwPCV4gzd5Elc09TQgqNKx14MRwsmRSrtmTGQW +yWdf2WPYHvcYrwdkxgmHG+Nc1M2HEYKOy04Lksmj82hTRzL0OWQn4wasqRRDyTwn +GjpcEOxvlO9vfczK9JEXFF6En+FO6ECD4uoNVuXrvn/o8Wbf+JM6ETXlVGP+/R0H +sUs42qflVm2nJeQ5VY4bzq2u1uyLbytBQi69Sqb1roYz3z2RBCsvYtIzWOjucmLD +vCNVfdT8HGFuz2Rlq41Pd1hHX9+0OljiZHbaqn8hRBsG1fl3wiJVp56HyRsmfygD +t/74+Us1CuSu27R6yaVn1Gg0eiUB1dJnnHjo7lw1iv0/hbde2XXv/hE/r/yl0z5C +0OlWgIVqARDWyztZFZZ2BVzpLpRQnvm19FmgB1xcTgr3l9sWZ37V1dn7TdkR6PXJ +43xBKHf0OMOY/7auyZzHUdLn0HFeNDrzEm4AEpXEk0k/Fk73afRUetY6stYA+Ct2 +/9/6OvH1SToPdQ06GLyUg1t/zY+4dn+x1xCKIMxaU/hpSz89ooAi5a8v8MPW/wnv +wtji1xuJK9cHdqqeEUsbRz2IcMgygILR6DGn+G0RmbHqLQ38mh4KKt/9yKOfCUiE +MHqc8lYdIudOoUTlBeqtJq6WzH/VBCuhZ+cqlJcdS0YtYrcTobstkavA8Y2jpzTd +9ou7dNVcmE8zTHAT7WT1omhGAGjsMUluaOkvhnpWMIm4nyIiR7/gZ421j1C15SAp +dARW/8L6eyhJ6HcPOhiKz50ZsLKbu2VOzns17LwMwaZHvqmfMd0TZYd9chVfGdPu +khACN7LhggXWI2x/8GRjWbpmXiMi8zDG7PWOF5TnqAgf/XlvoHsrseDfUCX+10HV +ueB1XFPgi9jfYAesgULGymKY9txO1+wvBYRgAxrXHMuvRSCo35VTbp1b9IGkVBa6 +kcIm7J7wmsTylKLwZRH8wrd959mdokebO8siTGwGcnbo24xbajwJNE3177KDQPD0 +06sYcYutszsqasYLrQhutLrYWcFFbzR3klnXr0ic9lDsF0ML4L/ChP6KOR2Yk2f+ ++v3gVY0WRz6s8rOulxnWqF/lDudQHvYn69xCITNNCGDRqeNpSSRWbzzAoEgc4bDg +1+f9bdNCLCulPyihWlbm4HlpH9E5yOWQnQ+T0FMEf+9xwE+CX2jinqJhGeG7BNxt +Onsir2bUEg/4ekUq6nL/orJ1RsAuWX/pKthVub2/9ydeGPaejRJ2YY5g6AdD7U0K +xwyvRMjJ2O50e5c9LyHLv2F8vv363xCWlVPEWmVagvp72rxSA7653e9xt0Gy/uLS +9vR9asQXmD8+tOcnw7ljW8yWWWdSurrbwsxQI7hvBpRugRe8FoUlfUpR1Flvcceg +y4jxwMRUdu48Chjz4tZpnaapCKTI8p5tdpCKXmb9j1Eb3dCkRkyz9UkOt5fR3otR +gqjzTX6C/dsYX+t1zWEVWmvYaT9bLdYnNYRttkaOAfgc91nCzUQjeQ/ueDdidZ3y +T8nHFvZhJVtpx4ccTTBS1V1M/4D0PFta/IxUanGuvHAjTbUbBujLfOnAm4lvm+CC +uoL5nMcyBuKtCjKZeWBvitUKqosIFRDFR30SaCnyE8s4l2OE4Xl0vvY5BFjkEWV0 +ZmKrSVoQHQXdDn6Ubefp0u0wQmw6Rjl+Kf+4yPqEC/jUQsLB3dutE2+AeWpDw45T +9TdxIDcbK++OxPU78nPwmyVanIJDuIW7KuL7D06iWdz63hkZ7tgFE47a9gxr7pRR +1TGeP+JeDKmI+XQLsMi9txwO0uUBjWWq1oO+sdGgg0oIhPvF7/hTVlzZ04BYqvlQ +++94lgtnPjWd8S5UogEhlKM7jB7uObG9FWuyWtnuBqozIFh+v6oHeU7ld9o2I8UD +GojT8bmViPuTMOiUG9DAQ69+ECAv5ws7xQ8+gL1NjFRvNnuqXcbZNw47nBDN4ip6 +D3O6+7NnxloLkXYvjZ670in1DJJC0gW1vpGnaT0Q9t5OMQRN0uVRJYHhU5gHqR0W +hsXM/Un93Dk5Y0l3lV6J15nKi+6005Fb8SCx5FjkIOX3TmJk+B8wejO7dLaaQxS9 +PyWDhD5KxCk7PnKuTdq2vv+RbdyNoZLJ1ehwETLU/JnxN/pyyoBmGEwYDnwZ5vBq +nLuVrsMImn+if0/YefflJCVojU18PaYoH5eJUc1/25IXwDjcvhHN+LQiOya1m03X +1rTSomLBHfB9WS47oyWw8UlUf6IDkigFCjWPHxHIcZDEuBC2Cv5/rX73ANhcIgLr +Zflm0mc6tLbgOLjDJRUFZUOC09xUBJBTjtKvOG4anIP3L1sumIcdYdPOX24MEG4D +ndpquiRCS4rZT/A3HxWBMxNg/qfSKRNDqfrcl1VdZUkUNRzDBKqJJIzAFjQkE6Q+ +to3hWVpRv2dzMhugCCp8Il19V5jADgIsAf5rY+SYd4kmPOdCH2RZSb1D6fhmLPFP +X0iVJxVapaAv9V8hLCXxiTceEz+xvQGLg4vMRWHDREI/PN3E22TdDEMBSOp/umGG +f6kYhIzGknK/F5X4EJ9nF4CyTLpTwtJj0c018Tu6+Umx9SQEtRAVNQ7LuoITQRA1 +osBXqCx5GM8iyc59OJji/KR7g820meLAclVmY72pf7/LoNIBG5vCG8ssFLJIIQE1 +r4+bLRSU9VWx6BP0sEmroqeu8bA5EDAtQO5hOUKFZjTPruY/2Rw1Tb0wYoTDWO3w +zCiTfuhn30PCowQhn0fsn0G63I5A4LW7Z7sjDZhrV4xIPEIiubSe28mEhBwKC9Jc +bJeys57kTisFXfAQ+WwWd4OhTmwrMLxRIY5mg2VYXM7/9BJpndjqkvPzdeAz5x+G +A7wxIxzpOFQ0Ow8pE01NxMu40qLQ8rsi1s/PvZLH0ThGj+pKLVMRT5p3QivIzqvv +5zr3vijrNPVrQBPaFQKLZ8+5okJj/J8xML/En8Lzy0WqQw379D5paZOgxBP5J5nI +jp18f/PC79RUx7JMkBnrSFuYstv2CMefQJCsTnQ9aMKemZ7IlCdJByAKzocdWMuJ +EnstSyr8I92uMjsZM5M9lyLvgJAnwyokhHvvmRmsf1LSIKZSqZzVDQk1nu5IupkC +dXXGZAI84jcMT3lwWB/Ls8tBQ4uTjA08ywK/Fml274qALEWxMeniY0VXb8LY87mU +Gpc43tRR7oRKq9JZyApi+qvGz9//Ykc2AWuuYZgDpPTu0OK7XgOFjJhawFj4FnJ5 +izYIt68MsFn5/KPzCkOZy4bJkmC+V4cQ+pPNZvwl8Rq9IeZ3NSr0BV/wQDbZ/Qb+ +uU8EHcvd/DiOclVokyRaAE00SEf40wA4hotAlsV2gwyAjgZrLxLQPhbSri6Z/n2S +wu97VgPadFszJ3cOAF0uGIcOSP+qq0Eh+sstpxQvKgLJf4yi6D+QCZl6EJ7V3muI +IFTK52HnOCcThpHP9qKU+sEBy4WV1zZ3hLkmH+d4Hc+Rbv/YqjL5EQExRaJimW+o +p74P1WmqqzuAXmJvq9rBRvQCqbe5FZMgrZbCJRlAY84CN8ihBgyPJwEDO1o6yOwt +YWP/RZU4QG9nKUBBLYdyNJaE2qBs9rQpssrHQTTO6cK4pe2slRQ3S947GlQxSaCN +4+NmH5x3xxFu5oiHgbeNPwtV9N9Vz6j+h+cmmnv9XpfbUljJpACjJmJi6vHZSSp8 +Ir14nE1o6IK3d2IQRndX+uDGx81VGkPjqlbIT+kvyyTt/pCGs/3ePTcoJbsYLDv4 +2+Eh3TObZpWv4mNecdsRByadbNBZmMtymygUGtGugB43XXDAAw7iVcAp6XCdw7QM +4DVZ22hFHWojz2wfrGhCf+8jGRorkHaIN6OI32HZzKrPz9vhtMXWaUqe/5BriWvu +Zzz8k0sQQaeX1DyNVz017r1AMAFmcNO4M3exafKBJQsp3pjKsh9ydN8GMa5YoSZ6 +0Zfuc5xIrJaorbv+YEZBsou7Dm5BF7yt5Q7XYnV3JIgeKermQ7CMrmEG+M2c4rM5 +omlBlJNe2ME3w+RHP//5Vu8afeO/VW/nHlQAWy7VIgAWM3e1rvFQiXXxsx5vpceE +IKdTwQXEaKoHzFrr49mEOoOpMi0gqkmPiuUqklU+opdRv1EbIoxMfBqGTDNByGFb +V4W/jmTLk04r71TlRF19nI3i4QT5ZCpzdjgdvUTFKOYpuxo9BdbiwHNPPPWMByEh +og4R/tYfsiNalJz+TeR31FWUvHSfrhPI61bGcfM2lZZ5naHgGOm4gRmER+hd1KM5 +Cq8fHgjocu+stWKUST3WVDeH+5zINnXO9GbC5dVys8hLzd697V8LgwOYtWOCtnxh +n+3WyKk9ZFS0efkTdM0DPvgN1kVHOIwukIGPAumXvd8axsjNQknM1oIoXcoDvumX +2mdTbkf9egAzlq+tcjh7eMX/bboZ71fYJw4DcIva23uG8Q2PoCOhG6DxlNop8Vv4 +RfLbGFQgIq2V51LPKIUVGEDigTqWC5WVWQEf2pT8Uku4kP5nTVS5ahIgEW0+g6lE +ADK30Su+AIHTFDav4bxvlCYyqoLGaeFe4sgKAUsblUkodkm0g+3OdlJmk+Ii9mPB +T765YPdLmxzUlzdqpUSuujeXWZBSsvlJq5bXh2viTeNRmPolMjTZssRFh8dfXOof +50FVo+F9sliaV9RFulUMh4VKqnremqHu0TVn2zaMQrPL89sBeW8ZIqW6r6XEyqoF +nwzuM9jDN0rwP1iQZg3oQrAToBQZS3sGg9HPjhMi1z1kYy2dwrtZO1sLa2KkR4eO +uJlVRXFWvIKaWzZN4yfcsU3jBuuRhxKvDdvAX5cOyJnFOMLkDYMKlhc8gFV8KtWL +SmF2ZgK40Yz/eO0YCiWsSTfhOMpSR4ksQsBMQRhQRq4iJS8V4FfIfdhkBl9acVbj +e5X4g7kuOfuIBTbJ1tfAEt+BBKbVgBLtWjpVCHE5F8mdi8NcrajbVRAjXq5Y888c +H2qondVoXmKfCk14OCrQi/4ebnDqNfUkjjECSQN6jCMC+Hxeb7pEU/+dzJ07eRLF +MXxbV5Dn3+QTi8/CTcPG1YtCHHmICl7HCRfXNyRl2XovkxdnxEcHlRimXOj5d9cW +webp51/6Q3g55rS3GikZTXWQqDaoDtf1i3CPWkq5+2gn4PS6OLvaIT19R947ZPi0 +AUuavs+op1I3NkSI/n6FEyr5/4WoTviZLIoZJoB3wTvbB/NweUieLdcsXfJ+KVax +nBtagucVxQ8QI8TupeSV8LwrnA2LjrKVoa3Xzcnk9KiE85y64W3CkzsP5bFmjr72 +A/p3Q96t3Zdb1mAHmDmSus4khFvloAH3kMdAqifw9q35y49TOHSyLZ6PZSowDE2/ +QXys2YTwMkG6kulXh+eYgLgKj+0NuMBVFVinjmrsGpgr/SG5KDPwcii92c+sV+r1 +zyW+tThUyxO4gkgfZj4YsPdrbvCPZQoxi6esZ1sWfgcheS2i8uAti+Q4R+mukSUR +K+vsUYAFtbV85EXtypfmSpfxJTTrzPuY28JAfXBxsvxO9tAIDsGRYZlmnWI4Ti2w +RmODMY3/JK8/QAr8laE9NLRP9Hs7xqycBAGm4WJBxEyYoOfLJb60RFNc8AlLY2Cz +SBL9I7gtcDDGRj0cY1lPmbTcKxvOOkXuv9aM26loOGe7Mzmn7PwU1naS7DGu8z7O +Ea5rWKn6z8aMrqo+dSQ19CW9hk3+k7y13uhcbQdMJAmye6ZvhpQYiBu4l3IGBrLs +11VP+nnHFaSXQVlDbBvkcB8s41BbSNy9nJiYa8oc1DI5tjLI6107oiinljUV9OYa +orjzTopLZLfi/rjZAFRMRgNyqMomFtDMQ6mcDunQ0ssJJttX+nZE0uAHmzbPDooJ +5eSxPrPLlv0SLJljRjfBqP3PeLixU1XS5zXUJd2v0pknxcMxGUSaUE3FP4/xC7aL +yR3SyurlyI8SWqB+dtFXOLAon+w/nmXsUZ/mRa1J7g0h+hMtl4gcqcosmFzbz8NV +SzSY6urcttfg+yDwyN6Q6SZ2o+Y/6Ol+ag/6o/shcWVqyApRTUd4VISg5lDHjJkJ +mNPTOYA35u57nFYYpIbM6pjivDGEksLhadXmHacQadvyO/Mfon14gI/OkvmQr/G+ +1m1w1NKzcvynv4h6DWPQ/jsQzbVA0whvC8PyXDJX7c5sc7BBCHlTBpFO2fimnpUo +fY7UlMZWkocfp1uHqZS65YJq9ZBk8Fng3IwyLX0Bxwf0zphBEKeH9hMiC3NKyNnz +kXXmdLem762KT1EQb5d/Ypy43T+Aq3rvPPr7nKfC04msORrLo09mSLK3nU4p7BBj +sVAKr9dZvyNTqJPA+TLrqhv25Ah2hutHIeYfQzWWHhxLD1W3HK3yKqtINGOkjujT +IM5LyynbxEqjb6RzycdrAviqSCY8LR5L5itu8bE8qWN1mecsq+ugiNEPWryARS8j +zYlLgG95vTT0NnecYDG66+mb5NqgpZY/CwxisPSzy4GW+uJdQOi57JbYSVkSXzw3 +faPsN7pdqyonZPGUmo/Wsq/b+Ea9AQJ8U+Ljpg/7FuYVWef6AwgitcTOsZ3TdfUT +57VnYP/TrYmmrI5DVY+Hi1HmNnr8nqdmiknDa5uw2bFcouXV0sLr39Wdr7iBsYbV +GhKW7wgpqnmUOjzDXvoqqjEY8A2xVQpqKgv+YTMfgP8MM8x7y0S8FvqH/AQk8RJy +7wLLfRvsEQuvxNxVHFkieWNNQLIFHDqsEWwlDwenQKt3TA9QYtQQ38Os2Ukc+TLW +QmvahUe2enzHB+ndYjUSnp2Zm5Nz+HfIaPVxJ95ybj8lRkOca7//FFo/xyGfgBuI +wjyj2Ad+BMVFtOOJlzxPqv60RLAUi4rjApNB6xI/GFCwDoXboxOiKB+sd2g36JeD +ML3IS3pOqB8cY5Di4pgDUva7CzpGRtyjfZ0KtXREQWNBC0bXatVpDXxDU0x5/8hh +Uq+cspU352chooEtSPYOluXgugqypvurFKJ/zZ47cuD+EsCR69gMlOeM4D0Ya4U3 +L7dSe3OS8CcV/xDUiTQBpJnssB7BKETI4ge/2rZsuS2gMjzTvYCCmUHiRvG0heIO +F3IyD1xkrCkefByM27ViL9w87pu2NKikN0iDtQB9ZNFKTBymBBmP4VRvEb6dHEql ++LqW65KLS5yNJ9aH2AtcszcK4UvHW9iP1eAu8r6DVlA+hlPtpdbr9B4TXs6ZT8bG +xuiGzb+RzpbxWcy3WYkHZnpbWrEYJ5iM1HJUPBjl0hT1mtrLgCh/pGOdGRwseFCO +mQzMwOCSvqEiOZSm74aKQzhqHhuiMwZRQaU78oc8L39J4uJ2jFIS9UOGU3/S/Vd0 +H0GxGs7U1D1azSi6Yu6R+laTnziIGczXGr55w5k35hdOhgvH3caalLQcAq0z6SZi +jGjaSQvsUQZwGdyQMLkwsKzPBvAiJLS7fJ+rKv7EC/z3Sfe/P3cDkmGtz+kUW82n +4vnq14jxBnLuq/EYXDSO/guuDUaLEAm528cCIAke1xyPLsr2xgsM32+Y+cbzKYhp +BEXLFEBKj41Y4A5EmOeZHWZFmVdQ6Fbq9hyHgWsgZuObW00ansceG/3XPJCZ5sR1 +/iliBRnciCA6ozPrFxCBka8w0fyoUIO7x0L4uGavkporhdmZRL6XQ1SZlO9c1B8H +99PWr2KaSersCn0LIRR7PLf1Dtjqtia4kztsZyizwiLjehZ+GlEgpYc24aMOM0XX +kw3Pcz9Cnn9i4fuMYj0vXcglzejL7hGTkPv406t823kaPnl0oy4aiK2jpx4bnkfg +ZOCxSsLDCJA9IP3r7oFA1CZEt4f5vLwbw6/4FE9qNXvnHdGP4BCPI6W7qQEtJUDL +La1kNMTmzKDImGO1ujQgP4azr0iB2GOraEMnGjK6uwCxsh20fjrJcPbGKH1YU8Ra +03DCAxa8DVMd2UYgy7UNhxj96wd3B/EhlPxb/AzzSg+NurLoG9TuILucRcVy2BX5 +clfxYAsk89nxKZLblyUWbgk0O2ZYXVh8mWs3rK/lakf/q7k8mlEbylClvByb8im6 +C6cC7bSNnUDAPruJbnba5icTuGY8Wcg7pE6y7r5wu+sft131Ekis2sotVmiozDWh +lml4pC5MrrLjyXa94RZhmp9+MXbo0oCw/avU6sg4udu7lL2TNFSc+gO/OOcc+ao1 +U9nqisyPWe8hvfsCzGRv45gcxT5GnVep0OjNZkMcOw1vOPbFS6w3eK43PRzKFJdT +tmJWtEQ/WMVLEBCph+OQJxiuZ5ityzD6zwwHlv4q+qvlCRoWca9JlIr6fOAYxUnr +cj1nQEXTB8aFO84uqPHEKGdVW5BgrL2CiaYm0aNAJyTxiMkJ1gJ0EvD3ZnC6DKTK +oXqT6v+a3v/kC+NE/RTr0pXUZtrf7GMWPSDo3Ul7rqQwinRwtcwNJjgyXaAApW/m +meV4h1Mee3P9fyBB0jnuj82NJ49TLhK6lQcf9zfA68mdkAuU5Nyn/Mnan8zcM1iC +DjEUdM/B+/YMg222JpH6oelMApoRzWISxWcgH6DXvSGsa++qt56kKMOlxEeobUCb +NsnIFd0OLqNknhOm6HliLCojiWWJ4mET7GFa/+5JkUk3DAbJh6XRC/80bsjo3MXc +UbQkiYPQzDTy1A1BIZXhho+pJ4C2Z9HNje9mtAmtj4Ub6KEfK73YkEICuwq+eLlV +2lDxiZoAdJs03pe5Tz/auU+Q+kp+nH4c5CHQRuszJYy4FD7Rl4CphV9hHeKuCMLE +CYouOsTTZtf2qqGwhZYo8T/NVR+zLVi8uip7+MShadQv3zEhI86xv7fvoAcKf+fL +9FZ2elr5yPzJcMjTiBkE4/WzR24ecQN05//TO8QHCEBI/tP1WNLzxtGOdDoV2t3J +Mj4Yt5YIBohYjrFyEtXm9b33SKd3Fu6fOdyf/pQ+g7fsktwjYw21Qi9eds+zgO/9 +CvYQfWCJcLHL7p9mpnDATXhR4QfyT3VT3Nb0FbTdDJvUQrnHaH+MBjRkhyu5lBhN +CsPVBemu8vSlBl92Clh5aaoq5VVAWwKr1meLHLcX2lF1BhF4LXV20LzyAowIR+aw +21djo1CUpLYlXjACEIf9yU5Yt85DVflId7xSv4mv5SKAJrcvNp4wpNlUsX6gkNGx +KS/7j3/jfcZ39W/iaL51wVxGI9xBA2ZI92b1E5ZrrmaEeMuxj1vureGjg7dJHJwJ +uqfkXscKePSHkJPUuQlF3blesaa4Kj2uLpH5MCYOqpVKN+oDPjCpi2ykd1Ji63fA +j+/8BDuBIacjAbIaaN88kpbgiMNxYOJYpdljrFO3Pr8kBomZjb1boEPjV7GD3Qp6 +RZbWXzXEZZb9yX+m2+JbZF+Fk/sI9rZLT9u93qNwxDGokuXMhS6UJlHZ9farI3pq +6oDwwSZ28pqz4DQFFCqBjxRHJW0S8S1yruLPQ4lAvv4lh7Dq9rF+1Zjo/8Yr7tYn +sSOrtA9cHvFPgnbfoMj94OgxR/LtldenWj1vkqGo6BjxVUw1hAWlKdI0VgUBL/x6 +2N/zbgbJkmA+msOaSEysI8EDLd4IUcJ30PIGMjvc/STLCAyP6zqY6/VmickoC6cV +Y1VVUAjNt2XqWYFXkgLc/kyf9Po9Mne7zdEoRop+wVGT3PnTerIxCYQ6hrsQdYPD +K+DCB4AJ0FWDWmKkzezBofZvfAebd3cLfJCzcDx7PuDzWG+HbLGoZvdT3tqtL+TC +bLIZ8t+9NvDbY+1TKlQTkIj3Gi9SwJt2IlQmZRWWuZ0yNBUoaewIwl+7vsw8fD6G +6Fvn5eObNxey08/1fmvM9nOgHkM4JFw2O/JBp7SoBKjPOuFutBcqPPeNFquXnPKo +2qzVKso1GTmED0lFtlqw7iGpdEjUEwNCiT2/1oCguIZFzTcZ154O+ROKye+8fVac +3Hs25aq57OcMTDqUEl9bfp43C68ediO/1RA4yFUTq9sJx0ImHbMo075pJSfBcItl +a5b0Caxhnvs8I/+5pn5iNw9l4f5/ebuUS5Xzp45a8/2/dOitsGffcNZEwSh2s3We +hvYhL4GztxnFzlI75r2TXGtZdYZ7C0UY3P8MWorHdQvNS5xrIRBlltkuTlH3LZvI +Y7tf992BpBkuQL+Q1nyEVT9XAt055sdsHxfYv+DIC3PsTrTdFG6vUKPrRpYEkRrh +0aGmyv0lsqiuR1okbq1pr5hFclSrbKQ8Xx8IqVwgOwmMTPB4GpYq+T3mholo3LFe +kXIkmkvUGuol3jc3OsR6SCQnpkH1vaqKGoxSahA5pYNB2v8E8+X3p32loLBcGCjU +rg7stRxXMClcwSaKLXVUzY9s2pKTiAkg4RcJPyNiWBx85gEduA+n2qvyuJhNZUnZ +qddmZhCWtsQ5UBxJ7zTFD/Dwl74n2OjlgYo8un7jAnvHfvM44/UR/It+WS6/NtAg +WFjBN7wV+G4mdRV2H3cJ5Q44H2KEwY4znnDH2dkbJz5DWwjZrtsLP97G/2T+yA8B +USacvmp18dYfJgISNioUnU5rGDFT4h4epwSePO8V1ocaw9EK4Cz4gOOOCkOqY5gr +m2eOcmmIFf9apUdiTIGy/6lOEZW6NQddePygdsaDn5IJ5JhIGA2sITzIhPa8GOpH +qVc8xyipMT0vVu7B8wO7uKeg8WYCj0IZPBDIxde75QzCF+KoMqsBkg1X/Ek+rbqe +Y0aUMocX9mdGMio6eAcEaZT+tmgE2S521noETjoEvw/pQY+Bg+6aESD1SF6F2l4i +0mnHwevAJrQ+Znn/k3xoRjHYpwyy6vZn6vlsJOzLpHKAf3gczKxr23EPwwpTkXi4 +E29gn4YNgii2ebXT923FufWoCs9pH4r1cSTKiB4gGvsenIeoLDBqavQZBOeNokHV +tuLXp3ss9p6OZjZhgGhVVfvdpQg+0meCskDbOnXpc9qDQD9fJVFSwM/GzaHXq+pP +tm6t9PhNBcdo/S3Y4ifHjdKCrOzk18WkDWSoFzdZgt9VR4fol/Wk6vAAiypfsWqH +q9NMwZLGRiaXyMOyByMg2OOfO06eQu6eMfwaX/cPvXg8RJDU3+Ycg7VzgJMLNPDd +4Q2OvKgSUqPXGpjZF8LjDqAk28DuPZC/QKhmTN6stnNK9mzE6QCGHVVHqT23mzkx +uuOL+7fWLPJ+eiP9p4TlXk/XYeAQE+viJfCyA0TdO2WkuBaLaDaKBVGv3h6QQ4xp +2nkJav7EQJtOs+U3N6qMD9hy68AlXTcYrzA7FE6kLg1uhdscWAZkDsyaTYD42gov +D5fmpOrYBJBp1/Iy2qDBy1whNVec+AbrBZS70ZS+/fqxN4z7LobQArCObV0fCHci +xAmehXR0uhRCnlXR9c452e9qc3Pch4kEBXZUqsYOte3h7L0mdJ/BaADxq90yfv/T +8yivUkfFCrT7GGmzESVEb1RH+IalZ2pzkje8I65EiJfy/MwSneScwGIDAAHi6vfN +a6jGIOxVcyKv9+Jvp5G3m5NoSB3D4nyvjHctMl+KpYa1nNJwIXsX8fHv1afUsyWz +GkdtLVOZPhjLhvhsUgWYczhLpcUMMiE90eOaDz9h7Q9lR3D9R77VuBus9HvqWFnD +jManGnpLcbbdZdhjxDwJJkyERmAupfAM1WdrkU0madQQC6xYH/iDwuawaJTQFkhd +Dbfs/ByQOKh9gCW3rIVkCifIeFD0ZjZQUx2p6R2ft/I7dQt6sithcqkRWuDMRim8 +NBKYdvxzaAYd35l2/tnBOtwUtHdl69GJwHUDVtQycuuNH2Zmzxq7ZV0BgQzTJJne +mpjhAnS58LfDwh0eF9mZC128yNYFACVcGK2LsB1DrvdKg7/nOZwD45wFyd75hJi3 +KLM9phSuG8lzDigiaYWM8m/OjuhbvylXttLBWYQhtYMANP1YEpyFesbfxObVOOIg +qtq9ZkiAG1qKjrcvXej7e/s+yfQriTQuG8jyWtXqB1e2xrwB7nwhBWt8r8lhStyj +nq3nz28Q3M5hO4MYbOtll+GzpUSGnHNOMBbcumU2wspbSiFvBsXESkkkqK1rifYP +0GAtbOwcaUX08lAuEWjYvLHLvjjZmMbmhdv9DiR7apU0HWKFWnAjwr83WO6JQH6q +QXgXttXf1zJq93J2Y7+UlG51yr+EpmDmkA1pOQhrvn29dJX9jY4MAIbOz4ZDm/xz +SxEraUqb4UE3mLf22DpRRuiujDvT89AE50ahx+0Swi188VcBSqb7CMtZx+xUy3Df +Mdji4GcC9iv4N4XrAy0OTfENpswH7gckUFutfut8UgfIXJygiOb4QDBzK/9IZqY+ +X94PpeVLfkperlt4gDhdUclxjlQKHEdTFGL1Urnr6TUOhyu/Ampj9lDflYYMCO3H +BUJFfQ8O28zK+fwlbLfvTAt8Csxzzw9bqn84h1jhzWiO1mQL+dYzZHxGa/zzFVLh +SNMZBeXbRDIG75G4aHPxIkX8MEhi/e6HPdSIeqFs1FNx1pZVo2kjO8hTZfmtRgW+ +H2lIR5RAB73ZLVwU9+FrdsUiealXxamIudDNs5/svPhlQD1gddmB6/JpXZ9BxSAh +Oc1HRQQdHUIzvjgbw3MV//mnJUlkQNLEXi2hB4mn3+5vFCi87783IKH0i2UeG6jX +y2V92RWz0Ntl+AdKBpBYEPOKw7Ee2MXNk8BUZdOhOBEDld4fWXFmM5xoXmWVQuNK +MKyA5Ur9tOeVyiL84/JdKapGHMSTj2fmpZ4MsJOZuBAaDnb2DpdA/aP6BDH3sgMk +qgsSK3dvOAGPkKt+EjD/NqJV2fbXYHr2RUEWgN45ikH+KnfUzp/xjx2F7Bv+OXQj +FmbO6u2MYVU3edM+YsLeAbLOg1/XreKtgF+J4uxk3lb9qpzW6TD7F7xtnYAj/xUV +X3EAIuNZkicF9p7n7Gx4mRLfDpcwAh7nUUH8GcfBkHFEQsKU4NbZXjb5g1HmwYye +6j9+PK1VHOlIRTb+xr/THzZKVBOfNpH1FG2FbvpLzjJGzwXgmuOKtYjfFjajr9qI +KBSwtEsXTXAc0Dd+KAka2vC09/y9IslGM4LBBs5uAG+iFDa/7uEieDiKckF6f/w5 +PgC+2cKR3qSvHu2WNJv4jIKS5n9lXHfJ93+/MziKWn1uQh74Ws/VMzqdsxXhOQzi +AEpF9i8sKgA0p4K9xSw89ZSuVUrzj3/qPfQyYq0EqQsBhtR986tjwFZebLOqCJCU +ymaBp9d8TR5N+cPuO1juA/U21KY5dIzkmv8d0dyo5ObN9yQQ+CChOUaOJ03Cwdh3 +aRE5pECK6LA3+WQWsPDER3KodKMYlvuNhzDfbPm6b0VcJl/7uwi8Rmv/5YnQGkCj +mf66wffUnEAMGegqrKKzCpW8ul9ZN2jASq3KDjiOxVnjRxZ69PJGQa6ztwWmKsCf +s+9r0wpT5QD7LGzOHCp3PTuup4c4aZdqPmQdTQGUkBlfGQrsq11PYLiWAta9UhWe +bo0ouC38lKhrQsyEYeLCrQo6gxM1nSNVRApXvaDZf7AU0bzTOqWqKcxmntGN1EK1 +PZnXa3ip9759CcbahZqr6uBHuK+wnTZkdpvgfyTE9lGJNAwC/CCzFFyBwi6QSvJM +HmBbmML7TqwTpRUMxjyafkq4uqLAtYSzah05dwbfQMZYTttDtBovQXrKhSgQZxu7 +CcxfBQbYNpML6/Q07co/TsIznAe9ZGke3xMkTKIIn0SSNWSwdHioMrkrQrRayYpR +V6GuI6Hr6eHkvWHNXA+jQBOAp79cpE9Yr6hRJwI2At4s80QD/BU1o8qLyFPBw7GH +2f3CS0/oyVj0ps5KrxZpyNSt6qV04HTnajXwO4hBKwMc336fknCIB49TMqlG3E0O +p1OREXM2TS+j9r1PnCmPm/nxgVB3OSu4re+bYSY95tVgnJpvHvtY2HUbz8YB+7PX +GxaC+E1tNn2STq74u9wt6heKtS+Fsq6bKsl/D2HpUreDKBN1k8VtsGLb2ciQwrYj +nLUIg1R+989EqCYRf7g2yHBOuwHf+DJzGU72BokKTqVbvYGvCYSyGboLna6Yl0u8 +0hY3HnA+ezS1b7K2G1x3E8oqqf6ZZUcZEAONv+SCwDoZWQZN4oWloBxN7hbgoNGF +Mf3aMVtlFjxzOQMIaEKJSKMh2145iEXzplnhM0pGSpF8HVGZYDGvMpzHD5485tHv +iy+W+lUA9yZ/IKsRWfuXtHdxPjs7AOlRFSn5lE0humK6v5jGla878QfiKLKY+MKb +cJ+6bOD/b4zzPv+PaDUoVEakQLGKLmdhH1hA0td3sW5QpXogZIYxd/5/ODLkZ2Sg +Cigrbz4uetPM73tL8fLr2z9aUAivWWRLc424T51MpjnzXFn7Rw+Vl+TrSp19uUGZ +e1AeRI6Mwmx7/7KwTeU6HKRWFCnQ+f2tYS2RptQS8ivCoLU4F1RFFhi2L6e4owgl +OBGopVYejkM00aHcUNERfblVJH2tXi9apXSK4sg2jZ6ytU/rfS3h6XpjfCy2577A +Ny0ERqPZc0beAWMBZloqsSyMtuChRtQdweY9xaU7SzNqJukHPmk4XPGImgqT9Wyq +Pl2BskJRiVou80pUnmLFVp7/+2Wa0kJ/nmo3JrcSRfhHDW2PEP38pyfJGnyProqw +jRsbv/KlHIZHi4hqGUPzFdaEHkcYL6YTFtjpdk7OOcyoKxFT5UNRHBnA9X1TBeDh +eQlPGR/zyxz/oqWjwb8/hrmIzYMLwClwCaypSnmH1wVTAYW+NPAVKbbp1LbJz+Ry +KWjUfLUazQu/QeYvNR29Kx3pt/OWzlVp70ZbD1HyyDItEOJv0CbfBIPrH5VkRsYa +YOKT2WLUdwMzhsxa51C/VOT2wPfa6T/xEqf/gqkEU5hsF2WOHDhJi4tYtrdP443x +aoq8uoFQ8dHS3IVt21LUakLz6+ifYVq/O3OWNbf/8tH8HbTG97fvKjwJ+s5nUBfx +QbmySup3iBMW1H43h1eQcyXcd0ODu9JOCcD3t63tGbxF49EjPs7ntZPp2mJtrmje +8pLSTxPdHIKQNsnvhZ/a9J09T3d1cbKhR0MFnID+lExhx05kHV2vJGuO1NfZatye +/ZAv8bWHzUL+W075JIe2aNyHYQwSy3nj1twLnW11+TfsRaz1r84MJlqDWUJrvKUu +Oq01Mzxy39jFL6ooGJe3jx4cQMrzsVIpnlY26cKQ+P4Nqlkr5jMGxtANjECZxMlG +8+XYvS2IAgn0L3K9542p6p5Jma1wUwLgVbuf82LRSLqzIh26OYBgPBWCq8AuzxMj +oAx6h0LJynPdH+4Z0KrNl7c5xqvtVJaaNmDQ0gDwhSLFLPdveC86lF5dn7ztVinB +yqUnoq89SfG/KMg2vdnGXYXebuRd+4eIq7SLDvMSxhTy2x6bkC6ZHrBkFEQBzJ9g +ZojACpIYEUzUbBLYuB3yIoUWjkOy2LlQ4uJ0k3mQRmExOnDRUGIdpSSFYN8zVVok +zYW8Mo3xuuSyKhMI4mXRhxAB82S5/pc1FrYmZsdyjxzbdoYh9GKTDmZyYcT3IuBb +TlAeRldwt8PNu7oBS1QuR2p2dGRWmRu3KQe5Y8CZ6UAJEBoA5LLrKHTmPPdgs3aI +2o0Egsf9AZf6tkHkydYmxDfSBWBK8+hC7L1Ybgdijk1qNxXs+2UxVUed1ItsyKoV +tbKq4Hw+/5IvAMCtdyltsAjbrQPRgp7BbOUe3T2B/0OXy/anT5h5zkiq21w016qz +vwgnkcRv/iByekqylskUhtGOQ1TWag7MopBcm7I6BRreF/f8I9hNHJPnsdsbJpSD +izHJReyCrL56fJb19BkwRFMHuXtFLIyxY/nThAoAD3HoPjIK370n0NE1vekN6MhI +XkLF7QnDPOb+GbmDGDyVMniDen+p3rXZC2LElAWQet1zguNc78Igdfe2vMjrQr9w +l//YUEMvRrwc0ACxOKNqsKPKEq8/SQMDxizuHxS3NtdraKFPf2XFl39GdYowFlf8 +W46oIGqsg0j63G2A69QWufasb84/04LJ5YEPokH5JQPeqFHdowaEaV0TtC9DNIUQ +ZZC7NuIcPJlnT8tmN86DgjprQZXlknTxgk5jT1gaYhVeMewplqiYUg8bsYe+o8yM +YIW3MAAM+j2gZIRxBHf5XSiwvtDaSXBEpoqJn4MYLl7rYrDJUJsvyzMtMCD92ngA +jIzul6CfqL93xv+7OJLLD8kLUGjDKZXIuRA9T3y9ZOzNRBX0XTWdXgw/78SC1fUv +B0ixtdr6/XAPm56bCbUZAr1bQI4RN3ubRckHN8bCdTJqDqBXASrrKYx2GSBxmwHW +pCai/9RqRgrGTBy1rZvfdwovyhWHFzHQfoH894K2Qz7mdK4/XXxP4Sh2sR0W74XY +k+KwG+hPHsKJ2EHE9iYXV3Tv2aHxO4TqbAiyzAlchYTBL9M8flpjduXyYpEWaHyy +CN7PY6hssYy1+AcxYhvnadPl8n5SVIGSvsQ8BFMHRGlZr2AEWHgIYsWpdVHu+ted +POZFLy50VtFUydoWiEw/bbFU4zNM3uCr5tWMlaaSAXrn+/BbKCFb8AX3ycphfyH/ +NzCdOnKCY+LXXmcIVTI4MXkAV6IxBjQpaZq0QxnIy39Ech+ugzyGK4JqJXD+4RKW +fiYCc0xcWk/QFITTk6Xm6Dr19wyuscNYqdGnR+1/UlbIA4aoake36c5WmnkvHU7f +cKAWhB53Xd5MQY29FXf4J2AoxOMR/ip2OYTZfnrsmeWD0ytKtj9cpXIxzVt52sUY +4SPvF0eLcAtxIZG8g6RGW+PTSYiN67FroSPJDwN0NAG523CZUt7fO/T+TDG0rmfZ +5m1FmBpX9rb75gXOXj01k2RJxJNi/1gNXuy34f4qEud4DsKp5MiD4Mx8rLEt+3xD +iKjdMJCW1a9BBqlVNiE7fqNvjxGiAWzAQeCSVfRpHq2gyAWW3u0cioq/zrj08QsE +QyRXc0TOJiM/zlr85eEbU2kQJTiCiGmxt9RJL0X3TgtpKvUVvR3qA9TjWiL/fXoA +thZl6zsC9A6LZgmUF3srDj3WGSQurSazNWSgf+dYUgpRUSIWHqLfiCZsvERlM8he +v/OqRh/CiR74z7lAycrVzZInOZsC5yOrIHY8x1YrlSk9ItRmHg7YjW3mAvKFvITt +/ieQASrFVlJUPTT2AxXhYDfBwd634wAEwF2E2wsTCFixko+yOHR26gdvSQTgtimI +XDj7LMQRPkdYJrLO6rFDWPkrgP1q+f1nnCPlGUHA7qQTQT4YBOlXPDfaUdXCyY9E +f7A6ry12h6kweFTwYMs1+bWOT9GfRb5A+ym7hdG3nAFp2rOvnu4ALNJLKTzzF6r2 +iVvRfuBRevGacFtUdjXo3989LKF18QIune6yKAKdiKQdIi5s0v+rsZMPCN0V4jlj +ytmGV6pmGzPs76XXXDpGYsba/DuY/uyL6QoCh4o9vX0B4L1ZeKXYU7pTp/2Yi8sD +AUkg43FRtLRVDpzJYmEx9PNX1bXuxTJxs0qaWcUTUstP2rETmdIXziTkwXilvYmj +oniiO3TQKdSKj7aH492DOSTnxEhK3uRPhOHAqcuXq+tgTptSsQPHeyEjQz9rVfCs +Mv0PjmZIZCRYvyVyvpz0+WEU7jGpufBmS9dAjm0g54XC22Q+lJTg7CQkp6oI98NB +vZu4gKUcHSFzScnVL2Qfk8XJFtY6GK8IhPA5i+lYt0QdCVqIWGatqFB21H870k8r +bK+PyTEjUt/UjjzoWBjo/KdYrO3J5LWb3xBHJs3bnM5P+39PYr/27MDZ3puOs1FB ++FmQOUYUO85PwWv9LAJNBQEvCyGYboCcI+bMElEPKEci4i/pp0OGnRSFnYz7KCo+ +IdZQdMzrdHF2C1AOAov98A7QI/e24pgg5ZlC8tLQUqHvuxYhrEKtDqnvfBINcjsM +Lp7Xq2egEPWSyfBERz4vr0Xmd/VJ7YGiwfrpuUfLeYAMSCjPs30e2wzeEU6b01Y8 +mXhzzTp3LSLUgYQoCfZA8F2oqSi6IhVEEn9Ku8SGzTMtGB7HkAyENPYXhi4vQghv +nVtAcnmYYFZqewBLIfPqBJMJciDtwO8Fq9Ar1A76Cz9R5/w5uqsQvTkVOg0aNV6/ +LpLo15JhUCo4iMsRzRwShaM7YZigpFTSdtUQoRolRQyECzaYXuRuTD1NvapvrRMf +u8698XItvwdB+b8QzwibN99m0V/eEbi+6pR3+oZCprsbkwVwEOdUb1i/Zz2k+utp +a9ydjZi8YdAh+RGV09sTe6uP4f50XilzwORJCVnN1w4IZxXHjpynX2kGI+bxoetw +8X2dGLYfEk+oFjwE6GUjpG/GXXHv+p2k2la/yCd5Ggx/qGL/iv11P7Zq5w8QMRf7 ++7e7d+aOBXlBv0jvhlkW1xkXg9g9rc2AKDmnp2qfmr5oTClQdCt9R26yxIo+kcMD +7pB05s0V9uT9jV4pWDEAGx93zAnYNWOnHhaE3Vv2E0BUDDiYYkd1ui7zIl55gg0V +xjZ6M0Io1EyI0dC1KI3nkGGn6WzGovU3vy3M9KCgcM6q1wBLZU0YDdnZxWE0mWUD +LxsLIqzLENErcKYLByv6aHZcF5ut0zNyf5Z9L4yQVHMUsArjhEZEUxI4Czfl6DLx +RjMUXjtVBvX+wpErHlwGV2aQWPYbOTquTFGeeOfqXHYV4U1VHjGJWB8sVVxM3ssN +20SFrXN/M1Bgr1oea4LgzXz4Fy8n55+v686cyaXruJAstEZYdYcBVZCNKCgMdL1V +/6ynR6rArIVP/eMDXzrls1BiFlLqr8SzfcDRt0Gbmys2gTGBLYOsbzNvnm4ZixPL +B/CPhBadqegeIFVDU5aPJLYbvIUBLz4NKvKkAAtk8oulp3sxmFfW4h+4iBFD2aXV +bjonKOdk6O8VLYVASKmDSdBus20sqsYbw7YawjRKHoS+EmQfKg65qGIO+YQ1/9PF +FV90514XNvHrgD+uOsvhQlomhZimAm2V5usFt9SdIxaER1j/TnhLDKoyRr3uzVdT +RwWKDYlQUg3jPjTorLDHnuSjr7J71cK36GNOJ02Jff/zC9ZRpJpBGvzx0lN6jOo2 +XWWhxn+0g2hJEOm7LksqZ3ZpI6WJOLwujy5py+z/whjjPppMUZBXNsW5R6V0CL8a +G7PQUKaCRr+adGbE25LjzPR+/aIeclzEDSfm0uehkgtjYD6Nn8WsCI6ysV2X88Ge +KsPCs+Si/RQs9mGpqLCmwdirIqPcjJFaL75eggwm5h3saP+qA5u+dqpJIeIi43S9 +TP8o+BtGDGyXUc7oeu82HOl2UPyCjzEpFS8DzVtnC8uEGlPoR/V7QO+5IThI3pC6 +RaDovrqBqErKxzCeXqgfQeXAi+t3x5fmuN+ycWwYWdyerZRqlszPh/F1+9YwRWwN +bsiDqSnvy2l+V/6tFMymU2VnuAuShF9XtPpTDZvfIOBLljW/2+l4Z7x6hjnFYjKJ +6r17Tt4v1y8gli5nGYiphlWKb5uAK0HEZmau5D9vpbaSGY4Nc/q4fQb5U0ivwqmz +1LX8CuwItMR6NvfWNfShN0sxqaPRtKaOWQHiCAP1MiA7OGbUTiYf5IXxJzQ3Tl1n ++1ShjtMV9gWsmK3//gYYBQ4TQIdF6s2qNQoY53Y9nd0hxM24sCyu1QNoM161PH+n +PFGpF5gTlKSC9+Juq5ktDf4yrnx4rXJvRT85CQbxK2v4gA+Ob9820zZCjZYtgABs +FuQ6QVuftuxBgqvwgiIDnqE4mZIiBlBCwpUgEkWjxzXVbON3hSzdg3oo+prV/4ZB +ehJ4XCutlTgbRX9fPu7lJns37qdI5GrFqzRC5UZkRhYIHs3GzN0mPe/R3lMSdq4Z +zMmciFY330l2cy0qJIr3qf50e1ZEQ7naGSLuhIP2yXw/BdIGjq0dVWlOyEmQzavA +fvxp7Q8WvojXVnJC2KjK+2nsfqH5baGZT322RDaCJGqxsy8qobcMnAED+mmdNqdi +4KliYGkHZQs1bRlzP0ONN8EzNMVRCrVjzPKDtLPixyvUCpPz84/hK/dAXA6SFvhN +SOLelXESdJPnI/cJC93nJex0eQeFNRGplwJGAoLy0oWwB/o3OeghIokWX5Nnor4E +18UVFwFJaeo7LynKBUWCtLEDtOFiheTN+Ukq/RFJloe2fhlkymTN/Iq4jPbmoTX8 +by6kPm3uPX6ff1j/H70nH04CdS1kA2s4zwwEWzzAsdokcESX4SRZNxs8ob/GSGzm +QrGrLbLNd7QaNRU1hVDGyT8CugsXUF10EBNkthDs2a8dtqc9kSE2n6kdo/LD5pWc +U8mi4FFRRdgWWdfDp7GYPWHeAByaRxvl94AvCt4aiQ2Hd2UizY+NssTOMRynp+Rq +X8BzCYbaWICf9I3QjioA6hdVpgMxdlwstgifqt5WUkhNczWHH2BndQmNLwfx73lr +vnXB/x43Lb5hdVokSY8NJSpWLBEYSuPYQzTUz/TOrQZ4sO58KB9xOdOQ4AspXgnE +JcA786IZDHIUdKOYL2gbuWUMFKuMo5u2ehCzOOC635PHxo++INDvj+dBNRnwP1dp +tiezibUFplSMs3srgALKoc6BL7ezilIC0DchfyaON8vdxS32/piK8O19qXYWhwli +kEN2zIQYHlHSnQDTvb5A2NT/Ey7V/G0qjCxzShV8SLqaIiUxd06C4lDnqXlL99kF +eW1YpoDt4I8fNLoVCFRKjOMo8N7tmurXwttL28lhgic/qVqT+RNXwMKvEhnwA+pS +qSIj+d1aH4ydkVkK8BhomGzkUaFQEtgiRaC42ljNRLw9ohsVbLP/WAgrZN0J6iJq +p1zux4BqOTUB+oPUG5CYRs0K0WmIltpjArGZrPZH5CIH2aYiruus4m4VThkK/N4L +H9CcW1jf81Q1M+7fnDTOQF7U067VJjZVuICi+UcvW3G9bei0UB+CIj6tvhrRq9xP +7gKS/Kzhc10r+FuqXpDZ/8Xb/ppaVQGvTvzvtU4nRbhlJvNiIj//kw1N4gaRQXoQ +fds3ux2ZpCYVbXXQYWV6oAN2qBfrvlLBxGc7p5Ctuh0PU8PSy3ZK6en00ILTM8eB +BS3V3e2lhe7ZclMw+MG1n0s9KKcN7WqCEeMZOCUuBtyq4vNvo/le8LZZi+Zh4a8C +KZV0ULWSzkaD2KlUJFnXAwOPzZG180WYwZAwq22oXs/lDcvYdfbjj4Mt0e6M701Q +InZJIvlbB+e1aIkn4MUumnA68Qde9y3aNUxsWBKBbncYKVZ9KBSc/+2sUhHihI1o +4fSI1JdBRfq9nnmwtEDkKhLBEn5iMNc7J8Bd9XtsxSbIRelgDTnxXPPC0DdFPDcf +7OALuFzgWNrc5dNPkvF5T1cQBbYe3uXuGtJWpUu9A2urw54Wr/80wstQZURj2zdC +QkjsJBZnUSEoRCAYk5PnGUOV6foASXhYVwNK5O5Kxcf5Nsii+3k61bN9H53bdcvB +okuq/vsfett684YlZ90aIFiaDQcgzVceMOA7t/lyNb9NtHGs6iOjXC1mxdkqNR2s +DTpNvkK+lwFE2INXGsGaTVg3d6ylMR44vt6mo1rwY4oiRfOALXU6KJkUXfsCuXAS +65TIiNuXLTjxxn3xWIKjXEFsUE6ueZ/IDze0AunQoserX2s3cw78GAIbTCeRnoXq +s59Dp2uY3Xrd+w24UqSOfZL70YIeAXtHm2br6uiGiVArJo3n9lJ5DOuZw23Q0fmm +6k26EiJ1i9SzBVmBXRlPUwiLBw0sVRExXwESZ8qjGFbQuNDN/m3xtmiwU8HSl2ea +X7Tb6p9LICxIdcb5kas06D+mXd/HlY7uHnXs9poDBDs1lqyPlyOOzSlJJyvaTmWF +pWMGnVyqhvxAqrnXXY8WR/tLswPL79PPB92fx1YG9EWLO78sojYi99MS/0so3Q/b +YmQULcaR3WjXKOwvtHPD9KUrJYGtsjyXnqlt4AXcUb3IAy1uvMzgBv9AKfmFOlWe +PjxIHb24tS4kQgvFqbdcmHD/tOuldIuFT9HaKqK8XRgEF5MgtH7n9AqTA0EXLZ+H +xGpajMCYivNdfEA5Ev+myeMghU0K0ij5chOGPUN996btoW96vu6yzpRAPHjonhyk +hwHbqXZ7VyhqnLpDEhi6bAtnBkzr458g9pcXwiuo1YswzZlyh2OqPy5JHLt+Izqr +XC25tRy1v1MRtVeYRF2b8247oRZrN70wz0JrajUUPO8nWm0cGSsVYr0LY5/3IeDA +BVVnmDR6hUtcvakI3F8uD2a7tnKN64YdFmQFltqKOcqBoTqlEMWt0l0hBGyL57Al +wQWs7P4IVcDA88s3q8olyDn6JLQHAGcqE6g+m4tWef5ns2S/9ZfVYSBgj204zr/U +qhXRCVhExUatspSKXQ/5U3dbVrcvOO+SbXUBTuBu620Ein4L2rngujEubX7rngtZ +TD0FfXq3mIpszFE2VTVuzKZPGl+Hr/KZkgNbg8SHNRa4Z0WqjQaiY7VnLWi0fTiY +q/U1XzUXhyXbSQfLi5NQ7K63EduuuntcXNAADjIdCpFMZDhudQJhsZb3ugcPwXJN +w+LRvh1J4/RnsHXglkJOl1M7YCqLSlVSGW/ctpitGFToeV+7JmPfeZbXIcyG+6Y5 +znUtfmCilwrLpf4pTekmbOG+vn17BUg5wRjf5WAg//RrKix6qZwedxto9TmZ5hxq +tH8WaMYkaVq93djwVxGWVB8fHBVoOxwaK9ryBLqCbNLNvVktiIlsIw0A5/+QGUdJ +frLrE2EM4HAqZxC/bFwYltTZdDyyF6cySYi/AWPg+9L3yWKzRU6gq0A+bipzDNmy +jt2m+osuQsE1xcdw0/4e7XHmgCr6IJTHfh1w2FYyi8zuZ0/YX1HMYmUEG5PnEpjA +ca6H4ZsCdmEE5y3DgW+I1pculrtZs3X200hmXrnBUMsbfcQKQOIgJvynv96is2vi +BHgI1aE4hA8OTA84uEUuOnMe5WoQdSjO7wpswZMsu4MD/RWPL39XS3RISdt66NQy +U06IX4YtkGBsQjp1xkZkBrj3okGiS5x3qWodqBLOncLJYpqA3mQCr1sdKVzAvh3O +V/MzFtVorNJ+i9OlCzmfUJZiMmhmjzjMUK74QOsdJ27YhvXJExISzm3O0HOYt0EM +mIcEk44tnHtDbx4A4A3KbB1erkUr7PsIymSX8mJ02rMpKYumZPts96hFDNDT1Jt9 +nh7hKWtpO/FZrNkSF9VYBe6nWiKi92J13hBI9mJ69+OzcwslPLMYTF1/dsUyOho9 +hsBGYnRBbIEZQsmco5HFg61HUxISK3Mdqe/0SCRwpLLaAWBfC7J62KmOHFimkxNr +ujWfcoxWEvSRVuuo2yEdHKggc5EkK0OQ/QDQd1xVXIygDFRSxMZfkxCUBaF4cAXA +8hINnDmWZi/m45wv5TuS1YQTbWrkrQlIcyKYQG3wild05EVbqs2M0GNsEhyhDi+B +84hFGQDFKM856+oJ7nE2poXSzPBODlVOW3cu6R2OKZw1+EBz/VHXxCYu3pOKeTz1 +NFlK3doJ44pvHFfkF60eK+gprrHwqJd7h3um523F91LlnIbb7uH3xH1MnttW3Pcg +ICtKGLcA6WYMGtLm1uu4Gq3NMvs9XIcIOIZaT4h860ozzGMvVir1pSUaIbYz8Wav +Asgs78iyW2cI6G9EoKpqWvE4CCZXiM2n54kgIqTjGrxF2EviUmX2py6gv5WAmbIS +gKDqYtnf8Wzkh3yo1hE2ma3499DKqhr8LmyGtPplXfk0acaF0lDsZAWPrI2ZIYdX +5Ke8+J9M5b8RKpDtMyHo0hmNPttpmzOZfG1z75MBvOd2hf9nFGnWr0dEP93cf9hp +gh1Z3z3CmipojzQ5uGFcMN/N4uCpziuaaLpKZb3JzQMiI05onRLyfFTIepM7H58T +tZW0AjrdKHmdj2z20ZM5V2iqNiBYMHAYcyHEBWjgW57kltpBRB3ceYbYe9LpJX6x +ZyIih4NmD5A546Q/7cmQOn2JNK42bk59xE1DZfp8MNL27qzbQYwtO1wPBqSjBYhz +KAqXTPEfNqT5jdhlDQsYoiXbiWIR9Qomu2RYJxIy3tDTbUzi4gUVdQsenRV479Ik +Zt49VmCQsUGPAlDRCnNbKu1gcEzYacEgQuyPwphjkaCWvJ76txakTlND5XK35o0a +Pd1nv+idHPp9ZUwqZt4gFhf2LOWj/s4Lh2ixhNY+xRhHcsgu5z+Y+8sPka1bP8RE +H049uPQNhUGU9qM2GMOI0MlHPhdywGHY1mHlR5twCJPsAAvZ7yxG/utZYvriOoKo +FFLRqmbPJFIQFANosf+pt95box8B8E0qnf0h7N/0SQwyJ/F3plqP4VoRdwwSzu45 +6sPcRY9HlvZLcOeZIvrOScTaTqa29S+wrkk2wFeEE+HSyW0zB5iaaZRilorkOmya +729bN9wnbjCdsnKVeRYDwfcCjglR1SfjHlnSkV0o02rKOqtuTJkU/FumjFul0L5d +myohDzDyLspETnUfWfQa4lr3J7VaB9vPG59Hzmbx6GDVJr5tcrax+Ftwe7nqcX19 +Y6o1l1a9enOlUgQPvowLSmoO/UMbORCSN8AmgaiXDerEqfNdMLAlHNl9GMAZoaD8 +bRvab2p3a8IQ6F3HrY1aTrfeRMiJNoX0edNjswmSkbzD3qprQ2XHRCU72m9+EdjY +f8qOksGrlTRo4mVxIpQ/CWVZhQoOasukdarcUecs6ADvOvIoyTkTt4X1PdgLJ7di +rrSTNMUtjslN6hCNGJ3yt40N9CILV+kBVGhTgB7HlFqzRVt374Y2wVzNfz3QEOXL +52tb3tNQ0mCn6C/5eqlasGUYKisJLzcX3AcopllTBa6mr3OT1T1C2+4QYtp9ewnW +zvsaElx2W08YxuGwxS6plmngNKUbxx6IH0pu+h+/B8ua2Jms15u7tljBx/+xdHn0 +XxKIKP5pHclccBth/gKx9i9ciZ8va1FchnIIuraLwm8M6HAqwU1lCHk869W0Mvzr +pRqhXGin4WNfn0/zImCa6HM6AoGSkrsXNhk78V/xA/Cvu0qOO/73JcNLeuHLoKpa +2STQ3Z4pAmYUHbjxyYaCbWVKOujS8/6ILj7r+Ry314I7oFpd+KmD4hGZCweJuqkC +xIBhpqoEu2ojQFEuHS7p8rsOEZI7sGybN/5/g/l+ckjGYJBfWaCzMgPrG3LC0aqy +HH9UMNbtjfo/JGWl1F10oQQNRKTP3kMHhTSoh7hAvqM7Z535dV0PJjMTA3O2I6PW +kn3mwJvnREYIpOYjSdLlARsfwo0jYz5WueuqvxQvo8d+WGZiPZwLjqrFQueVZuao +rKBhzm8sErw/n5HecGo+sBugbd3R9UhjXJ8o4CF+N3s/wpJnEYgt5x/OWUVJPMyO +W9vMv8IcPEF6xrECsQRxZ0weg02HUuwcXQR0cqDp5anWYPary9rYDqmbnh2V+zlK +u8NFH/7M1QhXOOFkUrPdQJrs/PQnXVK8kfw7vLW7fWtPvH90D4mz7H3YkqGEQADt +c6C7sLMTeRofvhSo/Aayev75GWJzVEGX0+9X0Ru0wniDX/hkPkyKJ7SOplW+M+Mr +iAX/n4GR3LiKX2vB3r2yiOQlzp6xd6hCMtml2ltiqWkOymax8Nl2JARIdgFJlUOe +2s56Ubjf12dRErsS3WUS2vB3LhctCQIXUKbkajWxq/lkr6ETP4axSQCENhI14wym +R3mCGauP6BL360ldD9xEI86FVpt5eb8UTzjbIsaHjiuyXinOylLLm6+QGnFzVfFY +CBHyU6NwI/Wm2pM4ZEi5MVxqxMdADsyBR2o/2QkUjcaI9AcPsgY0JCMwircFfbUy +5I850WttHZAzj73ENCWihmELH0ck4//7pi79OYqTWbMSYvXopDvbh97eM7S7CRmz +oDoKvDsAfMBmS9+x/0Ttn6nIJIK++0/NVyQglzJ8hyRtXnvEo/z5rJqncDbYbMWc +dsbW+jXQYYbW2VRQ8eXubFhI5Ex4Nz7PrWBMpZfEsR7SXFsgE9HsXPrFqVbwLoHr +GRKoUkam/dTFhbvbB8Xv4wOxJ/8eFiE7hhF+w2G3AXAeYshA69KW3XfWoiUffhue +Dh9lHWJg3kykGJalWpWKrLIlYkEJoScf8FrWGF8CJEgRuwymOacvaJQvEqPJzqQI +ezt4cUEpqL+AqsX3f6+gbz+9GhVfmvk8qOTYQr/D+mlflM7BGqTX1V7SOH1Qf74B +v6Ss632cYSwsyrdqiDWqUhObOyBFAkxSPOOdAX4vKwek9rPia2s7ATp7GLbSEC7r +ft14DyLB2No7Zo9wjDFpstd5/xciASf2en9GrF819eV/NofNj/svWoRNMy3VfKZW +D+O/TM5RHKSWuBiA2r5bHC3BkDD6NN6BHdO6x48+1yMsbVwJkqErLORSqum9oxwz +qoDWZp9XhI3e0P4sz5ZdlVG1aZH1zVbnWhN6QK+wTcfkWDYIvF3vweSnSFmq2VNF +lZ/PkA0izaijxiBbiYXzp7MLrV/jNyB/fMYSNhx9+ofkOe8jRpi6cMDTkPPddnVO +vtdg6HDfkZ2bxCy2KqVdqZherNWPPMJm64nlVIydNCFdNkYANuUgzE6ekyiq7NF0 +Pf4Pr2xnGJWBrRpzHVVdt/I7imAqD6egfbeOUOtebY+OaOSuGR3B15Z7OMw0sVOm +p+o6oOSSTTNotk4QGyKSx0KO83RcUXmE0CFhJW4upQUXeW7idjKMF2YM77jPJTJN +PdKiHSq0WNusI6AG4UF/G9/AOCBBxjq1ALUUdcTe7ADKtGNaq1+W+Eqi+Crj716a +OSs5Fx6hTGv4lfBavdbosYoZnIdVegR6f3/1IxeJ222gCeSIpOoKbO38wBV1uMRY +N6f1x8SDO+xyS+DsYy//KqHIXExzRZL9Ny4FAjFJh+cOxAyeIKbZsJO/MCpHhRnW +RnB00KjyL/1MRCcTUNGnVqDE8aheVi6tInWhGc2l7g6gO4BoGHpNTNUQGfxhICSN +bizIQg7V77awVOnMF2LX8OtfWdZN1GB6SpldenwNbr6m8kiIfuH1ljCkYGnOMt7I +V4M8y1gK+mUZvC2AEvMbx+aNS5Cefo2ovo001nEcbQk9VJcpG2A8tEkUtMK47h8C +5T7QGczMPqdvowL+jc/rZR0fj0jHxAlR8bkijiiSPD5E0zN6A7c4UYbiwKvUDI1B +BQRbBqS5dxNass6Yc7ZGJKMbvdS5UrRjv05uwJTFQFSE2ptgDwbzbHmNRpolL0Je +bqnkI9wf0dz2ZBWQnN0SOTWZ1pBcUwmoYVz0E6ZPh5oz+FRbJt8P1UIxds3cJfQA +tVwiWuOUXEj2AokmlyCl6y3ll5+tVOyqhe55vgD9q89tFupJGfE/G2JxL+9Irj4d +2bZrICXoJojQdYD1sAWxqYn99BjStPjUAWjNeJzEs69Tsj28N/bICR0EVkCVINSe +RGyexMB8x/gVTIghqVeEF8QCH3lZHvaXCwwe4vgUjFnXb+YnyhLbWJHLPGApjTQQ +i6prTESMyRyY1BXRb7FZ4oxYsxL0w4yDhoQyAIRte+Rvcpmpjj2e13BRa85s7uBR +85o/a1295TtHy08OjLdN/D9fI+EEBqfpFAh+cKVxujjNWE4LKb42Qzm+pIo+go8i +2des3aDlkMIeNoSZ5nYJypiK/QHOMvzk4bTcfhuxwHFv8R5ca2Bkq+D0p6Fq7GSx +891OXzaHCGuzPk/UkyQF9tYo5EEKO30KgkMo1NkALuy1iOdh4Cq0zQVy7q0ekA4n +1FZlPc94YKR+OFoiiZzGhkeef0q9/Jc46u6DhkLJWMJa7hxHeEyQeOczLhfcDDqr +TeJrwOoimfklLj9aHrIYdAPm8Q6zsdpej30WPFdi3vCnDOKMd55vgN+cXvlOoKjt +1paydac9vN3oTQtVu0Gx8zu4asz71EcL3+MjC3cTGr4a2KTYjzwXh3JNBzioz7Y+ +ATARSE6fQrp/LVbyYoOtWptIW2ik0yiQjhc1uQ1WqW7wT/awC2q/oSv113xiAp7z +9nY8L0OJrlJfbydTU3X4TqJB+0/TUjqg3+FQma8B4lqoOr4ufuLYDXn3xavBfNN0 +eyrIcKFNbOVrRu+am2PmizK4F9N8JiILIdlHBp6Lu5mNs3DQ6ppvFs7NVHV/mDLg +HCltqOTeXVnNc8iMCwPmrXxbCgVHaA1CrJaUh3wY+q3GSzpbXgkv17OSmMv6kYb/ +lETSNRg5lPW8h0pd6TyggbodbinaE8lOOB6TNSfaqtLAuR1dlAp6nNKN9iUM8Cg/ +/N9Vdi+08ZsbKTEwPx+UTc8q55etSNt+GRI+Hlx63OhL5H/HpcnHkh3SNScvwX7S +vcW8MOq4eIwf8Am96ZHEJ8HLHQ1NwVrGwS463MFNRLxXNifHV6kLVPw2QSVixYIJ +eRsHvJNCO8UdpLQPmSTO/gNOPPAevZ6K3mxJ1EHHQ5kVRGYSOJC4cfpblMF0ecFe +iBi+VgXmEWn7gf0potRMoa/hSpbDipFfeNzB5y0ZdM/A4oKRQZf/zGrLpqFx2IUo +lsrzzf5FOVW3GzmQSfyh6MlkqllgIxlM4gzuZcEj83GxnXAd/KS/COkkiz1Hj19r +ysxOfhFl+UjncBeFrj8mjE1Pvyk5YiDPJpnOTLbs4Len9LZ4b5toyWU2L//lqaBD +ykGiZIW1QYlXVdEf4kFa3m0YG3oMML3aMflNHR34+BYo6vcO7/nbTsAlFMTFCNie +4vCzvS6R+y2vqpovvWiil8uq8KwlFPrr0puSg3SBAE/gkMol9vjRmq/c1+d57PAw +mmqKPsb93XQNUDj8yEuvyxTykxrELJjj/gJGyD8rv/bYw2Jy5BSRNKlbmwfwk7Y4 +vCLUzSlID2FQAeDck8wVsGen1rS8fosvz2UnAr8MxQ4tLHe1ofCer4kqOVtvxwD6 +7JoPEfo0mUsFMfvpp9gbzbQXJqFgRaJjSFqLR1BFB1phSnoULZ9xjyDb8OB9j0IT +dKZ12MpV4/9kk/TPqHh2LZgIpVKVMGxQuxxCZX4zPtOdJxyIaFloPdYkF5YXNudJ +TLvA016LI+1ohzT6u3Fj2//B4hphTxMlMC+b+DKgWcsbo6CY1nmb2Of5RZEoc+YX +PvopjSYnB4OopaHAvpiUzUspTkMzDrw8Ore4v1HkAOD3EWpYwnXM2znhepNxapo/ +2+cL3sumsSqNLTdeUsJWOqS9fxG3ehS1Ppdiw8xkY/ks9ETp/wS54pMGLVmHOVsH +o4HqEUux+7EUVMiAZHSuEr1eWddCNaqCSgxcadhnT15a9UwcGlihrbVyg406a1vf +GBrpxj5CJOC+g16xO1l0uR+zlX1AILC1l1Bj0wZBOn4eOEjqvgVTpfKT+tAWUNs/ +eMeMSsdahSZHQcyeSEdu3onPK2FZ5DkJAZ/QE8E2kPSlXwgMF1cg7Dsjtq6PHYl6 +Gn+qBIZ+4an/o4sokffnkm0x9TZeah6zgDuOaWZDrLbjBQ55/b3/5LAXDPSpr9aK +Gedvq2Al61fLB3QWOK/w7QuzKkkf3SAH/gok/ZhJ9hqh4NWL/nnasbimiVAt+4CA +zR3/XiJSp8LHCz4vcmls4uIa0v9lj4clX3I67Am4fcLwFFBGAOKjbA06obaXuK2B +dFliiLq95c8HkMlER0YASlB4w2OlPTkwFjJ8GkjECO1OJRqPXcxUuoozkjvbQWc5 +C6tSQf8f0box1q2FP8ZYQC4sMCnm4o0F0cy+9loZjd2Wv2gByupJKO99jmr6+mTx +4DLzL0UM0y4MPBHNbBM1BCXFXhEOwIwYnFIGFJ9ZM2id9ssrRJS8NO89EO7g7n1G +NqHxdjr19zIuqVL/G8vOLxgUU2QM4WqaRV2f2nXQ78Tu3weX7Syz/YS+OjGPqfTX +8XY4SifkUD/guoO8VqMYnUFItkeuNFjxfSConU7/PMQOVeXyc+lllBf7ZAi8hMQE +gYyEuHEI8VRTnHbqbVeC8uWmsoYEMTyCLLfB51iNv6m9Moq7m2ZHLppST+ig3r7U +DvC1DFjnPDjVEK6Sj7Ah5lYe9zffr8CVFlDu/XDPOhyWniTCmLHekpBOxDa1AbvZ +DbAnGXc063TMGnOApeqwdJR3tjvk6rI7WsuR8wIVwSC6Zbsh5E0qnk79aQV5km6B +BEpyCQgYdHUxIaAAIM+nS9vGM5FF1oHhwRNwCaYfZqXLC0xoZAcMveKZt17ZNRaY +kZ06nyv1SSjafO/DeqskMQpiac0/2bLRMQWg9BoYM8OqLIhNPGcOs6oL7cdlTrlI +VODZ3O4VGDgI+SUXWL1jhrLtl+s08V/3rBVcFhFH8+WYSiY/qIh7kOOROkilx17H +O4rwpDpTWAJYvDnzoeZ8epHGjQK3cwoC9yGp0n5nd/xXYPwU+1y61UTmLk9dtBOz +oq5WLmimJTeDk2t8l10JOZv1HI98t5vnMWMibC/OMSM6Lf3afsvzy5wHIhIexqK6 +clxpkuZQk4EVEpHPocY/ORep/lkXMZAbJcVa1wGPA2ug6SJyILxbxS9eSusT83Nm +GJn3X/nVJkIY76AmYvu2zIv+eRkN3RfJw6d+ExJzaFS7EqcJ5zpyNB2DDr6dLsDQ +GAXnuhKqUewhtgWb7thMkv+De57d+ecU9kKo1kjmSFEenidc+A9jBuxQkReydbGS +nbvUkCOoHTJiu0gP5swa2QnrqslS/eC0OAiuJroAObc9x/uNLXIpzLOu3RIegSo8 +DA39XW73/Edxe+jl9rdqtVLIO+BbmkiLcHLOCMTwWPKibHtLn26rlmTDoU08wGgN +wVZIQbBvS8XBQfiTM/mzS4E5Lv7lnBfV+7ZwFd8XGqd6ANDn3MAgjN6ys01l/nyF +I+gLXXnlJ/VZD2IikiIqENEbXjg3McROqLK5t6ErhA726cl44EB50tZpVe94CAk7 +I40GuYoojVMN+DGopE1aiM8BbRgzoOi6aPo4m4ZcJuDRgByuCFoPseBOlRYGdow3 ++YFJOlRoOn5ITjN437cd52AAZgps8usiT+KdREOQBB0c3ise3IcYx9dr8CLBv8dr +vvrDDpTGzk3c6Rm219jbmBy5DNXB82cf1A8X/iJJRxjZFMJg50Bg3Ut/7mf6dyN9 +q7Rg00q+rDgF762R4t4h2+rpxGAJ1WxP2Gqh7a0xhtqd9IQCd7xaxzdkLdgo4zd0 +/Eikswr0RhsZBtDbcTn2R8N5FysQbsGmNtMCX1EWovMckhDNE4uJ2ebgtQ6lgYNC +fjRX4fhAe0dhyLn6jF5QiT5bsWWa6WwZVORdsOEzrNuvsXPcxXBCApt3BYBHiZ6B +PKxHEPmcVjs9R2Z/10EL4ut4NU1+OLVb0ROqS18e/d7WHKWYxzs0k0SIiFsYTcTQ +imR1JYaZ65NRyux7Toh2suWEEB5BjaHAAq8U79Wxkxfx+OSL+rfYLIMHNB/Tfurh +CXDcQLRHi4BnEjLE/+JM25Y4J5GLEXdcVo19eHq5Rgd69AoxX6u/k0Nz8uD1STba +MeZGD04IP9cJvrY5DlO3khfCTcsF7v6LJJDcdWdjQEpRBOzr/mg1TYjHbYqqGUdM +BR7Qb9L9fO1tc+Zj8F6i2q+tI7+NiDa1GgnyK0dUZhf6WUVoAlYiM8xVQnvlKfYC +fsrDAukkds0K8EkPIZywaakG7rzqHix9k7ob+kYRUMTXLpy2UklS6B3bMxXWM5qw +xjPi7FyrqRra7vuufU/bbvx1F7Kijh1LBeUIUMnnnxQ4bM0v2Qb+HE7lNq74tiG9 +qaiBGVreqPYxAvm2Le0O3zB0WXnTwlYchBbvupq8wj4f9XE2O6gSvOvP8Sy1X98g +jcjrIrZ1SeGNLtAt1Tj8jG5EMpuvbu1I2evQ8ZhFuFdM/60nUvpswyw+oyCoWmmB +4YAGUjk7XVyYagwo8Ms0zycwkLIwm+T0Bg4qwwAyG2+mxwd9SJR6ew0ZHbPZOSsc +jekZJB/Pk9NGVs9AgH4q/YWNB7kFZkFRxqybwIT+vV0eR9NAOx99K8Kqt2v3asWZ +jN765C9VphXvmA3bUpo47lIPgoLlNCM00FOreVn1xJR4n/4uD8X6J3kXslkBrlBH +rjPdDIkb3Du0rEkTew7T6sH8SIlB+4QSoDaxCodzXx0f+39fdBTei+s+e9ltL1cT +lC5vayhSutxwKMmzaqaPkWc5Ih1eb+fS/X3ONJeE/1Vp6vcqGak4jtLq8KoFcvXR +we4vTyvcEX3vmftnGawfS2pl/Wd5B/X3wXPjf2rQHgbNZ8mAHALuCVycjInegSQy +YRp180NtnbTZBjXyCnr6jCJbgdFCDvrZVO2yy5WUeyghi3jhGkZpp+cOInI5Y/q1 +cpkSLMw8g3MTLsi1S6T2DDWPflkLFPxS2E6JUbZeKTf/gLYcCN0KosayDv4G0IXP +oO6jstHK5wyv5J7U2wiGKGgF5ATfZmtwLUPJEVvT87T2by7jEQgMUZLf6to1T7qx +Tw+JNp0ABas9Ajrhsv5T5zrH9JFvB5d5EGOe7UWcCRoOTYfQzl7z7fQQQqW8KflX +KYD67ertm8UT8Ib3E0ADgCpoWFSYXuYJqpayA44frPXyzFL390v93hnTXywdwoXz +5jKoR3qVbkPVh8XOBPObXDRrEtgNRrCXrofS96djnEOcyT+xPw2ZBbd7KMEE2MyI +Na4amgysbD+GcFcPB1wBSqbvL0VTkUaf4CXdzVWIoX75UeBcThSOyzGzKsyM5akR +tDKpYf4UeOalqv2QPKJ8IF0kLZE49vnS2lEWOXMPRKxeQrwmWUlK518LXtxU3TPD +yMT5OFDAkvMOTpKmSxXanlz3n6J5Si491M690VrBX/zy7x0mFPrfu/Ej53ng1UI9 +rDC0U0Itu7Mzing4cxFy5gZtgoTnqwSszMlTIqVJsRgj2PKwfCfW+i3m7a3zpa8C +7GIguyQ0S5gTX8mcqJ05627JyYhRhSYchA/697AItMQhwibDwfNaTN7TLkh4tF22 +UB8ufi7IeKiXlnH4uK3w8zRAVfURM4MmX2v3Eubjb3qG3pIGq8dwJaGABT3P/rww +/NsGR1YfhTFIncTsH5Vk3QQbCHFdddTfnnbGOQel2wJF/eAlk8UcCf564QBxdT0F +4oJqeLHmR2Q5VDwi8DmZlt6UoEOzeNcdC7oguemkQ1XFvSfTr5xEIikG9ktsjX9y +iGgQ7jv9UBoOlaUDHWMq3Z29jT333jJLpWpOv32zD+flTzkz/6pQdb6vBoXtjQay +EgzOXxE858Fh5Mf82DmV7f1nvPx0MjKm431DLuLHwuEl1QMm5p+vvy2U8Coa83/H +XQ9ce3DeAejOZ2oGnfKPDA8Mg2ON/8RhSb/4yTUq/0ulv2d7CXrBcASQeyqtvDNJ +VNDoX6tOoHV0qnCSGz3PwhnvQwniy7jue4zIqL0NWUTeqjCauD+DgGUXK+Fm/JIs +eHptyyZIt6Slm5YrSpco4cd0OcN5GVIf1IOYYPnwvy2y+7rR+ARn/J4I5c4YdL2T +rGHQy/BBlFr50JNCDaxmZ1rSHgDCbaiXXwTcB4wSIyJebtb7fbJlDv+WtuT1/urL +Noi1cgnr7h/qI85s1gg0pa4QZKynPz8wHX1NgDAAGkL0ug1oSc+yGWCkXISaWAL7 +Kr/G2qt2Ksc/BpMjwuqxUgppQZzYMnw2T3iwGXBZOUyX/A6hu/Pcjn9I3cXpmCWo +X8mjDRFXaQutAuPzFsgwB03vKh52Zyf4ueP5CN3UEo1mxJ3NxlY7L15UyeNRRaoh +mPm1ON2ZVUtfCoFVbrCmecnaWtkO7GoAfMvJXjWUXPyoBLksF1YBJa1ZEqbeY+cw +6jvA7oJ8qwAPDE+Mnz1+cMW0Cemx87d5QfEGJ5BWQ/6HLAn4dhwH6HI3IWIeOV2Y +Ky03z6dUoKHjrRxmzeXK4r0NG9c2danOnnMhogBRZbuxjVSYJLfqyYcHPgS1+nYg +cYEMNceUkl7j4Y5pasmjRnhCykeciGQvCbZG57N4XL8Zl8OC2ESN3fSkqv1tN6KH +5UUgAT/PcAIcyAKXZNjokighuUhtuHtFAiEmdQEyzZrrGX4nEUUk2RTXi4Z3aygt +UGl992LQmquo+/8oVuzsP9a0HGJrJPZekw96pDiOgfB3OMmvQ/qvShLYC+D64VHI +aSCIoTq2k3PG+uCAjI8uy+DipTbQ98TPhLE1fUhSgkhKljvHqwyMo6UU4Lxezz42 +uSMIGzWVDXFp4MzxTXG+gDXt91IJSkpfB7ck4SzCs3vOQU61ycJsfGgUQVnFQIXd +jkAHpcarOnwFFpYbKpDsLCthnDA929AfpyL5DzkrBmzw6wVVQiDaKROY50d1NJV7 +ATHzpxuAwv5mDnfGvxBQP6Zm8HGOgh+TbjVTuaqi57qYe113Ky/nC9BgG+joEX8+ +U+Jeq/ncUfDJ0mZevOhMlSh2Br5TJTXzCiXEGMnlC4wbdjkTQKbcLFl8pBzQVNjm +cRnn0gpqlDKllERB3WLEOcElV0hMvZZm3btGP6oD92XBfCg75ly1UAH8+r4zQywp +tf0/PlYre8hNaIn3Ji3IIUzYZ2K/sNuK4dIk7f3kqLpF5rk/diMq54lT4HLe0jpk +UdzVbrQVhTBzC5fp08q/CSBIIKT9tSMZrkG4zAYemxJFUZHh4KsWGgYi6zNjHCqT +EF+XcS/sU3K7Ijg8dHi/qDD/JTCTRK9fUNgurji3oN3VX1CAm4+Jupn5vTUQqdgc +3EoDFlUSea1/oQ75FZXcmtX3iO0sXaHsD2yEQJqQ8u+30V7N03L/09qFX1lV9ZaW +DYn42WYGyB0jAnM9PlKhEj2W5UEhJryMCu+TwT/7dbc/lpRvyGxxFpCtlyIkfepq +z/P99eOuQOQxB6W1dw4xGPryUBEEHF5kA1sJouOo4iiIaiyjQgDAhGQ8FSaLKNqw +FhPlH3oIlyZ+a1mwl1G8NS16rZXMKUGLZ9qqSw678QqSbhfY1PnsapicgNbsqHST +rRb4UzWjKwqWMss8F+fsgGVjuuqZhlk0emK0u8tr3h5a1Gd1Wf7YsCjDkRQc8kGC +yY68Ew4N/CUdepOeTDPb0eJXFxYzG80oYLq8qRBFYuEDmMqvDddLx8HVkHGsHxKL +ay6lbdGF0hWWR9GcidoVhyIfrCiSGEPOD2owPdkQAjOtfmFFAIUT4sOcA3+6uHQW +1q3ubjNT1sMZo6gnMBCw0HeTj5+yxJ0Oms3hHBOObKuYoHuI+r3ShG2HlC7XND2f +u3aO0iiG7ofd1BU6XO6XhqZhaPgXQwrsBjpEznzswouOip2+TnSCdBoa0LuOfxHR +hk/fFxfC89xoFl2b3isRIeZ/8MAm+NvLDbgs/BBHo2rw7Y5e+AZvG3ETWmuimc6w +X6TnkzZClibjSkuqrmz03YK9JMImbPXJLp8zgxdq3BYgqhTK35DEnaYju8kMz4hk +38XKGoD3XVrsi7c/hV0x8D14LhqNOY9hljJeYitTdd5umfBDAObGt649BZwUiJIH +22qkrwaIMhi2wvNDniZmId9JHaWwTKu3pzTvChdD8oa26hB6Dh/l9fCx4Tp9Oj2c +AdiujvXL2XwktNsbh8fb/tpP718tO/8b3yLEZjL44ThADvKCxINJHww9ZA/4ieLV +EH5fKBjPjavFRzt8ng8jsA4nTx1UPpBtNWA5bP6qs1XqARyYNBuSM77R5OGCEoly +22vOZRGzRLaDCWBQ6ORhrnZcZoktJarJx5QvzQFUzKO71PebTvnJTnP1BalrHwxI +zRfEBMQGuwnyBs1tW0HDFL4m05IA+JWjV8GN+odlYPINE3LoMh39602KKKIHbWD0 +kPajwgAhK/kGxUpttAb5THR388nNWk90+/8V73FvXnnhAbnRWArfGd2+jxiJYvo4 +BuKPC+EhUdrbT9Ahe5Uogrsno/HvxgEYHanQ0FphgcFPZzG2AMTY6VsBv7u8lwm4 +AMBT7PeCyF8yNmC6lhhgjuQCaKb5sIuR53eDw6PUoA24ajNXeqtWzzNjc0BSEn76 +TaJu4zXy856OOT8sdkIm/0a1BaU32KBa+fDoW2GhWSOw54Kl8fbmeEXRmJMidZx0 +yyjHm+tU+jY6fpEeSNLeM09HZ67hW47gOBBGZVMuD5b94fOmexM61PtGhINWEQVz +7WYu75gr6ul6T/1fSnYAWc4TdIKcbGv6DBZPfVbhF61DVFrzpEfCAH6zXgaDsgzR +5wkT14z5B6SfedIhsRCbvrT+BAijxM2U8BM24neeU+mT14DNeDPqQ2AGqg/GiQmN +Km71QGr9k01R/D8hZGXOkQE3t37ojAsX7X474ABPp2VS1TsmAQsSNb/ctzhmzOPX +J+fMkwvypPV4jkWt+5uzXIFBH5w+FtqHiBdRlTgx8/UuPChjSYfFcDKc0677t0VV +4mwfh+dSxb8BRntDonxlU97U0UZGJ+FyA5Fgv3iOxAWDBFkqEunRNAC7B2m+r0fn +COaFrAStQ0d5a85QVqxf0A5IeOkeyEp4yoI6hpMggH4sq/kPMba5P1cXxlcb4VAf +Wv67EjSELdTIX5uxeOLkV6UJL4MJa1/budw5oMo2wQ9DUfaGpykkxglYbcGSKjUN +Kd/uHVEb2oHpUgGcIua+Cb0Ats20RTUO1qKFym4JeAAxLAtviKOjNfLb/q4M8Sg9 +xEQDTJCiop7ad8S+rLzSWg6lzdLcxrjNfvFMzQZ+oiwiS+4II62Grer17L4UQdg+ +jQO2Ek6abO5MELG0ZnaOhPW80OXxRUypN3ttqKz0OdQAHU7MG0hRGQkdW5dYMHhC +oVl/yjy+7HO7O+OCCpIzR8VL+PmMRP2zqP2vPjj8YwCDgBjFBRkKijB3Bd6aHGqr +kw/4SWNK7RruUs8R6JRolQKLYbvcx75mq5zXOY9iHadOptdP2svrYsfTUw5bWYFX +uv6sU3/g6ktJrcpj2UIXB67Z1OOKjA3q1Hd6cTZ+J0CsEykznEnlEGc41VJ8WSfZ +TgKFjaZ+rNbAFjCrM8+SoV4qDIr4wDg1y9qIyiT+0LWlGsx8/aGs7ZLZyDN8p4QM +D2og9mwd1k1VaV+jjY/9ifFbMUsys9OuBrV339KdoL7OwLcX0ZGIIprtI10hCv50 +3z0BvSsy9L0ARytgdV9Q/RrIdXsHUjKBRGdmQ/GKFhLJLr+B5a9aShux9sgZk44H +YjJZXnjl83AJ4Zi9nEFp04ZTWSSujt441PGjBNaEFB2PDrTTVYRz/iA2r0r+GruZ +HU1FOTOFFRhWG9gu6CIemumZ+MDH9O6r2ebf4mDSpM+/z1QhVEcJcET7v/JrpRvu +7heImJLp+KJ7UmKGTvYHhtx9pu8czLX/fKVdhmjiGc7SPF1dBOkjR52Yy/4JWyXJ +dtDNt0f7NxIlSgSzEJaGq37h8EYb/hlRTxAHMVH6t0TvkOBk3p6YBvdL9cIhvAU3 +G+6tofleYtLU1uXLXH41gWN2r/N+t5wlI0KuMM31wpWtdQn102aLxsfMAjP8tBqw +AzzuGs1uDRE+edZwRl/nw7fC7XVwzbP76jQoMlv3+YpM7DNL6zjG1ccLLXW7ISd0 +3sJUvCqfx3hCPbneXwm4KfH3EVfCdc9kYc+10hdB1hj3a/XgDQTA6xPr88Yqz1dd +YfcN8mRhae5gQDIcIRkH+ebkM1TEPf10AxLRQ1HxQwjUX4b1sIq7nZPbp6O8CRo8 +mtL6aL4WxuIoEIDNOwHp+aNbztvWewGYDhePLSdBhp0hF45Mxz1vu3Tpli/RjEp3 +tM3mvtjrQ5OLrQkSW4rml2L4/SX2ppMrB52Kmm5qkzDMKS8IDKAbYGoviK0uCBP0 +lF+t0S5I9FuhNkwFhdvk4Lm/becSrL3HNssJlsh4bOYg3dD4XiL7g6oG9zYj1XOg +3LCYKZwV/bmfWyTJhZ9wlRW8+32hbgvNu5TSixlqyU4dKaJYxTqkqbZIfkkqcqAq +pwwbhkos/ZVfm6/pc7HNJHcE65d3OypGu68RnVTO4ZvA6Fxxl4bOI5Rsg5XYjB0W +EJPsTt8qeRu+8PQ72Le6BI97lL81p38FjXcPUjvC3zzDChgVpz22Fkj5MOTbO8Ox +KdfoITxTZr0OTDG/eyBAmwkOd5j9lxHAJgLzFstAn8tIKp1rvCkwEAhMxtfRh1FB +VBENbhA6MpjYXR2Utn+eed7cdwokiYc6XWpzUoeGofaAv/F0x78j0E4OPRimuXDZ +nFnR+1BPoUwaN2u5htxtEZgIzOPNXuKNPgOhSWAIYWPbooEdV2WQOo9Sf8imkp/u +2nzF+90rRyMcPocMpmQoYxKZqQVqbL8Tkj2QjBEOhhpo2V22Ve3/xtWVHZaObGxQ +ldIbBdftMS9zi7ELofTpfDdf1G7oFo16ydHnoGngAJdB06ooVG21Luq4ji9kLoMw +rL9RcG7Ks9kRgVL6AEr2ELomxcZ4VUKFN9TsqTJ2N5hBZ3c/Zsi+Dt+xYMWRE83u +8VyRvzN56dB+PQt85TAZszupGCCilClKx4pDHySRM59FBH86XlcQanJzfkXeRB7T +0TT3RMnMpTD/C5SRsGNpAcgL/J+Ic/asJ6fzR2cJN/gL7UQFPzkmZTMboSrzwFJJ +VaWGn2+z6Ufrtr1RuGX3Ilh5wItc47X/lwy+Up640ngnzyUTaq99XtsFlaWkCSWZ +8a2oOPXWjpx+clK6nXlHutlBAh6nrXqB+rtsfiXafB5aTmc6P7O1NAIhEfaHj+A2 +VqJZ0D+Ifp7Dc1A6Lh/A4csU+AfI2qkT2kF0WRkU4WJ1EkTGeRnRV4VpBhYd9o8l +CtAM+62UDMxRvTTPFhBao7P67tJmZgpTQkP/DDtQUxWGwxVxZAP3cqnm7K15Epld +WzJlTOYwjEk9Gp39JvySTaLKnBxW3xCTKQxaEvTiC9jErJ1ZEESjhjLZsFoDOT9X +cd/pF+9pDjdFBvk2h3ozZuO1L+LFIX1BrHaHKSto+GF0+/t9tqGp/daoD+NPJ0bA +UZHW1LUj1LUvjp4PLR7iVUkI3jUMfe2TDUc5Ny0gYthfpWIFU6YcdPf9PKBzueux +FoZCTLFekuP+ApcDrx7VBCG95lXqRA8U4FIw3slm27z84GC+4uGkkY7ExvuMB9H5 +phM0NV3qNj9FM1ONkPeHy1rfiicXkgNNrNIvJuI6LE7ftPLKKieJsonMFNGftBcz +gns/85nVK9lZ5K6tfIdBA1FFksDaehDs7rGn5i7MSyw7C8sBlvmfStmbMkIXlym7 +27wJT98utgmI2IVQ8Cq/IOn1BdXeBiYsa4RPCOAgKFITPT7479Vw4hN6QXTWAuTP +BjTqA6cE+YkfnXyLXI7BSOxJlBuds4/WV9v9d7V56pvUqDXCHXadfb0kuKTaHK1j +ePehXO/Lp0sP9lYBM2P7TVhn3owTpOjIzznBrVKG0umZ9QlgqUkjy810X0i+V+hq +AwEdgOAO22EJ4MVzV3/P/c5rM6UiIyr3PCV7b5rjJ3xlpUDJxZH/I9HfQsgfyUka +JBmzo2n9hqIGTW19DrDM7Ae9PrGAlZhsm/wZdpwn/gGKKFgsfZwGkh2r3OlMcU2P +ym4ny9tcvhaTzXYbU+Xgryj8LIXaE2w8/NGE7FR9t6oU0yRXMRdVMvjlqWCMvJ20 +Gm7WSVX1QoFkA3QEGtORqniMqqgWGJT/EcUzyfLQVpjJxTpNDi3UJehopOXddkjt +EAkMO1I3pRp3CdLUvHrpEDSzOc9UeXp8SKemZrPPhSf/s2ZDu8PzLygysC1EEfV4 +FeVwfnXUFvCAqzG7LxCbd4/LzdztkqEmRv5zW6od6xQ+o28WzCVDgHdjut8LW4zc +bweCz//EsPHhkX6p5PRZi/o4WKo37SH07JWTHUPKD8gtlp8Cf8wEOpqnfOa1YKUg +FG7YKM6ZR6mypmkUT3xuGCydzaZr4FGlJQlqkDGc3XSLnxxz3AHpQl6NEqOa48UA +IVCEGa5CyAkwJ7TeLRznjDHv85HoFb6O3EFaC9PIEHvWEVzCogRk5oWIzxMxUCBr +qZJldA4I3v4/0a0mcnzmLs4zz3iliuvVaXPnbpW9YtZFTWsuA8z27smknwowG6wW +6u3Sly/od/cFCeVPPiI23Gm+LhZ2ct2zZyUgwYslwfTgsWCIQjJFMOq/C1ow7H4Z +I9FzjtUjHfnjHlMw89+CQLEiyDZ5wNUPAqgdbyJ1/hBGUMe9XXuzj1ZUEbhOaIpA +QHPbtkHZlxXksjKtfaGMt6cvihQFf4xRKg38Ik/iQHes83a8P6Ljn85L1P8uf3pu +qs+l1YeXjGlUgyfI+Sw5Th231FpQiX5QYu7u8P/n74icKGpB/QGX8fwm0AUsvS5d +esJ+lb7tDAKxIVWJVUbEYzY5LYo7OYzj2TwXOe9N1WZXcSdhWxWkkf+byOwz5bKi +68Ok6hdv8NvSQiq9QiAtwYJlE010H7IHERGuudPFmNNCb9yaHCIG1RBCbGFl4+ku +bKWAO141uC56tu/cYCYhgqfV9xIQdy/o3fDrBzu029Lte+AvHMGYFRuW+aqD7Cm6 +xMsZc6DGkdSuqpXknS6yka3/pMwKBqF7UQyTWXdSxYWzq1kRzQw6kXTfr741dJal +LgUeYS0Y+/wenSpEsyS6iNQP/hTQNy1p1yaWqluOrXHukwIzDl6TKa9P2nUE3i/k +aX5vMY8LCG1qx6hZyn+fndWNtdBkBek+u6Eolw/1SacSXOCqom/K2rypMUFuz3iC +HwNb2HbvPgvJFTpidclvuN6gF5t19DujMBapdq82G15nHuPWceSe7SzMA3J0LfHL +nFoC+NbznzhZhFNoZ1aS4b7+7JkTMmtb7HRo0SGtDC5YeqMJCigIk7vwzafLwL0C +p1AXRLZR8h3E2rWrHzeIf49HOfWH447MawSyF649tyvtdCpmAdnIm0DW4uqJASvw +K8EhU1J+fu0yDBCypA9ICpHl1Un9jOEB+f5tmgKU5+07d7x8DWy8cCzPCVd5/uz3 +jKP7OVeP+gX6Qg7DpF7ZBak0nlAPDpxGLjjAhkjOvNXxiLRJrawOzH9SmSFSc7Kb +ug4V3XUW5nWIWifgPUhYFbqh+CCLwLODapH04ZQPLI7Pm8PlzX5gfx+inZtlftve +NjRnFENF17mV9lp44oaf70Sc0X7W3vIImxiKdOp2WPpmLis+K4HZPJkKV2zWlBu0 +LatoPYRqtYbPrdR1kME4SjaS/SZFsJF7ZlGr8vR6dHbIIffAC0iblRO/X3BR2oyp +kuUy7Yv8YuLr+ostvauGrcGM+vl5qCAQa2A1CJllDI+52xTCI926zSi6WtgbNuxW +AFdK3qbrjg0dyaZwPkDhU3eop82DjWFXV62iEXxLDtPyb/kmmArjCq50odLCSn2h +73bTCy2foaEo6PtbMnf6sz+Cq1/Wy58nU1GZsHgfG309Ex9YdXJaAxpA58TipAjY +6CB1twotJX6Bm2VFKH7K4A4bkTzx9nx7QoIqxr4ESX3r9AItaCygFaXBUjc7qsYt +uyded+RZQPmEau4OlC5HoG99Aten+tJWTtVUmaP0bsdY1iRxAkAxz/mNTZh9dEFZ +Mqimn55iG2nUA5W4Gllmb1Hg7yVRNeZi6q8zUdWQl1tJi7lA5ujcaSZmH23uYhtS +s6e1KXFXpv5g1q9KqHZS9XsJIzF9xatzt3IxWESjqp2WuDOyKwRMdgOEQQqz+9n4 +glR1AyaOsfQCvdvBMDq+3XIjfVInw36H6ub8qY6rqanWdNLs1wmz/yl1u/NhGE+h +7ICYFb9yqUte7iL8LKOHSlAb7ZWXLTTmS62qFklyNELJr/WOGFS7HzIDDMI6EBYz +IQU+qQXjA/v8XRmb8twXScYSyc8lF4IgqHllYNiaxXiL54qzkZ1Q4cqmnUAwncuH +RjwGIu6iAMypecpswE3SDAm1y9b34dWRU7CT8DcVEYJlRZWId5uE0xxwkGDmNKNu +c/t/HlllnEHnsHpFyPjo5TZ3oLMbIk8wmk6KU1O9MUFoMghnHx8eeDH2gF1hltzy +mW+H6zmn5g/tt7UThVTw9cy4U3zqVg2Zl528ijOSnUclqyqoqg3gOPlXwVK/3mel +djGTUlwuuNQFNj8l+E5XNqKFVVgKbF6PnCUCF5nsFd6tnGVInEoRTb8q6m9PW8oV +wm7DNHuHxjZb5zK2vSHHwdiOXOY/rHFY8KMw/IIAEI88SlHoDbBDtD1podd9wn14 +Rs+Vm+dfGtsXtNSPAmWbWexJ8jGN99baDVr/qs4WDWEoIGjqO6E/K16v4nOLfhe7 +sC0c2+8/WUPKxTnw57lQqPobD9FE/Z0+3Bt93ZJwPebDF1YyVAvko6r00ffeRP3z +PuBDPmfRcRE6hQCyheGV9k7jT8Wx3v6viA+baXiZT58Or3bQVIkxGbi1aT3eQ/Hh +CrZ6GD94+3jGlwi/5u6L3/K4ILwDPijbgBlDojcmNhO1Q2YVMmEXACOmLXMsdRMn +G3yghTHc0aAQE1rbd3YSb1/ui81XNwxQzvqw7oHNmKu8y9r+OMLIFNZS5pXXsr30 +VuW8P7eiqEgfL2Z7r9ts+jImyJN3HIQV8fdmP6FN5uJU/An2FAiBXtcpk+CLR5UD +RHPFdO+czcxgoW4ySKrwW9UV9r4D7aA2PlqaOkNjfLUHxYzT07rELTN3p4G2Ia3B +pHt8HMgYsMDR8I5ZYI1r7glnkW57rhq5kSJ4XubVSXhVYhjclSNEqOXRNqBQ4rgr +RFzTVwxkbZ6mzJzBie0ud6t6xBq3AHMg+YqQg3qCsJy7Gl7PowLg3Be9IofurSNe +QNfx3NEINLOaOksvIqYLXKiBukKpGMWyfaSC99uDh5NOU6PT4HByiKrjsRp8/NWg +p/GALZkRIQy6gVGCklz6iWbaLfjHQT75/joQ80CWDwWA98vEUXwlxLTjaVAOpls9 +Ndeiye8piRQR4++0QIEqfy7AoGYF+CHV5lIKN0lL9mFIF0p045iG2dwoPrYiRTN2 +q+nHGZF4xrQ2C571dEogTm0d8WeofQXeuBpFrHq1eqfztVPwKOj52SKnW1lKx+V6 +whxOIzKb/fJE4+q/WhwTJR2CP1kZfMwKKIo66RAwh2flacnS48q+270i9UB8qVyD +O7x1jTeIB3JDXhL68PNoZOmkLXMiTtqq+jKNlDt4U5vP6TZ7CxU0ZnQJqlWJpQZk +YxZt6tiXv+NPSClTHI1UMY113W+lbs6IVZJE52aG2Z/yEHtS923S+RwmG9hpqCL6 +MZ7GjwxSKpuKdkFpZH3j+jN7LERNyOM+peso6DIF5uNZUp0GA1/m7iSiltmymUIw +bmrEZOc5eTK7A1FdgIcCuSCqRdroCiiNnfb7HDfMrtsWolN1qlXmK8H/WavnCQQo +dwDacTwtuw2nKG9lGl9BCIpwrcx8MChUuJIhGtbSLrFwM43KWR3Ijvo3gIbKQvwJ +ph+Bf4DJFbtZuLiVTaDqrhf3ThEoviYrpuGwAKWJ6PUKCWDi0FSBMJ7DiSAXqOov +fBCxHPSKE58s1x+IaBcUxnrr8dM4CCNsanbNpiHum9HSiXKnSjEJ42w4zxevFmat +af81CKl77QV6NUjFxcz2wKegwIvmR13tPL5yfhy6YaUCadftbKrstrmvh2mXfu6s +tdrv/w9tAjN8CSzT0J+d0RXbirLoMxaAOd2R2MsBDDnkhnD9/NUCnqwgFVB0ZHqD +1Hhd9mT0FCIPPhcm9W3Ll+54zIOPo1xkJO/+G+1I1EPcdupG4M2jrko51fuDD05Y +rWK4cKeYbJOnHlZ8EPGVC4N95RiMKIEn9EJhd/+MPjYFRrLTmPipPsp2D7ADux94 +8xVqyD1FFI/WoymKmheVaHyvcKkc9BWkCfnLDsSGAkPjVOznlPLQEXdD9huRn5x+ +GWUcmFzkHJexdGOrqmadnkkaXq8+QNxKhBwNpzd2Bj9fZlnjQQq3A3ugOALbjL9y +GCWguhRBhnp5DioJIEwCKKlH4Dyld3P6KrvCRqGolWVA7VpfZommsWfX9LQqKAYR +++U4ZyVxN/+jSidRcC/3s12MrsiCT14JK7KprbR9gBbQ//JJMJ+4BfTgtoWjYCmJ +k4FwhjYuWWkSempOeKwBlxtgt3dE8hnGhJxMgcmA2Zr3kf/YoZZl22LrkRc7wsgN +jj14lOhGjo0d3T+wpxC7fGYq/3SeMIcyna1zMxI4f4aqjE/6O17bH3fxzHMTWamP +62t6uHIEc+wUluH0e9fyNkdvNzTYFXbNWzaL75ogcoqyojloCvYmx6kBfukCZlIJ +sceCeOxqHYCGJJjoPdD3h7XUkc4LYriB25Wt5rpdN7ynXvHurvuqhJKFGRpHtVNh +8jnpCYyyLePnlvanBENKzYRZIZe1G0Gs8e6f/S6+Yw+dXVN8iHGDiBkAzEomjO8x +oMe9ucsSf5IKJcqjQsaQGafylGaCp2Gj04QF1bLYncqMEyXsSarSkwdIhmjhhu4d +uI+z9TuVlbtLqbgqnzGpKt4dpfQ4lEviXuJVjdzPOwLDq+Q5KN6GKp9T7IInnMp2 +ykPlsFyIzFVl0cnLtTw3U8SeRNZDcGEobIkrW6503ZnJ0AmT7XCjAa3C4dJPrIw+ +VZ0F3vqkwKOiBcIOaYPsIMIJRu1n5HxX8/2/Fnq38QOGf+rr4dRI845Et1QncrJe +BWACqVCwwwBJ/6hHVowhIhdP/ETQGJK6lih4FUoYAFNpk/8USdJILsu3JqOPsQ6O +IEapgCU425KucKyUlJ4wnOZaYerCcWQvaX7Ss/OwNB6R68RuBcy0FThqgu2mMvgy +cbV2/JneHal6ln2mQlua6GVKwAcc6meq7n8HLxFJyWO1/22qJUeltui3ZmpVhAGz +IVaEyLkFRPbA3AB2S9XONq3AhabVhN9hrQa7IgjOBbhNa8XcH0Dprc9Iz2cqCqnF +aOcJ7diOBXQzAS/oaYxxFry5hoNHhsCi1raifaqXByYPIog8xYNHOx1XFbnbGkJd +5zG9lZhtfiU6Szq2CYaiVgoT6BVrRI9sgmHsJhhBv8VfUSK6Lq3UAf/n3j5byVnC +Y1z/vvUnHMEXG2jIUseNz71k50f5TFV4GCkIBxn+MEUTBk/JqHS02BaLmZPz4KyB +n7+GtKL1STyLnxZRN64HIxKMSiKiHz73QwQA2Z4LHV1nlGFq97Yi6FpKVgS5dOY4 +S8fBvJau74u8rQPHXPUHapCO60aJeVj0hsEMWhwQkPwGhaPhL1+mh2I1ld0ibTTW +JVR38EVTJbrpaiOGaJ6HymLxV3iFfAgy2xLQNX+c9GBH0JM9e2V2neKPvncKQe4Z +IWqQaQAMy9XNlIZl7eiv4nDzB/R2f8asx4sYPQ/iNxB04kMnPbSKCWfnn4C+ich1 +OzhCvdYfAvMwqlCbDy019Tx7ePaATmk00W/KKnZIlDbHjQ4U4W7CrGQt1engjtOm +l6IQnrhqRZTTkgv4pa2OTlqEDXyEpqrqc/GxsZIBGYNgdPRM9wg6P28eBAKVWeFj +FtACv7+2QodVw+hzPvHTbBg9nxgnmfiCIpNpxIA3Nm19OJEuhx44M11CEOEHdOBg +TqExC4Vh6xmMwrVj2AFda52uFG+4WVo6/y2mHIsWc1k7msia3ZYpiBR6R6rPDUG4 +3Xo9N9n2klmrq3l6L4Mn+Cz5MpK20zvsX1S/LSXkHpj3/BlN0OY4s3zJVwJdju9a +ytKzg+7DakfFaNbI07a+0M/FDk/q0c02dGkqIhWyJZllA2/YvqcM8+KCDC7KWgql +T1+w+QpVgBA2ebvBFWk+E1PwAbkYXCDU2fFfX7NWSsl89Tp8PFruE0PmOKz7/AJS +vmvpyPrhw/2PHuNI1aMFEr9wQgUzl6NHJwkZJq4Eha3NPx1UmaqoQ+5/Mj9e3+22 +4g/mzMn/X4EN4RGVTXOMvBwG4FwOFJ3TjkjbGNC1/a4LDGlwfBckINoalKS7k8pT +9oBwejqNBKjqqu5AQFAnpehOVZV+U4yK9egOPRxSmekNm389kjW4gxTy6zRbCPbI +dH7evwI1wIOGFa9GhuY/x7/6pCs1EAP4QT/BUyQXHkXT/EaSmTnOMEfH7D4GOadn +IirQKvjFFz17gaiYb6LtKiXU+/8DbcwvuMC+Zb8SVxAmS8nZ38J890/ZqPt+96N1 +jnJk572prXxFrqcclHZdBYkardzezr6psopgMoGSSoNlqyYyVvl8jfeEv0e0nDs3 +PjkBw/TryZhu9gmvEAaby5QlhDFrvvUqqMxYE0kRkmI8jwV4vwLK0FMc6caY3Od1 +Z9d1zZI3HxSaQUlirfxFphL/M2RPdT9JSVT+CiURrHDX83X4rAIfw2tse7hSJCGW +Civh3xyAe6RpQ6D3W3i/NGy4RXAo4YHAhx7eFkLbjmesh6tU9GYtefyMWgJtDS+Z +c6hVPv05fqitQAWYZrvHt19Ww/1cGhjEfP2A4hj38ku+QGy4PELlQ3lMfpB9wit7 +NYK/e3cXyHDBAO1Pd3Co4JGFsIltpzQ3RiP/a1VouQ28pexi98pCZbwQ+0xWBdF4 +JI5hz43ha2qE2gHEczNUwSS0gTMQR2YeIRNIHF3Xe7m2cgIBK8GCxQsIaxmUxoTA +pqVpo1Gpf9pCa9BNEUSU2q+dEC/qbJ3Zhg8XmC7IrbmR/ZskKsJq27QL6+hWammn +/c6QdtfvaFz/GIzaHqO0kgANkghIK8QZ/6Pzqi1oQtguzJ5S3QfpLAXM65MIKQ9W +zQ0r87EBXiJmyiJMgEPLdTkF94O3XhIpY84WNIotfCqogrnlUPpsxjHta33CVvB/ +CAz7k67iXmzELDPgbKtTBT5alyQTQb8JhT4h40Tnt9mhvMb2OgasW06y8jXN7LiP +GDd4Yns54979TTQAQk9nFslmAR0heKN8icrp6JmrBJN69hE4GnAgANSOrpe75EFT +UmBxCPZxxiu0hI0OhcUPglaYq9mS3zyokjD00Q6xVYSBuW1Pom0erxiiU2dnKRWG +6gtjgrTgm+Iztl66mBZhCXz3zv7sAxzZ3njtWHnYOhZkK4mh0t8zLyBDS2rCdA8q +rZ/7Uok8m24sF6KKltEvruXlBbmhhkHSpZ7sHzGX2XBB8Bv8kan4TNm3FS7IImfe +ll2KRgWlKGuc82b/qxB2+P2XPsZ4VF/AkIAw8psvORCSNkHpnUW3qiyqRT2urpC5 +CLm3nxQQUV95d0zPr36YEeRpolcuhNi4GGXB5wcYrckUauahhI3irOwSGEjN36Um +3QtO2MYuBfPOclibin3cgmgEEab7gSSbcdz2C/WyXWxdcXoAz1s7ztXL1NvCT6IJ +YpRiMQToqiB3oq3+P3VjivjkvFz7kmRKIQAQaUVc5/TJU+3OWmtgDDcS5hI9bubZ ++/Z0CXDhAmdyqpRzmJZFCbU9k4ahzYC9eVgqXFJhEpWi5HxyScIGHQtFI4uH6Sag +XXzk/z/rqT58pjamVkeQeIuXrHlYtfiWFDAUN9AzppsQ4+jx0iyTcHa1ydO7klre +y0Vmrtm0HtdHGLUy8/i15+605dC7TyBPl4cQ4rxkiFQdWWalFHJNVtqxDE86uvHB +UbZxj+1fc1GUtnzMFhgqpXMnTJaelAx9OinNmR5W5Uj69mfzB+jDyVKs/PgsfFy5 +JiFXyrP/gTOf3x2HNYKMRWI2WGhhOO4dN4xFoZBAfBBG80Ew3PlC5I9wkERlIuLr +LypEyAS7Ztvz1zKTIeTunGzuoe+Ah0tYpT+sw6er2o1ywvifEqQ5BfrRmCKF1B/h +E4IPw67BQkwmo1WN1BwFWa6ZoTOzVuVUHHsqn58rTSqXtaScAb5BW2MVDZpnoUkT +kDYbIGa9cJcyGTAp0LB2RF5pzeZP7loUeEM6AMnjVRyp8YmAA0hv8f5i0W8+Cb4L +Qfml0zQIO69VKU7z1iPEHICUMCuDL82r4aT1K4o+mxKXQCiNPCuGL062e51DAlt2 +8xRcJV3jBSxGdfjjv3XmLYywEtWomaEuRTwhTIFNGLzk2gKHAqajLz2aBhioNn9n +iaOe+ukkE88Um85nml8u3Zs1RS4OHQNhO3LTBqilcbbutVHD71qcEGElVO5zXQC6 +hDWmSJWzqMNzPbs0+sJk1+Gfc9FD7z07O/lsj8UH3tcs+4CxscyC8vV+dY9x+uoe +Y9AUhwXqHfiC133lZWqTOBlrRIw+sjTCf4Mp4dQ/R5wjKOq2sKChrxB6s06ZB2p2 +pe79fyg0f2GiW02HOZmkwGymHT8zZLTpKyCdBb3Lmu9tupiWYhzoIp4kz1Zs9r/b +Px7D2IOQg3rpLEaw/OYGON/xzOR76aSX0/uLcjFNLby8o3GAqVPF6s8JJiRaGxJu +XZDXF521NVEAYtrKKKqmGqMQIODlaara1DFc6yCYuEkIvJq/xQmkZtxuZ00bjhAt +rSe6HlyYQ7Fw/z88R/sGpfw7zUerAKW7Rz7KdKMrZs6SbQ0z5FJkw0WqlRXFVgYX +Y0r/92NHRX+yP88JnhQFGV0PMLK7sPOqRcse6eL2tZ1V508fL34xFSXMeaRG5jB+ +xk0gZByX59LpmF8qa5bedMgUdrHOdEelbwvr3//WhwV1iAlW4+ZaRACUH17RAqfq ++5nvu3pmWVdVROmX8+H6G2WgIx+yylEt+jMafi0FjVqPbGdsQnay2SicjquCCOJz +mY7i5O409WfzAxCkUqwZh7G3eDCaOzf/K8uuqbGW+YHsm2SzPuAleldmPGvXUPZN +z+XU8XpwJGwHZBvIWMf8iFnEhlycFKZxx0sa6eEv9+hGvyZ8F96POLE6kSOo1StR +Ix+SDU+fvYuBMGnb9UWL4oCBqsQCshAVhWX7/t6HlVX9POdYUq7Sjf76eqJFmMLV +z27yl3K3qC6zPvI0J+aw4ORlNZRs+HL9V5++2MtXQqLr6mc1G1/nrY6KAp/szs4j +fCkxlwaDag5VaxaKfDkGol20Z05hZ6r8V4z5lvq2y++zrCKgHWkw1D1N4DCqyRUQ +qfT5tdALy3bYXlOL8ui4U67GQbZeo/ijZxEYnzxUFVRA3+KKNRMhGIaQrVXcd5bc +v8zOhMNro736MiazHUZF3H2zlh9/I0WoPRvy7+5rFH+f1gSx6f7YX1ooDlBkXgPw +Fvts8HAxf05CK871Yytoi7Bayo1B1qOOcaPsZhdX+fM7dlkgCcgO7VASfXMX3CT4 +afJlVnC10YeTku4X31T1UR/0f7ia9Q0XFR2DWs0B8wthKb2WTx8lqpjf446kfLq8 +2PqcmQTKzpxCfaGZPlgd4FWHBEio7cRM9REiLL4WMpx8oQ7ytGbs60MMwRm097vk +fjE3oZSR2Fi3jYgtp2VyLnGd88EOTR4Z1csgVD4aJKOJeZhnt66gHUCrFl8jlwoq +zTO5hlvqUwdDdWAaE7MvZ2V0PwzEL7Ev0U/axEnpXk520f588dtNzptWcjdrdqOg +IMEz/KXSr7kIy0GD9u/LO5yxcpGDX/EkNPtVjfPOJv80s3KqAMYm+iLCdWbeQhoY +4EJxGp9PjXuEU0lEfaV3mJ0NehqbPYc9K2lKB3J+WZcSKngQwBNXKDvYv4OzlY9C +qUjbYNnVegOX4J6fnqA7BnYxCad/3U6Vz0wxR/ovwpYiY2de8KgcWLhfn2IUHm6N +UGj9lLn7nv1uifncPdX+daoMSG1vq1cB/KaT/lC8pCznluYPBjLz31qx9pnjQIbb +UVAKVjUHNdG+ogMZFaLcDHO38VwAMVYYyPqZuQUXtSGUmGKYJ/6BQqO0Gwr4lw5I +nWRS9Vpq4rOD1LJcAziT76e1rC3RjVBH/SgpqTjfzSz0eHyoIOrdUsdp2InVwmP5 +nLbo6icNilgRYERewZAY8AQopF7QAt6kzEiQOqIyV3mzW02GuhLYHFLs59o4k7KB +JscHUiuSCzxuJsrc0wrnXMJ37rf4dLzqHUw2NqASux9P/1MscsyYlpkC/G7be6dO +cw0isZ6ax3TomD3E0F19mXaUIYsLyS+7Y2V+JdWFCuCYAnwKCwvbskPsKdb6UxS+ +ppJaOihfvsU2LIAmk1blqTXgyGvyxo+HEqUmyYJB+jgQgm1TA+QQOrx4S5HM9scu +c/IhKRMAppBT8aObGuL7RJa++evKyg/FB4aqLXF0REWDXD4jNoKjZ9x1Y0Hoi3N1 +lr/ThE6dND3f66KTTDDR5/7167iXLIstEkhJtP62k5I12LLfubWy8lx6oE+gkOYp +pKSzZUUnxHBGw5/BBmja+lX8hVQ4LPxsqs4W1GSc0sBzNIXHGVrS4ZcsVpmELfiK +ujQ+Qtpx79kLA+sgJ2eFD8JhHWSD1RNhvGyFhN28/OfMvvH84JRDz0ygIXya4Wzs +n5LGbiFmdBbztRg7NQRb82GBLyQL1QExujKwXbWsx14oAeDJoC3bw9LIKLQMb1qw +tW0fbdejX0CYlnoysssIoRak+v3rQShSbVLnkFmJt86pFViSJsAiMKa/4i/PNm6g +8+NdPYwKWiYsJtgybzxdH8NuQ5Yr2iXkBuz7xUf6/7xUbvg5NspSBx/du9HUXCrO +ef/yDp0f8Q+IC9/zwqCoNjqSOU//2uqONJQ6G+ks82QAXma3Vrm2IgsoI+ZF5Nbv +3+PKCYXzZH2HOQWkWR0v96gOjxFkRqaUn96PTdn+6xBKYi0HzjKynY/tu6iJCRTI +GHh/y+5Zn8tSOPWZ/NDpokJzmJ7UVQJEoNVF2mzx47YuFh/5Uy+UgmrqH7ZIOAxx +4u/NVt3mg5CsUh9Em4ji9u567pNLEqnQHiJSFloSk5fkt9b2IiGOS9uiRcQMy0qk +fTzFS3fzNwU4cVHdFt2644aPv5HBsFdIAYEOWVQmGGWVKeRLahAf+Tqw8KrzNdD5 +JKJMdDQ12kZwmfwKg1juiwp44ewO6JyKw3GN4Huh2kyyS7mBm5UjvarZpxwwYNfb +ho9ImfXcUCjurhgQAdIy2Z3VbdmRsJACW3w1GeeZmIxnxWnK3FiiXwvo8ugZvcJB +MhFigaRInE7cXkCB4zIZZOZTC+WNL8i3BCTQhVSqvef0aA72odHEcLWCdOghUYGw +EzPqk/kaufAkQ8Yf0UDrVjWGBwoo0GBeWvFIW5J2kqiV46wMsz5k/bD0fEuN7d+X +YwF52CxlXPvsLB48DN2ifY2XAx4tKwNhvRY/B0JuYJ5mzwSc7mGHUxr/rKG6sV1y +itFINmZ7JhEbpBhEaUwDphJIZfRi8nM7q7bUxOgujpgAwOOmUWR5uxVTvunj+b2V +qU5oeZJ+fpHfoWRmZmW4dDM4L2ygGj3FKfM2vI5TCws09DuQ3EsTlbMpwx6WEOBR +2V94SUboqeERM0MJhHG6rw3O2Pe+9iAsClv8+Jt75aVValCwwjZ1Pe7VaUVJfz7a +esN4NZFKRKACz28xAmlPJcnFgPAvlDd1pjRZKBpAPxBzh1yTV9+2qgb4TRO7I+33 +rNBtVmF1JUbrPLc/55fiZ5XgqEPUJJ9BQYcIIw95UXaFDMrNsnYiMsVdhAMDJ0HR +GgJ3STcoAk+4vgn6b/Eg5YC8aoDXcDuce08iDu7ZQr2gP7KPsT98/KQDBvjP9euj +IHEjxkTInMm1dTeBIaYYvFNdqLZHcZnD4awWNaGic+tLvRDVUc297DazO2B62l6r +1WdMsCcYySU/OsqD0rQ0zY4j2x48EqxyCXLmakt57sE9qprIsdKFzcspbsqCV2yL +ZtnOXKLZ0F8ZX9o3JM4kehYde3ctOVJurkK34ewdJR+cCcF5jM2y6Pp6WXCy9z/G +a35PVg9q+NeAbrWfo1LW8Vw06lnvnqoxhqrv1HLUcbO5Zu5um7F+ZXOxvo6CROeK +ySi9EogJwyqHAxzp+tce9h6TIxf/b8JHe9bAeqH1HReihwzPjL09t9Qq0kaSj3iD +72u6nzK3Ud6+sKjU0pafUQCUPhZOp5wU9iiZo0eay+H6SZi5ygYnecDLFUVxiLdP +mzT9GoEB9ETE1cxJmSmpP/MHzdqrNNe0L2ZB9XUZXiFyXd8YiwFEDWRM+dP4WtHM ++MO2zrWgHnyeEJgLNSqHcbgUb6O7CxvDXb4OZ6NaqexAIR9sZZbdOCK/z3fbddS3 +N7/vT5gDU2v09KlHdH41PmkEa4T8dCWUc0+fp08UW9CfHtlFzG/RfLEKVjyOY3Fg +49cXWhxbDfHmnBj0HhUjgLOcLGQiz6T4meOy7c+FG+gUcJQIf8NvPiqj0j2EfxiL +3UGNLBuJj8OJhzYalOP1jOFxrb0kMUqelqa59GXs65VpbpaISZs5JVWESGA53bG9 +4/xh9NGYeZa3sMcoz9QhLwa1Bmm8oj6/S1Ooz0I2ceKsmokljEzhPoYnOMRFrO6S +K+JYHbrs/egrtNdYCNdLy32f92HaKCWd0DWLURWHw+YDVAgIq9ZRFzBRVGmttg1f +1jo/bS77n6c1eFg38YrD91dWqCAVqdnAdj9ltEVWjtahOEztIO8dbWVRvsGlYp20 +gEd4mPQYu2Nf3VIsQg8vez0keVjS/km0J6DAot4QAM62t0S+Xh+jsaJjTZTcdaa+ +Kveu4tRoSr1CNXv1dXD4PYdc6+tgXur7QaayQ/X1RbWNchMSiJ2Ez8eWsnzPRJjf +ROdrs3o7pak2ku0ofIuY3i2wwtSvbdMTYO2t82uSWqpzU3WzhvmCE8M9wcuYbDb0 +HPYN641VpOUFy0zVCT2tZqeuTC+9CRdvWMA9HzkUgBldqtySFM744lz9JIRNNmYX +WoKvxlZZmFOYG8c5gBfKvKamUIpm/4Id/9N2xTDCuIYaOcOD49haba3YiF4jpu7M +X/Eu7vj6LRMuDpxjsXKGCcM1aXpp3QZKuZZ+HYuyBwyAzvVS4N8HzrzKWfUZ3nEp +s3ckKM/R1eX2AvwXA4qzLoSxF1FLToqPFQUPjKmwS1dJTmnNHGKMEhivOxKOWNke +uD2TvpUsRveNWg7N7ERaEbmJQA9J8eBz2f/Rn0Ol/zUzup5/T/ag+Sp9dZjlJm/z +6mTnl8G/sLw+vuxXdShLimJWIS6rARsq5o3QT/ltUvu/c5mO6mfeLmTI2upepxj3 +KC3J4fqpxobnTHJWZpJe1ITwSmAovZHNWBGRSvn1YTtpm5fgFQVh5YCuJ8yPxCIp +gzGjT2PnGL2cmo5LHL0Bt+pvXGhX5n2TC3R6uDhvwwhEHzHxUjKLOpXUYXZjY6lT +flwrQd94K3XxP9kQoUhVRMl0lRxkIalElP7yac8nppapGF7PBwN2zpaPR6bBbA/r +sR0LWSIvbL1y+eQQwtcQiM0gEaGVBAom7Bm3KlNgkOcSrStZWOAZVsDo0dD1/3pa +WI5VrsaJo9TmOMxqUKM8z6Xv8AWGA2yU1yTSmz/IaIEOo4LZLwNisJBSAAb3TgJi +9AcD047JkuUXnXrJiV8+PBuuEiP7kFP6DJ6t4Fe6heu/Tj+EiL38S5K2zjOMqSAU +qGkdmRsM6zvOEUipJcljYV0RvQqYbXquiMKIWYiYdORADscIjFD0Wkx2T+S8t0t/ +YFYqc7zw/P1ni/Nk37oDpEkEIdpRzcUqqYzQ1P8Xp2O5blk1F7/fVTXr9Y6tVNQz +D+H4DvzR8CF/LX5UsMWCsfWu9sPs5jVtuhjtfnFsu9ysk9rc65eolMYlHk91TIuU +COCv3WguKwU367+AbdR7p0C5ijxRM5LLNPpbinicsAsWwIhAlgcEfp/Q3kqNDGY7 +3zUBVaEm1hiWNUgUrzVxeyzkBf7jJUseeqYqIBh9JuynT+guunCAsz8F9kNAhzzq +aHVHL5LUwgQmJlgtx2YVLE+YTikg8XOeR7LcgP1aNwLs1DtUJ1qajxctBnuBCqBn +tDCOKQzqMX1KOPZI7Ucenbq8ef/mIpKqffvvr5D7XqRsEe9g3vh+qF9JxbakJhGw +pnaoamHKg90whuiptKHjEVgKkw8V7JZX+AIqswCLVmGNVIszot3I9lcwlZrls/yj ++t0hSwyHTFKfxwWs2P2aZYwpIivX795abZ5H57V4JhVK+pF9z9sYeyog0L412ueq +FgZtnU7AlKzMMRgHBz0pPVxiy5Y6VIDZtlQn5XAUz/Sxg5BsGWAyqzyN+4GZ5637 +zxJg7aarQlj6glCmznyVwLMn9tM8IWdadEG9xcELRc8mJyujgUt/FMwyFuNsT3Gp +nX2NIKjSaw/9xp9+Z3zu0nhO0v4jtsKhl2BzCmPiu3/gZylhiCQrIvcG6HTGX9MR +Wd0btu/M2LTWiYk0GWQJVwuYsl1vOiuKxmLsAI3dv8dBps7jxCGWztwbZqdY74M0 +PTVlRMlxsh47wKBWLfguAHWQ7m8FS0euQ0agbWrOZYfuFx6fYIGdTzs8PyZrEJUK +VVSaZWt2Y7G9wROxPzcvM80h5JldICUVWnPhqDyJYmalIl1N+QjzhL+QKBYmcO2U +Eij5aKWD4Y7g7pC0ltzHDCjgb2VCafLoGNEaurKUWCWJfQhuKJBHVIF+l+2jattq +YgdiRg4PkakRIw7JM6txao9w+YhxzBMwechGuBIEAn+cnRdeagDfOvW9zFJPmTLL +aJmGl3BX/BcfcP4mVTikP/HEZuYOJmZHPOmRqOa4XDyhbE8oIOpL4M4U+uEaorTn +zctwGO75winocXLON5Xkk7ReG1Bvx1dUyLdN/32lqE1J4GEdJI81uDmwkQbs60z+ +5LrWC60KLIM32X6k++8T+F1G6jTyZgr5aYCoOUxMGMUzkrdwLiZdCeLubi5r5EXn +C99WMPUWv5N/FRYhgRxdg01QJ9Bapvu3B/BYlZzyVvQT/ERAYt3fjathVY0Z8Hzq +YHFpULEVDHIpaZtgCfZHEY0TebAc0JKNxJk0ZGDUZKI81n/9pKzJYKmmqmyPiJ+d +QfdL+22XbOWOFpdhQXSMy7CGE+7zdM//B7ny463DMB8HfZeaUAArpFlPouSY9wUR +G5ienUB+L2qUwqwix0feOv9/eXcJwiAhgNFNiwULBG0R/we0PkuaNW52KAz3JUeH +Mc5496iw0bbKUad8h04qHnL9t9721jQU6xy+ukvWR+siXpIb2tYGU75Y6Jt5N5w5 +3nyLgPppEfiHsHKKaeyFxTSjf35LCExSscPN1qKaWU2lj7/PxwIeFATtOxHoLfI0 +xopDOho+/3vmRDmvFPMJTD/Iva/6FafRRVAnYjnZiD6H8haArNYoueHt3Qt1LSdt +md0Tpabd9U0eiuODpuK8vdU3f4BYwFFpTddLYyrOdNcjoPJiBgDiIIOCbdwvl5oV +8+u6V+5gs7ZHesiQoTx/WKBX5T6LZXmlWLf2UC5SbzMK9iaXFhoNSIp/6Vz29OOf +gWEY/GS/7Spi4zuyY5PguNXxh1BlNpnUMQCBxD0tGd8dWdYW3VrzHAxdsSOkhVVu +5L7ywuM1gcCOCuzx7oZCTHr566T+eT0XUsYyF/KGaupb3Bw4e6A+n6IR8Yom/IsZ +080nTOu2s2mZ9IBFm/qiAe78xgYhe3W13+Op8HqMl6M4PFrbdaHgULtXiQppqgNv +x9EzFdz88TYtz66CGU9gTKtaG+r8V7UIrgWX+7LfEZ0qNCBwA+nTzvvMGrkz++Bi +cQjnB8deIJstSWHI5ap6c4YHZ/CjwxE0KQs/rDX6TV+IbXoFWnhEJOIO54a7fkoU +5Q3qOdaNOO7y0u8a/fne7OkRp7Ns4ma+/f23IX1TqFkmHbVcv+9+2FCL73fjRpSC +8Fcm9XN/Jo8bLWHFqnFWyiFHxV9UUBxGy+2h8smHlIsq1JDdysZsX5gdtxopOWwW +EqtWOiomPcnWpC/Dycud89PhsfBak32By2PeX6nGjMRjC7MCSQtWe95AkzmRowLA +9i6m+z061KIL41D94fBlzNLshoVZ6uLzKiBpcMUxEugOVVXkdEhdO2UNKEn+f9A6 +tpMhdxML0BV3jkJc0dZzDCHfhMsb3ZHKcUAFEgcdv9HOWeHTYz5vleouw0y6j4FR +OYmM8W9Ay8fzOwRKKkC6fiEosNcGoQEzguMRGgxGQUrkoPl2oPSzHhJFoKbXIC8Z +LUUvYbfUxysr6v2zw8WRb3i6Lro13hOmKBbmuOuVtxdly88/rg6XlIhqv8atCdfF +3hiKWQ3sxl1DFRDNwA3jG8pelAcXzzkYBgwSpR6R6eNoRP+JSkjp8M+N8Rn0hYyH +ZyrVN/jB/lK0uYJDd4JMUPXD3YmT1maCzRgnVpE6a+pDhVfr7RdbpsL4TQrPny2+ +McY7TBb7WgFr+MNdBnU2BEnaTTJYq3X9a6hXXV+AZ5QHprivbOoDjX7szbil/mU5 +qfn8hXfz2udRmRfQwF7l6KfFWv+yRwIzDPomOFJFv8PR+Jz6S6rryvrE7FQ05kvT +cMjPwbWwlN/ac9wBrOTBldRvnhadf3w2bfyh8aOCIULMy9lZ8zJJqOGCHS7eWRdr +DLUdHZpZVhB9jle1oHWFibbdLbLKHS0elytMEjaEAM+4e9LglnirVVjiZrsaHJQG +RvokjSyBdVpJpFcNKSuZEDogcYlkpafoSpQyt3K2QuBu1w0SoyppnJaNKD3DLDwR +KWruIFqga88fmNRRP/gR0SXRqVeFqdcvi74PXn14tf/hSKw33Urheqak2LnyXG3I +PjED7YC/Rbu2AcWl9MS1uXOKeZnPrWkvgQzJ6BtCLirkg9c0c++8EQ/aUk+cuc5W +C9YOMkVhgMsvYHVAbfOD5FmciRs0P8GK9jbshz4tAvMqz6MOHpSoS2kVhXMD/dWc +VLSZ3pGyoLiMHOqDmIn2CcL9gzWVRApSnSZCWUszaim/uqWUHNYmcXAOdBn/Zi+p +zuH0O7CFAF5OwzD5WeN0oBa+sOX0mHsy1xCN9VH/cwjaNyptYVKLHYzhIF4BYEw9 +9U50OkGGAxoe4r/PHevNywoiFwb19BBMqIw4OQEWKg1+HeUAsRckiP8hxQ3ECHjY +zHiqEnxUFOy2mSzybfeq3Ylmdcmp8MWRHBuK2KCvPXPRfQcqsebRAPOt+zDAjGTj +78i9inw+YDvmFiyM5ofmfKGixEwSUYQQ34NkfSnsN5AMtmjFznKxJYwxW+R217kb +WQOqBu12djVETWsqeiyLQRxRp6bF6xUyO/mtpWPMzPU4pIKMP3uMvJLN6PTrW5ut +7TCrxZo6usHBMlWPhD+egJ1HzrtilFd+3SrAh2hmOB44wwoBgxeumVpLC+AHEwSx +D7feWhlOzSDH08H0PGZyaghW3rVp5uMCQAb7OUb2zdZlGrlkjcd6PLV9iJnwT/Dh +spENCoBIEuTvc/U1EHWkkjDzVBInNZktX8EPwcADvltGTxkt2JqxAYqbDBR8eZGj +i2X1EI+VBDK53nPdmahP4u6g53J3iM7ksBGpqMNYgCAgEJjCcjiLCZ0qLwD6GYRJ +k4e+uLhrTOYoT+aKFNWohwMROPWB37ILkI3IGMD/KxGyRCi2L5hgqPSiW4XyBAJ3 +1XVQuojHKNAi9Gqq7HRf+pbgtb0gUIbLu6zcjYgqwCqONGNcd3W49QVKZ9QlzLz5 +45lXaoJ5WMW1wu2v+ekiRLboGG9nYvCiAFcR+AlbsKd1wnT1Otnaz/KxPAC3VFtr +vasTQJEU2KIJxVVkzDIicrJcHvjpHt+XwWn0lxQNATH2xkYn4rRfzoQxirrf8lBs +AGOQhCEMULOktFDXe50+X3Tj7S7ltckYCu1buxf30qYT4XLrnQWU05zE47Gd/4wm +IUGd2VExY9ppzVq0rcNzNj4c8UGPeoyj2mUfakGORvnEOTSdKLDT+ILbOddF1A3i +uWtYlPcrl5lgsc0HkpTy3pn/npv6XAcFd877Yko/1Je89/pcWoe3JzilT0n6LT1r +kwZ+kXukw2f/LLOdN32EiHLT9Cwm10wuUGde3jpUeRzyjnDLkFolTwhOt9rLGoxj +m2P79LeDcrPMMc+sMB13KuiJ0HCaPa1hPUZtYGmSaslcF63TU+jd8PsPuPOe6ETf +Yu/96gq29nx2/5GPe9EkKTe+BUco5W6rA5Q7Ea1koE/uF5/H05ULP3IQWGbUBVBw +MUVkEr77Lnquxj1V3i6m54z6Xr0z+WD9W4suj0jgVcZiTeKYx+zz1901g+HSNqH8 +pJ4a0IGyO5B4FR8IiJ6/Tbh33b4f7DqHcw7DjhseGyaXkjf2kI7ay98YuUVlI6sV +dBKsFicIwwC9ER/IkBFZG1xqdCjjHN63Gx+Wsd1t1JV9NbnZC+3LH0bSaHtFHpNR +/AAYWW9xkcq7ZRw9BvdzLAypeW8A3u9vqKyQTT60k5FbA+zgbxWjQUGY4j1zbc3C +lQJdlUN/VEOiaWyc8YSXjG1Z9awoh731syn37UlucAq2Hbruq5ehyZaNGm5gMASV +t00SrCoqbL+1262g0VRoqX45IXZtvxwVMauWfh3ORQc17W8+Lo9QSjFPCmB9/VkL +dArzDKttpgGYCWuVy+wFTwUSHsocUBRpvSpDKOLgek/bfTNZ6PnWPnsEuvpnWZs8 +cKSXxtpMaEbskCJFVHpHZrFEvYNJnxYG5LQT49yEzD89kyg7Mj/cBbaRvIpEJfRs +CKr9O330OCc7HiSP6lM+bb8n4o+bpYJLD1VrJNc8MoKpTrH97dJkH+7+OaW04DDZ +K4RlmfTMRQVCV/SuYwENSiv5vHapBaYLP6cpaywIQ2SRVnYBk0lyfsU4k+6fXoW6 +ddq3qYrmGUfYKlfqzYUMlbDKefrW04L5GcByHj4FxVhpC+PVqLKHzTkhu+8xjjoS +eGB3bhnirtPKpSCdQGly+e9r/kkubTaTCHASSXcM8QzOorlHJ7DxtySv+L+lbKd3 +YC/51K4ATtb1SLSy8gv0lduCR8Ljtg/KyRD7sY59CPCcFoLiYNzmX8T+DzBnNFik +NgrNYCAAM/p1l/MfmepyIktEhcYS0oW0tafGxcUJKSHyKNlSmXFND9yoBtJf9ALY +w6Nn8LE2bq8cPG92s4s0xqrYXvlekz4nMLnxSAxbHevvVBikXPumaZTLvzTFntms +FFsekLmeJQuJoKpyDRD1zei93mWB1mwJCZpisMhrBJ32ZVFFUfASuJwZV4Zir1G/ +/slnUrryG+HmZ/Nr/E1EQ7t76C1E2kYrmRrOqbXG5rV/Ik9NXSgFAhKW+v5igB/4 +1gb6buP+y7PVuJALacTwoQFWWjnIZa0aD3WxOKQeSSzOo6CvB9kIwA4y8pbdDtXI +GdBPDPir1paFtTydM2WHpy/LEF1wegaYArt8UllnZ0CcUchPkd7F68U0zCDorXeR +117o/aJfbsCUGwYn+CqY5929jd4qoNvWMXGsg1CpwC+tcGOotJf/nrvd9Hw60ViW +g39uaA+3LcjH4WvvGAkYLCipAylojmkMf09l8nQDKXMavt26v1+5LbQbdHNZvO81 +rQB0NKY0gkaERHv7Cq0qWm5y2pJPjHxajn8g2+Xakhb9f6YupzX/3c1tmS7ariEF +NprRjCxsNLftlm03NbRUijuHMBUqF0crIifNG0TS4DvY0mzexX8XvLwT29v3K+YI +PWqeW52i4tIKfjeQSyxRSU7zv7zfsXM8dt8fpBSmo6pvSEpxMOBDOFSc9Q6i5onK +IWdPdoujHIlQzEuOwAVKrgpr6sQ/JhRZYPPV2sOozIHkhty0mVGr51M9wsDye05t +S/34sEhw6RlMUtH57EjrMvQ7z7ZQEKjZqrk6iecXFdDLwhwe+iAyu+LUb0PA+qon +nqdm9t4YRAwthEZ58a6Kijdqmdcs5jx1ErLagVxoQ3Om78x5ZGVmFYt+MGkFFEni +Q1rCqtZkazmTGqSZcd2Mww/TpY6ZbN5YsFNu6bTChMUzd+d4TwNUQtLB55g4z6XD +/C5BJCQJiW//oYaH9NhjIvGk8btPwR+XfU+iNY0VRga6IJbVbCWZZAPxMtBlYvne +YcY8V6tvAel08Ftm6sjB3FxZ9eoGH1dTmL45/AqpIFYUmSMR2MRNe73CwgZqx3ZT +Nax9E0vwnWIU8GiifBSyKUo4Vlyp9fL5JLMbMuqXEW/YbVRcFjIwhf0tso+EOVnn +yn1AUo0Dzn9qxxdRbcVW+2Y952CInaNGZQNv9PtX8+c8tshTq1myD3SlCgrqAeWQ ++ZeP8xkSf02aHZdgrxJBe5/Kvt5bgFCgD/49AxaM4K7VXcxBMffiSWQXcfpz6gDn +nOsfQPNswx7neovXiQwz328CNnURejIfpaL2pZWokijJLn3C1AvdNO9Fe6tSCPaM +18qti8yngJMIinuFZU0NoaVsMN8TGfpsNKOjeo8E2ytVfe+oS/GFmQ5erNdSSOYi +cLuwWki6OZaclhV+3bi7eLo0uhGXMyTLIZSWaKFhFdorMEtJnRpmc4hbiv21JswJ +lmPMFEP/f7r7SvmrEUccbaDiPqdItC7kalNBJqbCYfb5M/6qiKVW4nB9KvYzwRMF +Nul3aWnqv2wkVXjaAjsEHLtX7wG1Y+Xp75wA+veUM54ziluoTnwKajG9y/5BDuAp +9rwU2a198S4LvlSBSz0MRQd6PyV7VcWBAyKMkxSPO2epLbplgmLE1cH63/49pwl1 +IS3ZiEwvew1bydW+JlQZlGtAvczwRT5/U5XvSmtDR0HoKyUUCaBlQHxOlFJUH1nN +8jvXzuXPnrYv5cEDwbDORAHqrmh6guZi3sb2/HhogC/VKNOOcV2sLdNJAbMEE8yC +g1zes121Gwn7i0YW/XTlkoQ5wrEi9H5OrEJET5bb9MzsyC3nzU4w7PPigEGaqb9p +o0Kqnxto4O48igtoQlgX+gnl5gk9VusVY3a96eGNQ826H1AnIV4OJfEba13OfdnN +Tku1dxhmODkeceBbcU4F5+fEN9pu1Crdk+NerHk/7bcI6HVyoFn6b7qVoKtuFCrk +unBoO6qiFpyD4BwLiP5afj6Z+25sG4Xlm20tBq8mMQ/4UEnhWipJaZpo+ZJ3ra+e +sI2c/uQMvCM1E7SPDBegEtjjDTSwJyzZoz9SV7wW5RIY14tHZRoPx6TR5QMnpsll +VW0uj2m5B62SGJS2EQxnNfZHsCPlNXM+MQKhqIUzMLh0d60inMjra3NYKVtfPxsB +U/N6M5WolKCB8iy/jgX8eWscjgtX3cyjPTBrV1opYN8PwW0zpNbxxDNfhy3NvKIt +KUl5em/BSnHmzWvqLo5T2JCx2BvRYikeui4AslurR+jFdr+3ByuY/T8WUmsanDZu +dbYwJxacPTCEeVi8OWXzjfoQ7RT77hYpe1fv+LY8Y0JqZuWXUmPQ/tggiMTrcWCx +6iSyJbrOOQ+28fPNgSNc62GNSIL+ytBQsIuHUD/2vfk9Kd2xZEANKEQ/vn2Xv09f +Wo11DSZoBCXbv/pydQbdWJirKamxC7v/GSxgLJ/JAHTVGqsf+55uC7d22i8x0sfW +6GZaS7Ol5jxKoPaosquzgDJsuh6QXJbK3uAXGjzifn04hEjDwxWgs5ZFIUfOtDcr +sUXsCFETQgT6OGgfW0qZc8pWBaFPhDuFy7Gnf3By7YCiU0O0lWL6jG1tUVyUpqRX +bL+K78bRq/4DsMQJqYr95Fa27vDJbg7vNsXZQhUZ4/7vAfehzFqG6xZ/7OZ+AtUx +BekuSbA2sQ6GygdnQE4Ej6EryroZL0hdRXRIvIiNBL29W6ONGoBJXeZdLYHnl+IF +zviwA87sihNaKMB5p3jOpwO617D6xc/M4LO//Q0e0a3MiTaQ3l352Cr+tQDAKZGn +U8djnCpNi1d1zsu+VlBJuyBjPILrnFsyVwyXvmRBBQsk6ptjJo0mRY2ztVyHPbuI +cO7ybpohVtlhdEdgWZOm7m+7VOOOh8ohpL/HdAQqjA+izMwZV60m8hlC7RpyVoqw +b7CZwrVc6Dguwxs4Xvf/Jcj8o6diPAIZU+VDWYDPeiFcGblBWBqxe75qQe0Vzw6V +MpA2Vhwg4l8CuxJrbHWj/odYn6gJOQjEr0pucXmB9GnPekkAvEar7SDAwzFuCz4a +ke6JQzFvo2OquXoiNmkYMSNuHOK3FkiL7TnWmWB67lxJ7YZ1d5UEBJ6UZbBf1P7i +mKloQsMwXGMVrihrj/TEB0xK3bgDa6apXzz9QoCCqKpUfFUdIvIknJElidvf5h9M +/fhmfqvSLiY4v7LVFwpTee0pLMlwNp8DGoEo+Yzf2J2oMu5CzSWQb54SlqrQ8c9l +fSihRY0lL1Nnjcs3qpzkEfM5TOXQg6aQaRwATAqS7L3nlSh312gaJDy2YADaUbRf +kcoZw+KXjUIXj7wHkPLDW5zl8VpjycvtUVfqNX5i2eRWPBrHXTNy5jz1GNtnp6ZK +lMk6xEx6pQYdGoozU+RsLKsiBJDSWHtCYvBLVwOd2vQc401Dlafwd+SHuk5+mjUY +5SVFIB/alTT0rvg2g8D2SggaOOrqYZkxD1yvEMoz2xXrCU7+7dHKm1lfaRWdYmBl +gQxshlrWZX7gxDeWwLjQMlAt1U0LIczVRVMNt6Nxl8Quy0Ua8KdWwnQ8xwSXfBnl +gCv9uUODcYYiqoGMyJhGyq9SNeEvXHVjbZ4sPi4W1a5TmQRuNS5aF/skgqNZQ/uY +uTPBmsSdr7rmL/TZDtHu8gRpRTbOfhbZtmbEpg7pZ3CtL0ZXmR0csoji5DTRtZH0 +FbCFtKwvuLQtiHWaMXgpE4/9QNEH2fx8ZmNPhQk5Lq3GUfF9UJzVOxzCiT0LXn8u +yf5rFQYrIW7n7UI3wzs9x+agpPpOJGt2jRdHWLOmnRZE7ohUZbueRw+vw9Fc5qDK +p5ZnIQ/FnoqEuFqVfTCaBXWVuTuSP9mnXJu6uuZ0oXsuKzPZwAyra5R+kKY5tggF +tAtxlWFiCfgjcRMjdT+ArgOuM1YvJV6i9lVV4GSJJdsZkrB4yJfUiikMlkIg29wt +oMjZj6qQihw0pRfo+BYBzd3PSAS8chBtdWCaPtRGIvg/RqzRb71dIfRfi1bkGo8N +QybmLi1Rxs2Vc3F56NslruJRP1uAaVNZa/i2drG1uci32zoHXE0we5Hx8Ig+bzSE +tRkxWX501F4461j0xNI3KSCIkoW8nwuOpfr2MnstfxXSKcSSbLPSdrhpKmvhdsmo +paOF5iTyDjcPEvH6e3jrah7oxNhroWL0EmArxCX1evurHsPF0RsssnRQjDZZvm/y +jrYRV3eFlkKw033D4ns468xQAq4LB89crnLQ8JN0XwdDUy+byBCFFHYORDsY7j5E +7zvwya4VrauPKL3XH6x1RgmyYGx9DSiRVFESMMAi0nDPlOgSZvA1MHoJbaxF0qoK +0lf1j7cRaTMpKHkcSQ7UWmpvSVxseoTSSpu805LnEZ9eaKK/EtmKI9vFerJJiiz/ +KK8PasU/imNYR3Msn0/aZ+WEM7P536NtZS3Kr8CvEHuFpBC4wLKk43+rRh8Tz7lX +IU0wn9A39Tc4qWxGJtqaNhpfatdatKw8IF5y7vQVOA5qxUp4H3bh0VJmRm9v6w98 +VoKpamX1jbdyypw5UeVyqzk+adQtFn+fbJ824MRbdJOG0XlpNZahb3LYu103DGfn +mb5YBuRI8/sY9zBfqrDaQMogXD5tO1fyZS4l265oWPUVJnG1U0P3VTyt+KRBbpQI +NaEwDCQciVqkwYDLDJJpZ/M6GmuERXC3mWHdqAdjE9WjaI7mLgGKLZVbExL5tKNK +5Fu5Nr+Ee0DrepVR/ShzGFjhuNj+VM+usbpi9WC12ucnWgdPV26hv9oCkJ7MGzhC +fbjDq/ZEnCdO1Rw45cjF+7QeXvIma1QNRehlZG25ulLg3u7lbhdmVAT/MmxTadQ6 +erBfhm7OR58lGOq+7ERyf8E+kXVKmx4G+yB/n9movBHkXmKdOJAi2ew5tNdhvRnN +dD97miyZgMKrGf5wp/Enwumu75WKKufV3VLUxKNCYj/AdQiE/9DxfVFXQ3sBP66l +gYlKStSliZAZ9XMdpKBZyCopzOvPuCl8lu1IFxeNkJSK2c387Ge1U8jGs0n8aVdr +73bXIiWZjdPpXFEQ3yvFPLjKVHfVBJAb0gVEh10EkbftNQeH8phBku0SnQHnWRSZ +RcMHYOUN1NYmjDCbCTKM9KjU45lxZTcoPQA8A99yUOy7Zo2TE9BFHQptDFeqHmP2 +f9cjZYece5RA/zMna0owIjfIuQMLOakRX43xYBvQdH77DRcoD2VJAMlToo+bJYwo +oydu4cQJlO7bXIlLqbbnBbYzeUaMxJZOUf9bVChQhdjJ455Caoa2sqgc4BjKcn7y +Qw8C3DiH5puvXTtezJYjMD4YUxK7TldTewMFyEkYbx3MG8UV3BCPbUfs4RKcLfc/ +B6gSP09DK1HasrIfL4j1w9Fw1kZowXkCwTufwTa7yDVf14sqztX4BP2xePyrKQrk +XAUivNDm3DKAwYN7aqqFG4xTbZDqr7FNSPT955+63ArdOwZahSSVxCoHavfiewrz +rNIS/ND6VDUGQ+7ZKqM8EZVi6GkkprAD0oYY9cvrCMa2a2EQZROQDZo+Aiuv4+cH +4Ha3qiH17DasQXiZMuo0UhGSIiQHgl9cwYZbiBkZqdNZ46Mq7QCdrgTLZHM3sm6L +vTARJ4wydosAOyrYgrpHAbDOEutNC8EmrItCOZiHViswx844ykHuwqjigOdaQFbC +bodXqU1ELd6hqEhE5bJK0R+BaWxwzWT9OPJUpqvsH/bccE5Hhaw5stLSWJpns2qP +4QxF5Yus2Il8Nl4c3GhTrqniCpurt39y+rsqnmkfgcDpVmIqDcdxLxAs+b8SerkF +NvWUoH2cSteKXKuWLsxJ0bYOtZ5R/6tjITIAlmR6bwxFeeXxvCROqjGcO6KgdCeX +3oMNKNd3DFXET7FQsz3s8qt6zAlbLQWtd/7twyMDDQ+QdocorCoPJRzuIMIG5emQ +5aXswe6pOXx1ZFits7wmWSkscR+x/XVh78u4ElJcugHnaNUYU0M81QB2iFebXdO7 +jjb/7mguxZFmvTAjkxSe3m5dMrfKlHQvR9/0YQFPSD2FVpuUjoeYAj3bj1xJh5+N +to3V2IYHBf3yHpIjHCPjHTdVnx20dArtdtVm/xSHkWiqJeYgbxFKDwrvuRtuGFoC +99ZItNKpG7J5dN6bvm8h5cZGMx2pba1+fkgrspmFSSAr9AUaEsDjY8xb701i0gZv +q6OBXR5AlXePFUkaHCIO3F/4h7T3PNGRVWf1PXVAZ+YiEaV3L72Lt6eNVm7IBXUq +vgdMiG5TUoDcO2eFQ2ise3Fcpu9hrvBnYHQijBttj/l/8BdnwwY3WFHP5Dkna1zR +bEkRklGAFtVXCdYJ0Z7DTb9EWlyNQjdQRgmig0qxb4hnz1OJDHVAjLSbcaqCfR4y +uMJsiR4FbaJdB3fRVI/2WaUqcApJ7znvWblJzoLFqEdGSEo7R+UKZrvxtwnP/y/Z +qfvzc099sFQNi9PAViiUDdrQiSBnjETY+wj2A18JDvHvlkeXrWl2fjvuyAmnwO+f +WqsT4VMGOrQQaysx2i3TnHKQgHAUmKh6U/lXlQvGdlDnhZu+wEE4yX9HqCooEjsn +P5GX5KSE15n9U+vA1wo9abbRT3XQ628GBzAVGu478qHm7oRQLnF7avmOIooX3smq +e6LROaeBrUrY7vzixu0ohzAwLeXHEMeMhL3cTXEXBCDKsFO90oiHJNtlmj2zmH/2 +rLKczuZmpiWMbeYsysdaEsYbkmlz5CJTHo87jf7VH+sQTQV2EAoMqN4RGGD9Karq +jOqKBb1UJzBLZkSoaw+1wXhR3KRkQ/1y6sjCCO6H0Ha/faFhEYgsSpjc97iMw9X8 +B83M+OANQYanh2QpbF4KF1a8oRCaIobIGv/9cQ/WA/cvgYkuKZfxDYU/ryscjbVS +x6f66g2w20zZeZyz0RRlsdc8L1xRsnvGBBKmGQKVz3En1lOP3JBiHLCCPESVbTNL +fJ/O9SnOnz9544kUbJiuGnhqDmoOO/HDHIXoI42bqW5Uf+wd8kLCmjpFFs37dODi +Q4NIshZNv05qepOAm503RBcQlSDgZcvAkqZGIWcK4ILWf7eIDIqaqnt584ihMykS +lnAh64JByQPL4c3ZvJF1WOHuYIPpzfBEXSuNapTJTKw5pwbEJb4bEK8gIFvStkf1 +lYmABKBkTSP0sxcCBKX9iFKnB48Z6QwU2grYIiZERnZX6RmJgeCsoq/Iok6Ml+pM +SIUfATdS1YxlySgtQ/TJdxxBQMdxv9k4t1PoX4phHG2/KcuQl6ixgjUcmheZ6iZR +RTwnrfjaDcg3H4NZZF5l6Nxubr52H1mMkKcMtn6j0IH0tKsGlq4O2Mmv6Sq8Th8U +BC++MJzxz27PIq8j80UFQE4ytW7rOT9nSKwiXWm2Fi+myBzrmZYcw31lqFKoMOk+ +uZbkimiT4A64QWJTgXDaGjDxqCJ+bHCbskeWXdB6hgrDl0/Kj+fR0o0k5Nd3kqVL +SDGWVObZ6QsMl7bblZwlfvc0qs4o3hYkz8u5xMOAquFlYmoTPMWtxGDUHU9MhnRK +7tY/BYt+8+D7QDBkgYyUNAI+avTcZNdsQcebTAFIDTT63VheeDBz3jr7KcEJ6lxH +fa5iYXAjMoM5PJm7NrZJXI+qPRhEC4VJ74Llz91UQaueAaoNE+gv8O5FWJSXA/pb +irYnhkuKkJCewnPfgZr5zBFMnHIO+3axGFA18ro7Vot5b83FiQVMxoBypR9BvaJa +JrMVkzm2GDC148yG98z1KFRnp5ebZ8pFmc6eM7V6jZo3oHn1xnnJmwWHN/AB8Rzo +FByQY7w7a19ecQwQNjw8wzJ6WWmoGZRKKBLpFPryox5wQMeupA9ARftgYYCMSrA4 +FdI0HxhPLFaN+aTN5yjhftJeOTrWqfBmrOSF/JiQnEw4imfH4oCmh2bFx9AZV6ZT +RHcZlprjtTYEwTeXiI6erWos6mWkDElKAZ1XBvWofF3QNZ0/OVKc/UeLjamPJPEJ +xci5uvO7KDLLMVHG7E91wvolvLef9LPCU3+g++WDcY+npPuIkoNjK263v14Vrvqj +vw8bMoL8TP/YaWkHEkyxksdpypVqLmiVEQ0AnttGGEFhupMUgLsBJ5utxmcj24C6 +yLQOzEIEG6fiDdnnfInZPDPpFBR6if9SfHCHpAXhw9655tsyz8kFB4LTQ3YwmY8d +5lJVlXPs02J8+c9LLpYjZo3E0xomAybAZcvyt1JnIa6d3xv1p4xY9fRbIvUJrcGr +E8j/jhpiFCZRN8Zwt/7TqgaxsyNKrF0rAVZ71aad/IUfGcBjCDFhpsFxMo650Nd0 +x/ecjVYKFsCJBlv3LY2EH6q75TJuHMG+pZb8U39JWslW3Ib2cKsk61mWqbI27Eu9 +v1V2jY+abnQPrdjwC3bomfEF79tRZhxliCTrBlfhHrEddICkm7HfwQbQLhNmI95t +rQl/CE79PNkUIW0j7nlDSpBZGNonWTrZkE+uUbWUN9uM4Rogrcr84TPYrTfcwLvb +rMhkhNzWnW/JECE+YnOJFLTu1yEgJahBrQgMngOF8I9hy0S+uovxVuklCKI6fEbP +Jt06SgXLnebC/5vB05RZO680WLoEdyOuB5sqH6ndBtNl9UDHdIcW8BCbzAcuxnbT +Z+B8LTOAR7KcGG3c6OE4s045mDh628T0BHqCzWDiwDma6VHkuMnN3pmdAg6h34GS +Ptk68UdMQp/jDKJXY5tTwlIkIZomeFZa35QDFNHZ0TYO80S7vjXMc5WESoYNhzOA +PjOfmH6QtSekiW5o/aHxfwK4+SdrfxK4rnezHgVxWFlQ5AYO8HxI8K0J3C4UQaZ8 +yCGdjfAPxZOho2RW5sahzztuF738gfk6nZfYCjmSWK11ebsLbFIKBZkYaW2DfhRp +dXtFRedTvkfW59F9bnmrTM2mG/VS1D2PiK1O7+rFY8eIsht8bc2i3BmOuVlf6irp +85xTiiOQLqwm+6jwgMVN682NfkBmDe1OZwWA7zoCij2wQTtEEWJAbxM41Kq5e2xL +ednGN2ZrbG3T8RDx4c29tb43ckWhndcLcXyemnw6+xUq2lM6QzrdeKmUwn2fHGSd +OaKmNg7brJ+lABD6+1m5Bojf/w3msAtnS4vetX5wpgBOVgprJipOnvQSJw8ffYMM +n8AzlgxZFbT8UxeP1/HjIL7wybXoWmlrmSO3No7DoMFw44aEPVEudMD79cDNOo2P +0T4I19YY12rYEgXo7rnC6UIOgTX+XVHmshk43S4wDMJQ/dDAzqtzzNz3f7GN/pkR +CcbTV3DXbP8NeLoQhBD+qooRfoBBuStVoM1HEXK80PsP6/APKfqA4C0sasrE0/3l +d3ycyX5mXAoCgZXXjCTKWO35gTX9VuAl3KhAmJcv351M0cqRb7e5aVWixSNqw0Q8 +P+fKuTmoS7pp2wS4bW1rRA0ECSJ9PjCjBS2MBn+2O7XG7SNARKvUQwmPcFQg6vou +HP+xJJgPta0te1nxsEQ/qx0BPHO5/CZIg0T1ba/oOIMRSwB2d+HaKgAsNVYLP2kK +4xJfBKzA/pRYeyfBjZ5ASpZ9j5i2Lb/+WJOR1QoPsWXEKcuR2q2koshafxCEAxRM +9L9mOgadM4PA5J/BobaiEL969emEGCtafXZNHdYzcHM/ItGARe3dweeePNDOSwnp +Q80l7MidwnFAUn7TEyrOreHAzPJHpnK6fwffRrs+oUxE4wSAVtSXNme8xJ4mlRIJ +bjC6tqd4GpjtSvir3Oa1bXnNMc15r2vzsIQ8A62hQY9uoSVYD/0LEMBQYySQo8M8 +GnymESnnjdEv0C2MrGMfOltA5ESentF+7YDKnECVVYBAVjXtaIuaLiGD0OBg8SRO +chi4Wx9BL2+Og8ljsqjKHEQln4S8bFH0qv2j10g8iIlsC1mv6lwA1gqVNfBw+YpA +V+7+iwyF+GOgPrJyg1DTD7iwmL5HUFR9MjLYbQNclXpqTq5Cu8SGTRI4KJuaZbxb +mByiNk0YNdU1Zqk0oBBtl1tKMGpwMKRRi4IsfF3Ond8BXLUokTfendpM6U0CuyCV +ldlHQ96CgNOJ3EZrkd7LrNy2EBfYYYDTLdGd8ID7M1a0FkAY9xXT2sNT0mjgvYlV +IYVr0MbSguskNsE7yauzQbbC50/wKyo6BxZmuKXAdwRPSOwPTKtox6klRWP/Fm8C +fJzx9CondC+i6idyjgMCnjbYjz+RUqhjSEHDVL6My65Xpu6q7PoGpIZ9duN3e6lS +z9AQEyuan1JOIYdK+ExakoLPsam7lL1WP8LflsxhZFb5dURoLtlsVi26R/Cd3pPy +xFm4DkPn4mj4CHv9OE14GSjGo3H7gDfvshAT0AVPc/w9ryEJ3frovOemOyvLtndt ++NaHfI0BkCyjRdQi9hyt94xtcww9+AzviRlkD8sUGX9ExdWu8UgkSEdaouVIp3hU +2bD0EmXQOe9pEbEiXUCDhiSFBXKFhr79EdI7JriKmFwHt0xCOy2Yg4QRO+wkzc+p +XrWUN/9eVp6dA1qi7C8tZcQDX1nlhNl7RsRgBFJwcw8f9wpGsKBhSg8arJab09r1 +67CeZ7DCqQsepv3DDZQqaJ1hzknRIJJpdvTT5/kWZ8fz3fn4NzejLIxlhWzM+yVJ +DVlLYQq8S8vJBePzZd2P5yW0UL7n9kWUOvO9o3tVlWvFCoUWvuygxryBA6bfo0rx +fmAYjE0unY/ktKB9jF2VQy4ruwPKPmOqkzfXYAayK55/OH8npT188CJ7I5PZMxnF +GEoP1nZP4ZaI50j8TlcxFQcqFL1GGBP6O4bx30soPEdVV0eWsV0Mo8X0Dk/y5JWG +CEiUqXoDpW6PYwi5FjqhSeYegfUnPTr338cbDpP3oj8j1JKK+rG2uYBRwfkmbTzf +YMksxvqOkU+tyz+dni9rZRRW2vifyLBIIMWP06JyOFPiZHi7GyCNHPDWFf98Y+/E +p0yRBHM5mIDkt839nTRV44VPuLYOckODcgy9+VWatTfiDcBngHZCXFfTl/18jHWc +ptMAbpriNUI+6jdAMDZchXrzFCNTELzfrAkAJ6Z5FnNg9CwlB9u0jnIdjFgGTj3A +jw4KdzBTke8VvTnYu8GRrK4Bu7cn2lEh1ivrzun2gOfi4PF+HJ3KYdiP46AAFq/R +meZVyaFg8+751qMOsuvrHJ8kzxuWPIsFXUqg2i7iTDdpG16H+rLMyCRN7JhY8cpV +mFfCaj2SxNgBeXnbiW03jQXQMUaDuCXLl2fzirLbCJZ82IsWoT5KnpVmDhz/O/ir +SUyngjdCLszmJWJKaivUbKiasacFg8gDYTBaibm6+CTAQ0GV60swirVu+hqgOf0h +Og9s/6zOc1mOibeUh4vno+5t4PyiBXsM7bvfqJgh9Ai0gHQxPU2yro98pQRUEhwW +bfLc9y+qW52PqgC3D9FHRQrRHbg+hEa3GlP2869g1Y6pM/PFzhTOAn36zPkaZkUU +1oWz4ZYvslvNtxgyNj2tzclDuuYfvJ0VFnb8hvvlkojFbXhFmUXx7Yz2Q9XztHxn +6UQRfwR3DE4mrFzZUJMVUc3G2Er+w5JMKP4Qgi7liRlc7FG00D0Oz53FyJoBa/+N +ik0rcSH7XFz5NGlk1YPpatuDl8rAHNsREqGpGrd4zsdteGeJvyPqXDd3wmiW7GSc +7fFLFz8Y7++JESo6OqOv17Rto+UiGtVtNNvFWEGz2JlaH/9gs45D7VLrM8lRsLJx +it44AAtk9UV2+kNTnXro2B0tjXrqF9bTUMOQe4i/rVUahZnVXRXDVJI4PHkNCxpo +32XUqI5lnRSVOG3tgJqLd0e4itpamtuijhf7eiee5hDwTwtIA03f/RF35Lqofaan +3EsgPH1Itjl7/QZrjYqGQJ55uH5XnIMnuVMCBkXZGhyynT8fXedZMK/4Fw8SwH6Q +bEk5jkmvog0WqnF5R0kzavPvWwnwy/GD6+HprJSLKZ6IVi9O0aRZ7qIgyNu+R/Ue +2tYBrGKyBTm0XZlYPuYoVlainXsjSjo3jUJwVcPHO68QVp5iil0pWpSMyIUfdgMf +2HdgseISYUCU3GRpvErSU0HuS7hkazGJ57CnSpdMU3dNgvKGCi5kWAh2OmCS+7ju +9u92lquxv4f3ADZOxYIxTWIUwTkvQzmYAvOByBrN7UCkZVvY4ckztQTczQ+I5tDP +KANSlMsqO94drSKVZZrDPYWS5ZIB0qPCp+LyMGuxH/BHV7qwHBSccOELJf4bmh8C +9FGbbGkNtoIrbAGkkwmaWDa3yTts8U0gAc3J9/u+GIdTwanu2wsRqVao+w7F0iN6 +JnbPULxOU+0cx1d/r01+xUN2eEYqmeybY4q1lWwUKj0s2KktD5i+10mX1sxFR3yO +ztHj7QlNZ/teZ2MlCKhj9eSnXn4utDXdFt83XEIN+2t08b4tQfg9QfW9f+Tm0+tA +vXvOUSOKocPPOWahl9Cyilqi6ib8CvR8bbH20h0o5aoPmi6js5jr/mWqDI3AXGav ++iSEMlPiQmFKB4Ysw9xlld/8+WktubybIiAoCKKzcY4WOuzvkWS6hsn/QVTlqKY3 +qw3spyBiUynvV+KJDooFUcwLOJNH+8g/ziNF7HxFzZsBTdiVcSxL662tJM19HZNp +injUgqv4ZYBLHkKzgPuMnzS2tayNx41TbmE5+ZSA80p80ZvDEP7YFrbW/i10Fuha +e1TzLdg+tZEwfoUcmiBercgwM9tljlNmaO4imT+os5fvnJqTuseM12W4VfAZfJ11 ++wYelBl8y7RoW1SP5AHYR6geU69NSyrjODZoh48oP+X6OgyifYCEdRqBoLqYEYa8 +j1JBGAsb6x8J8+saxgzsJLrpnSirlIkfB3IHFkHErD/GxeFxdDUZTFexjrxVUkjy +TRfeW+Eo6c940+YmNPsBVrU+pZ9Jj5EqnoreXWdVXt8cMI82KIr3NOB6cmocIL2g ++0Q/WiUQUKJcjiOiqlPVNksBemDkesdh2wMIjJBuaDAlNfarnADbtGqSUW2M7/zR +7QRPK4dxlp7/ezzTswT6Im1BGsVNzmVZfQ7P2sxbZc3m7jrQgC6xXWoygqzf0w3t +cPuQTJVuIbSBUEm7V7uVthj5x10vk0OC0ErOYawAyJP21qUv4ADOCGA8KbaRk4iL +SDGw6AxC25OUSWo4CWgF6zBUREAymLgb6TNl5h+hSf5WhkKuoAcTRXBcM9GpMIXK +yUJOXPY1iBgSGk6aEuwL/LjwIXki60opKKATPIeFNKEIrrRr6xphgGz6sygc1BWA +3UcPE2C0sxqRLEtAlKGWpOs+UYUPD0MYnmJhAxw/YlRgK7bS+DUinOHEmnQqC0aZ +J8uhwhMnPrQmuPFiXpo76AOmKdpLgwltQ8Qpz0hAKKQqDOdLGnwjQvuoVe5I6IdV +E7WbGxI+JOA0QS9nqNYzd2xnjFmHuacLpSmjRWzxMUrw4QO070oDWwd65jvShG3p +WGoFRhIf1nA+LwKY4bUyBDTMA6GyataRqn62dLi0cP1ei1d9geMKFHBfQhM0e/P6 +n8cDY097Uah56NFwA3Tv/x7UtBZpXnBRgFFArC4o12rYCtegqywKlh/IGhCxOMeW +bGXHOQv8a6D28KBd4HbMgBl4jaKXeIfg2tksvoHiQJAOIM2XB8sl4QdVR/Cj1qJX ++1fRt8Jj+W0MZ+zu+xAzFbPELboD9vU3SZdnjyPBwzv0oCHOZnDVzyhVXz0A/ruM +0W8JWJ5tt0c4HH5Amhfd0q/M7txRM7kdDfVz22qHWIKSLlSRp3qY9fW33LwaDUPa +3inAqL9kbDgr0oh4yCvtbswM3pxDaz6q/c+FCwpVK9E1gvezxztZ88GBWNPoyrZ1 +jhw7+8VXP+tSSVrKAcbAV/ycNtYvXEwF8w13Dr084YRQjJzFLOmUMgfK0T8C1MY7 +O1nmqE/+p9kxRoKXkVnudRMEdEte0BWQDLPHRRIPTJR2/GhC2gqEVsl8V0ZIU+xa +xLgMaDbwtPoWTe0IpB7W4GOU4gMooF7UwtTGrEohyGVXyF46kzddfLJzXFsbvoFT +b8mYvzXhtFsGF0IJWnqTGFZ66XaGEZZw+Kb3So1k1NGNx2+Jczc5J3WhrRU0eYeu +xFNZXhTnQzwfHfbnEjn40idi0+kjxDk+LTOZgDJV7d272/n/Uj1FJndEkJKy41d5 +4d5wZgv8V2YfBMm4Yc2LeUKXSU6cHgg+C9qE6Ubee6wlRF8UtQn5cK/GXduf7O64 +vVKTM1KWPUOZBgM08pSib2T4MoPUjol8wdZ+BphCNtwiFqyq3lPvk6270vCxdM8o +Yu7VL038IHp/lWgaESJK4tEyBlnXTzQGjk0972CpptE6yyVQowRjwtC320MGKjUl +C6hyN3kcQOToEZH9HepR2oyMIuo+zxGvEavossb3WgoORSb8u6tyxv1pp515Lj9V +n3owEUEOsD71ICAUOoCNYc65uaC257vRTWE3mE4vaD99K0ijBmVEWOdvOBDaOJPf +8Fa6Y6f1OT2FmF+0JXlPbay26WyU31X0Ps8mwbD/EMb9Iu5049gPLHwlGYW8qfP8 +GSlS+G9bNVgYHwn9Rab4kp4FZfJg1+SvAY2N4Egzd4Hnq6jodX7GLte9XuzRsmYB +5xAkzbQcvK1wc5iqSmq5CZerCIis6tUmM7s6DA2YfxAMN+IcPLz9WW3VwYG8tuMx +lIIUjyHDtC5lZjrFGTQBJ8s4RJcLvOLKLRzGq3DYvVItqZwUBFFEAvr7AQayrA+8 +cBhIkGQjsa3Sv+rMJHrMkzQwvDdahcU9lubfwl80DKVSd4OnWWo8LkZWOTjar85A +470WUdm9c2nYpf1dhTMZrbsI+vNgrFvmzNndSFPlnWWYuqvgKCEPxH9qVFpdjD3L +JNNis2Q2Qng8qErwWbVk8S6fS8rTSWIA6dfiPsgrwEULU7Ljvd/3ZDVi0LLpwp/B +wZesrMB5thmt8LHK/yCBFY4gbSSXYm6zltZUvcOhseXwWE6hINIJPHnoPM1rmKD0 +j4HhTipLj4j+Y+WcuyZ3nO2w+DowKQwQuHwrzSHe185p8ZvvMZpMZg67jqDtlll0 +PLSIWJAPSuy3usUiqoXC46y4cSOW75/CnTHV9PbSVW5AYPcXsiqUUnZwZgunBrJm +Jyie78QDfA++ddruFmKjZLwvjcRw4OOdZJ8UgmQQkiNO+bCLcNOU8WVhs5OeUxHu +LOtHYMed84dqLpHQI4MxM6B7M+EK/b7Zq/Gt8uOnlyJ+C1qETIqqF1oTrEPGLRkK +1HM4lt911tctF4z5JUcO/UlU4ec/bA1wqD+VNu+D76ipuG5lpNzja6IMk91rxRgu +1u1ZGqpmv4xtb4b0yrtx+0vB7/4H/8aEB/tOBomIIv/0uoH+VACLLcfytTq/jVKP +QOf+xMcqUhWSrqvV7KMgyMBUHzHe7jDiMbgHvP+elaTpsiXUKMHDaWaqQOFu9UrT +DkE8qdrheJM2N9endDwGGzY3lSG+BZrpmdd94oUM2KHa1hwpY2ECpWwoion6G1rB +BqJdi7wmnpTp62bQny4SatHYnbjiidtXZ8N+iI6aOpveC48st69ke51XKFOMG4Ry +kPJ7eob6E7H/THJD1Taz34t8v8DCEbZmIKXGCCOkZed+oKQPos1PW5sJ805goUGz +CtIHOKSy0pAXoFbYgdWR8uL0dVj7WwuzKi4f9AgU8bfxQFYf9EHYJq/5Xnm0yOnO +HQ3MrSVcSrz74RXirzQYfMnyBp4Ad9Z/x4SGIfP3xCCGhaZ2m7lXz/Epgf86LzmD +yx50sUKOeMi5vHywmjX6jl+OZSry8BJQb8yhyPawc6jSmVxm/sIdsX5S1qnhVhCe +58jtVtEizS09hpDyMQwFLTh97UhpDdOzKN+uLJbqvEdX5BwealuWQ8rJqq1sOj7m +g/9a1gFSOeiHHEPMes/12Il+TOsmE4BzDRBU9U0vzFQxYRT2gnK6YbAiboZAzPcK +Id4lj6/8eKrMsag1Xn8JovYp418AlCClZ8saDn/jmZnrI/hOCZCG0Bxapxh8lDWO +75byma2UIoMG8CTPNSnGE2BM/2q8o5WWDPY3Iofmdc6OQLeQKic7LdsaaOxNl6Az +EpzI2ypYkEq94PFQdQqpgh02O6usziXnRBugbH7/TbRCE6wo+WsEeMtxeEBHGfEF +Y3p3pbjPEM626thG19tN/zaPPTmVRtHfImFkuW2bA0u/2R8MWhhnfhbJ/Rfu2yaP +VcbqCiVEm5is+aBro5Q1odhofTCSlF3uul7AZDGjmA2QJL62grtR9MyQhwuhWtG9 +q5W7szpaaUFcmuOCBoniFSFd0v/jdLXiD2OOgkLq0inetdnFs50Dft/n2UUc+LqD +Ul5XqXL4KJ4BdgIfhcvqh8SjzFLJ9OyveGdRmVz0xqQ2cVV6JElj6nLTd8nCuL48 +ZFEpGYJ1lWfijSzUT/+gBENXhEYmUGrslc2/c3HqRtx7GTmbOLYVAzhrsuDanJQ2 +f/5CfOscDlKylw/BOSY8i9iDyT/72ZDtQEx5Woms1ZW8FmUWLtLq2gnFSFw9wUus +M9IMeFMOtNRORbrTP9lp/p0b/e4eSBMw2FzWqBo0+Qc1y/3NqvYOw7Bj7SyLAX0n +sM3Ffo5zTFIKpcW1TFNXdt+COfi9iF5hflpH15NDQmU5i31D2rZsXZfBFE0F6G9s +vFCBAfvbkZ5hqQQtA0U+YDxiymimWIJr47hdC1XvWGjEANGVCJGqHYH7FC1eSikU +ConsNmehwMNyuMEan4f5P4feCTpSJ7Dfce2CKa7v34eRAjbJOwNen76up3V1EkRq +xxfDquVR+4WfFvVgCzpWJwSUmLNYRWFUuPK1OBGXIoIRSP8gxww198uJ4HtnhPQN +YjwUk0mAVC5WNftou5gOruOW6yMG5b4K54CVGaZESWx3smKYBub8zCEb3JwO8F4y +N34fOS+wNri6PGeEbJjaSxezf01/lRQCur6XVDMFVPinMZvztdq/Dws4DK3xZ8a+ +hZz+gWblWd5Bi5L0P/H11xeilowMwTnYW8FGXJYqnZw15y6HVk+eb1cydamHU/oE +qBAs6cXVzRI3a2vK10ejSY3cRVVf25EZ2L131yKd0PfMLVYwelqqcyO50E/bU6W4 +EyTL9/aP21f3dVQ9kCTRC/YP8/NKU6z+7RIzhjOiTL2UE8igfhYVRa6+E16Rti8L +UuPiavYDBb6dUdBO0edMrERU4/bFhThbxuxFVlNbVrKLd+Y8SldEzJeoE+fu2WwO +5pc3cToan35wx8PbPMyXHqEOXRHXehk7W3ILdDzf4GdD4f3ZbX9d+IzhHPspW9UV ++8tMRoBWxzo0HfovHNa/wE+ID9pNOxMi/g3CmYJFgIUimaD5DFhQ73gupJXRUlr7 +kPtVaPqjvqug2qXVrQuvzKxZCEl9wl8TiLBGNgbywx8z6BTiiRkNTksUujx7dtmi +vYm4SJSO8B1Pd1cnrhp27kajHXsNGtJjU0/Y7qalRKba1g2lPdWzR86poKCWyBSo +cNrRiARyqRbu3RPs193S+QVg955KYOzHn3oUSIVITt3xOUd0OYuA0zD3ngz/92lj +smQysrynTmsF09kCSAWNEJwG1o9aXum+35DY9g+rrwICvVau5Qk3SQrmQEbn8nJI +xVpBE4hS1/N7qkuEqg7rNiwjJtYnsVj0b4Vz4koz7aQAcfI7XkPWGQcvehNIOGGm +ffM5GnaC4iNLY4ojTVfRn3169K2Mr46OpvzQUn95RRCHsgcjbrAs4lEzMrDyv8eM +5ZwPvG++T4AASUFudvRyiWZDhy/OKZ7v/0XzxFN6XRA+gMAcwdO88wPEFGu20xu3 +nuiVRoCZ6olrgEA+ZYIvhnzR7nSjT5n+b5afEzdb87r7DxuRQa1yMgw3/yXOqACh +eFKHUZi8P8bAvyJQX+ubkZZbiQr7WNKkN1QgDsjGwhBD0f4V4P9TZru+lhMw17yg +s1qrjBmMNAaVZdqzIbnBR9AcEFBUJg2gmBh8FC43hsbu39CdO7oDLfcn5hpyuq3d +5IC6mx6rJlbAh0e1mTnuHeKjjCURi/O1pQGU6gOBFcq1XNEykCtO67Z1vLdFO2PI +MPZqWgiDd8gqdl/H6o+AIc/jaTyk68CEfmuZ24zQAvhu2sRMNaSm1CGIgN2OzbU8 +DbysV9R7vllrGVV12/6mAo3ICyVV2fiwOdrtDR1ojX/Uvc+bbcu09InaTVWrWbXB +f8GGFrnYVZd6HmiM3li4r+y/ltQirxTQ8x1Ta8MeLF5hKPFooPTOG6fS0bO6QrfG +kl1Cy4fRYFPCm5D0Sj4eGM6xmiP/EQOlaUEXql+FhLP+qOoa/xTnlp5XyO2hi9v3 +hmd0ozDZyFN82D5qPBVfgphoZSMkCO0YUVtUE5/oQN70ny7KWNbhd1SnU5o6hJKc +Sp0YppS4Q8Xb88yYnF1Ln2T4CslHsmXxnv0e9u7smTEPda6TetrqRH/aoAg8TFK6 +TH6KJ73O6Zt3bcW5lAoDaUSqk7EGaUw2yW3Y2x5DxDrfHzoCtFq98MSH/5Tdf6eB +DtnC/QBVTdFimLUaDoufyHN04ycr/AjP4Za2WVYi5rrr3QXF9sl+r4Lv5ALqgFw7 +w67RaObgD19MIba+vTxPp0DPn0rHZdW5PPKG0dEp1AqFcwCEoCKxT1jJ1lSIq2iV +DLip0eECYcwYlAJa39PETT2dTSsOA4M8zEpFGPKIyyYSLpBqZY1hMFlyjXDSB8wM +MNGqEK4Z0N0PUo1S+AwsbKJo/OEYZj20jxo0xSUT6tdYLpqXrAavx38hV5oqcJbj +xkt7lT5P+iKpeicRkzWhl12O0AKVedfn5pE6QOIyzSS9wQTVwow5uHLhDfiYIXfd +XmsxD+KHu2XJVCjUthVx+dHB+kE+45nUsEJtDC/pWl5B2OZ0d+fSS26SFSBV6AxT +IYyStKK2AGuBX/FdYZDbibxTcxZpG9cLfE1JXILTh2GbdaDm6S/U9Jp6DZDewmXP +3JwSuxzwdSNt4exovMZkt2xREe7OE7ne8XF2D3ABHIF6eM5EA1oX+iRa5Qkigwhd +aYt3imJEecaMd8fI9UZcCBmHFk9ltkaxj/vrIMreKEbqJ9j7qimlGCiftshMIwiE +J3checBRVe1ezt09+ATIVe5GQNSTahyeGaFPIyAmaD4vpOpLPDdEgiKr7rik8IvU +NeDiUnmMfWbAbwXXV7DrNaE6yrM5lpQ4PAHQCrrPY98gUXT9CMg2xHmmi5reDIXJ +57oMb9hmdf4mJR/cakE8ktta6laRNmYY1tgpTIAIZi0edvFkGWLRDLHwHAHbUv0O +0eBdqG41HlJgaRsTK1Hsjtz6eQ1NdACV+Hub+nDr4falRKiJF1R2aUyIsY4vjmZv +HVAv5OSgctMp3okdC2p6TRRv8fPdWt6MU1Nbze/dLNbYS5VOf5Ttu8VQGJ9KFuCl +i3mZrDc2V5o5vHvXChsAuDqDItx2XvVx7FlGcMcOVyC5cn8feMkeUc6AV13aZPqB +RdxKMgVA0/xVhmIUc1XwDWcy/riFdRCdEZix6YPxXstr34rx7QxSOEVVuq0aNmiP +i0uzIoZ4C9ztbDeYSjK03AarUud2rs/2V09CzWW71Xx21gqToEyCOw5snXfCmDcw +f8RQrIn6K2e1eCMllUVld63AJ/5NQccWItz2dSqsJHADxkygFRPn/zdy11Ki/mg4 +IeePU6eoE5nFxtE+0s9pJHsjbEpvRZiPOdX2uHBicas1MuvVOo4xjpmUmcVSQtZ3 +cJq9+Z/5OP8PNRuEC02avMCji5qrE9vP2Buwu1xoVouBpWkksIkGzYC7Iic8kV7s +fsz/2khXACeci2bNrTHiPta/cC3cYXZAYxDq12gs5ke8KU0w7l+aXVrtS1cvSWq8 +eSw9JVIupHaWRcawGV4kqWSm8zoRfYfrDHdU15TLDWNyV6xtkwqj+Rq6HyTjkeR+ +7ocFb2LifNs4SlHn1p1mPDzhHuJwwNObZo/7zNhn8PTU2udEPldBMOhXvOwtcHLe +FEcO6p+6Jnue4zdImuqdge1x3wOm+uH1xJF3HOg/KHjtr3nSj4LrNc9uDDG0PtAi +eMW8WBXDOx7VRli2jaOnvK/c6aJ7+/6EUW5dtSyds3l4+TA/WNEq4GbRFOkyZzC0 +PyI/Yy2V7G5OiffK1VLh4meF8Cb/XHOWiOgD9d3fYlmqXBGZjA+KrAH0Hq3D8BSh +sffjT1k81kpHuvUCiRZbRitSu9A3LiVNyyElOZY11F2xyDXJb/pzJr2aYLy+zGPS +qt+EGXVCJKGA7s7askywT+T26MDJ0XN2yPxdgVRIDCu5n7rh82ANk1I2YTSr8G8f +vOcjuBiZNx+f2M2uJqmBE/wCVcJ3VgIbaZGDeSwNnfYZHJ93LH50MJ0xdVEi0kBO +B1cxlWnak0EbdRR5uQjhsu3CNajxQIaMqO8+Yl7P4OT2H2mI4yre+ksCN+K/aOsW +IP+utOOreGOk500zSNlULnp0uFTFOcfTLZFCL8MAr3lovomwX4KMKcwz7HBGLMyt +vHz2zy0TD5qVizRqYTD0aCZ5SUql/Rx18/cIYywBc6weqNfspr5P8oPZPsBjzK7A +8dVFf/CeSgDEwXX4eCfZHpuYggDZiDC4oZFpb0nXV5hdRa+7pWfLmjigU5oFsnHP +Fj9Tw+ppWeOP7sBzXOezrUvj49fIB+YNp3pw6RS1u2f6DStxPI6J8ZjUnxRTPErq +LwSe8k4tXgYpSLfEazmgHCafO62AeMh4VE3cjMe299vUomMsqB+QYd2Lw76sA71X +vZYmyYnQcHHHML2InPBqceUStucOCTXUTbV5w6X1Wc7CNLZ8EpVAFG5Wbt/GG9Tw +E7y7aXIBWnDOHpx16U4sMmPl/0bQFilCUElXNGBVr0IyGF6EwEaWq0in4F8efeBJ +8/KuWZ597d6veby6TYMAQxVmlAbYiQC+iJ99Vr/gcMRXtCY2M4iHeL5GpE+hc0dX +8AIuYwos+COT0FheXlpEi72P1t2+CkvhF3vaC/6NtQDCEwPhI79DCTeyCf5apGZX +AZ+nAVUfiPGgw9PcH/BysmlkyV8gmHb+dY/1eglJ5VduLRB3+kiI47Hrl8xRazrh +V+7lYedkdG/NTdl6s9eDAz65fHkZUYdLXxNoYOuXM9UHYyq4kMq4/ZZH6gojCPmS +nrkjQyIktIuY1AksqHQzPPGXL7By3PUSBRxq7vE4UWisw5wwcTyDl4fS2nNA98aW +zaUtVZ4fG4mcahXL2Ehz9DmDFI3X8coE0e6vQnrGcfi6Ve2UL6Bf7ooayYtecGBC +xRUo4GHr46eyEdfr6CU3fsym7zeTqrSd0vNeobZlBalgGTGx/m+XQAu+Qh4rZgU+ +mhurTLMDtEAIttFys+z9dAWfODdQheA22qSf2ZM8ecf7AOFTKpC8CSCCQNFsNkH3 +D2CgcmABMyCKrCTO31kfMUE3Av4HJn3RtlSEW/LnytgwaIDEqtR5sz810z1zMRbE +rtzHmCZLA6rEis3abU2Kw7fLsk1x9I6Bm0FieDRE7P0Dy/BXryWMo3Wqkkd5bg8M +clLXf4lhtfBEbtRRNYU3mqslQlGIIsT3yKzc4NdNTmsBO+i340eiLb0PRCmWzenE +JjERvh/ZDNqzHxXgVZzJkIPrk8rrPP3Uu122Mtflpr28eX4RaYVYoZl6uOTnihcQ +azm9LgcR/CUsvEPmTuYtQnWjst2pef0AQScMya5sB53gJbb+FxPyFHogZ4Q1xPvL +YnZTTAtZT4bdnzPuL80rSo6IB3ryFIrYtsUY5Df+D9D+eknQS06Pp/gthPRTvris +vl9h/kK7oodDa5Gj1jXxrk2O57n0IQmMv/gT+xWzBOciCsontWayEbFLVqeZ7tY8 +SMyTN9BBoTnWqxECXs7AtFxMZAbeI9RO9R43uL6tPxx3DBp8KaOFjUWpxbQXdnep +JMo3+DESA0W8jHPLm+LMBXSm8wOM/XW26Qf8zqykvVWQc1oVnOcNlhrkp7fFzLfn +qVeP9o0m+PRRJpPgqYQEDzPB39UHeNrsEVycyjg7xCgeTniJ4EgqE2YuwUqGhCKp +8pM40EPbdkdNxh5JPhSBm0vcXbsdrWawrgDGX08tjtHYTfN97MWbsa5K7H+CwyMV +GgLHmc9VZ21R+71QQy670jNh/VxjJM3CQcTGOccfTwL+cXQuqOYHddBb4I6jQHha +EWiw9QTlBhyKI4SfQA+UxzhM+fuxPiAMDqM2P08pHWb8FaG/f40diswqwwkQHdKp +qspIZ0R+hnuXah6hpXciwjyT4GAWsW+rZw6YMyduy8YWYqoaxdfwSiEdX7lMJXww +fow3tpBbim9rAYb3Ao4kewivZQoSokquDgOC2YCrb9bmyQm9orpTM2sK4S2TCkCn +j1cB6mKex+HhA2PY1e56BTK1UbINHbTr1RvB0TeBQv4HFwNFGSPe0bvt7gwyxrSD ++2KjadDZQ29yFZGlXzjpubv2CrOcAwV5ABSfQDtatEGXTF2xtBDmT+gfAo7+Kk7p +jZx8cEaWcYbCEvDRpBD/9qM3B1xowp2mBtN/lb4zwaEGHviTBkQD5ilyLglTG+cu +Dun2by950j7u2aaP/uyMOpQRExeRy2OoddS8Gqu+CkYhMxr1Q4wTFyQGIkLY1Db9 +0XzxTJS/Z8AmOst/QzTCHuroAsAfembP/ucYbskcKCv52LKl58u95EQJRXbGppmC +cxBxrETaUINuA+RRtespLxopCZmiC9IxMJhzDM7Q3eKCyd8z9P0g8Y0uMcPYEa0v +PpivO7CwO6eMWjWzZ7nZzxWvel7GLnTizS5aNR4rkscUZdpdldZHyk/p0PjGKunb +m+JZM8jy/1QO/nAhUJePa61diKxbnPFmnIU61/X6iBlPKATj0HtTNhu5dwiz/VtB +TXV7FHmmThN9ZakgzC1PmaljQzLB/tVy0wSt53ubKa3dCGdoToSA745rEXqYylby +BLVP3xnsKKqwULQoflqSZk9Z4EMuCmsiucyot7c4TxPHanGN5vwr7X4owa3Y9o9h +1m1RDRVdSnmEV5bxGz+WK3aGhYN6yd5IDRRHwG1Yq14PSPx8oRO2BQpIkfRFAPCF +ToMDcKpLZDi/FCX3O4UQyViVlbMxQtf7XZ8iRbn/L8tOaxXdZWh8U0hQia7xA0B7 +lFCnxTZ0hvLvAiRrMZJqTS7KB8tE7TjX6jmGDILct+WQdDsN/ZaZFJKy2ni2HDeI +9EVp2tZ/z6k8Xf82614SJvq/IAlqBC7NncXp4hIkr9I6i+Dc1LNCLwICjZFKsqqf +WqaFudPskF/Uf7iZzEzhOys8Wg63ZiIHEBaYda+VTw6d9+qAKZ0h9DbscHfSW7Uk +gOIbVrpsbTZnmQjcumLWuOAhfOXMqH2p8iijCIADMbS130W2T0yYjRsrnFpUy4NJ +btUokoq+hT23QKMHXkcXTp70Az8+/Sysz3klwjEHZO5jQHNwKG2Cb646k0lOpCER +2cDNjQiMbQ52QpUj+K+hc0Zz74hTaxeMayN+ILgbMekLjczDSDeiz66jpC40LxEp +uTA7xng5hZxLJdPIkW/Iyt+xg55y3HHYGELS4QaHPHxF2GX23ONYv/7v+Wyo4PFe +HdHc8WSMh1D4PX0dIHGFHZPd1t/6o/z47PvQDQSsRo/hqZMaMmweKmw68GBaqj/+ +qJa2NOCPUpQl4R9+8Js7rvFEMIDc9iIgs4puDOjjsG11kWjzQ2y28m14nJzmbxzh +5C5gJ0pLgpjsOrhQi9ZB8KJdQHGt9Qa+4+JDD2FUa15zUKAYNq8ZRgMxVSckTc28 +7ygQuQIYkwuezSjitr9trBgueEHPloMpIhPzKpd2fuF/M7pcoF5IikulCcFh6vWD +dDGnYexAMRSvw7FLqoi4mZdmPSKNBC2w5e4fHcxmcCzwSFKH9fJAszMWDCGyC72d +NpHhEKVh9wBaGWlRgga3ai8dzzV5rLtRG6kehItPUhXDp417xoxLDCkLyHj+uah7 +w15Cs3+OJifXgLI9aSyWw6+zgnwYq84CIIhMyySee/PjKIWaeew21o2PyK7eRTi8 +k8fIlkrhQ97B932flblsjBD+UvuPtfbhOmfzVjxFMm+rQUgHHsozCEJZ+8YQopv4 +w+LWJ7llv+hiIms9AWUsCbyeNYeRSMPqv7dBLNAI/5j4ZclfCehWpbBFdKfcywI6 +w3YUCef42uX4iNlG2DBpUJRZBE1YlrkDTZ5UFUOCSPhEjhsY/GzSfU1d+8RC+h6H ++cG0LoZrIk+T/rpIlcAkRAjXYMdNWX975kMqWEOPY/ecpXtjZqpTu4+wY+jl3GAc +VJNzJkn/pMnvHroAsFPsmOq0dhvqGFGvlcxIZNtecf1NI1v5gsG8yzPzsa1TMVPf +/Q5estPfWV6xobBC0omGnBSV3M5c+Wqio8v7vARDhETSjQ3N6awnrTr5uKJNbXtx +oCQkk+nxCziHHmim0fOtPHt2NQ/juADxMTas8DZFwHN8pLy6O3gzrlkeavgtLAE/ +16d22Q+tc5jVNKJqHY2kYuAU5k+GPZVsVTDlzqBX2N8DcajQaYf4kYuC/U3YwgGy +L6V+ef+E2kg+p2RwdSHMcnIXsy7B8mdIcHOfbE7G1DbnM24fnnYZkCsIaxocmMNQ +5vehYhg1H/b/pzCMSoDyeraZyV+7S0M5X6z8yYLlClY3jDGo38NgzGL8I97l6qlN +bEMvMjUtTA0SjgvFajmTFmK4Wr+VlWVUOjw3tWV9bbOLWQukgSyaxTEdumKO7ETu +cFu1y0QF2w3cV4nXbv/s/XvD0mQKxH5xgwjAnf3KqO4cUlcOR4UMOo7nYAaM1XXi +kBYOQjk0Vx5h7Kxz58ErzkKTr82lCCZY4SvNEGchiRKpJGOvgQJEU8JDKfIkScWc +xre2ffink7aDUHt2FPG9zEFF8A657Hefz10TiygLfuDEBSVn+KDL2mUGrcVEsQfS +uGrXkbn1n4PA6g/LJAxUPbdZbqdnoxk5gqszLixF/hiyxfuODDm/Y9iCo+Jmcbu7 +2WHjXAEXc50zZPh+7wJVgWxPqTtElo8NgJECEoNCTPISXjdGdKZzA4UsEhYXEbJD +pCuWRUwWgDoDIy0wk/mXB+fUtBqOGNVuNPfIoBgKiszDmskBMp3fyLxyqkZhWkzq +687ENODN4YigqyjUuboTdejuglhzMly67y8EyaMcPQZm84bTjPqJ5effqfzOS2bT +uYa5DwCyS/040napiyEhkFs2hgFMNdJdsTFRHtpKN3TQ3z49ps3C2gLEcG/UWbSd +sVjeaI8NeLII7uxb/zKUxqrKbQ99kURnBzu01PYWJAYMwcoXOi65Fma6KHyCDPDQ +shdujw+wbr8Mb0SAZW1YI4/nl39zZdyDJNCRCSlTar60gOaLYpzrWtv/2XkCnW+N +Wra46C+GDI6eTa9CiFW8DhRouhAKKsm8P231kxMD/Ds8rN2Gz9QYSnCAG6CK/ABe +fBdZZXi1ASCR37UjD5218sRvGwdq37ekYabSJUyRZtdQhMKAS+z6bFDVGZ4oIM+8 +zBJhGNui2Yc1J9c/hBfO4hCib7TnSzGKXgvp3GgYX/z0pJwKSREMUiwFMU1br+Sn +hdao1rNgsQ9IWEoiZUFExIHUY8f9cwN7xLm0NTP8LahQ29QSDi1LhH4Q50WajGUz +6URFU9Sh+XTf/aeIil/GYA1EI3fumvmNQryTKQ3//8aVWz7Hwo0pe7b6LtYs9iQW +L2GSmDuT72SXqGaTrN3TKiVqFND8koVtF6JRjxa4tvBb7vnAwdt8w2ule/wd5bn+ +jzSTpMEjgCIv802wageL6CSe9i0Nag7R+CRku2iALgff711zw5BTrHiQ7UBIpX1c +fauZjHMynU5QmeUE98YrmpxZcGhumcYf8/AtPd4yxOh3Jx5/Da+R1TPQbHqzipkz +0nPcl8d2vzXs4fvdHjQ0fIKLvZiGnCbU0abKrAaRmOKMEN8rbHiVXVdt4We7VsBh +aR0r+jdvdOqv6VcQ8Ie0+x+lPLhx1C3w126tb2HgjBSguCmXDgT/R/HefaJoMO0o +eBZDMQc097Wsy/iucg6nwWdtk5Ads2/udUCgVndze6koBc4kqaTQZRXX/Nqeh7xC +BonW6ySS3DYTfDHmB3APxH5h3fVhHDXiAsyv465HZBIrjQ8b+e3rck78+Umnq9q1 +2oT/v+G7dl/26eP+OP6iCS0ZwjvE3D/s3k5IXC3yW2vhE9N42PYbZWJkOgJEDoGX +kPVzZf3OLj63sEV7+c5NuECXOmSCrLII7apBZS16vAWsA4CJLyuBFdRJZ/pDMAaW +Qd+XhBbwTU9nufdTIXxhPFeLFdgcgwot1NxQJaEzTtJ517R+JeMqg72xDf5FPIAK +rBkFqW5T5gbgvduaIlYESqS8O0TVZuSO4RtkOHfXqVFEm5SRjNMZ6DIwep8x48sa +9cEUcJxQn9Nax030FdSzxeUwdEWUy6XICVMmtPhDk1mBaDbS2bACEDEWksny0+M4 +mM8wFPpbH6yuspl7YcTZ7WHUbuG6WgghP6/LiRli8MS6v8+2n6bdNcLuB0Cr0eLu +jCnucXGcbon1rSzdPsbkJn+g1ihrOT25IriSpe/DOH+eJxAJIY0YzSLe0NEzPzf8 +AZ+07xLRanBbR6lGG36WO1np8zKIdOkxaVBUo1vlvC01SQIh+OaqxM/2ECYf7Cfp +5H6FxVd44Ver5i7v3cXskmphLcgxAzXwYFUsSVT7SSTArwP2UFrqBUqf+5sZnJ0i +vxdCxhszXKdMHwgdLIAWgMjRaMCp7T/knrrkksWBHtiky0Ec3TDn0egOa4CbqtDp +dptq+5KqZArGAikkNUkHo7StTRzDUNdidQYBW8paC/Le96jIL0fDtjpJr/Fifc7u +Y322dZIN1/eXu4QIWnhznXGr2G9d8qJQQx71eMUnTZ1i1qRUUT/hGQTt5uGi8t2+ +dEao55Q4mcG81suCh0px1w6dcq4JS7dmM/dhf+5LhzMQUKzMirlcjdDGbduAvVa1 +AyuVvjxYv+wbufOael4nx0dYMANgmdxM5vzCS8xDA9+GK4IO7k3zyCY2ffcfKB2K +J/hi0Fmmlhtk1s1rLflnB/nCfSpou6PzGCDiey6g7KuYgziWLfyVn40tlXUWfgXb +ur1s2Y1sJr9z2RGWOPKq2ztkcTL4p3P7YIZ6vb/c5DEpG4SbhiNYIzVb0sXHPuyB +ajPkFwOajozSTaWIJC2FKh/8/wUfLMIUQFuX2gPYPCqkXxkAzVGi1m0dYKSWLXaF +z82fKpqKfrmeMnn+Z6jGRdl1KNDEm4V7Iq4ZIHKtcDiGFGmm201Zd1KBz83uhVKF +uxazh4bWnNv37MRIz8a/hlXSIyNYmlSLEdqGr5NqIkR9XJk9ErynxexTgLLn3uY4 +C5bjwLfMhn+HiR57jgHTZbfV5aP5imsx+pefeEp1tPxPpRTiowwTii6SXrO07yCi +S+QvcJUP9KKRQoyCXW/od5ZmMiqwOkB5emgoILzWcsmD1+eEdJ+r14JVeGbdPKx1 +xCl7JhQXR/xRqxC1/Qv37eWYdBMorPVRuGk+jgMKh/8DnQc5fSz5AZ/ouM8zMv7e +/zCAdu1bhhhfN1T7yTyuBEZTC/zS8XrVg20x855H9E6pSvOrbd6Cj/rz6L8Glg8r +f9byr84YH4qC+hV2q30aL+ZqvsLqKeT4r3nqgvKkWadQPRKsqAI1PHvWPwMpusb7 +Eq6W7xschuzgbatFmthoqY9pAMAkqPPVWO2Y71qJGJl7BPeezgEeZW4QpZHXioRb +ug7Q6Jn3zsRDVutdp1YzQx8RDcHDYwDctFi5lVO2QPcXDrME0Gh6faVZGmt3B0o4 +vxBwbNcBxodtkR4Mk0hQmZwCYhG/4kqvtLpAtzs0OqrffLrNYCweQ5JH7cWLT0yz +PH6XRMHeyFL4PL6RP1xkk5VInqbzs0gQ7h0JhyeL5EJ15ISEBR/X1p7e5rBpVmR7 +/5uXJPw3q0aBBG58qnrniXDPxmCvN7YA+E2B1M2j+CkNNi8iRjTGX6oddA8ax+B5 +M9xIqE7Y/+SUBkJ/q4eVjR7Yi5VOIpe97j+oljXldGPKFe9x12DpZa14IQmwuqna +2VbMvUvBbkJ+klsTmtgx5qrHaSIEu7ZRXWSBfc21LZtqYF5XkSfAkVDRNOZ7by9a +QzgN4oACvG54luOfGKfAs/07oPD/I1BIfGB/qtDrjnVwLBx0x36un+xjmb3Yg+8z +P2dzvZ+LHcOYQL/2dR5uqtT/IWL6m7kZiCbXy/HyDqqJnDxZgclyzDc1+xG6FHP7 +nRRWd6lDy/Ll3n/Hkc1eZR3OE8cTzfwPqQxEGDIPKuVGve/E7K9OyLn9PHkDND+6 +v38h9HWyKV8pt1BCHFSLCB37eejdY8IdlZXXsUkSS2u9613qGunF8y1AuqDMcdd+ +dDCOOjxcvOD5pvhvf/37D1e3fykYIOOMX41ppZZ6GawWmpUOUU/FBaYC1MTDELGB +coERWWfR/uFdlbl0sbRM7iFVu0TzexYjyVLFGFZZtnucxXrObZC01j1xdlmrJx6o +xq/9F7mwV+u6vnm5f8Z/if791W/gzJW9ZI5A4RHEIlOFbV8SCaSdaDxLTRSk05e1 +EfGrd5Z5pP9TGFPLWGZgf0C6UhVwv+/hbPRNtx3xzWnNiBrAgP/ludPZmOFc3heL +ZNO8xsjGMri9FOT7hMdL2Z5oQVy0ZdLCEcX2aUIT1Fk/PriNINGUevKjaEpT1f1m +jNznOrc3znh+z5+rCky5jGPEaaZQQLCE/MRCwKpzGSlsMOgqbtLBGou215X7ILOP +68XPsPTR3g9R1bN0YV8pGIMASq4t9KtJSW36azDHMKDO5LQu024cxn54Qe0GdoAU +/1fTsC07G5nvmK5qxFRxmHWUApBf8SGWNoJsfq3pVJ7rrHzYnqin9ZwQfx0565Ol +fHhDXTSeAcC4umDGj0nTpOHu1uLwsXKIGBsTaSiPCsHxt0oRu7DTYCUtbWRaYdyw +xczR5y56oCh33mL/PJGthmOLGBldjhf//QH/G32tCIpPCEAD243/csUz5dPtH2Ez +IobNYeA6Sc37vYOVCZmUo0MskRZBBQPXnIfLtBxAojfv7ic9XQFjLMfq44c/s0I7 +M29UI2ay1SxTlk3L43eUZRWAZHUHzE5I9jXdBW+APEbyG1v6hS9zps1WRgiBEayu +1LF5jzz60qoMpqrY4TiNG1vPRpp4PDRGEmeJ5zcv3PxcFsgiDJUZUbaza7YYMzsy +h8v+sZmfK3DMxjK65MWLWu243oIx6mzkuJ4q2gCDKt07swk03zQJE6XlKz5aBNcm ++dD6+RSDQcokxWfrZ7G5OLk0wn9sPWqjl89nfHQVAL4O722grAjggyST1WuGo8OA +2R6C0/bXYYYqe/t7o55xXaXn4Ozla1MjAxDvmDQxxdjfWGGXDx7D00uTsuOEDefn +fK2jachy34X3tQjJHDywmBRW1/Y9Vd6N44MyvbJhIEYItT7XqwQRdEvJ9G506lOP +fpyRjB0x2TDZd3EMwp27jOMJo5kbvwekYDrdGfRGcKPxSJHesL5s61LI4/ses2ya +DHRYX9yd/oZWk+L0YwCRtMK2UCDsItgR3+tJDEWmQzCUoLaOMhW1EKeHtSsJnJRt +0ieRYt/ES8OtnqsPGHYZEDmiO37Fk90bvjyvdcY2oqwOBF3djZwc7NxGTIza1dGp +PPsC10Hw49VLp9U+qlnBi9uO0xmn8oK2HZ891WJwE5b6sT9mUvkpRmXMl6uOpbfs +Rq42TQSCXt/XLPxzoVQ9RZzR3ih9ZgqrQFrJwj+lc+K68FiajLoWHaspAp7xwSEy +VPNyBMiteBXbE+1a0XWQaMy6RhAPuRySI9pjwLDNgHeesyI7YWgEt6xEYKo8RhB0 +GNimxZPspQjSW+PjsPbfc9XCZHgYFsYFuca0prXLPNLgOhNGTTLD4bNHO474oTTB +tzfzm4SLHFTiGRUn2idaIHltuDXmE1T2obmlI43TxSrHyDlSckkWSjWg0G+DlYDC +MJVMDbJ218uSxvVArgcWYXroUkCCrRPqabxquMrZyyrRhHt7uNK6YvfuZmQVeG04 +q5wQZK2Hd5+r5zQ6PmTOwg8tEpfygfDddQ0d2XXAcEXtlhkWMCFuCW15SKdEWjeV +ifLkyqnZTzQsBcjl0iKcgOYDZHV4ZQ/XqVRclRcUj/gln8/P29Yeb3lLVcQalVl5 +0ms2cyiCn5hr3WopCZtTqc9HKEKhq3rwC8Uj7AGKlLoIXo5D1raZbuPCS/BxvVV7 +pzN9sg0sF2UmLzgHiYpc0DR3ceT9HXQRrQy1eleKWyAxgmp16w17+XdAz3kKM60i +g/W1Tsk2sIIzouoixBDFa2H5TkL84gMIxUU0sH5zFWR12n8EWQQjYEy+HBdIhXOe +C/Q1Ix28CM8Q3lpL6VwCq5uLWdAu67K17enkFK2bqV9J6ocqJ3FwRLLqL6kMvoHd +/MKb2TXzU0Hcih1N8r3MOZvs6ePvelqEUz9RVv4IqX+eURFdWbCUoB5H7BfHlC6i +UpGMKb2ajYgIj5CXqH4ZzGZxowTuzmevEWORrUCoRtzwc+xu+iVr4AfuWpyyeSPA +F/+6skpLAxNXDpng1xk40+uSxOo13iTQLMle/a6dTeFtI0fziXJAHRPSPNZRjStR +Hiayo00GEveCt1N0DN7kqgW9e6qCbTtYrxM/0yAHsVh5QzZOEpt/Aw5EgGWmeMdk +PFQTjMXgjcjN/lsZ0NJ++w6yUwTD2GXVvB10/g7ZpNxtF49/vv23lVucakN3x+pu +EQ9nWdu/03ilyPahqtbfeF7uVLtSJNNGspgCMUK9r7bIYgB5m0a6x2v3bXyHkEVI +GPqW0mo2MbWkkLEBijOOxJNzss7xb1lAK4V24x3jspYPfXkZ7hkN7AP0umn2KsoZ +hT8xD77yCQElaUgmxBFurPqZZekQHK3eXI/yUnkmQ3RsL9AeMXnNYwxR2AXY+uAp +wpWder8PX985rem8CoVp0e55axtHdcUCv5eZDO65pqlvTUsAgf5waTnMttDS1o+M +ST7ddhfbRT4Oe633XGzOi9biWR5eM7Rj+1sgA+DrEIvwTjDK+UAHEgjKZERCt+gi +LiXjfr2VxZliUuwWvgmgxOj6Q+6n0z4VEYcKALvpeaTYL/NPLoEf2uBh+yOwxCtA +aGLpnfvhtUhNw8C7qYCLM6JwTT/ZejNCgq7p4fNigfWBWuVlyk+IOdkn4skoUbbk +EJjEISAMExxWc/HIiUdg43vJW4tVk2qf8I3egVHujTaIAqtFDLtdOXXvGdH3cVTV +8vSNUIa/hhDqKBuXK3ThpBAdY+WNfBEKSgEXWwi7TUJaD8JurOgS0NA926nnKFxA +0gErdrNZCGYQDgZE+SGL+4YNe2t51+2AwuTmY5fYwE/tvp9SfpvHlm8g7iifcOoX +CDWKqaHokieDd6+HpSu3ZJ41joN2BAeVE5fapalh5nQelQCVQTW2OFNpdJ4XVr9C +25wzdU23K0Wrai8rjwjxgMee4PVe9W8Nur1BuTOTNrHBtUeVhh0aYpJbxQWWKsOL +qSzyBqzFbRF+XMh4kYP3IbPw8WJOaXbjItFzR62EAZGhUvEeP346PgwiiUTQP0Aj +kK48Tm+qeqTE4X196ENvCBphwmcgBbTJz6zMm1BHUZHG7bxq+RLBEeNPVPbN338r +8rhcjQVDm7QI4RgWXvykF9DIMQtwwVLamHN44q4FPwHeiJ9z4dQ+Vu97fKdNeOTM +979nze2YAKVRmsuJk+BUr0INe/4GmjDaM27l/umacSWILakTHSCGhwMURqvRGNZY +8hVso4+FD1xJ8hcwRrfnbvO6OItAFw9x42zqlNC9CeyQlJLeBrP+2j7Oi4dotcEb +7jDiO8DKlWJWX+AWrA1gcAW4BUDU99NUqWGdvLI9FEi4aBUTnODRdTmHzvaOAp69 +OeUHbLjMIalcGUpdWggk8oFhUHI7epL4My/2zw0LFcUgu7SQ4ZMlK+WBKaMmuHDX +2x+dLMVMK+4Otkuxwt0Oe+C43MQqz9m4INqlYvOH3LuV1IlAZJn7A33vTFZKWdV1 +ZHuE2/ZQzY++6BijBrvecrTDVILenLiXElHLvKMAnAhqvs/9Nrosqqk9RkgU6LV/ +ts5f/EOYMVA/d3IPq7iEOk21CPC8aTMlIs8ssMZylF2qzT0P2v/f5HxFkzbzDneE +wN7dc74v0ayIJ139n+0z2RH3NfTIH3z89b4TiGlIW3q6trGCpgf3ejqNsaAfQoaR +3LrfkNGYk/LkQe/1FNOCRHKEpafF4MDjEgEhunYd7CPWBQSn+c4Rf7gwcbWNPQiR +5ui1zMUgnToUrBfz7eMcKOo5Aud9XpOnzR4EdGJmF5Zw4Qhro9tYoiSKyQ79PmMx +UfVzkn1IXSV8vj/hRLr4ykemC8dYTiJetIucS4RgTWL8xt4aFxqmZTNBnum5naKc +g655v2Mm/78ID61QgElKs8SlC3dqV3Lndmal9a78P2HGNdLypxoyUeZ7ZUYf6oeo +BVoTEyODwURsLIkVYcVhKIjgaesyX/K3eKI5m4KXyijhZJXsMjCGI4Unv4hTJBXz +1NdAdTfGVM3dw30Vt26tvBhsR+INyZ4bmmrHojMWCg7J1F2vcGmv0qLiqlqtDXb6 +/qxgTbbW8UnszYD93cLsoiObMFHMfRALS80Jimz6/ilmpeWCofFsp0km4NgmRHDM +RtH7gfatfS2Nj28yf/X1C8eoiZAVWDbnGrJOjkr7ka18uCFHXDpuL5mtSWSKlCjF +J6qfCR6DFl3QRmdZwV4Wtlyxh+9Ddf50omuXlBhuMSLx8b6c4RVsqUO4LK4+WxXo +UKZUIIPuiz56cEpdzhutH+1A6Xa8oA3Sxza9VcEyU4zXZXuxdaH2yen7x8rwPCyr +FP0UNCOs/n40sSDNodLXbE8PQN8HY+1U0uc+vEiBGN/sxGt0SoSzvTWudmZP4txj +6oamZjgz7UChuCF8c+LXj0N4L8vCTTxuWNpSzzOfwhRRAT8MxS8B6kOVDaTYWI7T +9l2u8GpfvL5a4jmZdXO9aLyguNBhMucNaH3XjTJNTDvHDWL47Y0Aj4Y5LcacAcuG +3TKMhmA5zrU3pIug6GR0/qsvHYJi4hFUOU7jc6ykfWSsWfEXli6QqJDDqh97k7Oy +JcqxygIujsfz6192HFrw+1/OJyoPcLhhPfFbN8m4olzQrzgE1NRFKSK2NCGxydqr +UUDlIkDOTZcV+HFZVCCzghf8zQvy6owAM6Y1yG3SGWOULuCJaw4jg2Vjo5sKc5HB +MbMJCJMvJ5/BLsUZ4vGTAH2rqVfAzXJAX8iLUztK0leE/vStotMoYZcsnPjwF0Gd +e8RGu+AVulEoRXhCPaepUo38LSsec1YNMfeR5hQjTWvdgJwD/AYy5goaAN1LXqRu +miLUaZwUDYRcI3H0J83LL2gQoqKs4w0x37/20iDJAZgNbZecbiKEtrsBY5KdvQHM +lUtSKcPHEenDhvtZq63sMV0rlRxxyGZHjvac2/vpBAftcrqo0hiPoH2Jcd6hcofl +WBgWp+JI8qcBDLQIcSDmyTiR+LHCfKXfBVTRSqpj/dIy5Aphoxn7eSDN6AsSzaaW +HwKQj0M+D8s1FU/hnBJEM6GHeWqIpd7rL+YFPkJChYOMm9xsnpX4iDBNsWQdqD6H +r4kdhK2zgd54nLnuTWVUUIbmUM0Nd3Dr0wisVD6sdSLeYzWGjA/hr/CI3OIEBBD9 +myf+x1vH3BnV8wvWgKoojvoFqAjP88dbRBS9S9CoUCsuPRGJ1HRbgjD835AzGyNY +9kTplFVm0MPctyApqsDQtAWWdXt0ptRyku9rWzJBzavWDTBYq/FOXVmel0BngqnI +fn6rQbjXMvE+KSCeQyo3u2NVs2iPh2FcYLXlPgGa4U9hwJ3/ac9wvmFXeZLnrZk/ +SAhGIOAUGbjlYCXcSI0nGp0cTypJyDRAo7WO0xUueRcDssaQ1wMM9BVsw3irtELh +3SWayBOeFCEnvV7QRlhckLCX4sSHmBByqNSXGbjLGfC8RNdxAOx+vieiSinSVBHg +tvU1czotn+tj1waadBPHPZ4jUfnuQV8EZsvIfgPy54RPT4nmnhQSRbzQbx5YXUHO +9mzXZLgtX6eojViglTfi7U/wy3sz6oOsOOpTBoUeNAViY4UGJPcXEsZ6x+p40px/ +6dP4Udc7PUjKKIDg/MwbjtleuNnty8V1aBj98VUTamWqiS4EI2LYlxBz9+++FKI7 +tn16/vPf7oHOsuRv1jSeKGeQWlAI9J+JFTk5hO4F+ddqfA0S+OBpB9V4c0lUROI3 +aqca2WEqXgQ98QH3oLa+XbjrTYWbX0Usk1a164HW1CezQ75plJq868d8rVuFOI6+ +BZIQMsYa48bht+gAUoGVf7nDX9f8XhUBqVpzq6c3bjJcbbwApjBquuXH9hY2ifZH +wzO5mSU4EGHb/Tz90KUn77TSbEz1BkNiEqmrI8e079O0YtuTbEDCVRXV84mU9Cx/ +GgqUuiqSNiPNxWTJFdPJM4DxkgFReAluGLbeDrQSN8VXvbRacKHd7d5gRT2dPmia +ECbDic3VYclt6QPEGjgIFfpw+JxXlpX+0fzfA1nARRV09/ue7UEsng43DHtzH6As +gAwWDboE0JlZx6jW5Le6+LkokK3B0e6AW1MaFpL91c2J+/qcGgHbBRntkCZhapwm +Oc3z6m2gOznv3NLaLrIE3u0a022CPKjM7r2JHqM9f8MPauD5fB45CNBv6Nw0b1w+ +CfDDgZSAoXu839DRucd/zGDdpKJwOBOSAMnp5AaUieyjPDh4O9T2KnxiUAzXApAu +iiaxyBFBJ/dSJGbA5T0/PilgPQ6RIX84DE3R+Vyc95KyoxdUq5NcyUerVvixuxaV +X9oM4nLN6r5DwWnEpYvuMyHQDysbGpCe9hewODeRLc4uEODiNOZUAZ/8ZAIuQzHm +iXiGL5a92/sSqYu7PJQWgYSkbeQKnJSHOuoJqQKcSsW+CHFd+40/GkI0FDAveRxF +dfYd8qEd0weBXZnJbapyoPpBt1a5XRqEqY600F0jk7A9q8whUhVfQ0PdZauRPKbQ +pPSWQAWrk+SYzmQebJnzc5HgZNGPMlxqaMYXeaeHmteEqwXb5ag6HX8qdkY+EjBB +Fdodkex/bEMyZPYliVgTrrRQlomm2cNVEyLYllSBj4kDl3i/Gl0avp6uGvXk4v6q +QE0x9xTu1/2I6eYK884FNiYo8FC8wg1uu/UHKLj32dl3n1p8cHFEqbWtjnszPH7A +L4NYO+d7CO9hktjWo+llzBnX5t1pHM0xwpkkB4tzRWwA95omXOdojHNEk+Cq9pEs +0vCpyOXcNW12XsmSpoPw8DqmAUkzCPVe+uubuzv4P+F+se0qQAwV2Zt9RmqDn2jF ++ANZ0oXXfBKpmK67tU/Dl0Q/oMeVbf5hGx0tnjfknYQheLnmiL0zU9wEXPnimKM9 +WKpuIMnjFeM6Hp3WYnOyc4pRgDFaiav/gupaUKRpUg0RkWNpFprmZpcrLmNQq1XK +9z2GtxRfla0r9fztZtsIuW9mkwk7t+RgD7qYsuMlGUbEhEvL2DKtxWJ/leuJj/KP +urmiJ/U5Yy+IVNVLkGGhHk0hij7EVk5vsExI5ciLMgFrknD3Odwci2qkBTRaJX4n +bShzSkKi6Z1cuA/JxBEnNnL4726cAWr4d3tOTOm3X144uNOUoNA2W8eZ9PkNCfah +8pXEk0ySqVzLvPL/Uf1W5RPRblmP/FJmVtnOAJCvLU/T49wJu5TiRSWUT3B2rKPP +FbVbMyOk96dK81iBXzPRJwn0SS7J6kZyZg+uNwMvMGi1jCvrVgoJpohEGNc6KALE +vrTSwlxqJ0R4k2RwLhsRpbiAM0bdsyPO1ZPm5bC4SGqvHUMBWjwWBqaVFZUpbzpc +ib89cyD0vajvqZklTIYSUdd8xpgHSa36Xr5IhcLEdagemDNp+GtEOw+PaeS/z5gv +gYXZOtuTP9pGaexo1Gxi8PfpLaeLw3lYdrtDKW5N0e4lmxxvdevNCQJPoHJPgDjx +uYV6mS7Zvv9RVTAjDeKQZkLXwRvBw26WZs6aaOln9f8InBqj/WTiQgFKeQgwCMKS +HZgjM1uZVkNM72XisaezOyJCUJnNZRO2wZqtR/C6NeMIJdDqh3b+dC+e7Zg/Q7Up +wihfk2mWArvF0LaBxddSGkPDWBzUfA/7sj0j/9OhPIgubcBsQNElMmrqtJ3c+c7j +L03kzGeuizlV+ZPftuTKGTHk+XGqfbyAWfNI73xyaw6Di0jXYp3t+Gl+qOOugdoE +6Zzge1KH1GX+XsV1z1zbmV4YIB1s77WAHmMUpUkJQSJme4kKkEOikyifKhdp3MNp +NM+c8duP7QeAvUEq3JVmo9oxSTY5F8BTAzFHmZNGk9G/UipG9iiYxJk/gyYGFS58 +vIvR6KHz+RuH9OGjOMKlEryJunKk6FtX8b77gc3t7Ugn3up1aBPmkcA5P+R+AHcl +MI3KMw+QkT6GaK99UtttbY1ObWP2P9gLMda1H6E9WmeeLSi9EqlDsrHsyRTCCdbk +m9K5SnheNJw6yMYcC53dt39ELxTndBnu7QXl/WxwR+brBaVD7HrHK/EfX6sresxX +4/piq58zUF5Y1y3rEK8SGuvWZJFJPQ3dSBicr8EALfq/qU69Aoquk6+pDZ+7qVwX +fn29IkDhNNd40hgbev9ogBf1IFCJNnCAtOkVAa2MUO+aOugMcrNzcQ88yNE33aoq +nhgSF7MJQgTShyOVfbECg/WdCo5VlCqK6Py4hpR8Apzww7ykpndulVRssmRd736T +Y00+vZ8UNuzPhYFW2gr+sBxIZSmDulkZg57xbLluqqllA5Kxh60XZ0qObUZVHeW0 +fMCEdWE9EypwMYiILcEd2kJmLa5dl/Om5aWT5METPBhuTIY+3rBoIKrxqAXNozyX +GYp4s2+WhiFeXVA7XdouZ62m252hiAMpOscB4bcl8TzJf4LUAOeWDvgZHeaXF08Q +UUGolbyW0Mhg93Qb2yh5dhvQ6QSoAjdm+GMH8EprGYi8/OvXgxVb8JctiKLQT9wI +K4Eat8T+3iOSU/kdbEMTt27nYzfG77eCQI+/UBLIbEyXKpZg6/xGTMqg903f23ue +ndsbDVsbWw5OPTk8L2IJ49IuO68eXs+XZGar+Kqi6bwUmXlGh+RRZoDYCuTRyqau +zNP46I/IH5BPCIcM/B+XD0XT2LdzjllRR+ptbGL3WrQ34aS4jVZtJJo9o+xMCswx +OeAYIAnw9VhboZ50y67/X6QfLKSoGO+ulDZDW9fh0snqaycv+KjnV5irVUVMGfzD ++oOWMd4Snlek04J07QVXMhP73LH2KpGsT9j9hToGSktpEb6aXiGxFMxr4H9Uia+O +VPcXzLopBIFRNX/CZdgJca4+JI8zU8muZga+tme4Ys64n8wX2Bd1vHP1S27cIFop +tbI6lvPDjIW63eNhO/lB7rWdwa0PN9Tn4XP+a1PyZRCfA6AjVw6J/yBySIOi1s5V +MT2q4TodTX4jnBN0QP1Grhuqw4aVzqCXcH5NQXaN2oLqgaU4QfiE/7Ye46B/hgGZ +mWhMlQq35Ppvcy6I6PmCjw07fIgzKlc+7Mltqq7CaTTp/ALu8cFkFYT6zWHX0z7G +H4OdJAt0bACggVmb8+UYFDcRyorpCZhbR/8/jCz5L51oaipsoNixW4QMVHcRoc1i +4KCNUA3PPAQYn5BgA0zssZnuBAFRZz2o6/2U+87WNbkKOTBw2AjoJ7bdrCrByfov +uATJfjZg5LtoUy6YkzGiBxNkzX53D0SNImAQK7a0hmbB+fGRhhREy0vjP5Iohmfs +RZNvs2h0AeJMnrZaTqnXAB19C4qJetbXhZypzXOqnG4vlyCXuE/BoSlEyhAjQzpj +KoR1CybACKWcGtcuu0RlClLvqJX3y7imZl3fwp+g87kQNUFBi2U4L3Kg3r7rv07T +Z4oCf7gY/Iod9AjvLpjXLI6U368Nrhkth1xh2tMLBG5KcbzvwvJThKxHDBuuF9kH +ujCG3sGWfitmw55YkOGtcmurc/Z8DRaAHe8FJKWVBgqQOhCs3fosZLi+3M0gx8wf +KPjCinIT3/Y5n6rCEEiAGK9AOcbhu+rlNQ8etTpKUfXKra83m05xe0GCtdeO5euJ +BIuwfpv+/IkorIyR/y/rqWNQImbBHwp0TAptZ7KHCB7oWQaYMTmBmQxKexQFUKve +iCFr9iQK9btt03fO+DPIStKLaDvIn+IbMPOHVjVfQamMJC/ndb4X9Mxcn3W9y493 +FzViI1cshfIW6tH33CHrTGTJSSbqgV/j+KCECoopRo9VRUghD1IJLTEmgt2ltllz +BBQkrXsOPnrWxT/xjnpeHtBMT+eXad8a+BgYVaGEr62Whpjv/L6lwE16SIinZfh+ +V8UyonkC3EcTcOiN3gCixuRigYQ3ZzBGBwJ9Isdwwbb2iYp2lEh2ku9KnHv+mfgS +VuUgb0ZRkciXvOMtZDkIHq0igYV3J5k/jffBg4dWvT4ASP9ttC24sVhTVsUXB8Hp +sJ9+66CCIMIIsAVzIDeuecvzsOduo7VwsKhnASXqUNc2Ln1avsFowZxxlrFlgViM +xD1US8/YH4Makj1eJhSP6bgYe29TCN/JFpruHMyiVd6IpgbWDg2XrpjB+PPGHVtz +7cHpUt7suvS4H+r5LEoyYzybmTuY5z+ae9uZ7kJ0E4db15Hy4xSJ5Nyg9ydWbqex +aRvc5fzkPuniUe28A07Hbm7KpvQoOsaKQZvv7f58dEyDMIp6q52J1WiL0KV/8/i+ +YprWOC8FMFrDtdJHOAtLTPVQqY9G94UKNEdLdnOCD7tQMBV/D+3bYouu8YM5X1Gw +x0fBDTtxPG1NoW2wZt0lbirDouLNQnx2RJSOKEU4etqRc8ST6HIHCIlcOac0hjFm +hiBxle0it/sso/WUD9tdob8CfXgBUoLJjL9gGcMCI/92BSHP76jxpHe0atj26NAN +4Vru4cQzNtyam6HGVKjrpWwJLNgaO/U9wS7VsRF5PObUoKekjuMLxscfQAH8rSK+ +L0wbtnmnT9F1H9IYrrGGGEWdBjxyTAEDO0IGTTLk9ZehCun0ixMxKFwtagjOUEtE +21Sl6+80OctvLq5Oop2Mm8kG0VrX7Or7OWJCDhoBNYZFMuyJvTVSaqrKbVSK2MbD +XzeI7Z1tm77oLY7/oRC2nqFtLgu8m9qGRKRv0VBSue8BDU7O5OPmBOrCM/BNdPmb +HWKpfBoGYaArVYeibb5TRwUybUDQHK/o28r7zPWnFIdOGeUhiAHV6eh6dbgkuZEW +KQzV7WOyr47Js0DKZsqPU+18dneI4+LlFkWd5EUhC59bPrI4b4vpjrnxV8nXkBZ1 +/5xaeNnE8z8pPGI0m93P7crsbzsg09qNuMXhwxMRbe0FnP01vav0F7JbQg8BPKPS +ugSdCjrAB1fN7uqaEaxcKSiU2wRwbGUbm7WwIla5EmgUKWl3nRW5YjwFkkNfkDSp +H3tP+7B4wnOvawtJERXG3dA8Mk+EinH02KuaQC7WojaVAcBmsq3z/4o7t7qpXioJ +KV27QCGrQ5/OBtyEd0Agp0+Pj/zjTwM1bUgaDutZCVhl995kGP0vHKdYeUN9p370 +kN7JH6ueQH1w3uo3Qw91mljJ/TNllOUDINB6Dlsdw2gEhvuwUECz0Djy23xXul1k +mrwVNQrCgQN1lOiMAcZMVS1gRqtjwqxeeGFARqAseSsZc1S1WqoIC+CIwzMoqJaT +ijsaR7I2rzFvzn/Boctkz3CqDfiEelDMoMp8tfLKB7H0VzzaUPig4FIPUsx88Tok +MenDb2XCS6t+9MRX/xB6AKPxO8rLRGRXvrD8HKQR4HmQeKJjOxBAh8EY2/kBp6Jt +09n6L3Y1uM8kcICCUjTYIrT/r3+YIg92j+kKNrzuSVIovIUxBKPy0D8ajB10Ge2A +K92E3kpxoJSvfzLOyvgu2EjZsin0pXNRlHM5DQLBHYthAL/I2RYmRhCjwSk6u5eC +rQz2HBfgYOhMymTuEUu6nx5ugCz+am8Ngp1d1fMv4BV323SO4U8jaLE3ZgkdhjDF +emSazSs4DWIH19iJ+ZqdEOCcchwAAdPVMU7couD1WLSNkjxj3UbJoSHGboTPWP38 +CupABbmDNQL8wnV/WB9MTqU1PvXjjcrz78Dkf9WzhF3cL+QS2OFdFf9xG7vWbeoR +QK4AyVCyRweqxrSdbPcehlJHMi7RiGPWLr663D1kRvHy3yQZLnLar/Yd7ZETDtTv +KEecxgG5c1uQkK1knLCAsNbV4nWhIM7PRNTksSyqCY4r7+orafqrvdhV44hE6gjq +MyWBAC//jP1cf9slXYSHwfwlVF89Kji2BEsPJXly915OEwbCKfTuxMySu6zc2rXb +6Hl5aYVIjsgjgr9sYCndtpAIaSwCiN0NVvLuc++56nHlFIEVlCRvokkv2W+cBMq/ +elLuXHhzEiV+D0Vye1aE1oHD2DN2iKa5Flz0mV+uddO7Q/bLzpz39T936BWl4fYT +v4ypFJnT8zUBryZcJ6X9nfALjrUNLMCqB9nV9ZNrg3rkqaNp+TJFRbGuIFHB8OFJ +hCsHupf2SexTjH3MOd6jIotiU1jyficNO209FjavLyI37wkNzsoFlLCtx8eOf/ZL +8WjE51CC06i0t9IjZOu/Ruov9VcpHkjfT9be5Jtg4AQuM9BjG2KSTpGWi0XtjOjM +m4VLc1y2bWpZ6F7fpe3R5MXOW2SBVz9aBGT+zPZBzjiIV1K0cXBGM1V+5Gn3eNZK +eCAvBu6BvnkWzHDMBy0LR/0ux3LF19j/Cf4OBW/+/3+Z2BEkD/QbEeTPYgn7NfKH +RlK7em5h1j3gsgNK2aXbkYpB/5R6vDrP7uqEGYiIcImpCORZyL3QMqn/o1xVJ7OQ +MGGq/nQDEWV8DXltweX2X+PPrm10YSyJrxoXuVg7Cb97xDXk0E4AuLfQ44HleKWB +JByloHssNHCmMnTTZf0Godltp7HcTqg+QIax9avu9vOUFli3Sjf310q+lI4RnKbR +EKS69Q4TKkhP0Olnb0W2j5VyZ04RL7DzMlAFRPY4nc9vEHqCJzSNWWlOW5vWKA1D +INljoSnWjzrTPgTe18LTYMCA6J5SntVefCvSA7bSc9+fKpD0L8PY8DzkgxGUXs7E +xmzwgNxM2pxnVLBkdQCEufnUJbPeIx4JvHJ1r0p2L4/SizbuJ8XxTJNfJ0BUxDw+ +jlEumxxqWq2K5lB733x99gAy/HC0P9fBwrD1mAhjpyZV+7WfK+p+7NYCMHQNI5wn +YbvW0pootCBw3+XBic3CBTD2jMOh98+PUoHlzPduoXe6KsWwk4XsAph5oejFfm5E +eCj13ioFa/4Y+UyhXyUM91tc9RGqQz3KGJO5BE0zEWA8N5IXIz5WSoDv2pwg5DUd +rJ5bY2LxHldbN9aM5q2G77DJvC9t8Pe65Clc0vZaRaI9MVcmrBY4XeUj431Wl9DK +TxPgcE+aIcV0/1ib7QvL1LL0XdQZApS/GDrDSQqMPWY5713KenAAdJwHc66v/RXB +LJEo9e1BzraITLyw9H5imVqo6zQgMToGm3rCyJzJC3tjocqN1csRZdJCLnCL/4Xm +Wfai6g6XG7fjLOAmVR14azVB4qwm7B8EJ6l4sNeu1Y3Bm4zwoK2aaf+fW6uC/npT +DgccVvJBfDZIQ6wN6AF7UetHEy22SlDxj3pHWdFd0hcX6wbOZT7ZlDH3S1MN/W9m +jEHv2bD+ezWRT6DepybRRziMARuBvXODq2Tcu1eReb3f/acYEGp1iFy/t5vTyj0d ++zyhiCk27T0osNrkriRxu4hCDuuqwCeWyt/6E3zIm40T1Y0hjxDjB/JbXJyVA5UU +G0Pj3axKz54v/ifhdwCk/zAHRVisk08OgI3lFei6orx0uRrko9m0yXb3/6Qp/ykQ +st0VqW2NasyDjoM6iQCtkDgymg8NGB59LOPrCuaJt40tmmUEIcPHHYgdn/Z2WNAs +UcvwePFSLQJgZF/Gt0E+PUoUGU7Qa73kvt9EK//i1QwjoVS/B2LkxpzDuhcHUFdz +R+4H/D86Y6i51Pp0NWLizK+Hi7AJbd6vaUI++Ar751TqAVUE0Jw/u0PBdohajUXB +YkCm5xM44eWN65E/XD6luGPJzkW87OON98tMVH0j6h850VePF0P7Wii5sgWcyZz7 +HhO2U6jCFlyABpIskJOPCjhEW+QXQrlNHWDitPPhCrRhX/nudiKSGxfVbyxkUrZL +ixalvDprQahEHMPqMYm6I8hpsV2yUBRVSNB4MakSlY4QLMM7/Msx1Y+bQhHHwwpN +092ZNY6nmutkz7gRV7oOYzsUW4kOA5JhNuIdyVdnPoV6sQ8XQJHiYjZF1c3YIA/T +WLZmCqib+K+cj/2JmxNtoc5uOXs5gBSgO9He/TDhzdkNDMsK1QZTeSL/zVSBmNFy +rL4NS/qPEzw1NeJN7rBobUD2sPRsPCbwHUndbHJsl8sLlhpVUrxsWJEOXSnDSPyB +pojxP2nePkjkh+8RSuRYBRMqj2e9hWV9ph8P9TIBQAY929MqUMurMNJP6vE2V1cA +XI8s9zPBBpIp25TjIB608beElKpi3W5+qSAIk026EGcl23aQxdofREAza24FqBA+ +UK5hTGIsZACSvmfH413oGzISXGVKEdn8ByS5KJ5UyegBSuHi7iNZg7fwwLhr7KOV +fCECgvm/cmtPNYvNntNXTLPL7Svh4O+HGmrpZoZacna1P3kNKO7mVzlKHPUWWQ5L +q5s0LQ36pXHx0XwJCrOPtqa8p+QQn7qCjpuOguk5ZTtBibWLSt8Oaj9N1H4v9diG +Fl9QcNa4AjsoG4Sl5QnOcOB5FYM7+SZ4hMLFJNsGz24I0u8ew+Jk8UYw/TfcuujV +WIDDrj0dLaeslgQlrp7p8k9e6KKgsWv0DXOpNWRYl9UxLBizTiDvyXYk6hQQ965g +IUmlHdmS++FtN5itO+JQK23VONAOrMjVwbz7e406RYx6Rz47VRkw95TN6hzqv7rt +7jWAJ47mvuKESgvpWPrRI+P8Fve2GzDqZz1KtZdwPCEWyr58SjG7HeC5B+nTvxKe +4dqkA1Zy8GgPLdH8/JN/wyow7qqU8SgcQJwLYI24gq4B6gVvMqA0+E1A8x2BxJHg +qvkSCxpLhq+YAvu8ZHjfho/a0ELFa5hxga7i7JcQqs2sMZHmSILtlhWOsyImlzf0 +1FIWehxPgxmzNi0d03O6fX0nwj0jFn9PiAYSwTxP/M42LDnJYKn3G5Adsx2z+LK7 +jTY3Mff+SFkuHOq7BldU5tJQ+lLVyjXCt91T7H1/td/MfQWCXWfoX0rN/o0grQ75 +3aT9i58NcFcJvqnuvf5JdkaYdhGIMBUyak0HuIBAHvMlnt5lP9VTnmCtCL4Ucjft +M7LBA5KV05tW1+Y7uebDhKVHhgfzYIbDAg73CQB6qiPOJIKJY9Fc8Mj/+NfHpjHW +qTe1pQvVOMEBDBzZ4QWDJy0OHH13GzgYRuivAV3Ija/zTICPnx4nuOwUAVKj22GQ +kNNGItMjimJdaPJ5NI6zEX+2HQgG1SVQZRisruva6TkR2nAMWArKLdeU3TV44vnN +SN9Zy6y1REvBF6swv/t9WsLHJgayrYrgkRD9NNQqyYg1NX1DynmxZ8UWU++epQI1 +6/fCoixhpg+2Sy6QeyWahxLdE89napFoB3Qe0r03ze51/kA4OANEp7T4nlxULG9k +7wK9Uv9w5BKPptOqnifXJkON3a/yOyvJtCAPh3cs5aM27pWfHC8hX4fRYSs1VSOn +78cDlXr0a1EOmIgr+zpsCqoAe7YTv3xocuXsVXJ/St46Hbq/Ul3DsIg0zktgk99A +jf4OVo1fiM5g0ZKe9hUivpcX4ikKspFNJ6P9eWIH0cl98YyHh0gLgEjVmrgSonSd +XjS9/Iakx+ozpgyiyUcQlBaBG4MIQvwL8J0gusGoWSlGoRy4hfT0cR1giRII/0Iu +OD6cXPYhowxgYToGES8bVkbKUbgxmIOlXDAGoCW7r5qtpaaMZ8TdacOq4qaW5dKl +RaZEAAPEvwZxomBWupf/Bi8UYPTzPZUroBwvZGnAIqlVyY5XbvhAF0wkita4FIR7 +SNcRJFznI5Y9TJDaf530Ft+Dj+WODi+B329pYR0QqcSfIMZbEI+Vev1zeVIrJhuM +xgsP5I0BkBTyYJgXk1wHZoHpqxnYUw4AgqRiMNoFU77IxbJ1pPq7+4sz9QwdiRJ+ +/V2YM7PhnI3OMeWaJhi9yBS/Elwmq0XaRxjPdst2x+vBP6Pymoro8PqBfU60ReCF +67EOcMr35hSNZCjWwo9X65KSs4VZo0pAyz81GShxltHWQbCjQUOvycfl7UJs4GG5 +r6ZtiFQWUC+cC+3VsA5i5BHWjjQpXPFi/YKizcB2Uir87IH28eCinDQo9ZhyekJ0 +LWKD7SoRDny7b/EazgKnQDj1SnbLlF+Vjt+T30ZUFAsAjhbA+skAa2fngPIfpra2 +qMyLd4COPgH8zdk+Fp8BJy0hAOS4wjZUzb4dVnITERt+pCHDZHOjNOxqvtkXGp+c +Kk7r6uxxyVMwGVhCifcwLmnF7bzgxxWWsxgi6MLAsU0uY7CX6+JLVvG+4P0wjqkT +et8vz3Ug6fVyXkkBVQriwzjit4MqPfZozlhHjLdp/Sk1T7lAeibuaKzaotL6u5Si +yPb9a1k/0AjFC8llNIkLIKIy+QYk9wfN9yEH1cuaZHNZqe4qQQ5JavnOk8ou3v4K +ZLgwohpl4bqKZZHXkicNoAdpjOyujEI5yMF40KEcGvEC9kZg8Vs52pQ4dcplRh3s +mnFhjkgPqJYXDeLfrXz8FWpGmKTOs+vReGOBJQPwA2uITdw1riEFfYXK+rGBYvn/ +8Mzdr4aq9mR2Z5qnlPJx17Aj0hL5nnqmSml+v2TEHKOQG01QWMBNtdRCp0iwtRQW +DbyeXGJ42EAjcQSN6YCM0BUIN+ls0SwjiT3NUDgchoot2cFPJSHCkLoUYwKtNq2F +ckw+NHZ6D4a4vpcsJOWLO1TpWTnDnGDE2hPMjvb0gS6SH7wIN1nR7iFD4KtKGdde +oraam9GPhvOhc6I1Jn7c2TytnJmpxsQuFvSkSZkTx5nFXmzZ38k5ynU9xBKO7igF +WXGQbu5I52iuHZwEtulyS2LgLDGM2iXUkiyBTGzZoaFprIbjOmbU4rkshBIQF53q +T2I6GMAIBK8EzhQHiTxstFLHIN4yXGYtnMQp1xgaNFoJ9cTfI5Ev0egjC4C7QIHU +KGNBfLd7/aBajrsc4OTiKw2CQeRFInV1ONmyPAgr/KEjLOOJH1g5I1Y4loELi+sN +MfphiXTp3f/ez7qbfanCuDa/E4V95sgRqImLgCEZinwwyIf/3M0Z8NCTs1dmSNhX +QGMcC4MW/VP/75tJbt+Pr/LCgbFwVlBJyVdBXMEBiInjDqybC3DZOvdTc1apMQXe +D7PL5Ra+nt0++C3qhs4DxGvR7PoTNb0BPjCFFoOvP6DjiJhj20xgnkGt5/ZYyJDV +uldfTQZZ1kGBB/LWjAVlmIL9MuHwbq6ngpmGSPLnHQBiWy4Gu/R5oZ0y0lDdUKqA +sBVDW5Hwg1f1NjNPejVJLBwp8MycmRlJ+eR/CEPu+LaCQZNiD3uRyua+yFfdxgRp +P6v5Os28clFe47/2gONR6Ufjl7vTdEM0u7tY7ZOY7SLL9aFaBwipcWa0PUSeXwAd +F/7mRMavyThUUYxM5nq5jIjWejrx2nPUUtMP6XcaVMcJ9bDEzQSH98gL8W0xVZDF +z0W6irBYe6m020Pnq+cM0tCK3ufM7yDSDa5Az8vEQSuk6joiE90mKV+IcUI5Q2gp +4Q7k3vAzaHdgxAn3Tl8FXx1DtcB2PAlX68AutpTQg4kaN6JQ9Gjy7x7AqVdi4Xs8 +ktiQ3PYHj2yIjUKzthUuNdo1l8ZToCPgtoB1yuoZVDEx9n2a7P6B/avbiDoJLHbd +twTY6ElP58mimZ3tI7SNf6TG4dvVekaKif3RaDa2LqM9TX6RNPD1H0b2LUpWU5iA +K8fj9wBK0nUav72Oo8k5fEMjTSOZrzfiwpbFhmaZmPmlGFg+rI91qqeK5POLk7SM +IUszxOpJZd/6g5tkR5OUxKwEjY24QZdekwF1XVSy3EcMbHZZRxGy4RrTSpz7n510 +yrRW7vEhtIi8HrPcmvZXW9eaSHF+yUdjRqSIY7o+eYPdXKk4QTitxN6TRa8buJ5I +RkZPaCSEgPiJyTtWiZpGtkQMayuU5R9X9gQ6ZyPCU4pQgk3LMJ0O4R28NpsGvdxt +3CNSosgi+v/I2sEm1Y6C9u1NnC/sO6sG0NlgCzxwnae0iGdO4jDmuUDhhOCMueIZ +aS0C/PGMg0tGT9+mETdOt09ZgVQ7OZNHDyD1oNI3a382rDGXhULWBAYJrZzFc+Zd +R0vuM081j3xHYdqPnYdoVAhH/0TLhOLdriwQ1uqadN699mps9M1/AzNqZWaEpvpt +lFFVrpAyPpRR/5bcyPPsweTUy+mivxN1Zdl5ek/Q/HpLQU9SRCovP4A7oETbUKiN +khC9G2bp8OtNHTiL4uwa7G/pBlhX0D4urV7sPWxlQUm9Pec2zg9DhtwOilsPscpE +nC1w3LoAjLVKg/x5r7XrZl2bPTGnHMB72YILsEtjk/2rgPvPldZ7rJN6PN3oWiO0 +SMJ3BhvvqF2IgJJDAPkvlItXJwtKNv41I0OYoudDmeCwYS0T30cy1HExM1mRu04F +xEUL0x/BitdPG3/Fmrn63tThSRqb0a8jjztZpNR9PYN7yIGQ1zH2rXIoD0jdvsaO +Rxrs8pfnaNbe3fHoecw1LUhcFizTVeuaUo2zS0V8BuSQ1TNeHzg8sLOF66sTps8f +9zOS4AZpaTPC/Lce+in5bQajiN1Bpuq91CtG3fmOdcAiQgpM167mapYCQVtInx+y +LrF2O9x2hgcvuMT/xuHx8+flLHKvnmEf1XbGorK+p4uParGCL7yWIUF5UKYU7Nty +1jvwRYq9MAk0zm0pUun/sMiHIC+rukMZHNv+JvVGL09fBYW3hqjBFUB7SA2aPSya +zWO2EMsCPY6b0Ne7l+yP+GGDChbOQSfEZFyAEeFqKych4/XG4C1ssAUJJ7JKUIfR +aHR0yNlpO1pZmFwp0RsfpI8glJQ9POkr89TIunpPnSbDmr9eOhxjemdp8FMVAtoL +mNTM1jucXkX8RcFKwIkMxVLxHJtCFO4HtfLFxvP/3xeV/cZBY8ccG4xamHG5VAcc +7ycKT+k32Lm+fcqnf7/WMlSBfxnYN8YZ7eHs7QbAj7HkRnXy+W6POytQ2dAxe3lx +jsKtIepuhOgVTnVo2IjBXRJMMpTqFkyr9EJdvkM0w5dKKyx4xAxep7W3zJhXSq4k +zvnVkqvQCr4PL14MTzXEpfbFr9LXgyD9Lf+mHSDTr/p5J7fpXOAj53DbQHLMMNll +RCXvKRuSDRF+wPuCCqWLHnghwbLRvZQE8hiuzo9SVXVm6bdjiPyYaZiuP2nC3TIG +8aIi53jS2mxKDxB62gQGFooZ/R/K9B3RFvEueHm5jB1S1Jphi5+/pWl6MvmzkWjr +LsDx5rnKiyC9uOu9G/4+hSWNAXlUorE37dm5SBIVVdYh80feK8Cdo/jjfLwMNQ6k +vyiTwnTjOVMrSJTg8jF8sjWDx/3TfPAS6b0/4rsFZZB7xGTCTte3uSfgDKjFw1me +V2oduoGje1n5SaJJ7sEpoUdrdOwOBG2kl06ejlV6UxYPlXXieYjfK1C8alGlFCzZ +HWPDVVyimIMLrM+qUq4yMRE2LgHBvtRtoXTPByuYHMC8pRo2HbODMkJ02WjC1K3u +2ts1mFPP8OPESN+QUgARJh7jL83ceGoSELv+Grc3hMasdxoaNA0zp3Qzxwujq/V/ +XXBHoyP1dnWBiCz5vaEDqIkd5ZNuNcO2DzE6z4GYKq3ueH9vjhUZc/NuZSuwtWD5 +vLb3zpyGSl/aI0PGqfhvP36aZe5SrMDIdu1PPphb3ZCJA50IX+DzUBB6RSX040Gy +f/AHOvilLjAoAjK/XEzyaJagTBIvsWH3g3Vq5Tf75A4w6sGoEnAAKhldj3wzIH6l +zeUsQAHlebQ2VEiyf9slkWKxvqHtvNptj4Zbsx4NGARZyiHnZmtgKoylcEdNmMVF +KV7O0R1JxJbyfiqOI58thzT3yS98FQPAsyNNNd5fHXO9wpH2/J5V1/GQNwU+jQrO +aOiycgpsiEv/QgK3qudh5t6OO/83jv2DfXCWOyLVnzL4xlnTfpo2PlLqlJK9uvbi +0LxhhLRG6/6Gj7yuGjK6ZPZ2wvYjbnXM25A7+6USnnGRNu6aZNXPUJSvr7FRor0a +XvSb8XfNQMpJs4sNKaVFIixe2fj697e9dA3cExZNJVE7UDpv+/IA5VyxrMvArd8G +nLDb/55HjyK6AtwFKgRy43pOj74Z0V6tZIsuoopYPQoU5XbGl2dOUYSQiJY8tjNe +RYpETnw7aW6n0VMQVwr3lePfmLIF/d8xyoJ2lTwtxeFQl0S0sIye8a2n6R1rqGgE +7WE0ps3iWK8EfrSG6ddIe6+ZgPS0J4I6ILbvXQ7T/wx0FpJSz3ID4e2SdRKMFVBP +GJ/DzUt7acrmlT/YPbe7sIlZOWOwnCwUXhzH4gW8JKpAM7cwnAppcFwHif7vAJ2k +fd9LtqEMyIase6xuX68NM8eyz1WJjnEH/VRPCa43gd1RGd2ESgaOPdXdcHyLrtv7 +hjwPzp9brNbJdQDv7p2/F0MJkPa66zsjPqKU5KIVZq8ehwf29XXGayYKw3tF7k/f +5dIroWZsL0ftPJSU+jR2VGvO2yUiodQ1hvTqPhXu1WBajqUaVRkc+QVQWANL5cn5 +sPyDTE4eDM5+RUUZLIThrP718Lhi1DaY3REfaXE5RKweoPtr/T6ognd8WGq6t97j +JgC/Io5aEpL+765ubBbSd4GiE7b08M48vTRYzLu474bxQnJjAEN3K0rcz/lq5TbH +RCPI802kc4LmeeX541gpxZQ31MYs486lW+QBv4oPINvmvPs6m43lH3/atu5BoBH6 +zrTP39Ua7xEoyJsqhRtl0rxKU2P2vSPhz+0gnFa1q6dRlIsKmg5JPzLcly8iu8iT +StiG1wUsF/zbXMeMhpCnSXg3msVuUY77rs0QU1ncmpVKHA5SsOTWyzFC4ATxMdHK +ygCA2j5eX1hW0u5ryNGfMVQrqYuajP4qkhWq+4DkKkcASGn6NYbOdDimJxxXxM5N +utamyS7BjK/IUzkIC3ILYY5NubyrUNqE0vO/MPt9bs9rFpKe8Kwz0QgXkd8Wwb1C +EPOoaNX0tK5a5Pe6veJIntaCNF7VKjyBGGLfpfaT5iPi/Hvap58cv6dchHMFNm4/ +xSMUztJT2umZ5bLtH5evfdH64Ywo58M+J/cHzA2ogvu8TUsIovasMwDKKJZ9mtLv +9SUH+xZtXbsaMQ8ZxHvXpD/UgUlEhbnFknaYGA1uyyhBkuclnDXF4qFXeBVIicVr +qVC79Glg95CuwCYE43CcPV6gUw/FcGrlOr0kO50IPPj5OxUrOG+euPPjS/OVpXu4 +uIetEn/+bxzDjQ/tuZhx9I6pq2sU2ceIN77UGgme/7RrHk+6qQWIXfAcl9A877BB +1rYNxTn2IPiHa/xqanrIssiw9ySL7DbBH1W2ONYGjQgjVmcVjpm9rMUCYneevqx0 +7lfH5yR/g+Z0gTKlGJQMIKtmneGJa5jCacCocCK7accede+0rIc5+rhSh5QqcO+i +jWgyGd4djX3pbv078vRSlbJwfOZfGFnffTc9VBX0S0HbuVRL+gVlOgjhVZVDo2U4 +bwGy07FMu5ZHVKbqzonWnczZO83Hl6UvH20EPc8TKFw9BNYkrCvCtILMj+7IK1YR +POewjGQY7fZuBt3QFY4mG3Lr3vBaJdZn+XjseIDct87kXSLoC3g+ua3uy6TrLT08 +GL4YyRUZc/CALe+6IDnB8V+tQgtgMCnNkQ6nzDOanXYljs1hEdtlvl4gO9LDGiwD +32qtfXElL+X225N4S+LqFKZvs7sfyI9MQvK++avsRLs2TKW0RdpVEP8RLqVmf7SU +ZtAR8/ALzhLr7ESX7ddCAM4ODVlx1nBKxg+nXWAEAkQ+3uFN9HS/gosYT6dOWv+z +6JaQ69dzCS88WvxdV/SCH1/h3xBSbsFdq/ZQjDzf1REwMimUUwmXwN1HCYj/NQRR +4FXUrlcYkSHIG9TAov26YVaZWcHbTLs/zTY3OgdctAQnQITJ7Ma60XpoCw63fcu+ +TqgZuhxTRBtpaER1iOXenb734kIuaBUkQGFvXgU25uwbCIWjfThrbQFichosDqsO +O5UivU3tY6ThTcM2wS1Xm0rVIFhu3QE/dlB63DfUmIP26aBH/k3mW5bicjKNVDSq +ZVxtVkIyxhT7rKeGbvOkOAcQSz1wQljAGBnzfuvGlig7Z8Px287JJbO6DucYxVsH +pt8QVkhPQJQo8cefkFtpqIzVg7/n49bmDcLNWbSg18ev7HbDI8roeffs5ea51AIr +hmm+U9D75tlfwXi07wgl0Sgvsb03UChLbapXLtfGtPSeE5Xd5JlQEAs8QbuDzZgx +5e5iueQml8j1+QbzfOFn/LkJhlNK8QOIAXJuhQdJE6SROX1xuISgWvawurWO3iY8 +fjxjjajLgP44mbCARhiJnn2YY2ACiQIEI3/a9erSTeQkdk4v5lVh4g7dHCpwf/rX +aoKt+FUpHsZKbOF020vCuOJXfjnanA9wBxDEbbvvidJq5d+cT8CDS5s/sJ/PQoNz +E3cx9dMfK4dMh5NG4ekh+vXd6NPZgKxNf8mnVoLksMRzysy6qGmoUpRZZGBZluyO +dpjl34/dKAp1oFcnZwJYN+55Wl6aLZFozhndnhfhMRKxlg9u8E72vWWr4W5JAwGQ +pE1MH+Oc3fRkc5RiV9+C7DOYGzrAYSbEs9xJlX7ECJb5PM9PJc6gyix/gte8yNgX +fiQEmelGcgPXuKGapTDDGHxbjU0EfVC7To2F1/hI6gMf4S71WD1vsnCiAq7klUbe +8viW0PmhfgwfFJIsOUBr+1zPLEIY4Cfoq+EtJQDaIZTg3tb0r8+cmt1PsHsP8CFR +IvD2G0jO28pYlIteTItUlHsGysnA3GStP9B3rKimDX35MNUNuAs9OBGIIX45yR6F +9/j+Fs4JhIGTF4OWqa4JdGefBJ12rgcUGwmL1V3qqjznrVqx9GocEdeTE54aUrHo +eOl45gDic4j52LHns4RTJbUHPl+guS5H+evQNB0FZZtn5k57VhRKt5l6yrY1oqt8 +XAJT9q0htF3UaT5YsEjPP5edvrS/C0bilobKmI+vKEvJR88GSXOUhYBP0LjBzIjL +50X/9U+M3nFDB+UIbJUuusbLWmFKxfgN7taDeAXuYuLvyVgldqVFOfOQnvUi6qfz +QSPHFRj9GJLGW8Zgux8Im9ExagAh2aI8FOEf5/8Xy2SBxVe02TjCiNuWeLY6o69P +RDDOUCv6LF1Cn4deRbnyWSNLWaJlsY0cO/zohAwbW4ZjL7NzDEL3+MClwi+MROxf +XLPEakjL6KZDPhuTau5iGkUw8OCGwrORpczwesRMfas2n6P2J9IEeuj4WkLykWcG +mt513NOknJSeSgw6K51EXwPrjx/C30M6NHb/sAJp9mbXu7K7iqqaSLFtpTHijMcx +PkrQFvqyYoNXjDnxUF2ybjnjDbXSWHh0lV7n4yP5cgMZWmkZkP9Q6IIzKpl8IlG5 +dy8Fy2Cr8qxiH2j3+qR69ShWxjqy6kEj1HQLvGqfS7HsiGBM7IIL64Bth7mOJynL +yx+EtGMKtGd7XCn48WN6P6qRc7JzerkSMozdfFAiC4vaN66DlsRNPfdyJpFk1xch ++c6CmM0jYFXEF+FBIZAHaeuP2M0kiq5S17iIWv6CoGRinCcORkXVrKt3nWYRMNUE +0s4GOKMmTC9QOcFQ0m28JE2/yhkAvRPm8lZu0eFBtSdu9Z+ku5ixI2FEbg8hlhMj +Oc0fTW9EBzOYXmRj9cVXNAi5+hoR6Co7cUp5Om+dmPMaMnEinUfItkLS6ftmeL26 +tbq8xpxWz8j7j4mzhvW3qwmZ6MO7UxyxRgjdF614RS/GUEOIm/1Vf81rBU57Ti+4 +M3Giq5OEKioE2VwXKKX9uUhAwAExxIMr9WBfiGnArtiuOwJWi6QNOSMknd3A1+cv +6iYMz9LOw1Pf1gSAgUFcJcy88KqNLOMkaJjvfBwHFRwWzREGnPDaSwA+UQXNqMqT +UCjSNNM27zQogla9bsST1h6EGdqZ2B/0RFurubh5WW3cSvaYIhDOEc6iX1k6Sy5T +Iw6Yr+7esz7y0npR96yDznXI7Jo1RGORcECFFcEvl+PhxWy9TVF0ZiBiSfr2xkJ9 +FUcRDXfdQcxqSKaoUl5CINtLNpd/7VMsj1HxBjsJ3R1DdT3GGaB7IeaMMBRegeMJ +d4UYX12eZH84Oiy6dITrmajO7cFylqaaCTPzidqaAIOreo6FzUdCuPWltHto3X37 +vrzAxDOywrwNb/8y8xg9w9IkqZP/HgND0PgDh9kt8JxRHinRB1jZgaFGQUnK4SpN +huR/wPDk5Yh/wQbPVuhvHgDoL98qF9gHUt2ENVlKUWOxVO6vrONYZ35o0J4gCe6C +jbC3e9rJTu6ORJj9GsIPQtjwmnpn4shHACzndykrp8sj7W8b63gP33NQ5XW8EwkB +FMT5QwNFWLz35/GrhcIznKn0fzaldeSiVBFqfdHcxW0tfOv/W32IevN4j4BMkG8W +y4Me4em5LL1OhdppkY1wQahVa+5F8w6oRp96iBF9z+qguiQeZ1zEHItMxncTgM5+ +PqE+gXK8f/0UwBfGtP9e5GeR0lm7yLwkcF3o4Fe5q1T5bJUqNLSHD7tLHbG+fZmj +TeQ+gI/REQHKNZfngaLpd3ESG77SzkBXYAO5E+dLP3+BwHSTWRSEwMI8kxYEz88y +YMy/kdYmgDEL4lA+LClaexmZpanpl3i2SY1Q0/0GZqP4/9cOc24Dg1E3byI+YtmM +uCZC2jaU9tpZRWMrnt0pNaaeqUR4ywvzgwKQOo58/k3ESVQYPVLj0Ahik1Sgtpbd +dvvTuqeU8RkIAV2/CaRXW+m6sPF7gHDozdJkdiKrE5gkp67Goj+IDLOr3pZUG3Ma +Cw3vTDoaaoihKVP9Lw4hvRh8rNpeJIfOUZ7+AxQRrPe6o63tnnGi1vVAuCOvSOco +dSJG6E4P45JrGiWz+zZXjCp2P7iWwK8+V5J7mPrfcu+LqYWUUUiRckBxZ41MDYTl +bl83NQDuW5YIt+QCIfem8CTuRJLiwVEouckdg2lZtwhMGTlvf5QUST174vRUIAF1 ++tas4uVC4tqUzrfHPFKc+Lsza3SRbNOJ587kLoeqiwa8MNfLnjHDsd2/adJ+KHIW +rifEuWAg/k1qfGOMNQizGc3neKL9X22ecjyubvqFbjgfixpUFXe/8IDlOyJlKunj +Yz9qQmsT/rHSawoXf/RVZC7Hbg3a+tO2N3j+Ekcm3u3wj0WUXtWMyRYIzjBRym9O +D5fdJ15Ab39qMDtsFsP+y3TfXiQ5xxGMvLR6ebAKeP22yLzsczTv2u7QnKXrQSB+ +jusrjX5WNks1UH3UZcGP5qTADDynwsbsuew18uqSx8GVZftoC3wUrRd+CUTKPCt1 +hXhdqq+CI6GD2M8ZJNkDIK6InGg189PKD4M6RothZSR+rWp2Y/DR9ZEwCmHNNjdv +IojXYUPDWe/7MmlVsLUL8ALDKGCCkxjly2r5YZscNajnuC7N6REYareThR8AB38T +UFM7WncvEIG3sGOyO99ucL4gAufe9klGxGPSuXj6CR2fOg/747YNzjUOZXleSCHf +Qzm05BL9eo6mjvDEM36oCH/BGKYVU5vLZdC3ma3oJ7zpTTfRKoqHvHc2FGt713nN +8pzsvyBrf8usLwXytXuAz3TA3tMTyJz5MEp1705hdri9MUWhbjz17IPKzzphFKDD +8Q/WLUxtf4TrefvLiFAETVIgSpPIDlNJTONUzItu5fJl3w6rrN09YT1OucHOQ1j5 +Foo+zFXvo5m8T7z0zsjcvIL6dpBp7/FN97icuHJ2Fjl2NFr2syTx3k6B4x6BERwx +L1w49Hywr5MoMBZmvE+GnUHyzC6DBLVQ2DwWqxvJgR76WkC5HdeWXZ/lwai4iMOB +Ik7/WGwnFI5pskoR5aMMMDPuHnFYenQ/rs/Kf/ocQV5TaEqELP6lKOvF4kT28ENT +Bp45S1SgPfEwSytCbLtKCSgDifD3eIvZOKwKL1nZ1iDTSYtkjyii1FUW5OB6LbwM +VZ0/bFCkJv4pYRDBSMg846ns+y3dr8it8kefxdfLs71+dGVmHh66UG4pt5Pgt31q +2a3vPoM1ZqIbx8ah1sFYx9ndyShY4kw/1xXC5dqXTbYSRXoQxFectU3EytSEp5Pk +4rAE4NDQdrMTOqZHVB3UPSSXrpHrkV9z1mPTYNLlkEA6CgURwNdOGY6GoPBH32P/ +1pJKDbrAW81c1IAwXhcQk1ukoVFm10c2RP6wabPWa3sP4sCJiluDrl+JnITAe5PH +tMEXq3myHVAzTsaRaeCIbpOzegSdLmsrRJb/grIvNuoFkW+GC0gl6GgWHMWeCuZA +Pec0GLFm/ewJRElQB6x4sxSklLTISbmK0QSdr81sD4eOrXupYOX7jhyR4BVNWLXW +RwTNX+j6JPuhZUSRS/tKuInh20knySfBhUtZzoXqmBQ7Lu8mZE20dDzGxV1LpIYT +VY2rJohraBLAyIAo4nJGQJtGM21fc00S5WL+3rJjSQ0JrhcqfIqrwS5sefRnoDPP +MOyhXSiZflIj9K42TeW0SOcsKeSzHow6VZK4vU2vgYXM+aXe1akSBLGz6lQcXAwB +oiMaV2/WDou4k4Ltx96dVOYWfkh9esMt/ComlX9hEKVjmq2Rczhm39gLRyaTLqc5 +EksRl73Gdssc4NFV1InES7EuOkPn0K73bsN9T0MPce/VHVandUKBvybjBrWGc+6W +VrR0fDpwTjRrvUN6rt6Ji8plSQfkZOVyS6ELqsc7Th78QnvheGmSUKVgKNGdHVEx +ay/uAodEAgsXGrg+LsNc4vwuom2E3Rsh0EwAhRErARIHYgkuQ4zqa+quH19mgTRm +mcicFheQEMXV7/dQHAH8wwP4z1kD5B0A1lmiCd+Q+0KGeYiV1iZhp67x6BIgZbnx +w7U5otzEUJxPlSGxAFyQ+79EaqXQDCVBAAsTTPjvRPfIowXOM0l0yLqTybaulV4m +p+KUN7znCnKIoceUZ8LBEiSqRwOEdsAiNEhoPq4bnCZzFA9aALJ2VEBF5SniEr7I +4f1tkw4D1LgPcUbApv7rxjti8cshZnlFceJEfQA0g7pJeHg4Cfv9/i63dp+iCTRq +nwaZ2jHbDEoBVGYgngd2IcDN8SbAsBoIY+C9nvo1Zp0CbpixDs1Wpn2LXf0MjYkI +BZGpB1tiusKM3V4ZKvBNAEziFoVExyE7MMwytgPwwmweKRWEuuxa+PqNkLacP+iC +hlRdiODTfVmHcXaX2ASuRnEBznQ72DRUiGIOUUJkFV1X6sJNh2mz+PFspJ0XEFvj +jg4L63QS+W5FzpjCKpRCIRr00bQ1fPbLL+NMxk7rY67DisCCBxhYFCx3R1znBm25 +DN0vVSgXFuD/3cLfp+PxZC+P/Sr6aEEXqvH6Thv86dVQtEsUbBinnaHcbFsUp3Yc +Ih6em0BAGYvHoa48Zx7pQSfE1AtjxnoH5XJegFFjZZs6r9xQl7NOsqdfvJ5MXxGL +B20qGAmeY9pK0ztAyHs4Ffnjmg9W3497ilReD2cxXHVpxkdmM4GFnivzGVVltkud +/wRS5ssqa2WiFN6WzDuQIy/rLs70M6l1q9VwmkcL2TJURrIJcoOGRjVeJMfYSe8O +mLQVhRlwMuc7XgBMranFLNHYdV9Rm8mPdnYjBtknXyFWAdygKZ3YCPfqrltCSoen +ppyWndkK1ha134DskiskIiL8xzZu1/YuDlK2pX/DNqYz+/YSNcu4IdqzKFZ2Umth +HEg9Zs7xOwVHKS3igqcs1Alz5mmxn4YEiuM3+Y1UOa9mvuQs2ctr9leLoLye1AR5 +TvCJysRpgZ6SPfeoFQki3IWdLduYZFsTj7wx83xO3Sej0cMUxVXgqHbcX2vODOki +bVOKCRPs18bNk4LSHDioRuXsgmhzdeycUwIp/bTtGtj2VRdf7sihrLzH8ipxVbfJ +ggt2CYk1tLOhEGdtXufKqpZBMZnqPHtHvVP7X6EHzebIqgz16xO3BPGcmrimXcVX +xqY6mIoe65w5wZ7bNRpWTAm8eBVxG7NguYmrr0pW5X8+wdreS18Tu415AE+aTTUu +bQ18fdmgf3+jJ4yBpWjWWTmJYSQX2QgzijOt5JIwrlgJO8AUbHTOJwfXfju2NY7c +TWOaoX0lV9V67+E82VJSjvM4SOvGd/NcBiewyokRgu4Jh0ryS1pgAMBYxGTEtNUo +3H8JGUI83UlCFinaOskuiUAsH0LwK/uEV7DhHHJM5sPEtbU+mwKqDnPSHQYdwh94 +cwJAhg88vXChv2cYkt6YxiUSdMMp6w7JC9dDNCePeaDJ94tO4I4YtTswzJKdphs1 +31erWTCU3D7zL937c2b9KN5MmYiuQxvTjdpVo0PILmZTgVhvtzq5QqxRjSPnSF3C +7EIcrqWFxGeP0qgQ38YN+Px8NtVhXH3TxvW/nU1SQMMIvhgDtUXm9B4KB/TqxfoA +Vgo3971iuBEKX3ZYyfJutElQtHJNmkR76+bwH8hX1GZNV81qCTe4fSQQFUzzSzUb +Mrb59Rgbhceq5mu2MA9ho6+0DAZy+ER0YopTdv0Lr3zEivlovCmakgGezWbMgdx0 +a8A3NxXQEKjmUdIdKFpHxeh8qXZFoKM46IkuKi7XIKav2kmkNsu/cJlxMFUkZcG8 +VQpi27+ykIyTxu6NWMlBjwZ7VYXBHB5MHuSMlev2ALaP1T0I4samF6ZlXaffXzDm +naFj61W0hO2DNZft7TQ36JUvQt3dr1IjhV/FMpDe++5ZSFmsaRUwPdUxmy09o+oQ +J2tby7/0EkcQwPrvn+MKpb/niLNlJo1qn1Ipii4LV6eVQ6DLty2ks6nFGihtA4CR +PAXL+ZAuWI2rh+3+Vxp09PaamaHceaGE+igWNpkkxlhvamJbAv5YcfNSlQeWADwg +7gbCzSkgftVB/jgsvkrJ8kLJXe3OqDBqqKpL7mzLUuUvGSJhKjLspWDzqvTo/j5a +28bavCUFknpae1drEqmMr6e/1nJdAAw6xlDSKrVdquoyb58aa8ZYfNR5DNf+BG/q +tjgtIxHHiPC0jBjnJHIolrfnfF6BaLPNPFIs/DtHQA8Jx+eF3dKBAjtenExIwkY6 +3b//amfqGTp4s77WkZjFshkBkaNwvkuD7a68xf2PJuDOX1TWrntJaGHv9O2g3s6f +A2Lyo703p3A1xMuQa/3dKlCcAQ/28YBqVwqGKl2RDMiTjIS1P4XlDwtw6IXsY/5+ ++qrceRHJRzfSF4IjeGlBnFaFyFJL8aqJoVWQlx20eIZFjL4XoYOStBk84vw4rE4+ +SjMi8RU0tw1aOGsuuiZ5fWxh9xq6R6uWiu6LzQMk78gGP04M5yGm8PRNBwYdPd0y +hYVoDtqhD6ZEXp6/18Z/vKjSbvxD7TWcBsVKdDTbUjsW8CIS1xPlaeYyY5EUSZwM +5I1hdddCuS3A8GPxetl94AQ2gx6TkUggczHxBCx3Mq1J1kp3443Amd1TZ8kZ84qj +0lGXPX6WaY0mGBVEgDVkXf+aPm2lT6YEsfnyBdRY8k0wcT+Spi+y8yHOjJv6X0g5 +zWwNcn20eP8p1bOq8SXTwZ3MRa9r2JFlqPIyVl1WBvtwssyAa36MS6cFZPHhpEJS +BBa/QKVLyOKLiN8Nl7c9lWZT2Qj0cn18KZnIAsSrSgtRCJooBjGNmL2PRhaqCLbw +og8Fw0uCgM+TbNoZ7lx8/I5fuyYT6VRLrXYea+v2dd3DktFFUibq72pkl2BUNygB +3oXyAeLIHptUf/PhKStw6xsj93VKVGbLxGv3yY2Khb5u9DcuRT626QklVKsh8YAy +jkn5ZOoGv7LkGe7ypU01ikKLgr/FZSpBljT8iAidFP19hEhbiTMDakdST3DP44bG +aSSbrTrfJ+AhDKRAicxB4vkHDBrs8kxGcg3/+VG0pP9JeaNaJBPqdvDR004MjjKH +gGNtPKKlDV5kWaVJYxSJtNB0GiUAW23A9M9bn3gTR1LO4O+nfInnC+4c/vfF0fnf +QKtD2xrVp9asSwFcbu2Nm7ITkL5D2GXdy/FTa0ppKontbt2eGUJ6AkOaHvEhf9rl +ncQbXeq2zk5OdRlBZbtstO7WqH3HNWq5YugpNsO5qoAjpZZgWt3HzHlFa5j/2T1E +XxoEKCIgxmnim0/BJtNIfyzoAEoh5ed1KwRX8tEwdoaMo/mjqBBxgwMV9QMzmOg+ +XnXpA82KlN+6xpqzrsHpFG9gE2s/QjFGyxqmVdD4Vo0JXP4ycBgUptuAYbTMgy+y +oHAbSG9xNXSjuRRkTshNUBb9vTKpYJj14/twxDNsVH2cgm31i16lXsU9bTDLTMTo +/z6SBwUlQ4+Gt4+CH0Gjx+J2ppLt0X3lnQ6oYVbGow2KH0dyzKInnlWzGsnOux1i +fKepnjgEn811s7tqfyHYBCzzvNyqYd0kjHvYSA19R7bEk8hs05tP6XnXBmZseKwR +5JoIkzert7GipZt+Ps2cZUd0fOLeTd9LVHmBBl2VqX/oIV9+29fVO+S8m4+arD18 +5iwSW51D6QOaa+w4WqcVgZpFXnmv/cy5Ri/XZaxbAT8kjtW2t4o+heDUXOJe0ZGC +GV70vyYR1EVz83tWWaQt5BzY2Cd34JdAci1oSJbLGySWwOt4ji0c/x/MJlVC8LC3 +bFBME99u9u+lQj5+ovPlkP2dmGfhcnl2eowNwXOhbxMlbfeLE4GSZ7mayyfu92WX +rmxbpgeIm5m++7iPYw4CDaatbXQJjR73WBlznxOEFnaV7n+30kfqvq8EikPb3krU +Vat72ZaX3vrDo/yKHFWpQ1UbTKvr3c4ZLcmBj/zRDmkg4DztuhhGBKknBjKVhks8 +8P7MMNAoPy6tNF2GeSzNFh72TyvQTPKbKvZSi9W7qTPUfhKg7bgvB2BODfhQcqOk +RBB1np+RKwgJg/5s6NoQuKc5hd6Lyd9yfi38GXhIcxtc3PwrEnstxXkg/8TWApza +qsKF54X4TbeE564vmDvdHGeTxqHXXnPkpseeTb4fMiWG1YewDNIwQ3E2JMD3TMkl +C003VRiE8mVwdboHPi+TRWF2Pt/cMqE7RAbwIYsx/bI7OJK3lZUJJrQDl5Sg3+zR +t9+FS2W6hBwtn+1xwAjqXnmZty8gkiVSfrAdUwKe/Bdj7e4urvM8T6a6Ahb+OJQY +uAaB3CmPHbvaGUvX/kPlfsT2npAulFJuBJ9ltD50j9qiW26DK/+Y+RhXCMC7ECpW +9MDUPdrMfodXh75pHeri7VOcxjdn7MSaAWy/+72ju2/aPArbdDKpHtdCzOVwsuIN +b1G35FHYPP7hzypZ76G0n5v6hSZqWmbC9qk3+4dAy2x0l/HAUvJylsntVpb8XL/J +QdMUxxBX38zS77xeFEFi1+5h/APEfmlJ6kgAODSa7YHOeb89aEWbiOUrWhErIFpA +Dw6hel4nGfUo3vZ8Gso/YIgEPQ9lxM0H4NTKxATmY8MZyR7DKE4xyrgj/ofKFWCl +8uOwQiPK/wu0LG2h7hzamPfaGLem7SKJgdcDJWMpyjWh+wtc+rxQEd6crbT6ACih +E7ell8benC++JSJjofZnqpnE3fz5ICcfzocxX6hwRQqc2BLf7y25zim04ILZOfek +nNpr07DgWAcjkSMZBm9hHUZyOUWANz7hFG0H9H31u1hlhEuoHN9XstBbpxDhns1p +oVZQeLGc+FrS3bfBN1vpAyi3YurKKfCU3aRFTmpURUnnt4QulqwBVTbAw2zbUQBE +suk+qmSlo1Qa75L+xN5W2AvcFIG3jqyCYOsm5LgSdKc0b80Q8J+IwLRnAm5YRElG +2vwUHsV/J1Cm0Jy37KKMXlRQajS3pk+/3BZrBD3qPbvT5DWNSGR75jcEO7w9rPFX +Ogc8FbbvSjV85n5t4dKJl1/J7JosSw/EEYU39+ctMzUl00AeiXOKJaGIbVEt4owY +OXNlye61s3dX64BWP1pZSHioK/juHU5lt5czVh+FWvTUrl2HMQ6ojGyKmJ3d+LEJ +Hf6fN6ncdTGdUczhsAwdXB4rPrDgdLoMZbxsKJZ18gUI6iyDPf03krnRTbKa7Zd+ +Pru98PlQNYNLKnsYTl6DvDIy/0Tbw0U4IjoUKsKOMbJQXdlaXOtfnybEolZRLGFT +RTZPmFyyCc550bvcR+BVLWDa8IMzIaT5rodwK5XsyLrPXsu5O7i97oiRWxaD98Tm +QqBOrLFlYeCGX7rUGOunlohCE8ryUpSTxuEn5aI51Hg8H7Rzx0rqS7RlQodOGXuz +c0/eLtEZ8qMPlZC9E4JuqcPVUr8PdzPv6/VjCirTXSLkuXb5ze8CQr8I5hgEYsTN +Wl2aP8qtoJT7R/hdhHOtcYEM6i0x7pLyU2gciqdv/R0Ovn8fUFZEC6qF8j+fIa/X +R0GvKNbQO3L1x5Phw0YV6peyJpkUmGO2SBvy40/HK3SadyDwoCJhXIWetxbSbiwS +28G9h/rci9Wda7mSCSVNpLIog2evwO+gWRKgWosmRHZ/6W5vGxGOhZW8nc1zwBNN +f/dqmRW0tjXoeeRJnag5V0HZKS0TNvivvtOYBq6T6fHeKsIqb+V9JHRoVi/4xwMo +ndeFvgFapO//CIGgG1c72PxIS/DELr904WljEdB8T7aFKPuIWGufRB62wCo2/tXX +se/sONgTZedz5/bEWZzu3Nawx5Ngt+T2X6Bpzzq7pMb6vZ4Vv47Cq+ztqUVZDmC7 +FnUQfxqJSTW0NCeBhIru9pY3NAD2MITUGFwBKILKJwmU+vx15IlQ3iPVf3MVEX1v +B9FwDxKc+gxyjnVusITeDUCGM3UU0pgA2bibq81/W1GSTL6L1Ioqi/ssFEzm3Gih +IwfYKUJgTXum2p8rjQEBmM0d0l1am0/qXhgpY2waDtak/rr5gqhyUk0b3WUFfXf9 +LtgZTiEbWeSRf4tbR8ns4ZH35iXm7Q/LWpU+G90u5zjj0UhbnOTzOfN+wAqGoV47 +wmGkKmljvJrJcQQVWWL3Bfs77WFKZvzj6ykbURKXD7RRpdRPzTRkGA3XIFeBkJFU +gjGGRNb7IxbSuwR1Fx+H73mj5Xn4BcvVJDp4ta6LMAyoZIv+N9mKekt4ghZaGW/5 +fe5vfEW30uqYwtqu/xhqLQn0Z8qKAX95cfiNTpKhm+I/yllpVLQQuI0KO4DOoD3u +ivlrppDZfu9K4djdh33cihtRcM9yyyLgME+enT5xyfUrF6jZnArWcFmQQFoqqMPq +4Ga7gptSZ6Q3Ebpmpt9TNlqAP4eSsWYWn+FWSLVIJrYGhURupcb07NP7rTDoc1cj +/auD7PRw+zBMZu9Cjs0kE8Wt697AYwunliAmCho54/goFcwKSbCV+Ubhu31+kiKj +FQhp5Q0kGu80cWdvq87nZKJNlXAzj6UevrnWyEhZA7jDSycSO7foZ0tecgTm6pTw +DQPqCIWcZ7l7TzGXMhX+23wzyb9aCxuIIq0WG/Uf5g5egWrBsTrgjlpnSf5o8ZbG +XrnctL4fgF5Em2FlETr9J8YEt0caG6bYZMv9k1PKNVDb3bngPhAxKsiSTplwM2+g +Av6/yvho2rdWUTh6/BmmM74LyWwmj6ubkHDzWO4au7PzUWsqVDEXRufEf2tHpFZX +j4+EuItqFle0GM5idGtCR9mqf5dYAOwuS/HNlKtbtEU84oxJS2Pf2lXZqoYZKywL +o+FljCQo2lSTN6EUXC9toGGvK3HrvRpedHvFK50WsvEYSU3ey3n9iPuaRMkq+hCc +TLNi4yzJeu3fjvx33xz05nffo+esLHDvOTGoTkY6TtW7iOA+t6DUWVHPYBVS8oBf +xgckCDbLSvg4e8ud0bex67CLuu48puh5h0oW6T9LziaiECxJG4/jI/VVxOVnacIz +5KAJgk8OGhzlaT2a0NzL1Q3oJMU8CRsSZyE4J3vkeCbMbzupMVx270w06wQrN+HL +JQ1Hbqxin4Hs4Aq70ykICH+5Oqbcl0/BiCLwlRFlECnwVnZgx0uOo9C4Pu5iGPi+ +FhB60Jqj+b6gXGjM/AudWbIV+YbYa3Pyue/+sswbcy6Mk1IDa8K+BQbvKrKpnPjA +J+E0PckClTKPYqwEvg6KZXGNngqArPGdyOWx5LI7MO2Rj4M1CIJ0J4BzgZbfikyG +aybhSb6nRkopksClW41AYhgxBnZkOp+Y6ffK7y3mFzb6cfWLJcmfE6RYqDHDP8mo +Od1tGUa0QkvdQUgiVwBWtws9HCaI3VPOAnj7W3xo9gm4gpAyi3U5XykVOcTAjZvm +2wePnXCznF3H8r6FBqtwhS/hXJBx+98HDGyd0wER4owlX17PrO1a6gqW8qr3O+j7 +38CNuRlgqjcRShUusFoQlSiSwij/hSEOWpyAPkC/vs+7BpkT+zxzo28A+aZzkvMT +tZ7gZyhGXhka9g/7v7iGv1WUWIK7mOBwcnJC2UpkcaA2CZwtWwaBPILGtv7q6B7U +plQqBWMQ1eOiP+SXSyvu7Ujyr5/KGeqzRKZeDReaXFSWIRC5h+uAWV7BIJBuVVbi +EcyQEUhyYargWukWLYaBQmyrriZ9vRboDNzYOjSckqXwly8N/hrxuhII66dzDlC7 +3llnhrTdpQwzmfwG8TqGwzp/aubAYfLMSAb5UqkDlJ5o1bYGIyq1IsCn3YXPnFkn +yvv+2wDkRBj0lrK1PGl00uOGa0KSsOv+4iXaGZUqFinbXIH3ISNHmh94YCUvvZDm +UwHH/b4y17eVPpvfRnaMmJKApO7diTzKMgamphC7FMFJZGRVvbVAWp+bo2JcP67X +dQT33jNWZ4vwBtK17u1xlsp7Vl2RfZ3U4ept7zirG6hM3UVfkg5ALByh8wYTSeqz +LIrE6RdK3SxnBBiQ+4E5+vOdPoRbbWfouoZYS7Cx7NKcvOKcbE4tS9R4WJHsVDDp +RpG5M99MK33U2h7xoX2S1V6k6rXnjriOh9XF5RXDEapD6B+lqqvDYQOp+0deiasu +4jw4scn98ZyHeWbxksXZy+yDPfQSVpRvLqfwPp48Flci2BywVd0F52msRqiXsvSg +rNSJ/QYcSutM8EA9JDC0NCE8YoAFSqDphZPaJlNRiIKcuBcgH13EOGcmApkXfFCn +f0NQc969dU1V2hKR8GbM8wdls1c8RBMZqr3EC5vaVkp0KpRACf/iCd5obTcaObfK +0TlW6FtBLDm9XYckiIDyyN3wSR/ZsyYsaT6++uW/0Pe5zoY/L39340rA6rl2BUwm +BkjcbDXhaKM/2VdMukWMAwNFyl3C1JPWS2sJpwd9d/ARrIkmYpXjZbRb4SRbl7Z0 +huVX+mOJW8Uxm83utTAx5uQ4lDH1npeYbYz4ME7IkIGyh0N5qaJ3n/zlR62fgXnf +WhM6DKVwOQkfkl6E6wxpiWFRxTgJsBTvI3NdwOW2m3m2mwMHUvbxjdBzY4JCVvGj +jciZpctubFrkuCDDuhddz0tR2BdKMzL4Sb+AkjbVSkU6Zn3vxCsiT0kjjzMZl0ZY +gUc5ukI+4VfiGe+vW1EKGlJtOIQVQKujWtIVM7WvSedTF3FsR8pj9y+Owd3/oZ9Q +kcnMaNt5K6SZyylyV5MH+5+9iNtI6n2E+CF0UvlST6d7CR/1DdIE/elbHC8TBxCA ++nIOKPG187KyE1z5FWh1eCl600RAbNWkUinO0qa6R4j6uScsLSD2g4x+OkNVFvRR +YClRLbt/2x2LcfnJ8rQTa1vJLzd1Rrj1/5wthIhx/tR5hUaR22M3GOxiQt+B7V96 +qIsU81PNmjXTAxcYPLFBXfG+eapgihf8qfLLPwPRazKpBBU3Ygwx7KrrGbl0q6La +QGJ8scH6UN3Wa3WHnwi72CHaZOppADmB6OyYvME2tm2smlycrGhrSKB7FQ0jJZvg +Fpuyhd/PQzM8dNG05m/86ayaMBKKhdeJBbL0kw3LCHf6uNu1l9daHQMkBU1PjOLT +k4llyvz9gHRu6bMYZtBIRfES+C7n+OF/Q+AQvBnfO3HVSquhitDJCHgHoNWaD03b +qCHMFccsOx9pM8Wx2sTs+540L5Wp0L97Y7gmBJa/ygKMuPtCQ/+J8xbPCOqvnT/O +oV7eIKu2kHJBK343nFPcVkPuWA+0+qqLWapQErkhyZhrsp3c8ZUB5u3V4e4kPK1p +CT5HXWDH4flFjGbLLWWwzIS6ykF+z3e7PQ9mWNYQJvFu1arIzf/96+TDCnF/EBiv +0cLw2NnhFU690M6ooC1ryzkf6ESfb/xMrFL30EbcyB6757vOwKUxAxPHamdy8WG/ +nLKFk7Bzoc4R6lGyqxqB6FAkMnZng0P8R+Y54T7goei64N3qzzKd+uR3mu8RcxRT +c7JSYSJTfRzBG1VQpW20DB2DYYdxgZljdsk24y1LSyoyOmMtgJ/ePTqmgn6Od+UF +awNA42u7xBv3heug0vWVXJF3Aahk6win8CyFL5r8TbhqjFzMDCsnGVa4fk9Vi2BN +W7cBwIr11cUFRIQTxQvQHhzQ197Ui1baaYM89sqxLqd9uhEc2363svHb9/zE1Koj +ZzY3+AufZUzMiiuhlhqQn+IUd/e6olXMQFlunLRZ04nY7lIF0Z8ddl9q4l7lkVcS +VESIxYTCrQF4Kf4QJfhZeGnCY7Nxb2fEJGE2cwM3D1nwurTThVR/Vvuoc7Kj29DU +ECtLuuG3QIOVMK3Gr2NOCg42eLqaNiUHFEWE2RUOVo1JJk5QsA+BEheBdp90L0jE +Srs7ERFybZcGMoB0ttqG4VUdwAN/5V4GvjbCVqim5siuoNcrGle9ySCkKt3yFl0D +ht2bZiUcNbmOvFVt7LRFh+De1Ndnw4PtT5VDDdxnvKKq/51U7wtPUjR+IZCLJUyx +KlUWmmnw0FBsTv62W6WjS0CWEBZkYIY7EdYTP4uODnMm7DrUdlCMZZZgzTUSj2pH +qiJrUKoAQgHKuzkhYRjX56hIrhYlbUv4H9noGcH+wENZmECVCYpsgPevo17s/luI +NIonGf59KhzjOkUUwEpNITaotZxiUw6A/FF68/JJEcMCdjzfDfzwfY039+B/ZS67 +/54LY2TMpgXOnjoVXjBDd3sB1GdZLRPoJAJ1AZ7ZWGwJo+ajks0OwFIL1+jhSrx1 +vOzhy8YjJqEKun1TZ0uFa2NB1Qk/urqxDA6L/VfTLtvCITtWjShsMyW2+erewBh5 +rUtGIeIpMa1v94djyA0zrsVIb2WbRCfrT05hgyUSMbqDpvLRRqarLb4EZF2FJv4L +vM2Nm6qPFcIkYd544QBtI4kt+SIS12ExRaRXdMhj7U5X7m30MUJTXoqg2X/pnIEK +1kr8ePsF3Th6WbyYv2tsu1vA+5uVozRFqRjwcq41B4cJdaPmsSYtMEfw+r684S03 +nFHYq+RUiCqxPvRsweh8kHenCUPdYADSu2ibwsuS6xKSyEVj63n7hk7MhUFe0AgU +O6Ml0FDPgAKSU2g1r40Bb+H/5SAIIQsiOPy3fcOo0kdYbOyqgYglNzVkPjVlZrw9 +7ru/o0kVZAWc8LbBljXXQOJDBaBEE+r1ixvfBDSDycBTRkMBCuuGu+71dw9Ts7fd +7uG/sI6Gq2y1YOb4c0DDv60au5TStT5ghIqkF4ittSSEiLdyCuQzoxZm3UJW6rLZ +zE3ce3Oks/dAAXdgRajbLqpQfRvw5cJ2jLTglPe9E01E13NIFV6wyEcCDkehh0wB +dm8ARJeXkuitEygwjPRXLVsYPu7I0jaVrLok1i4GfecDNvgWVlPppntFXpcFEWQ7 +nMoy2ynlB0zwd2o2IYpydfTTu5+se7jK3x7PC3t3cz6fS3Whca5RXPqtZTu95XXE +Ei1LOcRReGF+/jmRbXHxuRR8mn4GLmoBdJMeMWOYj3KENtO5hMlM9u7AL4yPbDqv +bbFuDNEYpziBTgVmujRpqQ6Zc4/yxq7Ss/P0VV3VsYbMTNPJTE2LKI1VmS0rgdp3 +6NdXw9aRP67l4ycxTWG2+48IJ91Q03n/+nO90E4W81MAqLsMmzLYPRpzV3X5tQ4g +pGIw9Pc7aliJlLoBXqymNB3zRRPexkpkgS7nW3DSlvjB3bhx4ha9mUrjuDLu5tqE +5Y/c7OdNJGZZ5HdjEpyNkfbnyC8xDspSBaP1iDML0rp4MtoxWDxWn9qDzB2e8P0w +JNPbAq9FBLYEOSD/wNa0kJZenmmpQS9ju4lvqsImPvZr2LaMGvfx+dEGHD05ldPv +bwYrJx2e5PRPzcSqyPh4qHL8FXg0M/CEDVMkfGUrc7cupXdntCD/OUmd4ahb3gvs +zQe/9KiNzgsI2fgagimNKGgn+xysC94iVyei/EylplZVFyGji+r2MZKgMTOegaIG +o31vTuAf9se420i4fOgkzM64grCzc9bCtIDR+XX5jrtACtiHc1hJGYRkWoOyU2yG +dQmPsHtGK+XLIWUefY7MhuIJ2PFxh3inPCka85zdcg2KI1DJ8EuKkJg4Xo/n7vHU +SxltHW87gm6S4Sa+teQtZjNmIvG/+jSpGbcevI93NadGTx2Y3MSKUPmFduOhB1v8 +maLvDbov9Ue/BZWLzvVWTkD3NKp9QXG8EIYFrIH54Kql6uzMZdQbMCIzvb+AUrOx +/86SNjs56botDAYHuDmRzfUHvYjzvMmuor8JebkA2UJXUDNkBWz0W+E7mJi8f9xC +WzYkBLfcZVtK706tddPm1/ijeCrWUWoYhpeF9buCA0N7xdoM3lyFlpQ7+QySmzti +J7sa+Uj7OrjjROBm/tebyvwnWTGzzE/8LXK2jni3MYB41yDs64kinj17NdTCpttd +Nmd2tHXF+iF+PfRh+0ezNHRNwvzQjwq8oNjc0jmx5ubyRPMZlnykJjGt5vlOHSEY +7aXmR03gjqzkuvx7T1YtXYuAZpxCiHVJGIIkhywBtsBD4T5ZWkbMxiJosAJvhOpy +0DCd0EESMpLfQtNH0I+SjirVbXIhSrSVNidVhwlgg5y2NGWCB9ulFA18vxWEp++h +TahhdY91kRDSghKVRUkwCjSDzcYAPhOfRDkKo5Xa5oa7lAF11Wnr00vy3XC8H3Rr +04zL8V/a/fXEZXgBK7WJsUmiJBkrZBurOspXd75ab8Y5kRgJSRDZtzEs90jTvMNw +EQUh7DDGf8yT1eHfPG6FqZA+mytzHrtTqRDpMO/ckuemWF9ekhRLgdHkBPIbggD6 +LpvoNyukSyhe/OtHHTti0EUHNutTmyOv7HhgKG5a9NmrxrvKF4bc3SfjT3Zt7121 +6M0HbIP3+yOREbo8bviwL2jW9FKBmIOgbb9z5H8f4eJyVBwy5L4+6fAN24xJlT4S +Z7RYXVK4fIU1tubwN60AL9ikk9ZTH7QBoPA1NML5x1u5xFXxStOm4AoCo7spegLe +NcLxF35SGY0ed0hj1bvnjvkhXmPyj7O0V9u0ag3wtAXm7sgpv4z+VG+etLoXk7pM +eMHiOr0P2MTji5Iq76xMhXg2Dum26i4Z/cVH795hGy6Th+y/z4uwOKT4SZGGfO/o +CKpGs9e6QXI+zjv3jlA9+WIvq05EBq38Dqcedz6InFVzHerVxtX3CHAPjHgOrRXD +PHeBuSbI/iM540Nyy4M5uXP+G/HN+meBMUUNAnSKf3zP0BO2yHdHDaQDEOPBx4JK +S/1SU4lKaUvCnUddKe+cCwa5IWSPa1omPCIf2Ufmjdt0aR8pyLReazYiEqaRiSdC +Bzj5a8ERbtQh0KBAQzQUcX5OstGgBZnqg4fEaz3qzNguaYSsYQk317Qz+59x+zCB +tSyRbpUAKOKcEU2ZBsLi0OI51WPjWF54c15OkK3KZBdlYQYv4kMei31RlG0qWbZB +Z3HZ4j8HpJkDph16/zZKIZgmFAI/l7GfD0Ttu1tOGllfP5uBWmisEuvr4FadzDeu +NWCUSFCgrdTEm1UaoYaa4V9hWJ/8wu3zoszmRJWfaQRD1+tbi6KWkG8nFjdJBzS0 +jwW9PRJF/OhZrdFE56Fm5SNiK13FlFIMJegXzzSYc0kjY0ezELeCrkWUwpdUk3/V +dcdJhtgtsa8IuW/DnRjcOBC5leT1C4l9P67fjJw0uKw5pOzh6Vosv0WK1EvfXUrC +PbDzz+nARxQE/eKQuVrH+Q6atEi06UYPVGQjAD9YvKgr/P/pCbq+7avmE8coCvsO +OnKdHKDiV7z7BV8U71Cy2OMiDmUhl92nubNp4GVTbHYq5kgmDlljzYye00YvDszF +mmHO1fSgSCWAoPJY142Kx6PFS07NQRRjm/re2KoDA8J59xUrJBBkpnswyodXL2MV +AsT6KQTtAv0GkVzAeM8BaswWr6LD0UkCGtTHAgdylTkWXo7tDJ2lvG0S427cX0Pc +ZP5l0NgvvR4APkA2cm5Bwr67tLxCD31cTDdvOuR6KRCWk8+eTeT+7/8+bP3b/pn/ +1vier3fVGd22EfWwOI85//PKwMKgQzsy395mTkJ3frZbi6YzRF0qc6y3nJY9eId3 +Seb6VErYdreNZTnJdkzWQ9lY+EzKocvvZDKOmAU1ALD7Y0IVapP2sClQgRZ6ksq1 +EUTW2swBrw6WeJuhPkx0kH/XPMs83pUS5jJg6AnFIIElJ3PmkoioyCa0h2kzn74L +G7gQbfmECcktH/Kxni3+XUZuzGAJyI/5RArswF2+CVoEpYfXtVH9UJ1wwbsLB70L +lAvO4IFItXPzgAnu0tgjuM954DasuXbt+wKcUlls26POYAAGKkHwo3dJ/2dlCrDt +OL09Xle1gF3mm+UCFjaOZ/ZF0hMCJdRvNTnQVh5eJnVBmFqsCUzHdbRcPio+RX9r +00M4/O/kUoZVu49fOsuI0aCIiXxkgcZcY1WRS3HcRp0f9KNcAYTznKLjQxMKD16Q +seivj3p6hpf7cE7RUJOZlqSDkga/mw7zQVOaKU2qviEFHt8CyWYAQl8dxrakYiAb +8m0v4Wwfsa4CB1vsW+wqc/KeED3zg7Eao3+x8kMM7g5jOtE0hA9hzsZ3XDgaPKgT +z2HHSEe1PlCbc4DS7HrSpsAnoxl27RxyTTFdgezBSEzoWuPjSDVCA+7pTJD5aX+a +s/2dc7yDHrwUOh7S/XHeeSds0pUY7Dwno9LaQHETzD3AEYfasQJj4yo1YRfMpuUH +pKdytod1b5qFMDOxeXFRaBxzPDS1RstiKUrrEY/SIcLJiMU+DEGHA7JoaC5dk/PC +wmgZBgV5Ncv6CiZdX3oMPV75VzUx0ToTHuUeJbfr1ZEKJcIel5jd5tf/b1WgnQBr +fQ62W8FLkKDpqhabUZ0cbjkjDAHjLz3BMWoaDcT1Q4KtAokar8qvqW9NVfw/yT6X +URf1ggpx15INDYcnSZMX4lT/52uF0ZhZ5Ix443EUAGD00aNuSSmTRVpw5JNkMuda +g6lMdtm6Rf2q/Dg4RBVtz6Jg2sUh/b/S2mmR1i433JJlhamIujvK+2bvbLoh5WBg +J59nePfK43qRwwfhjTsFSkhdMOeqVrw4aILqF2iniIs0I0k8LTAFS/dmGMf4ZibJ +7SL4gcaY3Y1VpqZUVa7RwfBnbYNKJJeYB1u9+fHKypdrjBUWR95Dh7h+BIYWd8X7 +U/7ua2S7p/qyaGixkzZOWx9QpaJWfhAB+3MfSqiKJzy3mpYdMsOq4y8qo/QS3WqP +I9tea1RGX5w+pHIeJCHe8N3i65wvEUqUngWGP6OdI9Tsnq24akq1wYPmoFK7Pp6B +qRRzsLT/iBwnIYOutx5LB05OqahEjyomACIQy1J4wQn3zaLyI9nqZkY4KaMPdc3o +gONUaXTkCsfcZxm6tCzNWtpbOBZd3Lb09r89bd7RamzxLOx1qkz4Ge1Qm4k/wdEO +40FghD0aVm0ojO2li0TH7t//2tZuJDT8iKgevznIyjYa3hPVAZTryLvQMx10jX1d +jVHaaWq64Ah1TxVCIxbdAKXNGLFKtU7Go9Jqad4BlWYUedf7jSEpjz+t1886RRLQ +9OKY2k2OVapRmJ7hkXn7YGAlVVrZXpcp6DXS46Ca0PiJFeK6PBZ034u1+XJeVRE/ +6ednr+bDxdzNYw+/eRshuUrsi+k4rW9ZaeFvTfwcNuhLCQAiuc4r9KFat34YCAnU +WheVfKe7cxWlMGl856gFKx7E0rG4K91bREVKFj9UrzL0DrGhqa2H6YvJPWFcLZYb +OwNHeqSTc6t4QPmaCL/IP9hBh0c4QdVLDnO2K5kJ2OIj9IqOgQjP6kxGla1BzVLx +BwGOaVIpLU8MM5+ikpF2AihLebhpj99IC+htAZa8kVCHC494R1vgkx/HvGll7EWT +BlF5wMOknbJeSa0NDHPexVBw1tEiDS8V8BwIpBwuMCQHDACFm2tGx09sWBG+CzW7 +ohhaByKtTRRnTcn1Oengh3VXz0dWf+mP6dSeE/HyuvuPZpCACzKDHbKv30ELp87n +1CIC/jthQVCO1xL2evbvUgCQqsJf0ZQ37sj/LAiD74FDHh/s1c5V4mlzookI0hMy +GEWuWRzUSgjsg5fzui8lDxU/IiILPP9TcZBpmXOATevku/IUqHJZA7NLq8qCi3P9 +nYzW4UhHydX/8VORl9lgMwj6B7LHv9Zf534TtyjgbYX915k7AN/Gt7xDLXp4dTSk +4Q96KsDzfDLYBYEd2HSBFr2a49l8YANCdoC7K8E+iSgbUvCbhcjlZVU9BenbUfeG +4ZdntaC/Wed5mBFVPJdAVqNjdqDOHTxQtzs2gtEEqw4H6JB7pgYLphA4kETv3Oa9 +h2bZSLqKcJpdAvI0irqn5bxBeSI5qyD3wIICM/ZabfWt7gwZkP1b28uwBnnivoZJ +ToVSARnjht150rARXlK+iqIndc0Jt4iugN+7pbA873O5tI41Jhd8qKNWkdZE9IF3 +5STQGr6pW+HK1SRRO0MFMwT0JIqCQ5Y/00acgLo40nifLrCdx7B+OgMngvvxWBBG +owmnTPEsOCYSj9bLr8SZgNoVa6UuzpgDzxa6vSddUT6lP9XYHQVGCNRmYloGL1j0 +Of/HUAHBmqN0E5FOj6JGU3Ik43K2Mgg1b6Ui4wzOgtOZF2zvEhkqJbS1apUw9d+y +XRNdpRLI6bANvEkOawVcFIbdTrFjjv6sxj4r5WOMP0R/23IhfuEEtto0qlNnQQRW +FMeckQxf6sE4wxFRtD5wYgmeJ9dHX2GK8QU7mJll+O9WAiqv3QFKv55boae7fNN9 +OeJKVB65Lkbkcryw1DOIfkhG1pXErg20ycijY3AJ+vtXUzky5aWTYGhaAswWMzk8 +DqzeH8vySjmjWT0ej0zj7SZb+tlHZuewrplPQWudXQ8t2v8Dtej9FYOJpXaxLy+y +cAxF1xtpZFlzqY66zsE3FcJzexzlS4t9QOcSsJ8hejC+LemShuALsnQHw2sjfTKL +96GGvYl3Wq9gEjvUFflY/EURvj5sVe16QMYKbFWLWvPJMnUHzz0htRKX0rHqptx/ +5cfRa1up911ISPvAa/7uqdctjoc7Xh0yk67i1hq/YlZzO+psX2J1BdbsGL93D5Wr +nsDcqrXp/4x7ig/IaSRwOCNdSe/zewtHjfUMJKbo+Sqwax+5CC5tJg3Ao7UPxByq +Bzc7+Vr1WT556f0u5dK6htsiZfNVL4SHW3DJheoz6Db8VMi44Jib3BhYj4mpnEs+ +38YWhZ6IcsgxLcg16LrejU7trGXNWMpu+phDg2CRdV6T1wm+UE9ybMz/Zyk7rk1G +g6ETC5j2HzJPCq6XKHAwQ5pFyioTvhexl2InVwHvhhV00qPO6DsJvjID+1i6To6a +IrB/+tYUCReKBxn/9n7pDP7Ryc0Mk2zUjvRK0VbjBUJQNOfA/t0d3QOCumIfaWdx +hvHGqOB3t+O0mVVQkPAEkA3uYoCZWKzfmGvOVqlwwjEbxNgBHXeasBI/gauu03GY +W6zqWi9fS8k+Gib+Kn4LyZJtzMNc1ZbcMom001AUrOSk7oC2h5rwkqW6DEahwnat +shWLmSUcpj1eaXSy/oo+u0RbOZohomgnQf5CJmaBFNSF5I+scpGVYYhCTBIzyUb9 +NIc7KnaAS0RWXnLkhzQvJbfEFpVwzvlQY0hgU9xJk0aodC0mzzXadgno+cdTSICf +vChDronXmMtGVuM5wtNNCjMzaUs6rBLHFe7bfZEYkn+uhxAIwiY7ZI+5gtCdGo0A +XlNdcg6t4TyS9/SBb79Ds6BHh29C8RBkd6F/WWH3AAkEUHKG2aO2VaZ+h3TlCzd5 +gHhzDRivwUViCEBN/yDT6RxjtNDKQir+b5TDjtiJCRoDmojoFNpoIIBv+THukk0W +Gu+BBETwJcaq6dGudaMWpiHzemRHfg6KLd7xJdwjVaFw8NNswdrqNWFotllkz11O +WJWy2NCt/H/npfegDas2DA15nGCWEHCs481KylVsfox8GJNI6DQEUm9KSwjs6vln +nY5X8NkTDPYcT7YwfaegIXkxQGcR0TSHlUpqwA4Ir1/PMgdD7cwY6RpI/at8+t2F +s5QgVkPXJhy1qPKjCYb8GSXcr0BK4Th35NV8Eaii+haTCEBOKVwgCzv3iak2qclW +ixSULNTm4mJOCvdqxFSEHj7qRYKVF/CQcljN0caYSFek6Lk8rJRfEh3py7EL1Whh +dov+/bxEJQk9VcttDw+wkVb2WXuf6r61kxFkPs2bqvVNGu8uzuhZG1lGngVGpXR4 +6qrkW0CuOJDgRQwgwydvMneKPYHbYrAEDydHT4cXxYvSwPLDUG+83zI106PgMz0J +3Km2aXGso/tJ+Rfpn1kMbSzKF7Fq6HGw8OU/Km8IctoioiEga4Gp5peECTBqx72e +MT4X1M40YYgmCEThIL6TrVVzD1ctPa/IyOAootkE3PiUVkCATxWV9wNbWwKWqOXQ +fnMa4Yzg4PT7YxSYWM+hMjgI6gD/ztBaTwTPVEbRrOYGuZUQZZaLrO4Fr/gNIBy2 +nDn/AOcXFjct/4Dkkk1CBEYc6dzMBqG09N8dy/1XL6+IM6+4n6m12Fd7GoitkKBP +cqhGE9b6YjEJq7ZvU3By7BPhhstrpFn8EKnvcOxWkVmbwjnz4GAuwg8uhMh0w86X +3iuOV11AcDch+m2DPQGJ1gZy60ohQlbUAwzcqksA9m5BMXP/z8+DDp3B4MauStoe +1Zsl0Wfqz8EjveFi6dwxbs6CwmFaGurtrhwFZSthNM4dazJ74LK7S+4v7UFk52iT +CUt0uT2ixfo6VYio6HGEiFv283p7pU/FdtmSkRFOlk49tYmSRUFumwZPhBhHVX1Y +0vxZDvLBnxjxCzDOg29hLj6925ed/EtmtDivRM5tZM2n2/wN0zR6G5nLeLULfGH7 +ntzPQ21R0ZwNfyUijGREyXKmcPC92teDOswX6w4KIma71/JMobmH6PwJmPt06i0N +4h7mvrgM+wY+wCf6hwCRSmmGlnxgvi6vwmJSauSkIyoGxvdgWocDw3mZV6ezCd91 +vd4F/ea+mp8J4j3D5/ZD/Vw7cL/IkVFUZTCJ5hr+C5KTRZSYQvlMwtMILWfUsQOa +ktvvJYuSKKcjaysljai/GZpUdRO7Y4XvKqvs3peHWN053vUPFrU2Fe69mvLRi7v3 +rfbsGvElkz+Ibn6VXRTbobMYciyHOkzE0HpTlpWGM9SzrgR+LdzzdufRjdYduCbf +Bhmsp0okgLzZU6v1noNaY7AmZmyIjLBGxRnFERH76QPdf3o9b2gBLnEvtTEocEbE +GUBLxn23xtXP3dJj82dym5TSECioKESnuBv3Cer2lSM7afWIdhXrNfyx0Ul7eKZJ +xs6n37DdTLHx7y4B5F+2aHMME8rwe5Mf8JcEB1UCuCRQQqNI18AcIPBA1wsSlryE +WmOTcIVyKjDeD2NR381c6yOGXPOmjmFok0z8AHbBEMCFjylt0NWhVcTGINUAfGi9 +htBrZTK6U6NttrY/FPD93y0YYyVy9iFtxmQg5V6/OHlrk5mRlk6BrUdkY2H0Nu6t +flD/1y8IUC5c5M/95SpKhsJK3nOvCbcgbmUYL1MpbcefLuWQXv0kTBBxHvNhR8JR +T5HUMDkezmmZFIFGrCJxw6Zqj4E/jHS3cK1x26vZdgBPJfuyA6W1NQhYy+KNDX9l +Yc5YnlmEQrwcZp508IRnhpqdhgrrO7Ay1yLRG2pE1ubF7hpSrmbyh6JhsFHf9BXZ +T8ZEszT/Pb29W+OkxWtNg7Lq/WT12FJ7CZhYtd45ZIxnZOuEGmqSkDUcJeDUQRGP +Wgz0a02uC04RgxM5t4ViMs7Nq926/H8r/iOxBhsWNbpu8gJ4tB54i4HsVobwneHg +9NZjbxo5o27eLQRWZEEgpovbfDlaxBllNp1fyQpgriZ1yEoLyuiqhIqfYCyxvdE8 +RYPVrbshuZC1fIPaBdwe0IN/hkyevgrGihxRRrJbK+ja/SGHKS9pS4eraHuKrlvt +wGycJVxOl5rsKENhSwqzPz8zl5XGKKT8SQd3UXBiEiHJqEgZCLhJ59CuJ8T+XsZC +aP056jz67jjrh5JAcUiyGZur5Nzymi5jCEQDm9UtV5FArQVaLDH+7W+QP28SBsRF ++CSSfU1HvvYsiZJHtFld1bpNsUH5NM8qNd79bXHHS3zchM9PT/j/8RZYj8XZttd4 +UdLPSJ/E53VzJzElVU7Xe3Oi2+S346BZZIJeBGqbuqIFdroAKRMABBcrQfl+YR2f +n1LFzI7W4J1nldzGIz5n4NGS7+EFofge+Fyhes0MPcZc9NRlMqPHWYdh3e+5YVDh +ZNRieJ+uGW2VQ4HK5eXzHfhQdNzY2ekrug/krdlfq9SlIKgkxDYzJsZr5ZQqf8Ck +pIDdYqYbiFckHcHCZ16fxrZJjEDwonv60Wg/9LJ7kpCUEx3zZ8jKyrGJ4f3wPviB +ynkrTFsjGtWCnnYRZbzsLksfVZZeXxLIVh+KPOtME6t05yaZoW2tEQyLIFSeU9yV +ma+CNEpCcBI0iOtt0n64C5g7kmIdiSk6qhMibGgMAeWkTPbS7LM76EIpxwpHXcx5 +2/n2A2eJsObcZxfd3Te7/KvjUJKkumbHcAlj03SXvQfw22ygGM0WsCqtbGcv4WTN +TMaw5XFWF6y+6IwbVZQzOsx/qeTlz2tkHa5HnMHuwq0AgsbUFBs3jXF1l+To7KLa +56syo8nPcWa/dn6Ozv1W6DcsJtR+HC9Fwl/IcbF/ceRRyaJ4ropUkEDlz+Jjo3D+ +MvCLRQdOzj5gFdQaW9IBmXEzIunJWFxHsa2TnMFcAVZPHHIx/FMxp5mvBdX3ZZ1Y +5/nnWlcv7NhhcCD8vWL3yZmksu6TgWGPCl8wQtjOV3kcUQtwdtNabZj9zibEuCSf +fgzDvT16lCp6IO+8GrZUQ7ALZeL2TL0eL7G3mkWbMqGpLbVRUpGyX3IzwQFHESsx +Ui0LveTBJccBXYHJmQ8QddCRqkPsKepANun+8QvsRxYrOVN7cuTaDm1ewEm7bwTy +t3CWSu6kzjsRPdhSA9LBqWdrrjeGQ4OHIsBKYJQeBkEQNfGGmMUbaTANQQVgJ9Xi +ps4NE1LQ1EEKo0i6pcpK5yUnSwEx1Oy97wnu5YGxhOMgDAplU+0vV0yoziBtdFK4 +MXqMFMFekb/2Ij7+aWeSUEcFCB48gRx2tYyfMQg63MeM9YF9ucW9OKjGEhp+abis +T/Eg8xIy5uKMXVsgrgZDq8xupnP7hZ3o6EoL3kMrxG5g9B6T5dOAyxgIq7deKc8O +VcPFY0N1GF1xmGcYNhsVXL3dKKzj5VkNtwuoj3IC7B5xQY96ZrdL5rqQBAPoU8Rs +WfqYAhFbEhDXZtT4oQx0OmjSmVqSVQs+uiAHQOngSxEmttIbGRpnLh+JQ9+ZhcBi +Jf54/jYLeBbXlvTTfsTAq6sMOacxApJhBhKXJOs3z04jlMvnKGkNFcsye59nFAbO +2lA1LyUxOyENaIPaxSF+nULGoSt+iqK2UeIm8JGYwdYrg1SyxXlOo6Sledo8RLKM +3YY5ax3cZt5/Ie+316hO8y+1Kiv7dKDk6KLU0H9tdXpDYQnhS1dLFzI4qUEmg3qM +zgbkW+Zx/iBC8ioxzOVIeewKSbOa3l6mvrBr1mb2BV4V+AELT8hePvKwIelEydue ++Xz67MvfDnGf1ORC5rMQZd0RQ7r5i23VwFbtCOXh/4yWyAulyaK81tLRsmggi3h7 +9Mfswm0V0uj2qccf15TG1qtTyc1JayzuhRr60sMttJMMIYxrx21dEN+Uuwh11Vjj +itdy11Bk9SAn21SabIiaBlrP1FGub70HOFf7X4DiEHul9e2XgqOgMa6l7gqJx8X1 +mvZXN8rLlaIMXea+wrP6iuQgtYaaNqh9zQav9dqkkOOQ4AgFtPQbLcZjrNjZovMB +acwALk6GBHBdPvIyh/WzdKKz9icIoz3XKX+WGYKcr+OdVgZYDs2lFmEH0dO0Wptr +a8fgfHmmxOVLYIJZ15JNR/cRb+r8Nwws+evV+PUVRx5Pq0ETtuDPGygq483PtWRV +AenQDmR5KR33w4LsP9JXBHPtwHRn1Kh/rzAk5OZDZcyOW0j0+w1B03Q2EqvnE4vR +nardj3MXubKM/44CP8d3I992uH9ZhNVRU/WMoQb2br8hz83MYQUnNlVzPRnpZeeG +6z7dkD1/Uq3oxkJM1B3VMef6QmLi7ipPDsdL7FXbMrMJzRZUHmbJpMu0VLFSP+s1 +dvObYnnWas83vbf4ceFd8VOfq6PIe/3UYxBvamKOHOmQEln+rt30F492eC5iRTYl +SrEUU5loZUp+sz2mET2GBh6KFuPAXSvB5kQGaucj16OVex4ErXMLvIiLYYlbHKM9 +jP4UtG95Cvz8I4B8vfuEy35GKt+k5QKG06K5bqbgqBmbShyYDlUwcRyS/PEFB1Jw ++ugGyYvalvuBcqD0nd9OPWQI1LvegiBc07L+U+zXhDCTL29M9QqRCXVBUJZLM/zd +g858YDady2hUI9VjRKtXJFusAPvGj1FhcxVH67zg3Z5TJ/L9xDr3IhtiAzh65Ngf +m54CNR4DFnFOFg3ZbpkVOXDICW4tZXwyvwpqhZ3Tacb3I+5imzvaknfn1kvBmF7Y +DNKthyTs9BQD1PZvZUHtD/slLzexqBLoYsN/NSlEZELxD7NnebZ5OXkAEAR5uZJJ +rR1Nc4QEstjp3H1buFAbN+VvG63yRO1QC5TJOAh+rlZen2lNqOdsNXxloMIG8FgA +kQPhDOwCj/o6Z+O+3oZhxAsc30m1KoOjrMBBOlzMaoJYEosvifSRvD+9fhuZMQtp +jngErsBnQ981tgE6pAv8PZ5pFM4JjJB61DneP7AXfcHJe3zlxcqE9spG1yF3W68m +optqrS/g9aRGZUj/ZLHDoOtni8aTA/cHB4aBK/kYcsx1RTrh7a1WsnVVhCoLLbBm +U/RvDHIqsmpjnopehFhM2zLB+pVpdNMfjoxAHiAbLzZjTDjKTCQOmSl5Fghj2ioD +XjXwPl+BjPWU1OoxNRnEcvElp8AKENjNAs1io9LTB8iQDBTXuOOCrogVGGT7e3j8 +VFi2xdk87Y/7fKQn9zCYFWFrpXDf44osTbx5TYh07wvoGLVI4rOhhHy+Jt7y64KX +xbXUs2MZvdGyYH5zYWp9qUigNpzSzbsHBshd7QFQ/xyXHUIOYEr3mONGdnvnksM2 +XyrV1+q4Fu0O+54PmJjO5S6eirZrCYX8eC4SJhDkyYkLrd6sxc+0URtXTzNZ7oJK +iIhbqUlvZwIwt7xW6JLxl8arLYNQCmDsJJZ+8bjLDinVdElmK4RNux0+Y8PayGvj +Y+heRYq37hBHABjAUzNBeCcTABmk/G2TlcQBwuQSn9zGPo2uA+5A3yf+GGFPJCJh +Bn1UgL6zPUIMlM6+ZwtKhMZcPcaat21pS19wWEFaYySyxRMbiLrWEFSZ40gxI0Fl +OP5evwYEi+qyWRG4pZCemWOKLPo7fYBteXCf93+O0NiVcC2fGiIep8237kf/zNi+ +BCND0Py/hEprV3BTgTEjKPowrKRN46cd7t+jXNqPN4jXIgxanwaABCADcIZCDaWt +icWFyIetggbmv2MzwVU2s8OlgLfDna+WsmJ0X6uM5EsCqU9ma5OnA+kwRHYrqYAi +9VuAuyrK2OBgY2emWbKVyL/8nnYS4xa6uS3N7mBdURwWUXfo2s9vKG+nRALgV85g +WwQdhQ3B5pgvNTm9OapffgN3v5MGQYSIgoq8TG7dPQ27fdcLAehEKJYd47MqMKWN +MaVIeXrBYdeJIOGUYBIcbRJldcXAm6y7SKRFiTHE9Op4kMsf4TiY1Zetm6wdKdk2 +D/e7DIC8N+Vwg+DUIWSASQDIdGkPJkifLHWkhYgXH86fnbz/Py17oTz66pZBT9uM +OzhxpbgzbAU2m06O/JjFS0BFvkYr8REt+TlOF407FYkjiMJigXtSHu4WmFbx6gdM +bPK8hVg9IbV1d2Les9uN9Sn6dzNWTUTEMc+/OOoFjz7z3rg7edJAsmxlRiXbDs4O +wmr6eUuWcRARW44hLYcrjR70+qh2oolVNQCiHd209Hunk3AItEQN7k7grp03mA9j +fzXYy8StzQZyLTKJX1ThuEhau7Rbcv8xIa4ySJLl3wqXrNJaLeJiFv2B8kxKjOz2 +2kurc3BH/IfywruD3R3L7/LWfqwGkECyUSMvzvO9c7dywtrBIbdB/T/PWRY/vLjs +GBnVyBYxZuMuqnMKUpXAM2DUpZpOKsYcDM0rtOSdyAiHD0XNzdE2kRqoorfunKsj +8+CDOEAWPm+QnCViirpVgytHthGDeeUnAl2ZzuyWZjWK3eKX7F/qlnqWBa4IAPdu +VA8vGIfz/fyRaMZznfLZsyalF5y/INHnWouCf+5vtDfdFKhWoKgVELkh8yVjoSuG +E188dSCNeR5tKFVC7w4OSPjIoxFsld7p6qY6rhDtjXrPBFdfcAFBe7YyetwLkcXe +ExLagAf5678TQ9g6fDMfYTDl3VaGvg4Y3/f2k/yWmfcbVYcQuUJrRwi0wxEH8cSz +ui3cZoQ1vnsC60ytcI7OrW3AKVDVBo4UHYsKXF7cAeWLD/+tO6GVdOr4f9bgLMFJ +VlMfDPi9KQvSwSPbJiJAD7Cz5XcNRvnJ/e1lN+bI9jyElLRJHmmyD2Jq0xBvIIzm +onmCsvx9HhThNvfsiA685E1T8Sq+FYPbMpIggLH3geL7X7ItjH2WfKH0+J4MSyv1 +aEgPxk0cf5KALzvoIXJ/PXD09Uu9ocXsSVBtpN2W9SS9mNEO60CNv7Nc276Kjsbh +9qRCj8CCwWjRsQgQD37W1R+36ny86ArXD7vcF87drfdRJdh/5UModIObwILjwaXU +ICyh8N65aAhdkqLEiDCc+V8s++3sGp6SSZtXsecvVS4C7WcABZnEW+aNus8/Ykgr +ODh7QO/CFEU7uU0G2hQP/mf8jxx/WMZK3ypq+ZCHDTjHHumKTEWANMQ77z/+jlxE +4nPW0u605RxqhTi0zmMuhtZHLcqyXBuyNQsUZr9C7YJGYIZv5FksZbqJtErsiIKy +Lbsl/3zppGrAK7OF1TK4wSwcijGnQYQ5CNAHIrQ+g8hpYqtI0u1CpFsdY5feBW6I +mwniajsOvXaZyvQ03T8c1UL/acnRBIKPwWnKFbNs1+opys9wU5y2tW6rRb2dlyzJ +wtk4zHVn6thI6bgZQYh2Y2RVVeHpiba0S/H2bjQ9a9QA33I3f7euGepukI109Sso +c6Wnq61uNs8nKXbiXNJ+ww9IIhI3w9C3A6sYaOkA3Y3Q3xaUzroYOjx5Vu9jePkV +zU+YzX50ptDuLSIDwph+gVMlO2Trb944qiTnDQpA/i9vooBh9AKRyJTJA58Ob0F7 +fB5n64ExqPB08wRaABb62TTrYf/hqNc9shSLD2jVyfQR35oCvCRUjbyygJJuBa0C +U0u/n5V1fEEj5Cuf2CmrkbVkf6klsEJqlEdVq/ekhq9Al5b0yNy20wU8HBTue51S +eUkjZoOqZZIzOIU/ooFv/TP0ZQ2UrNluRxTePi7hXZDBW2gtv+UwqYxSMgxiWww5 +aWvfo2mKijXbLDNY0ZwGKmtK7tMfREdPW4JMtz2dWtDmw3bZhAyUmrly9owNWi0r +JY2dKFHC6qkgJBU/TN05PcZBFZgyBARZIiNj6hqHyimepgLuD3aGK7c80ItcOhI1 +ixFpbzk63llrvgHy6chnalZCdtSfOgISnvdme0erFIs1fVdtgTrGiZt7GbNmok/9 +bRAy405aIOf2W+1pnAnK2L4HdhvEGHyGOWHk0potJrsVR4besqIg+Q779+xVTCfc +Z6L7amLlC2d/u1e9dtNtpmCmLfQtk/sfvnrKxzl6M+yZ672PJaiXkwoy0UmSepPn +zQ9DL8DPspOAe4UUyTB/mxW/29mntjoUSNa/+qEgAfvUevshPEM/3Majs03LG0/F +OUSMHPHe+Mf96qxIlppcUwfa8bzbUoNDFdCpCGuUvJfm3LUmkEUeClWvt744qc65 +SOhSx+0pKyjBpxjjFJGjZ4mI+nhS47lA5SfHLwBEhSSOe32VU/iX006v36LfG2QI +F1ZveiwmFFwPH7WBrS9EENUrtyHXP0enEqjDFi6Z1JpM+q/qCkxh0w2iyi6PgrSO +0nHgRRH/zlOmNU4XCWCn0lNVQzE1c2Xi0wW3QENM2Ct96EfGN/wX+f6CqqRZ1fqM +C9fgMJyTPWb7uSXpgWWqWp9giqle3AjLzlEa7DXfLFwv6B/TDQDzdrRLKozrlAwb +lDOfr+nIDGroYRbm1AU65OiE0WjPX0ViS02/fMC3gm0VEyaKXhrC5yHA/of0oIOc +1xG8d9a5iKHEaH+BSeHvz4YYiWA5ha3MQ5R2R/FrUc9j03AjjROyuB2twQlu03FR +L3NOuMdG6fArMcfRdDlXVuDeK9m+chHQ/zZFQwHlHQwZgYvLsC02NUnGCpcrrr2o +U2jINJos7LRTmNovkZzqG8qnui0wBEqFcR9lQdLW01erargsaaT7HwuZcmb/pYUu +Z9TPQPh/ucoaQLTata96WppueA/TKvVFIz771QZQCUmIm64VIjk6tDpPldtFH30C +2shAhP5dVCAcPcARZYBwGQO01uT9UfPQiFqyI6ZIdTWxZKQfpGSRHgcZBAtaqrO+ +5PLhw/YiYOHI3KhqjToRc6DnDPHXGWZK/QHDV5qxMUgjJcToLULnp1c2OWRj3xRa +KNhdMjsTwWfEY3oenK9TusdV1jByG1L8AYu1usDvRylMlaQDwDgQgBO6loSK/mp5 +106oLrVMDfZ+AI2c9wr6+i5rbkgm7oRPD/mq+Z0E0RwSKA0s0jov8gXo4J20RIJu +l1kaDPJ6ZWBRA5sLCDjHSZz6d6fApz7Mt1Ty7J0jvgDHFQApRW8Zmvs8S3EwjG73 +SZcE9wfeqkukmy5hrTwEne5FrKBvu0/PCDnqXefFjkyiPpmXi8n38Qn8aWbA3IjW +YdCfOOms9PlWsj2xS93pJbAdq5cDI+xUE9+vaH+p4KTw5lEL3tx/lPTOqAyBp092 +eEuGsWFm0whNaoHmh/81zaDol8cCWcJENjVyHr/7AJR/2kR2nbpE+JEibeJ2xYCV +1OBp/oIa8MT5p4ezlfsLzzfiGPd/ySDVS+rVqjtERLm6+tIEEXBjkwtqGMlWv+HF +CKOSM5KtuqXuLM5ifAWM0hjiqm/XXhFht838ttVxywoorplX2M2HLxDLjCG/Wnxr +yS39m3po3Ld0N9B9AeVhMqhZdCzZvbnvyHwsbUEqp+6ISa5oG4mX7vZdfLWRz+wu +zP4su1FH+DpOF6PPwS1EGRGvYVKYswUwtdp/qYZLMk2R5G0jDWpCvo8Ku50JFXQL +pb5iybfx23sYxnJfygRWglEz1CmI/C2SfqxkANV0m0a0rytZEJpgJBLzuUnEfwxk +Tsoz/QCvohloU6lVycvjAJeH5Y3Nxzmn3ANydoA0+ICjRX5RHLCwdPpv2SJjtYA/ +ix35KgQgCLToftDJgKSxpdTQBgXm+LFeHaSxcoKBLsiYo5Xe+JiTb8Cf4V3iXVBe +7dWUfXNwr//f7EGduo8q91O82AiLzU/JQAAO8rnQBeEdIbsRkHVhorEM3Dkltzmp +TK/DkIEqMqVCYm6fxrxQ8qcs7PAER4ub+5TyFe02zAKk21wBgCQGTGuFCfx28zce +OKuj0LN6sLedIwWN+DA1dij86srYQeD8vqeazcxg2rZJydxqbYj/6Q8WnwJC2y12 +xm9+b3wOJPpKjP5k7RUEbSrNSqpNHFiGq35w763FpXGFqdpvBxEWFCEXnaCUps2R +0khblHP3fc6uF6Y7SlIhYRVaRj59f+Sp8G/xJI2hRgWiVeAckz4mzggvXb98d7NR +RM/yIKkAlYy/8hsFEkC2oe/Fk4+2XiuvtDOKXb/cP4b31pFAbuaNb9mLwAV88iIg +d50o0Zm6XZlS4DRxj4tOenwH6QUyvYpfaSgjJtcUDZCGJCPwgG8JneLinIsBHgMP +oiPjY1tW4sM6WQSSPJZ6zYBLWKc5mzD3ErA6mDqxdMwLJ40asGD+yf429DvHzfS6 +je63j/TnpIlzL0eEu6WZTKCmNFHtdZ93mPVcT3z/Pmmey/RC7mZbudS8vmGlsMbU +HYOiGSpAghnBjpM6iI41NX6l+jqDMEIE3AgbPqxtnRVGpd2sQtSEZhIPbJbPanCT +mXfQY0F29lDYJnz+8QPU5AMgylDrumrwgFuk0HiuwVVUIXkBZcYFLXsUSUAJGhaO +C08OmU/2U9algO9ai+QsWgj6SAY17ttEwwMj4lgmPVXUzeh2NSjQEbsuN2tlilZL +8d2Zbx+pX4nijMt3DuUZTplgAbmOIWGMVCT/fj7d9VFuO32n7k9gGoxiq/ZdN8jI +0H3TYmEB2qVvgr/jPCbSEk/39Sp6z39jjHqnEixCB9VBdTR9+CvkcCEJ4Q72igmL +20+WBe4IbN5grQjb+gLhA1vFJKRih6v5bQpwPMTX/vtNucIXsPaVUM8YHwv1suw1 +MPTKOyTBHMXy4z/0m7nORSW9Gwfy51dfBOf7X8ijMhOGKsZsIL+jJcMzHsAqnZbr +oqzNkYaXUXJIwtep8k5T2d6JXg9B1ZmC1fKM8slvPt1ZCYX1AJIZqwUWMXnll6Oh +5ENBIdAIOK+9EX71dsV1FZQ27Zd39o4K5PwNXOJ5i9oWOfr3Jv5X1HIZi2GL/W2d +W1tYSl7043jueB2adLbJYGbW81xxd+T8Mr943d0bxjMvGAWdfzMZiAPlaMUwo0IQ ++CFmgTQVytymTylaZCxKR3p7Qe3iogyIcC8+wKCDO2R1Vv9L0iRTvXuv1PqeFc96 +OX7JUVvmXJy/rpILfhsMapoZfgdK9duuc/kQG1UOnZDvnDRwaoYFolfwfvzdpt53 +dDlJn/agS8JfhOrrtauqmyh5GUJCRp+uQ5W3/gGzN8CdO/6yUVsU0LS1TJIs4icC +vchsZlk0EYOnKwrj1aSU0IRRY9keqDcKv2ClXsT/5Z3YTqJxb4IU0SW4z4eOhPZz +cd/xAtecYFZDHi3Zcb+Mi3ET2GqED/M1P8eDfKsnc5g8/uIqbJdpbl+KIuA7sXoz +s/IoZmKw0w2A70OFmExY5FjNGeL5TviGkbhhEh82DMLvvo/aFsusA7YMaoad6AMs +x+ISXS0mxSzbAigGtIKdZNj4MsV8UNNGCQ6bbfbLo0Ztxsf/RRyxsJK2EovzYPbr +l9V6qfrs9h3M6hsCYEqr5wxx42gF2jmHt2DEhxdRt8AWmLqe/+EQ/ucS4mMkXfSS +eVKSuLiOaOBvfgFg/1uVx5khfgwtgGg7PwKBGtxEdp15KD6sXTn49rN7wFTMimde +XWOaDrm85/3H1fPZ9mGDBaRa5E2eB9kZBT332GKah4hu2MBvsUDm1M1pTrxY5KeU +/tLixmFxeb9tssrzBVXfb/YGI6v6pMNX2CYS6bN2IuDMtgTxcPUocszZ4WdzUISn +wEZYl8/3U5kPZAbr3bVW8OhautKCWTpWCbrss+IBf/H+hkHg7sN5YxXuNh+OIV/Q +7TWc2xz+yNOJVhbo8D7R8gJuvh+wvlzBqej2Kt8VIZuHuqihhXnKWTZf0098C57m +wfGB8AWnDM/TPverCv+vVw1RRNhQzDFOvlPc70DkXLvj2CkeUjJw3Ocn7oFYm2GW +EBYILxso7xC/KcPI6YEHzrOxqOHntSfnawkzO9YlXjYqhY6a9TGgzh5SO+7qgJae +bHO1O5zqLdm/FvQJDK7ZOPc3HxYcsjEyNXCrKEtpilT/D2I/qz1Dr9GJMclGTkFx +6mHVOqVAJd9VnL5mGCoM7nnM6iGFB+UkHQdATz7bbGRYuwZBZjNqoKRprAkboNQy +oGn0g0pjzPNKsO03lV6Cnti4nU+0H/8F90bkdXgGg2P7yvRHNe27Ve98fyLMjco7 +TiE5j14i+Dw1ZdhoIyKGpF5AqAHiiWc9A8x+SUWNO2pxdeVItuVeNGvgabhhrd9w +kTFQ/sKae0TY6ap2OnUdGlWO2pHuxtRkWp7+VNuvX1K7HKgK0SCa+BZmfHyos42I +ainEW9ayEYt2BXI3AAlILwKkraDhyr520MPGxTfcPyWXL8LBy4kvPNPFiqQPGkOF +HMP9iAlqxuhznvoCM48HyFwL585uURngkqwralnp26nCB4uyErPECz6LNu8su4I4 +2hNWISCNgIkQR5ZMRb1V5S30pBtZa+1Ed88LV1VhkHK0YIHk5fTz1Da3vZUY/SSm +EQvuM3unYVjyt5MY2dArT8+5dFJ+gSG0TMDXM5DUQhbMrAfHtlidKpwzt/CiEnCy +g48RxE4HuHSqu5XpB9lmuLsqRGpaskNgrj9mP7mrKQsNemzEq6eWqizzvEeSj9DF +ssBNF/YORb8ajfXjC5oPGZuYUI0xg2V10TEl0slzn0a6/1DmDBDtIkFPKuqnRBgY +SD0Iz3PFcI5TwCrt1qd6TjnU+3zrd1e3FuXsOnQfL921HHtkb3WwJKP/Q0kLcSaQ +ZxLlB1E9ZPwzHBApli6Zb7Mr2oGU/m6JMFtbHF7JnD6S5ycOdjRgCOGdVyrl45im +etXT5WKy5dUxiJGC07KpgCIUI03PpwzTlbuj/uRjDOkLQCVIEcMLjShcMpSZgZys +9KRXHpNAXgKd1WTOOHhVX666nPA/59hedTJFzY2JhBKRxyysmeq4KOzYXiC9u4yI +HLkoBkzWUgsgP3Mgq6r53sLRjNIyuUlHo1wggduITCaglZzxqQHn5Q2jTdLvc0/+ +6vc+dCdSmQXCrFSI93iUYGpJNrKFD2umZS/JuKG5ULtnvOspjHTeD/Rts4Zc4hcy +PqvCSRiiCz1snTM+yp5Lv7WV0j+/AUxln6mtHFPTbb4dxjfL+n79VU58LB4JiAx7 +CwWF1zlkHcl+nqJMMuJeHvKCowRN3fFXXt5e9vbarWGOBTbbTgKnRYJ5qQ+kQdp4 +aT8fBHW41A7MpFiF2UqOjGuGKbAWnhgeZmn5lr0eQaVqOLE/FHqZxohFjacWY5iW +Etm8Rs8rDid20hlZnkxj/qRMqSzKP9Vx9QoZo3aL6HaRxrs/22lCKmlH4VIgEJhc +Z2gNm5BvX47rp4cipdjyDtpaYz5FLg1IpA0SfqLKmPW1fuldc1EEs1wfPRb0B8G+ +wco/vWIzbgXALc0bspfl749Mb3JoKUxdXhEjpoTz88jrN6gnxzR7Dk1xytnM95LO ++lnErmkrUqRBVIfB9mRlnzrskXDzrcOWyENslFXikCTMKNBGr4LgQcruuGCBOtYq +cYl4xWMvI6wEYpGmFwQgQOVz+buDboTbnNK33lekrVVaIy2aSzNKtqj0kpEmP+yV +Vd+zcqcAgTsmbmClqXKesvpMYdn5n1hBgEeVqboH6Q9otWU75wGFQBkbE33HdrTn +zu4ACgqA5dd2QI/+2ggPu2X4C3dkJFIfONLcCrrbnmsoXmaJO9XNSzVRW4SJDU0j +emA4n+BtKzFrTWa4Zx4YgUCkoc5ZLMjiRHQny39LqIUymJ6EwnC5LI/cQ9J7U0kD +tMS89VYZ/MWHji/ZU2qJESy2W3ycnjfS6u9/V33FIl7YanBTsSjxoCXrsgIf7DyJ +SGRihhHo6ZwvApQ+1Jz6BeNjNcpjVS8+X648N8w1SmLj4eQC6hboHbK0qpt828rh +VEpkbwNrQ3cf3HajgCvGJGLDoHg+3MNhe2WTc6GRS6yjTq/kGJcw3bUQcxWrGQKT +eMbbb5rKSrmBj0dqpdZ3wiVpnupJ7+sRpj5NhR+vJqL+1wHDJCEw1jg5OfQfnsyD +7xm0pN9NbfOSSf1mZE+L7VgtX3ygD5f2s7/Yf4rilLYImY1aRbrJ7JQOIsOAK/db +6s58b1n5AJ9Cw1eWYCTqmb9h357uYHQijmXFAorsweq4ljwmVDHPB5Ny7SzYocPb +oPYY3soAvw4B9byNpur39c7qIkUgwXdZpH2K3LQW3STSZH0mNb8x21gH1GfRcly3 +8RvFeRHc0BrbuL0t6LuUJFpdsACZF2AedyYiEF6q3+byfdvODd8JTWQpnUL6V62p +wQCTADCO30qU2Tw4Gm5L4PX2gcnpCWmvvsntubaQ4vw5oBN2KRpZVTUPCY/It5xn +gooGu2Q4AoGpO1llb2BCstB1YvoifLE8XmE56Evc27+YdMnxDeLV3/52PMxy43Yo +5c8Wzh0dQVuAPQGzfpU+zO8iKW/Sno2CuPbjTi68Pg7mD3Y7gJLZxjZ3RiU4AyQM +gbJD6cuYJl3z2KcnOdDf/5zyAcOdhxuHJQtH/CYXR83s7f+nesVIhzUZaf6YdqsM +K8l9lkrSE08sn/Kcxa5lOKuc23I+d1lyWGP81zt7jECbpU69PSOU+QZ5bRnjZEp/ +HsmpwtQSppREWMVRk5I18ewtw/c2+CQ3moZKShd6PHrl0j1jn/K212+0QOvcs0sz +OLxe9BOE+UbbmKcgkguQqfloeL7Nl1cNmuK5X37rpKuXwOjWVAMS29GNEImQvZLY +Ms6fbxYgPtNNZ0WCtt2nRSFxX8jgraioiaXO0G0EIFm2cMjMm2HRDE0weuORDFkb +xzzhKELr0icHRsMtabssnLfZutf7bQXxqkt4oknB6pS0ppsToeMVlyKQq/uwRgNL +9hkzVbhkxIvGtcmLElc137Twv+PXo2Fmhuy721qUMXrDFKHcG753sB4Y8zUXsK42 +DTpQql/8xn+m4Y4qM7YLQLh6/uMN8NKEBYsqmgKCOSda3ODzGntAA8TbS4hiZ2lk +fYTcHbgGQw8IaAV/U9yQY3GpkfGbm78NPjmMzzo1vYqyY30TDWIJ8LxAuY8yyXK/ +ISMJIejZUFfQgOdr1UWfCffpfyf9z2qocwBwUgwV6Z1Vq/euxM0tIcDAZhUPPXeo +hVp/TPPL9aq9FxEu62YbUfcALdiDt+6RadCVBSS7QUtozmnImOWKQpvbXPQAuJli +lLaPq1ONJp8LwVppQCzrf0FWTP1+H9hCzYkQaZroEOuykmyvoK26DfvX3a0bEtDp +RigfZcrlGXCxsHmUJt5H3l+rEIYG2ejQ70Y07AOTfhpoRfyzoZwJRztS9ltcR9mc +YtVQMluH7frtfFwYot92Z6HcbC81ByZzWMoY0+RYlmYV4KmhCJFJ+6itS1F1mmV7 +zcqcNVSIVx7HEokR+kjMOkDPwQkF1f48ePTSeTcRQkHJlfaS3J955Fb6CO7WiSa7 +HtLqVxhp6qbQknAvX1YFO6wdoepe36++Y46RCFyVwJWw1xMUj3/TZ/Rw1SnUr96y +mgu4fUsPIRJn1aLHR085Tp9xQVhpw+gKdskaxuqKsxKItFrcvxeaRovfdBD0NUH4 +7EmpC67WSWsWLmy4uG8J68sBe0kGU7mLsn3oP0UmSy6OJcRFGJSMen6YRg+/EJ1b +6ZR2PkFdcZuvB7KC+bTg6rt9SI/pPiu8J4PCe844irNogl1l5y9b/hfvC+MrfjpK ++br02OLLxPPaZ8wXvYSD6xvEJcKRTvDvxOzZ8GAm/I/KwaUKz8snDpivrtXPcQ3+ +FkMBTVCJZ89iBHx384NzFq9VkcpgezF1TbAkLxDdLRbVCo4PUEMgQvaLizd6IVCW +noShVQvz5HP18TboG5T8j7YFVMrzd2aoukMzVJwV0+jiSCJNbxvlLnUyCVa6/7x/ +XnKB5hJjUU7JskPw1eSVPnQXOHL0pMBNR0dEPljFyTDUU9hfbKusDpxP1lWgdch3 +QQFaouY1opwWq+f2Jj3yzcMsQJcg5DyOWRef/My1VS9mxeKrAOKeSM7vxZt6CldU +XJCUVxavL+Mu4L/4fjgrUWJc8IKH5RsRTEj7CeS6ta5rUgr5GDwTY2KoHMn/AbNG +iJSgz44PK9DOnclJZ41XwOQ7Z9mX27VkFajuWQ6dXNyZGedK9CbrIjG53zFIzyCd +kQlA7b8TwAknGidulL1Ugm8VjGSpqWmMw8h+paI0MDJn64J2H/B7dKEs43Wq/JMM +rNrIwpVeSy2/bxbgH7fO3HbgBfDT0nkYDOOgXdDqyiMqN+vajUM6dOcEZwmTPOgD +Zl8v479hri5Dv7aYs+W6KXINJdk+Q/Q2Y4gsxBiH5GtpaQIqCJdL+ORyMyjILAoo +cbsvwfKXRLO2kqzyrkGBDxBOtaLGNJwqOJppZGJKQA4r7+auHKT/mR6QJjW9LS1w +u2UQpdS5+kJgN22ZyEnC+GXKxHQNbU2k9GPKaNxLWNb/mJfX6fdJevN/SqumEUQG +1Y+uWiUqZ6T9t6ZVqiXYfcP361qeo7Ym5SHf9IxKRdavzNBeDNjWLybBvd8akuWs +VFpeXpLgZ/APajl/NFGV/UTTCgx8N/0HXQkEeIgKnGpR8jF7ohKFUtVtm8QVe3Av +/7OmqmbFy7OkbuWrGYj1Awj3Y0vlePW3rdjqxEMUvKj/Se14BcSvXKHEOBRjKR3a +ihti0SSTwE3NYBvmw+p92ANf7WFcolp+GO3ABvfiPAD54WowERPzKzO+G2pb/GxB +6RI9se5WmDKNKka6+DmyGC6nAxPmq4/dhoq95GYvbvP1IPCHRXxld6QBtZgcKOLR +XtHj7MMf42uC/9uar8qCDGdIvIycUH35DIk79WczXvCVLHd1KmadSL0PEmgIarmM +perTfrQPSPUjY3ohfdWkjvfQ0ABEsyl+xDgXuH+V2/V1lxMxHOqTI0hUPZE0jusO +f8lHR+2KOVCfgf+rR8oJ6Q6Vd/YC0W00tyO/g1vk/AvNUaSLMwPSCQQdVvmgReha +FTteT5uZfkl8u85ZLDtlL78KjbB+XXrE8cx+T2k7tdxT8RALvUPjRN0AvZ1RRg4Y +idkU9bWTaqa2V5w8abfAD0fCgKqrf6jkuuRLdiYotEquJ4TqemGsarpr1k3JwIxJ +p8FuR1EttOwXiO6gLrYeNKZ2OOGG+4EfyQSgUI8V+tq8j2Py8rfSNsrf5BKMl0jg +An6kVD0d+KxJc8e7fDRQl3EkVcWC1ij9BXxi9VfNb6BFThSsMS1prsRUJgE+Ko4y +3q/IYeSKrdD8yhwGYg4ipcgyjPPZ6/3ugp4Ksxd0VgXJcwgljPe4qTDDdNBweB6v +NuRyqsyMnWw4v3mJt4m3kTuSHzJUcCw4vKkokcgIZ07cxgsQF6Z82gv15CuMP0Ut +cZEDC/dkMCzAxJP0b2l+o602vAgMQRgI739xuaRSnWV9djNqY5bWgHSD2qoL3d3B +ba6ZsV+VpVoZs9YyJnLEgh5cNYnUVmTgNWs1IgWQATnCKxp/145nNo4ASjr3pDSC +/ot5jYVTgSarQjlRJxg4jioo03E39Ja1jEjLSFf2DFy7u+aOzi5VRcl5vXw4J1lI +WXB5MbzG/ygYjGT0u8BN0sg+203nsGXXrEZSFhcH+1rNbGu8Fq3OUh8J4fHN6994 +cTaYWW62No7+IAEAzFW/iXhJ3XXRgjZg78Yeb9luzC0na6GWNpBvwEMp/w+A8jq2 +7YymR03rkcOPVnOWlOzZIWrtRirQk+xqRS6gRSxdHFvTekKLXRd0J9acDEsQHkpQ +ziuBjdGZDsZP/9bebgccuIyVvVEbC6BQ20B7yNEYyQP89DRmxVOra77cG17FfIli +7+AQ6yCtD1ctTb5Lxq80Vmb8HAeMZztaT2kBXiZs1qZSnYzV+PbmIyRZmJt/MTlo +fla4ziKWkGRkiWOFhJAQPegYNkFW/VriO7trCoxdHq2VOj1aXBxRgX9LkGcBbP94 +M3uvPWm5rUkKLrsbYKU1M/1oobr7dMD8a5IMNQ/xCqyu4NIkCX73PgQVaJIjLhjZ +UV72FUNC2Yvj8HzHPcQvvAxV9KcwouXs7LM5YlvWc9EXYNOt8RTGSEamDNI/2Dnu +JhTrjOXs0sbBX3BbCoXGvIf3Xs+rd5oAIci6B/U4xWaP0+msHe+ug/FVrYrKawHK +5KbP1kINNPOi3wiSQupgidn4KbBmhaRLKbXvhPq+CbHEwFQht6Yk4bEi7u7ZzdBb +WRD6/Br3+qhabQRhRtpv3mEjyENFJCilnggHCeIdnIpEDQdmERQyL87Y31BJqTJ/ ++sLczvieNCEQRgDcIZ3qdoFb7BspOdfXuV9iMJpqNbC8FOgw3W2pPf6UjU5mLWUu +tgG/P6bVNL0DnpZUuYILrN0mj1IYnuNhD+FuxXfWgOYsnA4lbHRqGWnVCZEvMzAN +dF0TlsifGjD0AiikM1nos+NwVfEO6eTdMcye4sB7nKCEfKXNH6txhDJDzUCBEc3h +WdjuHzu7p3RTnh0X4bpNskOBiBXfGraUVsXoQ1WG2R6TwjuyiDVhwYlXX0OCyQnG +Q3NplqJ7rhOfZurLWNs+FDWKJXlNiMF0Ipf2+mOSRAdbM/aJoQZrbQ3NYp1RySgu +K2ciWk52LhVbBcDcKC+UuSQbe9JtIWZw/p18qoPSWbIcNSuuSnfdsh8XedVwad7B +UI4OK0BMg4zaqwN7NdyvY2PmP+eWsAsL0rsvi0GIUyKb6wqxTs8Zs5J3tdL9/6PI +uB9jo0Hit3170SeGMnPFau/2pinCjuJxb8vCP2ZTArVNFHuRYz4eZUuYREfHOfJL +pE5NdKDhrang0+UdFWkDnXRcPQwW7zGNkhjmzw3uKTrDc+1DqVsyXqr3wOJkzuMK +c4oekGBE/SfL6I6JIEwYG4Q4wvGPZIHT/sjzzHNC1ECZNgNNRYl+kVsnQ9VqGKpK +aAWtIZjZDkALZ2WMxv3p53/tXwBfUGTdJaYrtedCq/3Ch1OyrVEQCHdwaBPbH8gM +VRuGXOV06HOnhALMEGqeA6kpK2dgK1hol9Qy+TlENrNaKecKJI6mUQ8H+3KVQW4g +zQwuNQbr1WRTrtZ4uEGVdPhjumsB0mVIHqV1pG1KiGGXcUEeTXRdQsPjS8NpWH1R +ogssRqQL3ROYZrn4P8k2iGaOeOSKmPiEoNQkzdjQzL5cTPbu9QbXFm4EyWSEqqfo +0KRa2lphjKu5Dox2YhGKuTsfa+VTUTBvlA+4FqUc8lu3vaq/5l78O+ZbIcNCfJ7r +0VcdhMx8+SQy+DFgE/pnSXgvT3lV77N6ZtJbpaqn3liH+XV+bwmMPGjPUnf8IgiI +SwDVWA4PomxgnrcFModm896QbZJwSY+617vhiMtiTauwd51//XhnDreqWtOQUFfx +0XbvHK5ZdR6ie0NxUAeZDVJZhzkOTc0GCZbRhXXWcTZ4wGSoOVLYu+6aEqjJy0oo +/m2ppvworog60cAHOIoyp40ZuPeM2NAd6vOY651dWcggyNI2sjTy7la990jZ58+g +To1Bq5Q0Yyj5VXc3g+Ptqh3Vpu5wh/aaofyiUnMRqKr7jflfzWYFpBpvov9JEGYW +7eV7/dtbAgptghsnLoC1438EmS0WFbmGoo7dWIavq+M8w/tW39WT4MX6/xHFLPYx +F0h4OIZwmzM6Gkm4HEIHxDFVqQE4X76KBnCI5E2THanZnXhsse0wYECqfLis6jjj +y5scQBgDAIaBrLZ0yXxgTOQlz9hdUPtVa2F+LnNoM0qR6cyy+cGT3YQlH+1QjIUF +g13QOzeojnsJmdvwzjipXGD3eDcofChaY6SPSKwa9slvv7YM9eAIoxeZrs5MIqXR +WPdGmknNAhkHZ3UbhInjpqT1iJ/CgsQJxlHOavwDqXNxnagL1E4OaFE3UJSnSPwz +7mDzOxWchA9Xu2qb1Uns7APF5v88dJbT2MXxaxHzS23Bp2fXbakYmIPCVHBSqIcX +RwDlRTqfN+sWkT7/nFxtBDreDt9LawJ7dq2SBODgPwaDWaLdy5aCYPMv/ZX3njXw +JhTPiUt//7i+Ng51EGShm8NWIFn5kB6uxRcZY+k04et9n7hZZU1azXMXKmApE7c3 +YYMd+Dk+ct8bSoAruIXkh6s+085K7N5TTFCmAd2lpzmFBPL+ARfGddiWnvX8Agtz ++9RlpCDwqViTaQKHnd3K9aey6PhNpq12AXNvWkcMUXzBZHOaQxB+9rRHLKiLI25x +SwjsP+7wjSRHhVwjuxBts8L/ua+Wvr+14vVW9Lwe+kbydephMEiIYzoOhzGZW3pH +PN0bY9Jn3KF0Sn7Hp73kYp2fexE/LpCK47/dll7+pcnKrZeLbpFDKSjEd8/bntrp +4YQtzrfKwPOnkFuSbkpmNrLJz1WKHDNnDtyF0Xa+IDz0kI70mcmz29trBLawITOa +mudn7BsEYcfpShwbycWRsthaAvDHjVdFRPBJn+LsM/1O/0NNxZNRAHc3PtWS7WS2 +BkaTCNA8c/vqKmDOpk825TRJCPM5RoBbTNxoJglm3CAS2SUs0YF/2R18X+C4C70w +Ah8yzuywa3UvLDJn+1x4krvbuo7tsIUBKQc79998jkVVvREG40yqDfD589tTk8Ib +mvH6JJZs6ks4VPlaHIV6E0FmCCktrSmYmjoPij1rNTiVk34UvaRgkehE+CacIgE0 +18rtXlWBHiAkIkbpRxgYUEbIV2K8xTvxArWZmu2Tfym+TsU5YNeBGgz8l2zkSt6P +K2GwfZEm31rSwauzyeyRJ8256kIk/4bm1VlbRG/93zfXyWViaD55qSJnWo5Sf/OH +YaQkTTl4omC1L115soZ2OUNN2hw1PDqSmGf/KuHOsu1e0xknGeBBolCW2mLFBDX2 +uSfUM+9hB6c7fBQVzAUWd/plVocZgvfQTtz9VF7v0w112E8ZW8SHb9wcgPhXbtnl +YmyHKb/cAxhwEzac8fSvnPej+/qpo/GxwoWrHqnXr/lZCdoW7mbMqCVE1j/pUSih +YcWRYXFsGrkwvlQXIBA3Lk08xtIBCZVBxaaAjTbOMXQJcX/4wdAIdNKPTlbZfp9u +0GiwvZgm0uKeIjMOVyIFFJj94uTWCqgjSrzOL/vjGCDi0tO7JJRu8d00x8AJNTDT +YIadIzPAvQB2dkBjHKFHTzN8HTSIVbm1dAhZwDySoGQulOHmkxJQ69ceGqrosmLi ++K1Pg3PcSt5fqn/t+83PkXnRMo+ZeyblmOjnvMZ6b7MgG8XIEagDmOxSuYOTCihW +lFC2LYzSQdBPWvbeONTZuLZGZLOODVib4nRvajwnaTXEaNj3ZyOC5lHQzM26qR/4 +gjTRSh6sVy8CJ8MufQnypax82veHjL7uTNn8XIbYx/YUwlnOXgMMXkcOPmOG1VGH +nXYhar/iLqCWtqeq3VsdjR2+iMvgBRZh5mE4BUWiTAe5WR8SPvraiSCfV39/2XF2 +3+DHj5PlwqpPneBYOMmFSQKaO6v1nJ9rTCA2wTPB2wGq27fyAEMbg4XGr/QXPu0C +v6AYbeI/oc0iAzxHubneRn1vOcC3cL3LZddJIndzfpPEz2D3TcmH1n8N7SBGYkzu +qKWK2qIWRp+v+XTo6gD8vjoZUeAY4hFWJcKzQz34cVtah83MEjGwcAT/TLUyJT32 +ijQR2LeobLKdi0WkBuF9o6BuF5OU0pKC44YPHvaU5DdOlT0nt/6WZrhguOZsMN6r +8YK0Y6h4H39AaOBo10CftJEtMNMTEl/z2JgjP6kWr0Lg1pZy0D8klbCm9FxFu0Ql +ZezoXsmBa23tqSHeEzGURQPqREXoJ20BMQvYVF85zOEQXeAmEJW5CUsG2MSB1UpU +Rt3NpYFHTPCWWdqVV3CMZBJfhxa9hyFEA1cOLMiQ/+k5kMrCQk6ic3GkF7qsFAUR +IFVJeX4Rt9AsSCu4RQtFiyktTN2jp2yuRXHgzHu9+YGb1x8ov2wCD6XHEyhcyXtt +JEk4hilfUH+BADWEWNLH6oOsTCSJ3Im9x0xcghCmGBeHvWP8Ucs/NsMG/AA/VN8x +WTwULeWwdYuWcn58vJpl8zuh6I5Mkos836YSQRiuzRNCVdVB/Q3iBdOd1Fm/iZDI +QSLmxZ0609m1BpfnOj/tqLEtQrjBWzrbVvSWN5aVOBji6Ue01LQ8RHlcxf8seK4D +BBBIJHNLT+qjaAmDKotizwWJh5Afdjt/w6S1jSTFRr8mudKYslObua2A2nHVYDGZ +yOVHo+JH5e3IjYKiK4+pg6Dl/ZQ76M4Lx7O7GwF0Tq1yVl3ol6Ea+UX+qK3J5rQx +cgEnq+s8/TOtAz55sv/GFs/x5cw4J8ZwO2MsJTTA6X9GguicAXatJdkmwqpMQA4j +YaCE/6fmTUWdyUFDiWuKpdNZcnOLBfDa54H/DSXsb1akfl17EDBliIC+JCIZhMHd +SWzsOYfJsSEEgW74v8IhdQ7otx/X4k4w3Fjj6Bwt74kzjo7E9br91rr3UAM3ldtf +gJwZHhGKkY94X6YhTHpWj5t95cNbBEsww969GPF18SQ4pBtlLpPKkpTSCcLohsKe +JwSrfoW1cgCGmHaymd1Z+vq3AMWT0h4EIOSBYfOBAkqSNjmPxUTssMCY15YtYkOS +Uj4s5iNB0vlRheGnLVaoPd41M4AdGoVK/a8G6TsVFjN2/LFIexrlGDguf9JxNM4+ +6O3xa7VsCY+SKQWQcT8/uA0qghiTLSiG1h+stO4HJBK9HPCfhHxVbsUwegv/nw9J +yhOrvavQItzMQ3I2BXks5nnfB7kvljpQEeEDBY9g0uOAQYlnAD4/gswGyFq0ZhfP +veS2ztUTT4IejscSCUcjNNSvgAh8GQfR/MwRtUWUa+mW8d/AZOG5C8gCMOSiKpjA +gs/06/T3i9irwfLTat2QFDR1q/BNLOhLWDDRHMkwZutArrgPiVztDYdxGJ8UpVKk +f9ps9+SnZlcbiXYKVEakYdjoUdqiHEEL1WWMh0rlgQ+DDGEzS7esLRn62tpR+xe3 +8K2ihOFK9bmePFqFlMD3DA0vRaTmzwYTwr4W9DQpDutGmebl0AoRnGWA20Gxy6yV +zA8AA/Tap5sSvPlGqmo8s7/6V/4EKVdqf1FSNWeRdEEKZebnCisCl5CS8chgNeg0 +K3Ewj9opxnszmecIg+xTqL33PiLpHg0GA3Nm0yDJnEHWhigMa8hlQDTFFXjmLxx8 +eLJu6u0+o45bhL51kfOXs3qxiaFTwqHs6SrauyJUmGzJqBfG6qI5FiGIlijNRAJ8 +X3y1s/Jnrc2JY1gpVOSjQi4HhPjwEiivhkUvluMzNyc+j+kfA/pn9oefSqJyC0hw +z/Bid9cFkS1Hx/rNGXt7cZT70dGB4wfW3wT3BKy8ansm7fgUO28hk0a/DnyjFQCv +4e3UmRW7uWHHgSojG9sQZ8ksRTH0+KPqFiDc5mOgDtHcQ0TZbgVOd8u75FaQy5PM +AyO+QWnz20GcJ6h/of9N/8Gf8PDIs5H+dce/hATUNM2TqoCQvHNS1E6cgWXMwkvB +y/p2klLrisLe7ILgZHR9LvAtfGd+MfoDIyijsigfLOkBt75iykil0pZby5YfeLo+ +LGhNpKsT4aR8UkCS87qVwHEmJ6g8w1sp9YnnqjBgk6JHjKLuo576QY0v89lN2vYB +Pz7akdNJ5TobTC+ZoywTXaV86BcHdU4DhqaXSBZMQgJON2wILK8YBwMjhvZomCdJ +BiN2nb1Qmr0QcqBA9X9XWvHKsv2A/4nnKmNhQbRlUvEd2hUl0++LyE6qrxHsjJnD +Pg4kiZrksUeXtumq6COpMaadZPdnb1xGFjAxrkA7qikuMAs4pGqZq0pN/VbGZaLA +zRI6JYIj+aFa+Ev3TpV4FdQf0FUfwSZlgC+kZU4k048BkC/DJGLBnuxCuSpSnibq +Mjzy2DE1RoNlNaJcVcAB6HBR8vIYszg4LQH0tV9v0XOtlg2Vd5oto0DY1h8+CQJF +pncQBTtqdiyrK5h2XBAidGcsDPlVQ4TyQ9FQj8ho/7OKQLxAyhZC9wsPyhedNmLX +mL6bif57EfVJ8pzfI/Tfmkniq1ExMqSAIMVB/krPQtYReICZ3PyNDrqhVJYu8NmV +x8tU6B5gN97ZwAntPwM5RuzgQSxCZb6Qfpss98LJ6pRf3UoDaC+2EYxLziL1fA+6 +FA2K9doJpAi9d/QeJL744vP6waOBHUCtp64e9pGB5cvEHOTKAk/XAy+u3GVCaeyv +CCp3fCkaQ4/Fbi72oYkROLqbBO0xJ36gJkDdrXuZzEEARVCprVBHRkGnn2T2XpzY +Jib/bOl96hLr4EKoPDpuOM2o+gfUe/BO/VFev+YTDOIEgsfZ+AosdzDu7QlucuIZ +f7FQDI15DApby7n9qALbQ+ALwMvRpKjrIJ9u0RkTSQ1a6qD6SUlaJ2++53LbWhew +oBnqaoQ2L5cN9TrfEPa1YoF/1mXIrKicqzhkq1muSUmu09h1bntGnTnacD3NQcqx +XDk/JBVyDaSBJD+/KHsO15Ur/5Mwa0LE4gXqdeoSEuVTfygQbbZOvWI8s6Mt0lER +dWvyFz9qQjRoJM4TB1JLLUmd9OV4iRT/tx2LkcFk7+mUiYRQ8LkovHddb1mRcv1Q +ueFW2kb+/s2PQfkEsyPAxbD9rPRC8Hsm8cTGzWcXffst2fFl9BeafDYEnyBccvu0 +gnifAx8uur3FApy+D3ws0JGW01eONdag4kAzOz/M5UIRfCU4qWN+4yVtw/vWRrWB +x40nul2dbcX78oBPb/q/tXs/36zAu8p+Sw0HrPdgIV2wuQemWZbjh0M+YusvyNKD +UyWJyK5Z/uHXnQ61WJCPgjqWJP4uieEw2Z13zG3OaWp3hW9oLCjKhNb8az4CJQt4 +mqyb08xRvWxAEPUd28nUFWudhxMOad7uR3J4nVGh5HCedsxxKzHtJ/AEKtOSzTRo +5hnD2pg1bnlHShUs+VCtMUxuhAChstsm7oWjo1DFHRZKEc52raItrtZ8Qhm1LmPl +JcMyB/RA5gXrpR/di4L+LQq40Umrx4NGZE49t7bzl1/IsJ1FFLLBHTtceB43XvmK +7EEiXJOBCMmmhR5OzD5jKSTj0B7bXAn6guA9ZUuAq3BpCTXY30h/BmeFOzycJWi/ +JWGiNVG2YmqIzaD+7PGrba2pUD/K5gn6jxUNwOPgK0j+Xuy4J78rUMOGJULgsu5n +fyE+AQVCl2vyfI2whz86rrHWDficAgc6A95TSqtgwou0+LULq6rQEEGcxNZq0IIr +xxLi4w8hda3sO9zBiuytuUGdPktA2yXpBs9IU877amSzROTIM9tfmxr3HOR1A54a +8b0Gf+/aiHuKRtTe8ZlPyyFBBj616yhvf2MVCNavJaEPLOd45TZDcsvI1L/Bb3Sb +EhqovvSx6qznA/nepV3H9CvB+D82yCiFly80Rrn5dsD4Z5vYDM3gjtnzYpmhtTAe +QF3AHTZNiB4M79EROc3J80mAQPZ648qBzbXqB1NOo23rtbWEfc9Fq7ZjKMnl+Gcu +5V3wSKZmdCnlUES2qCmn6yFnvQ5NQ8ofsRCqliQadovFpzc8FddFFZCqR8v4S2qh +/jPVR1Eh42qd1UxKCGh319e1Xn7+xMG3mOFFZwClpWrl2fIY6HYsFH8jV7Jyx2wO +knQDNRuQGzisu2Q+eZ69/SGzUbtlpG+sRiKlbdv77bAxPPlL3tFfKyvBESo8LjLf +psNYbBzDev1X13YN8Gvsjic26tuX3SgjoIB7XnCkiO4CMMjyJQ/azs94V/g3HtGp +70Y35tpVLVPEuX8NMISI+qZpJco0IXvL+kMa3LVxyBC1uv2JZZ36Ro8Al7iTw+xp +daLw6d7cLqiWPhZycJ/w1IJvh7+c5LLiow5PkpS1t72uK9zJHLgNG6kHPVuM3ze4 +nEaqPKxWVmWSsKIgtToRRuhYlDoku+NP8WAkjaWuvniHx31jvhkgKzFNx8uzHaN+ +XSaw93KOYeJWdpsSG5ifiQ+J2RyFKY2LlM6OJmcdv3UqtTIqn7tvyZYvioRPe+BH +lNhNGEDpLrIrdwhA8dCXwnQmKVm7O9G+zL2kz/VfSEdCwwnZp1EEZXR0ThxDR2/u +p1PDSzyvkad+Pn4ZViKsLFRXkn3DJx00WrPxZT4rOGlcxNnUvMusvgEM8csWYQuf +VjRqAhfFJeMHAjEhbhDlBxFyur60hvsjQQakwGsLnGjEMsbJrMVMAzqWsvKsCIjU +uIwMAxC0etR5TEUUeoQBYN8+Hfsg1nx12ewjsnYilgsAEcUBdvvofkvrABeOYWPJ +9LDGkBSwRv00WV1SHa8IYXD8wzxysDd//QRENqEZr/g76iE16NRkIQ98xBuprVLn +ibxHe7uilqYUqUYHDrZReAKcX6cVsdSVqC0fRwDk9TiEdoJWYi9RfseXYLdYOxB6 +m90PgAzK+mqnike5xMkof1cwzR6Ghd/EZB6FMpjuWGvfVCE6LIwbVKeDznmvVjMm +/Q89VS6Vx9b8B2oPuwxCBAFiGzfkvHjvvIu4eJBc6W0qH0eO+ssMQeRe+47j321p +2A9XrdXHkFkF5XC5GpKUUap3h+Dge9Ra41ooXe4sEqW8yIiehFjH3t9ebmZ5dB/V +FuQL3qAJBcewZqDHjsZI7H7OC1GWARsMJQh5OEAvYMjlXArNvmrTTBTmMt/1+luF +DDtV4I6hei7B7Qhaz7E75rlk6V9QGNU5WBxAn8VmxuKnXQGRIvWsMN18M63OcWNU +QZ+JWV73RMH1nioZ+ux+jfz6Ucs2Tvpi3c/pwjc2bp7HmT8fU0ZMqQtIaMLTNSM0 +B8BwEVA8ESe7NE+iTlIyrdo58Alam4ek9EM1EACWFgN9x/oggVzUsCbdPMt49Iie +iasJ5CyZZ33c6Iwl5HyymJD3X170RmDThI23YK6owvw50w/kjXfhWDRsbwsAeyCM +3luIkJxP9YUYvIexHSkhbzfpS1jooYp8kI1BlcRKs39Bf0jPmd+beEWHrn3mN4O9 +chnIZDxCJRHVfdLeUpzVXhR9TjhKmhw2VUP5J7LkfddjayAzoNOvqPY8PHGRia4/ +w0hwDgFUVGJGK4h+8QpsjfjQ6+qkor5501aX7jKOobO2ZNAQjI3cPF0IQC9fYQur +tVm5yckrsjQpWoAaaAFsBM1ie2ktirayVn9ee/OtiwWTPmpMBqfNCes1KqMVMuoW +0bpJ20wMNKIpVZ4ATR78Q0CqhLKjG7rkCzEQQF6HcAvnavkyjVSBMjV6hdXbvOcE +HaPqIfjNsNyI+Qmm3R5eUDIS5WUQPmqz0ETHGhFnukF0YBXuEzmX/2TdyOGOfDzn +DaDIsl7WXGugci75PeopxEuI1L1J6VNMvTq+ykRV/ntptAbEkbUdT3P62KyObvj8 +rQiJA4/U1yytsu7uC7TeeBvFGRIbyrMGEGBhE0uFVOvdsMUYYGU23Uj9mtLkZ0A/ +I0tSTJ1m844hgge035CCQiy3FwA0cjsMu8QLI2c28hnmW3sPgKuJ9F+i80C5fd4F +3/B9MMUMVRq26fSZd+k61MhnUiOvFKuH7f63peCucExjq5PEKQtABtwuWkX7orwE +SJ2KqJhSy7ubA7Gd4EqvdqvcIfmrumhn2SxRmTe+cNjfBTms9hSMo65O3ofrpejy +jK1sL+0oEVhwmS3FPAjWcX6pI3CHCuUa9Mrg97FgnzRwBrNhnxTXgyVm0hRhmkVd +q3tE5a7s1UGLBgxmMDNIRCKKkNpC7jP3/ZJjPv6MAxTEH1w4a0SB5elUw1gZMnO2 +uT6/R7m1f00fZZmmnT9egcFaZ/ehhTj2ThnwsIOnmFBlxzAGoGhd+JpeYb9i8nVn +MH60pvBfvJZc9WmBP+rnC/wmgpXVAbuR77YUXTVU5PALLlL05asfKm+T167EY+ti +u0imxQij6GxMsUfL5fgHJhEBEcAbLG+T1fuVWjrO1GyeSL92FRV3XfDyLBVJ/qzN +6t7vlUubmXyPIoNQEBXSjTiLLCdiB5bydEOTGrDcBIj9B28OMBLoNvKY7k1ZqAuy +FJ4ZcQT+igD3n1e1KhYcU4Dqc6h1953QQs5Ps/rGcjR8xxbXa+FRRhN/NuxiW9lo +2WbgNZa22OuenWoliemg+CPgDorR9GzHimBeM/cvfw6OZCMV2Z9ta3FuiM/xMevd +8KgN2r+v9+hMRJfKWbZJKuYmn09zjjpDBl+7bftz3Qq2xpdrI2I1zA5h0jPwDg/G +GxDy381Vt3IDARrsR8FsO+vSUt/R42LmahETxpZDo19Qv4yRb1Co+773UU72JQuP +7/BcVT7QaOiKWdXlzUN6OqJ4ZOIaveuWBM1aHpMuFsSme6o7vpfvxoF5/quUShYD +B7XW+coIfwTKzAdxTAgKHn8Abi6iE0LooVe+4h1XUfgXz7iSYTkQRke230zlnKsT +RrHWv2tmKx/R3HMlOY4JFjUIOBwHGiNNmgwqrG/ja4VSz1qTn23nirqrTr7MNF99 +t1A5eBZ/ktVgQKYIZv4YoySZfRUzLegIkZhk0UtA+j+pB94VEJrE9JojQmm4xPfb +LtPtwsnoAvYYt6gUYeFCGtiFcoBOdqnXVgWl1Hjq/JVP0sf9x0PmTRLXvs8dmoQD +dAaD3MxA1iZp/SL94+TNFPSn6/g8XslRSouwWwNQtQHb52fVW3L3gf83T6lR4tMj +AoxFW8OkWl90jkUiJBf22j02ANiQgQOOQfXRGeGiUOxZy+ud3/AlSV7QguCe7d51 +wiu0+7z6KcO+L6+6ds34qtxBVJQRJmbq8FFrdRjDLEaB36K6xP55qwS8yqaq2UTy +l6lL77rftntDTFWv013xMTdpP6OZiL60DY/m51S1pRkG4FtbHGaCtG6G6+Vpiwzd +M5ygkEmRuV6XFsb7Z6g3cj8prIkT7r3bB1zuMl2GhrN2Yb2lCqDYLKMas5+QJ85D +hXoHa6AmKFqi84iUz63XSqRQlt/sTa9qSBi0KiToCy2qGUo5uPnjbTH5+nQcyQOd +487meCVYmk78UNYsJ9SwLidrMTCuMxu0DuP4rlIsunx/RgQHAGcxZaNE4JrVpDur +amTebOq+9Qff8kTvKbn9ulf/vfSeKM6jei7Zl6qvu27fByWPHDaHw9zQpBxmCiqB +Y3oh491TeQi9uVKWTIkQCRlaa9bWjDYX2pIT0E3cWLXSt5xHt46j9dwcxewO3KRZ +QJ2aCubjJMNc5H/sjHPcThcQ7dPDNKClhF/cUwTuGSyYDfkpMk+i1tXN0T+J5uVZ +ZFbQncGSmTmFQWrBAxfy/WTOMJuSVItL2z1ROvOxpsHpP9+b931q5XNRmAp53aMe +2s9Xzl9VCUA6ixRX+q1lFVZsXaWP5ZF3v3krEW02PMlt3B3LGNHu6mWN63S64NLl +tgA2LpupFqpNWGTFpdc6ei5bHzZQLmG4FbDj5meY8ZlzvejoLavd/9VBzauxOM+l +z/4PKpeugpCeYNadO4H0dxrirlVm0DKMm7UUoIbEDO01nCME3YsZa6gLlnXwXv3J +nK7THpObPDnMIkBCqj+w452I4WuBieUxCeVpSn3a9QeLhfgjIl1niz66zG3RGEAk +7J5xFAxK/8CKwJpDeFv35tu+rDRg57ezujDaxk8lh5EWlFGCdo4jQVRcFZWbBvth +8O3x+zyu7kYFOhG8fOWXHbzOzOAjTa7LhqyQIxyGsIW/fILg5tKOHrxJB6brThQ5 +ExGD2Xr6v7cdfwwg2vC/5VMHsOqhN1JWPftUwA5VohIN3aOZj6UlbKB0tFX4iI3N +PwI8XL2rkpE6K3g8YEKWoqzSUZgFET26Tw6/7hrTYW1B7CDVTh7W4yd2GoCBEjb2 +YnUrPMDTOo08+xvfdYFQq5vQ3bHtYCyTlxKwUMtjFPy49P9bummk+Pa0Ck43SP7f +c6JYGJQod/mFbqUy5LAQbXgm+UZN3nrn6oNzaO1w6qX+JeyQ86ujmzxN/xTqKld2 +xicXKiq5KGQDGrIhOVqumygNmDf8Aik9t4VwL0EPKfazYCycbh8zLjaF10LCFIKz +6PeKcDrjeXmKb2HQDHAcVDuZwTbfITgnnN57bM/ezefPcofCvKsxU+IHdXUDJ3WP ++mfA59a+ktDsXbWkdhBGvdcOgWamMyqe14vn2zN1qyVKhnizn0E5mo+DTSx0tU7m +i8K8LMtdP2QShcFLjh2B5kgPwoCaX5s13pz4DCqpZhqcOIuSJ9cl5tfL5hZOI9Tj +0B8/+rRlQ3MDN7YwbD6FboFCzbTO8TfF02rcWUhNDuE1wQG0nmHZ/TDW+oPZKlUF +IdRkZxzr9DvoeRbJvjaK2th/IH8gBQnxXOecFDVrwy+32HqGkpMsF29nmaI9PlXg +v3CIQ0anxhXkKc22/xNA0OybsHZJ39XahnhsUyYwlsc9NmKdyJck3P0e62CahpF9 +AFS+aS25oVwe5K2iNJM6hK1/DJwJYsKhTzWI7BlOLbfptNoG6piMhHO8FCc6vtNZ +JLvRACRT06uO/rgB4b8HutXF2hJU6ntF34UUdBYnSS4HWSOeSODjnJvYXl6LECPj +8rYRbFSqPn4MWpJJv63lftnTWomEVT1IBHJJCimn+6pGObCMU0rQlhkQHW4RW0z6 +qIDPrCVX8mvkWpS2X6LK3JYcjs+luU8uE2zxHMuglfIweK+ZdFqs8n8JfNfhHO9S +4UbCYkejGm1ujlbA1LOC6BAEc9CLlhIouD+cvNYMnaOoerWfuoB11qrlFcUwJLTF +Af5CoKzemo674jziDroNRIxuPCJU7FAvuhlqAnFZW5IJ7YruF22scufzTXj6v9GP +zR5Q0D2EDdaho4mTbe+V7JKh8ngegUVCOAO890e5A8tsYPkm35LkFU0waYMub722 +P0U92PXtGbqBNHDm5BILXRakQv4NIN5pqXCyKhq5seVEFq//vwzkNIgBOpMAAPYn +DxX69K3yr24D3YX5qNlZNPAO5Rit//vkihSrOOGBZB4zaaNmOFnOCNGIWE37xu/8 +z2m1e4rl0HsxdoaeXER2+vYJddTFaijHffUlsMNUEcKveeGiSl8cwUwxXzIr0I35 +FwOe/DFaTtKgMED99RgatPWdXG8Q9auAz40OQRkVV51sXZNc7K2OKW9CyCfFuqAp +ub4EQhknHNk27K070jGnrKmmGWOCtgsNaw1S1NJXlWBwlsJDuVsTq9Pb6p7icjhh +zSBczfgw6dVpSTmatXrO5yfsitpqaGBVE4+pEkb3AULH0r7xb+55R2IDkhrNZx2p +2NoY6b8VjjI8pOQpCKE1oF43srE1KyRsoxPdh1NMKYkdHw1EWOslBItf5+E2SmGP +pXTx20/5LZYmbuLdik08L7Rj2QhoRO1ED82uAivpHqnNszQtCEAuOmRkb245zCgW +Zy6mEYHQ4bjvaUZo7q4uVAQ+ZY45v/OY4pfDqHmlbq0wevynGGKI8clznhCs7VGh +CbXdFNwBz2jFY8ldOgwmk4+YZ7WSviQtpIe9vPsJ7FoamasxZuLfgnB4OuBJRQKA +wpCe8M9HZmQ2Cbe2bcSjCzvxmuVcWAjXdIdbOaA/lqlLBG72GCDDUDHCq44QMJLL +2QdzVNfxYrHx2B5ZWHLN79cfp3IpqqGPNIxInjK5OyL7Ichu9LjptUwEU4vLGwog +QLk5hWqSTQ0dwe7l9I+Y9f9vEm5BNntTShIgywctjU5wbP78z/Z5IsBn+oAupVRi +R4QYO5NtJaBbDgk1NkXsF15wG0d9EXCxBKWhtzBVBjZAD1v4nZClCUW49nD4IHM+ +lQXkYq0WLin1+Fj9uQOsoL3tXBYmdtKGVCX2o8PiRjrMCSFnqFYL6wXXUXUOXmOl +QCPhnj9icKlxW4JKe9+zlcFcfSHDrwYc7f7VL+C2cqGZxOWXv3G5Rc6TY97P8YgW +4l9dYUipkUq32S3IunEj0w+tpIZTNOxIcP2JOXUdU4rXYYvMTwmqWREPi06XTl8Q +4Ppv07bQ4flWFXzacZBpNJAkyXiqbJTQQCUTsLtRgIG8T2qaKuBVo6oD5t33CapE +zzl3tMGP0c5C5kEhYXFKlqrBjFfxpxuNzHlhGKZkDj0rQn2Qg4CS8oFNngEyPfTv +R8SC611TnfL79tj/Bom3eiuBPcp+hh0z3YkysNi7nnq/8bJqWg9IrmwQ9BtvOmCt +cp7SNlZUfpCrdWscDcsS5oBC/CAwOrHk0QAzmuUMsWz2aCimGQNhU8aKJlqj/KF5 +UNLEBmQZcdItFUTB7gsbqCGOnSwZAY17+tAr2ZJDra0TGQEjNJygbdXP83faygE3 +NYx14NAVp3Sbbb2cD1h1+sBrgxDaSzLcm99pugNpppQUvWLXL5WU/7H/XLygvErP +AD76AWudXWngL+0+Nyeozr1a4F5BEWvnOEzeVwdxouKloeO5NxLnYSAZK99ak0zM +weiqaQaYjWaD3Xa8tV+99gprDIQDgq1d6u2/uwdn3ls12idlCXzElr5F1ncC5ouF +PgM9YAPYQZEdPS1hQ7bYmop+124MlyudKzSHxtF842aTFA7Sgu+phAnW0Fvh6D/u +E4URJtpu+dxshtp4Ph6ydKK4Ph4gatXfUm2TzhSP2UsaXf5zclr+s4NddqFdkpKX +kZ7pbyO2IO222FIz7qmpEWfSO77zkY1A+Gi/EZ1rqgNALW6YhIduE4L95yALAiGd +ThMYVq2JJxe9IY76vY4PGfxdgx1fVHaxAGLIpl0QM2FopWHQb1oSXRTg0BgB7Ym9 +rVVrHeXEE6kYfSwaBJ5gMhONrqhqdRNQM37pEVIP0o4K1Eom4P3KPo9Bg/bAhILz +m+YGSkvQZVIuDo42kYj0m04K0ugGwSyJM7iRp03M4EfZRytYDCI1+dRmW8D758bF +K45oQzyCeYHeR9DqQQGdoI1Jd3Wx3nAHQtArFc6ii2yVIpabjMRpERnmjGWUpE0Z +UDFTWpypIGLda2TV5+UgSAlN4bfbaMuNSm7nbBwgVrrG57N7Otb6rE6DbuV7mbVN +ctMYCRRMIxS3tXgZ2Cjsm2j0ZytbcyCgBxpl7lO7b2UMiCYXMifn1PT+p8WL8kgr +pCw78E1Tf4ruP2nTimEA/HtQQVJ6FxCCWgpSDpZu9DrroNeJzLprhyfqzgdeox2M +reJDEhj5aseTPqOWwH41jQ6/TIaxbaP5Uk1DGiVJX7d9yAuUBSIVWscJrC0f2yjc +dEiUMC02E/PPk4lJaAkB8PqL9ZH0jx1SEr+7Q+OQVUFwHg0HciCcSeXUbvwmu9LO +WbITv/oYmwzAUb3x6LqeIN+nEfJ386xYCDNLLQvw00aoMrdRP5bwiHSR1VOYKqm6 +EsQyjZo2xzMnCM/5P/1Xh/+8pxZJCYp6uZnw+d3Bl2nAgmW+AzPXaQYh6XHklOVc +qIOFoBjUSVUIU6dZad8F7QRDmpuv+0NicGuWZu5on/IHcQj7ll9dxweqPABbTC8h +xlWEx1B6RI5592oI+DSK+Md9RkqP1WIAHpTjSwOpdsSz2xCY8s4GlZgm0cbBNJWV +04Z+z7BK2GfrCKXm7XVNNeQmLQepVF+NAsKN0dvoPB3ZOK02wpUB64QD97+mmNB8 +zfxlwUaRPpWii2qJ16fVPfiNQAku9TOZ5BbpPVGvRvFA5RCnHPdpU3ZNxKvWASPC +6fKL5gq0ma8Cayb8iPQCsKm0+yT3ZUPISBOkgy+7tE+1tCBjf5l5yhZeVFWdGLk0 +g+JprfQKYj211M3XKrnoMDWLEz3/gJAxatA53zTPpNqVKeB7xpUrL3IWkCVysnFb +wTNDIhIGqlMzmiQlQbWqsNMv72rSi3/36TCibKjgBtjB/0PbIwmKusy+aZnOhQ/d +Z0RW4f4yuVZsz8lr5hYC2+ptCXyeQ/4DBw/jqzx4N31RaaGlq4IZ/zf4A57Ycwsp +fnF1Xj4gzn7S0kny0tL+Y7KrgTrfzURG/vsY2PujoSM40/n9mRw6PvM+5TFxnkxd +EbGzMvfi5ajHaNFVOgf3Ll/S7AjCKDyNqQuuuGQQat/EaFxIvTD/dnFqhJDLCKz6 +3mhxD18HdC8yjWgUbbjLn0uaoZKv0JtxgatLN8FFZ94/ThXJj4yWZOsXTRRXbX9D +SrJXWIqKTTJ1XzXVkmNS2X23BU5jixC8z2oUEQKLLlPp4XTmZPyFV6QWRmlplKhw +HJLPESzlJ7X8Sql2YJ+InRQM6lzH5OdtEdz/w8262TH0BPfUWo9oRRRQEiCKrkw6 +wj3/QfNgqhvktke7V4os5a2LA/h0D/fbNC5kI+svlqG17GSaxhSAqMO9vtfWpdKD +DzmeFU/sRS73R+KkL6Jxgvo1jwFPxyOoCC1cc3f5IsAKzPI4cgDg/v6mUwbrwIJY +KMY9p3ZwzDs1IXagJMjBK8wtPqXDjCaIJxkG8+Ww041aoPMeuzPVWBKsDBAiM7MH +PKeOEFPQGG1gfiLWI/YFJmHSPel7ltq+ZJMZVtwBKlNgXZUzk1VOwG5jJGEcb5tc +URXYfvp4rHm44vjWE1nJsUatgwH3rNxblGAAvXElJZ2syvGXMuCbjdscNB2k7X9+ +5ztDLaeGEQG9sj0nh0jV5uqFUVGeGjXajzQPdLHajoMm6KuaRaU5OSF1QYGC9+Ex +YArvEzSWbHgJeFHmT0Ban4mdsHJubPrOc88lDkD3e6swCQbLDRH4jkrN/BMfdEpt +RpsIQvkrtX4htN3iEBU3v+cU4H3AZpb3cWAy3N4ftRECzeinkvc2f2s3f6vPlwjW +73WpbSoNr1XOWlZLA0jwL6FaTDbn6hhmb/S7waxkwqEfClbjMozZ/teJQOh5w0xv +BoF7HP/2nRoPiMZtG+kny0HahKiHz4JjZYm4QU0H5CuM4aApX1+oDP7DCAi1Xl28 +7eAYS8XnowvG0SZIGzkhNIX6XZsvhAe4WyxZ9pBzjbocu/JJ+6woXh84+fwiT0JD +cQ2grnm+CMrkOCHIqmt1YgJs89cvfCaGZw+54+qxjObluYN5dVb35TK0/L29iXKX +J9V2HorpKf1Qnb8Y22k1zCmNz/DMRi37EownFW9rRtVpG1/TEgkWqO+r/UfSc/DM +cuv4MaObU8Xq1lJ9As6bRqCX5iOUhr/pICeYrOROuiUbd105SCduNLwQmGM62FnX +A7KLMaUdp0pfWhcD6d1+cCPgHC7bvwx64dGIFNHyeU+HiOsSYNkAag4fdgzLu4cn +aRKK0ZmTrM7ky2xYQrCzHxTBxXuMidlWSQjgKfHSxxteWllcql3OnbQOVLEbHfJd +QLQ5O86+GzSnq9oOS+Jq/G53prd9Mq3AvI2i1ecVQjbelK4vcrubQHD8wEs0EQ7p +YLRSjgs8sNm6p250qc+btiC2hEfXzh8QSW1h2MOaJP6Z5sbbF6oaSWHeR9bvzSxo +9fHeQCeb6JoTwF3QD2J5vCor1leHekGCpWkb+UPZ5ACEbmNw1QTrMPDCNpcH4H3e +yfzUfKv2PApETZF/WYD8D6LgIA7DHWdMGs+xVOwh4Yt09z3NlVK7Vx6cBtVZU/aP +dHBsT2Mwn+RqBNezpf2ZlGROhmff2/+cpwZ5G8QLAJlobcAt+nfxWleu0FRQ+3WC +v9rvDPAxDlSIiUbyj5tNmdYbUvde57QHnY7Vbi0f7O6RqNqU+kuvaFBQ0bM17CXl +09JAcavEgFcX3oSzblzXaUOhroY+dcfAyT5nHjfkOh42VgY0EjGLlMBloMkfT6zM +X1WZD6458vfrO0hRXDwnjCn1iAXFBgn+CGoUfbiicrvpb0WnMmXMU+qQVlwo2cJr +WJBxbYX9WB+Bx5loneiD5u+Ni7tL1K8m7WaKC/e4BFLKZIp5yL3gNLp3WRDJGb/B +kLB5orsYtXAz6UlcKHz5ycXO5nRlpSq4BdvgwuvI/dQjfbzBQK9xhOqd7bsqN92d +p+P0jHU+/OYaRrxcu3tfRxbiN9349GeFEZypoBJEGVNKCHs9UhI5SWCa16G8u+3M +9f9hIBw0sBzqFgVWL7GtNLVTPyZ4rp3lM2lhRlDW7TrnqmkC531VeDGpZpv/4sOT +GdFpeAQrnMdLOv/qGVkAJQzf0+tKQctfMjAzbYIXKlgiASaf8qSSODSzhhmlhnN7 +qU/b9zvXN24YZAOKMi7SpeOsATwvnzrttVOMWgbyF8aGPEDpexU10V58O2LJ7m38 +lH8SuDXbO/P6wk22e15j75fSWPpMxhuLEEyRtk4/YlMZgh9ZjgnLgj2qX0KfUXLy +CdNG1cexmt35MXSOGjD33+nXSWDrSm0chfv8nUhkNRGmVzSMoZPywmuQrhWdWLBn +/+X34yJuC/03azQeoLKLcWUxnuwZa9Kzq6Z/4XKkn0dQLrtO6Ve21H989I4pC6+1 +5Twns1bKI8fe7+MevBRDrxvMuUtLIwZgkgskda4M+lzcm9lBipmHlSkhiCFDwBtg +TUUFEtylKU/12x+4k6932BSNrHW9pYzeLqARjQO2OjtxSHWKG9P55D7hH00wzUT6 +5I7PTYktQgNptNhud+sPC4ALE1uzLeMrbSMxi7WWuLjZkQHKPiuYFdqG56fEEE7N +lhNYRvBvaSGCGpRJw8i1BHJnKMD6zfNYZvZowCA6J3/Gbg5E3N3YMG7Ke4NDdXdv +5cX08VefjsaORpwkHNMyuxgVVobMum+0TsmscUOg+cHIqNA2lKEOGEBtpEEYiMmO +rlC+4hR7MwoSk65xj0zJ6kjxLi/fyecDV3ABdFxU13TrW5sPZtOq1xvOuXr/Rxjp +BKJFveOouCNx/bfO53OnigNO1v1N7EfZnBdSiq2poXtw6S5HwhbrMOWIUlZCsdVx +vepIopnAGMm8A0UP8RKfgkE4O6jKV51BXjakIfoDPgkOybZlEfI6wI6M35Qt/V5i +xPVTmohpn+N8buDD+dPeg2hagsgiXp3JBvf9ZJtizN5/syCCHSeuuSnThnDj1rPs +t1S6Ngbp+ArrIyVJf2YYh0uvubYhMmNn4tgdjHBADNFqbeA4CqzRnK8sTEwdqcNN +lTtUkB7gE2c7Jd4DMvYqgsvAAJE43z9tCWs9xAbFm3QYIXSYXyEe3EvvOvz9pQgs +A4qwud5eHoRVz2hMZUgSDqx9JzFZg0Nl3jiwcqNUz4I9GtJS6VbZB8i0h3oCIRDC +JIUQd7cSUJlQcKMm8KzvRYWqKE2wPiO37hAym6CfAtoBsvCjsx4XfFoO+7+Al4D/ +qCr8GFJj4PfSp6Kfn4sL6x6ZJRDPLIgNQcS+HEajaAiIzVVeXx41IadptoiVXQGj +TMWDuG5WnqgwfRNhRzf1pLZL3oqC8ArVC9A7HDOKEnmjPD46hRoXUZ1hdxnFBztF +tyl7zUprM4BHg9DppebQtsyFIY3iC1gtInHZSfAVvbG/uZaB+yDSNBwiQiEU7I4G +tSYeKeVLG95+prn21hHufItHSbiVLgO1mHG+3RgWCq7TB23eWWk45M4JxCpfsoad +bIM5zEdsfyer6BxOp0dZ27OYS0xq9bB9FnDFfc0fbfge9QJCopOryeVXoz2CgdTu +GUcvzn5wyM9Pz/J3LC9LTzRLKhNdJNwvZf8o7eHBuDSfEGjsuq0C+wRV8hjID0EK +ioPLeosSo4eSreriDcbEPh0nfAzhYT9pPT7CX9oJxhvLvCslgyXIL0lVFcpZ4zW4 +wM4MTxEzv36LdH69qvQHDUXss2e56b9U1J+kwcMIYkeb0aY0I7Q6/iWLacT1pLak +dIl5qg3tHADxh7FOiYkwQQv2YtdpserIYmIaJ9VHTzdA/3nZod0TekT0exMR5TZ3 +XFL5mePXEmDgKuwhEHf+9XMRvXc6jlFR7/hc01MBrbMadwvlOHJWm5vwYxqzSL6a +qI6ntm9zszO1jbRrjwiBGTddckf2EeoH3mA9u84gQNGqvNixeUKss6gtEN93mmSj +4NtluLZBI2+Qk2j7cXH+XMJAZDsfzFUhQhh+2WoJ9ifBQz+NTI+r4+adKiiREqEE +T68aFJ3CWQ7GrdgkOimecPFeAirtD5V6B87NzNhX6tpzOSdz+r+dOr1kNcG/braM +lMU7hbcxxkAjQ35acItv657CCTeLqx9RONTxSWJTXbF7qyjTWfsDVVGcphyC6fuJ +9aikTsBDv1uaH1CEgdRMajcpURpWlW9s0UPhueI/8jCZKvIL15/P6eNuu+z58zgT +nXsam01aWkzlolKknZRIo0F7GJQVK+y9MPh303J7Z5WhAOnPfp5qtznIk+l1/V09 +eIU4SgCfMOdIJGWNqjcSFW90K/rE/d7t7T9O6mVzYbw8/4I8nPD/8PV/z0e6kbI3 +ggPlaFQXU55K3201ltag4QvbiKUzAp7mpDhurCwcn0buBaFX2Odo2aMMI3cM4hSv +tfOgiYzgcEZlLI0CAsRWGKXcUf7ovAniFNCXMhhrOp2XHYwc0oxl29v4gsru0FbT +KBhEMkMKyxEmWTRUnp7kbQPOLnGofN0YdRLNLuHWh+fFeeuEd1AaE0zuNz4O8RjX +VGchowNSyw1gUzG3HexEOB5B5kQfZNkjoOoc0qtzRHrcehhAm5hhCWwuk5GEIT5V +okg3LssLTFRA3mdCc0cA1NWpDqTGBjFqhITrWlzs298ZCRZg5UA3wKxKl3wXr0gS +4XZMK4kf9+v0PUDabuL/JhAsDx8ITDB7n0c4P4zuVXY7KVL8pz0bHr3U4AxHHCN4 +F6/Jsk9DWHSOdy3AsvqLoLhSSlow2v50WDERFpsG1+dhs19Yc/0nOM14cHcZdcr3 +AYi6z1N+coiYqTU2WBI4vS7cd+Dyvg3onwmsJBycqmrZxeViQ3G3u76yAEv6pAYf +LlQustSg2q1QsjMVR0GozBLXb9b0b7GPki1xOb96f31clykKP1QKEoyUI5YHW0Kw +G7upusX6jGprs76MiBC2TG5F84jiBl8Do99cidNnFCSL+vD412f1mTbB0KJFp7oy ++jjCJUkV6xbWHqaKAiqNExX+8B6aJdkbDIaTJwhcLJ/LE6R+awN5b3acc18wBPjL +bUkAHsceXwRl8NYO8ClEywkGE/yLHxSlqddePZFS1ZI8NjcNzhbU36K7eLmYFcGD +0xTuslL1sqkKUduBgd/xmDQ5Oi/r3bnxy49TviN2IBl2uEdkSDD+c7Hi5NJqxw6N +o4N/IvMit+xwT3HGuUJHUMNrbQoBfVjkjS9gWSi85Hj+d0qMA7PPrhoDvr0iIV7b +Id/zQua+8+GgrJo+KQ4MBNKAQrpS86hBTAFIGzr9nN81kUWwcXu5bV7hZdgxc8NI +PklhaJOOgumBDtHLKvihVpVe5qD019ZBVZbjqyNrnYMTeHYZPbEaGpauOZSTCpa2 +NnUINp1pQr3ADzgcG4DE8pK6lFYs3kRO6A24U3SoKNoP7uVZnts8YmDWw8H4nI+T +lYNH6q1f77VWYQYtxPmIGjS2f8zt2xxMzgf1DTL8/wfXolQ9n1iTLUNjTEFzxNGr +7HMrV2dZJapCUBmPGeUq0yIJBkcge++Ale5INg3H4Dpf7VzV6xv6pqqJk90mYaVA +cj4XjDNjdPOHL23AHun7I2WlWRqb6UtQPn6POjVqekZaTcb3Y7uXs1lJxUTFLPoq +MHDmhrBT4V9mliBnTau8OepT+LwyZz/zNFxFOTJSctNqkFCOyPgJtZkKNgaLB9gC +jMs6jJVlHByeyuBzonN/SOdGWWR7jCBb4nyxphVzeaMYiXSyeUibac/tREL4SAIy +7lUz2NzqpurgdcNAbQmmpg0Op5GHFwxDWIvcARxFh4ktHNnZAhpQJ2TLfLSHIP2r +jBu52t83Lui7Y05NOQwAqEjDMw7pAo2NkUYM/O6ZiimJIqrgFd2ZCEwOw9o/e2Th +59R+MHD48puN4tHtDTeNoj7bC8C1xqLWSIc5jX1gF2j0uHNM4PQ8yFDYvpYfwCaJ +Dli/yxu97CHV4JMqc2jtuVuvr6Z2+K6ZA5Vf8WWZzNwrhFOuVRTSNJhUznTMrjaN +2a1lNRd8TfqNR6KlMIKhn9ku9LnyYXmJNH1v7zzprQyQl7W2NQvMRYl4GImxixlE +zGplxjXsrpDHH94RghUhhZb3bXEGDpx6FKdj0jgBq9P2nEXbDotgExEd0MLRKRys +Wg4adJJa3IPkppWh2EiwlHK5mKXVSCOZqYHVhUM9KA8Sn0q/BIzi9i9ViDl9JIjk +KCnknspkDcQb7wt6MTuRvD5sw5Kjpus6SLinOJ6o8gAYsJQrhZIgxVABc+4sFuDy +3mDLm9/U/jPXQHqt7Km7rZlf49c6k4BnzJNOu86QMUHtw9x1yO8/VDRO+wDkKTkA +xRz9qLuIiD9ocqC1GhJponrn67+32ZSRtEuxss5DwgMnZHJOql9uNxpOu511Z84r +vXoIloTZ3/yfS4tKLYgx0QvGKdN1CIZdpksTgGvI5D5+I0JE9ZMqIHyig7WaR1OU +ylpdmlZyoHRyb+FlG+y0NpfrChyPLn3qyO3Ti9Wjun6tL2vSfcbnfXnRIzBMEFnN +5TLlzI7RiVOVYaT4IxLZNHZnTS0i80PqL6T+8IIvyaLIjBWDFFUYAQjnBTEBLeP+ +eZOGc3Axcq8k48Z304i4Pg7wEIumcnl2JcmhOtNI/mj9nx4qdYWZEytr55VT51cV +tOZ7x8oV25G5jAaTj/KPd1Igkhyv5Nr9NhUMOnC12oKJSWNNXXYZkohyrA1OhCy3 +0N/Qgs98ZqhOr5wEnYn37szQsLNDcrc5qiXwPFE+hslJ64Svv/9jBg4vZQTT6Yjw +VFegFoSZxljYeKVjxwpIHkQf8m61xtrlgs3Z8cpR62Fo6RBqJGgOKxEDuU29SPRh +veJluOF6uL8jS8rg1L4MMLkc9KbhW+D6KdIbe7VHatJLki54cZERp66oJpPV8qkL +bias686RrRX8p6kfPeQL5TvrZqC6Rvp7ciQZCiCkpvUpWqVXKObmzRK5JnubAZr/ +gG7St0KvT85ND4bzAeY7rt6PxX76xDJAFgzZAXa3eG8g/QN+U+8vgBsxjcfrXP/L +M2S2TEw1rt6wQN8L2/jhdpJMKexKQzldrpLv9FgYUinlJYR9Kj3ikjdufM4mcmyq +CNg7XVkeyqXrGGJ8L6+mdn2E/WuGa9X5O+ECBKvuMmHMyPsAS/eKWd8Wix1QE3fr +tOe+fWsOD7RHq1oUlwqCoUaJJqRnU5UM3LoI4ZQ5rlzaOMn191U2Vl1tjOuDl66s +760RKAy2v9U3JsjI2zxY9lT4ChUOmC5kiGWcVTID1EYFZ5YS/FMExiySb/Xa6KMe +QioHGUT0GKQX5ZF3ZOrNwUbq6rVRDOEPmwwE3rwwPv7MLFcBJJcPCDXihz3ZCsVR +CYWhDLzZ3iqRI2lh2ARnCsIi8REAH7kSzpUAH0xwbFFmcWJvQ7vP+B8daNzA79Zr +MCIzsnPQpNFQDVkGV21LQGrhIJPKO9UOPfDRYI4eFb7Y9JXYjCIjB19p9PaANyLl +MvV0BnJsBPheMPxd8CH27uTycrf+Ed3RJIsvReDALkSGgDPhU46VOrw6UePCLg/h +Y6Oza7p4yEqf0MZIqCSfiwko/WDoIbMC/iruBZifH2zoNchfY6HJSiAe1/VEdALo +M8oeMAWIzzhvPW9T/AThwNB6dK+FhE/hlsdC5bTknfXTFt0eDb/+a/jwAEycUgV0 +0YEAaIxhWz6sA7xBXaEmjHo7Ydi0x9f7pdy2bfj3km6zvs/WTKlepJhyXctnpLrj +HnKaykASOdJgix/hSrN2RVj8mY/ik7xHPyfXwaLgW3uXX196sAYGJ/ukFyTHt/3w +ZHBJCw/G3MkHuGqqq8i/vnbMwawNmAZnLEeBN4iQZmNpoYN2JxXjzrJrmYTjajRo +4i8yUnb7PoQy92fbvdOETnffe8UlXbgE+/Xf1ATHJLuPRLH0Xp0ftRkrDrR5lmXw +mVE2ehqID3u5yrHQgQDiu2TagT755qNxMYKKCfUqyMrgs+eCELWcbqAH07nYrIJu +vPgRGDN8ySoZxFXAX9JAhdGq7FKvJByKIi/8XC5fIUaNcxma8OJ4c3OvpoO8isBI +AehoN9EnquWDCP3KRFfsBCWdH8KGsVwXt9sLZKkX+kVFlzazROlY4J5gNvmBktW6 +KPzbXBzd/yJvvPYzF7xmtew7Bh//JLAQD0g4joFnPpG4loGEzNzsp1tThg9LS5yW +OTB4RiDkbzkfCE/k19/p3gfaLQ+rXnP8u/aQzNjIcPtmhZUGeZ/SBbT5fr93yL+S +unSdd8ch0OxORL05AqB3tP1EHM5q9zx7rtV+Uubt9uWGFwpB2GbTcx+P4SC7e6sR +j9sXWPLcBPbeCHbJcTc2hFbfiufz30aE0jUzbgRhUdKppYMl/jJ2BBhS9SKNVHLd +1FNYpLw1y+l5nwRmQTYUrZ2SvMaA3YdWHCoZ1aN/dRr5+2juRAQYVSf58iUudE7H +hilc5SkiACC2Zlbm9U0PmsrYxH/7RLa7JekCGHeSYOVptm338O1J8I/cLm8gi1pc +gAWmqufmelyTmNX3a1bUgs0MUnoOQz5GaBX9U048gly3/sWL/xuUVMfbaZ/IMwzC +Tj1YkfNhVnwCxhyclNpST5VajbIbJEGhdofjqRMMZnUL7bVXvkRTO6wIWBe/8pgK +P85ft0biu02m9mrCBWP0pNSML8/AG93GfmfZeLabbBW0aocfP2LBocyyO3EQZ3VG +4mVoL0iPcWczfKRuOQLnDZNyl/kNkN9J0mBKOX8fvAnAwu/pa3+2BmGn9w7p7cZQ +ZpKNivE7IM+0w4owB2nVfMmrOimo8ykQWN9qetcIF+VeIwcS1NAThxc7jnWrfre9 +6RwCp110ZqNqcAlPFJxNy+qhhzA5wLQk5yEXOwB9IfhMSb7M1AsfyzUjX2aVAP8v +Oga78byQC2nCnXXrGqVN0uekwOsFiUt1LgRUGx6knip4P1HRejSl4WLaIQ512Wrl +winSAWzZVfvUmbc29LED2CZNpyOXctjAw3NkRfO/gsGkV6v2wRF7glIw8tUM9D8F +Ylgrdx/f3vgW2j8dplWqWAXv6HANYd1GEUM1DwGeVlFnt3P92JqurziYxwlsbvnG +c/JlIS/Od1hcChJOrWC4ptbmfnW0sMgCWwHqRxT7v5fP4a5m0DEaoh4Kxz1H+C2Y +BG/LeTO2q5Gf3DF6tL2DOgGNST48nRm9xpj+7KPBPgrElsU0lF/j3NtCLjQvxCGB +pKBUIHSyrcxp5Vs2Blw99YA/Y4G9Ih4KNO52yDIeRi0QoZdlKxuLxp33WjBThcKX +YjsT4ut0WwpB1/cXX1CKWcZ/A2mDuON71zBEmlmg8igivZENViZRkLd3sRkbzGln +HdugUyJpBaCIoEv/Mkg/JiAvncf2mxINOPOMhgobsfrrvI4jh7QwDo2ZzoFT4FpP +5CZUVvT0a0+IhzxPo1VbdnpZA207WiMzx042OIVC93oF/nwxNAmQC1g0ytkiCRZf +pNjUL49+YM9o7rqBeMgeBNKLcLwBSGUjBNIZbchW5FFD6cCRlWU/5zVuUGXd5TLI +/vELpJpSnxed1EIY03djcmQBTsDDCJJwEao2VCNoaG+lBkn8lslySVEvXTtzLSzi +pWfo69ClLT3+OZwJk6iRGh8Tdm1rLtZMkEyqcFL9GDxo4CyIVmRFJtDLFbKWtIeJ +pV1AqzZklwvOfnBwsduhyTCzZrc/qIY+IcT9u8z3u5OgYbFzSO/FU4azihtX2JUt +3yJsfgF8mZJydTvispSfU6VnePruw5EH+hrLPg8pKPVFG80W7xSudwsdK3dgz6Of +Aj1Peu4RMBueslfBSO8cOF1K8RhpeEi0lYfmq4c1IHj9fSfPUCn4Y81BlB3QORDP +utlE0aGkIgAQuzSNw4/8uZwZJDmOJUaQzHcJTzStBbebCE21zoCturb65oAk8e56 +9jDVilxB3qVx1XLiOAQJJlCDtwCfLdpg4IfwM9c4MUgo2Vv5X1obLeVuUbukzzP2 +FvJEjxvAL40pcs05Qy4Znl0C1Dmp2KGVk479PCkx4hWqqFg+c9tj4GPf6OKfwd7w +nLBJ647pB3MkR6WXR2Fegm2egHsmMj9vhhJew13nZGHYt8E6/NKQYybv8zYtaXYv +rBg4wsZdKKvBJmpW4Euh7th/Z2DORye2DiSLVNFkeOvkQdQsiDqIgjVYFvMQ4M/+ +JNwwXxTfJJpJLllTV3R6CF9vpZmzQfQOSJqmTvjhQ6yQ85sJ2i+wIP9Zo4TvJwDj +v5UioXGhemAhgKfPJ19ZVVstZMTFSELRnp8uJlPB8m9SKPVSlmftfoYWaotrpvww +v+3VvZ2jD7MIvNGdblASe/MrNFiO6cJvxR/pIQb4Y9Ghsm7np4CgQ2phSEbLEafF +LxtLMpqfmC//lm5CQ4bXxFBTher2LSm90oiW+iK8YXXqIdjc7jXKv+D4EW/LKD1/ +9AvqBS8oijU8oINc46APXNQ4Mf+ihPXg+l172Ip+7l3sweK8c6dTpRhVUgXVaAIk +MhnUEuMwmfBVnf2N7Y2Mir5auk2NYcEnWm2YsnYKO9fvszPonIMHugAJZwKlSg6g +tgNyULPr2vKZEUAfTiZNlLVracPtLarl73ute5jOYFSa4s+IcpQFCsX0/LhcP+IU +LM/s/qz+3E38yqnnhea3v/Xh/olEKWkebx/Pi5Q2LC9weOJvPkksYIPAWGE7PXPd +3e7KGTY7fVHKiWSd7NvYjj5gMSCKhfwQoCrs3a5pIRUIJ4NrPzAXpJXph1ASH9qM ++vTWwD6S70l9EbgvyluUOVQ5hMAGn4IpK6z3euwHhBU8VTmRG34T0V0PdUbtK/mS ++lhKciVkSQvIk+SYkQMsepY21d8LNYTtoHeciMYV5uGzQiaM50Aid84pjJc3yzDD +WJHqez6AHS62wSB0MXMU98C2UIg6vd7HRNbIvz2w+fF6waPgE67L4BpSRWORrcoa +P4k3lBVi9ewUCoMXbjPH6AUL1BAxQqvAFSXklqn0EpkjvzI0XIg4DmnzsgB0VjsF +PuJ9zkpHMVJpAPo6EeRdw6b8vTj2mj1pkfrMr/PecD1zMT4ySMeubWZyfcOSsLxA +S8WMfd7kpY5qHOmtiTBFnJT8E8RgrhLrwvVIxGFMFzfU94cQYTMh9yJcLpxwzjsB +Nk1vy7PsR4R9wCefaH62n6V6lSsjGL66aaaLlVujT7lM7xgVrVLy7uM8t26FVLnG +eCHjzI6fLILGGXHsZBfilBGqvsWHif67SUx2vqtH5XMS2NpI7XTbVqL8NIIewRxJ +1nuACHH7XvURDXNaT8BRhdOZdKyuHM34JtpbrjPUwff13ZIts+SSXNMUQw2qoNU3 +q7ki6BHhVUt8OTVp4j23K8gSFcvIbEwZxbSqapFFZ0+nnCtTUVedvcjxx81jlZ3X +ogrgp/8KJsmCXM2WdcRvcShdJMfpmbbYknXLst/LfXsTFEg0TuCvqNfrmRQCTIw4 +TH9NcNCBLciYtDSzsI7YkM0/9SWENeVUIKGYs2ZmDNWkP6Zc7BBAq/Gp5eF5XcOC +Va0vkT9pW2Mevp3TzVpZ3uFy+1CrsIeQeaDhsViKvlKhJ1RB/d+CaG0vGryZpmsU +U+3RgvC3Aqao9HM+OrlkoEvkBdzFpSwi3MaYifohVtli+6sqAIlgnwlBOfuwgcrH +GjbZqhHhAMKh8kHvKDCUgYyNOZ3fQveDimJkXtbcnnoHOJuNnigeSohF2wJnSdO1 +C3QY0dGOD1T8kv7Kudp8RzlX3g/OMFX0Yibgm+rPO9VB8Z2ehfO77Iqo3/EStlGZ +fEdI1MmDWfaUTzciy75OU7/jQis5Tz7bJdWv/PrUX4WMhcDsBfhqQjpx2l2uwioJ +wAA/SAgZ/b3JTnZ2NTavjdPLVmFD9oI6JirdGH/T7jsFfmQNE1T4L9wQ5Tpxhq3E +m01JgJsV8KIVmd2Zy7ZqfWr3Pbg5S/JkRn+J8S6LesglL2YcpJOyRIVxBbU791cX +4b2y5VX0SOGZ8ITPeT8o+kylJWqaFy0VLeJMumx6zqyThgmJJmA+eVyvXmxysVmF +yb1+91kTIc7/W4sUGnXk52D/Ms8qk64kPsHGEbOcR5MUb2XjkP2gj/jhhkQDuFdj +h7bSh0cP9WLUPhL8KMyBd7Ya0IKL+FqOQnJ9SY0UMnrSkvCIQ/kM18iGtQeojfYN +xMiuoll8PwFC/8ERwmyJVmcE3vp7JXrN0+bujLiYS7cFhoLjET+syTivyalY1h/k +mpfd9m3yOUKMX1eZHF0NBzW/Kat5F/pb1qy90UDNB2RELO+NBAV9p95HI0zTpXXq +YlTJg7MHmRFRfPaDtAp72yXPpKzIXAXOA3QPNBm60TkzF5P4EcTcZIqKHcdzqKVh +KaB8IKABOxfPVGecLHkXMX3pySs7ikqdoSLiGzJOLHa1qsRvqRntrxLQJ3XRy1Ur +EEOD2COIko5uB+e+IkPtFwef7zdnDj6cc9YY7CKK2BSXjBwOO7W40l379iIzpArM +Hwmmsl0mpELxfLDGxzx10eJr76H+6knOcldMMY+sQTnLZfxAqNauDhrqA3SJ/h5R +zrKdSXsDRwYCE+/Kef823eU8CJxpwYt/WxcQ1oxqcFVTaawfrEKUOYSwTwkLzt3X +CJo+6Sl2GvPCLPP0wD5XCT+JdVDWxVbRjP+8xiU4nwE8rTdGn72g2XlCj2wmTkTR +rucsgKIA7/VtcbwQljw/HE0dIi9ty3X9H3Ax20LcfwUhHceVJae9suSTspig5Kw+ +GRKZzCWZiBH/6TLgtoj93d5rEvJIPiuaUKbnc25hDHKGzwK3J/tRNIEewJTHMqg5 +vH1YtHPn5nZIGrBCdPO/HT/AL194RYNUbMGv4RKWjUO9OPpcy2c6Sr3NKoBEofnL +qRi8rYJwGPeO5mXIGiRPBFgyxNQwDn45dTsgzxuFxFU96yMclu8Hi6QovpOqJDXX +vwthdBMYUx1UToKvQqZBOLchTcPI1rSXXwJ5x6hD32IPiE5uOCpRjh95ys9PS2G9 +jTDwwPIsdJhVdwPz8egc0OvxvpvACYH+w1u70STsaUetA4dcOARXPMA4vf+ILaUc +Vz4CJhN+o62SDmqwoOa/hd/gJiz99R8fHKP4GsggDyrxMiKRdB4ilor9JUUyY27X +Y7pIFC+IRWuXE6/yGQ87HOQ6pvjHcSc5lY9Hr1HKC6BYLPewqe+rO+6nyamjC55+ +ycWvJhy73EVVP55c5e1FTy7aWyXw0rAAdN01uXWi4sFuFpjeXvlbuWjV4pDzbKuU +LISEG3zJnHvG4PNlCz8mWezoS0zuAwZqQ48PNQp4j0Dxk6uSK6wQwhSNqhepccHV +9KZRhkL5lH8o5pruF27kPGTf2qDAehOHXg6A3Mqf8bFMaI7pmwZ5IS9JSFfpV5ur +sNAfeIkTeyRNoAA+We2W8jmMlrRXXmiQOHwkEHNxIIBRTj6ULkM3liAhF9ykRbfn +PEsyOwTsCNFln0Os70f2IrDmSTzgfW09c4Wt9KsmuuA5apSdwcwOay/djOBMLJ80 +0PBo489wbTI9DpVIfXYhWmyeHKGYYS3MtUvLUNJKgRr/lkAx9Osd1doawCxG5U8P +Vw2E4GGHAd0XGG8reMaj+ugAkHcfcDE3HnZxOwKl7bK4Uhl5WugMm/njHAPKi75i +nCECWmamf/LyeEOST4rqfcDPc2BmfwnBMGbdgX5BlF39T9mc/bxHFLOowJ3Rruvp +YGPSDext315I8LPpUFkyyDkB8rAJsQlp5zhGyO5o0K+AML9/Pudo3NOcQzSmH54U +i/5FAvvTL1pT9B9naNMLMESySr3tk+0cxvjP55b7rwY67iz0lfRjNFp53qLCr0d5 +F662A4f9L1oeDs2QswhCXa3rLdkMqJkz1BAhCIku588jFac+k24+Z2HC5CuDQ8BK +j3p1xSldlBRsrWFPiw/nPKdZBOsYvlFMCbpuSjfJMOXZ0uy8fsZ6cc0m2JSkxXw4 +Kxs6yGO3L5LoZgxDRSkeFEHacms3zNCvZjzLX6KRubKQgTKfS1lAMthHFHWgX70a +jnx+1lBpxY3p/oz34XwIxQv6z/HzIOluT8JuHua/fogQNw0IC/Ri8rMMM/p1jSI5 +iJiHpH1j435Iz4+37bquwoOErqsEAV5KHO87pxsyGUR9Wa83eP7KhdOwMkZAJe1h +mYxZ5ftffV/5n6cUujnEOza/bPyZc3hT+eITtQh0Kb2Y+EEuB5rauTWv74ajZ7n7 +IY7JdMHGptzXDaVkSSUqP/OthZ0aNFbBmG/ZxhYctFED+BfyXKRRKSYBlUESyk2Y +1FXuIauPUW3EbI8v0hPHVmim+mspEvh5nJIaGcXwGLggqXITVnDkDW2o8gtTG7ah +5bLMc0Q2D3XVzyMun1mEOZdu83xWBuYiHIverjYhriBVUqA1fBdk6ViK3mV8S0Jn +3Q7ExAR94UlU9IGBs500rYZ4Wz7fy8g9TaMTyv0++9WZVk34mJ2cMCDwvP7yS7Yb +hZTTUwYd1a9QZ68OU2oQYIoCiXYS9zZB7ngJhv3M/htSjgc9DR9r3B08TQLnDipt +CXhrDt2Tc/skRT7piz+HDCRT87TKIBiWgfmTCwlblT73YpiFEvmxn0l3RKQwf1EA +3rYdnePBIvt9+lh2MIoHlzsbBBp7uabd40crTdJY9H6RQP6ruTUqsllKKxvH8SGK +j0W7g/hlZr2xmaL/sDPX+/0+j/efIgveeTZGSS+npAyFT0C2eEcSnt9m58ktaP8N +qvxMh76Qf5G/lUtap9K1dinI8cUahJTth76BbsCkS3WOgaLsmLYcPF3SUnfu1Hos +M/mT//9bVLX7sLTDhVR8LQEn67wKyy3KLYw9DtXm/Kmbx2/4PWzY2n5y0MabVOvn +Rlf5e4cYDoECPKb67eiFLHJZ3rr6qb+sNOhREN5Ufu6bLnQ/wNpGib+USpGDatbC +kgschPCRSdUask9HwWBY/Dp+q84Fw2h09A/NSWQUIDcpsYYkJoHJtrBWYhH5CGOZ +9YuWcc+cb13slAWbX38BTxOgECXi+RB72ej6/YMJcISYI6L5GEQjfv1a9Y7MvoSg +OMIda1Km6b9sRpQUBTtHO+JOIVCps8bQqnc19TKa2NP2EAvlq4sABIopkQ6ZYcCm +25/pUnowZp8IvqYO/WKel69A2ZPOHmgnFqzKY5hB/NOEPg/H19qs0kIrqNsZCt8N +NFSjWYHN9WTrcIGu2Dv9ARPR0c/dVoB25pPei0BqK78PWuuftoYDTkAT718MoR/9 +OSO/+rOHFNbDH60jShvbXHIMtfydYj+qpdK1qVejhIhFyjKirHsQVUyU3x2vadkl +Fc7Eq0JrxnyfXMiCvnQW+2bVtu+1eSWGWQC1TJJJg8oiq9w+PjvcFcCM0EL4eCRP +eBLwXMF/kiwipFWh0/m5TzeroLiOLN0JtwkDNL7oqDZK027Y/m8Z9pzzDaUJ1UvB +evtkpGw5RKYkusrgGU31Cke7ugzYXuuObZt6LDUu3g/iyolZUmPSY3R6vsNcJGiF +r5qX6x7LfAklhOHYiyUt0afxUjY9zEMtc63slet8r2FoL5p8OLl7DYcI93oO5DpQ +ZozgRAc9W4XoAGyXehSGhczd2Jf/S7OgiBTa5EB5133ok6WFnHIrbKnAWgiJBuhc +ZtxSklkiooBgYB3F9yYkyV7uVryPxAyBL1W+Os/ceg38rEB1aI+URTkQuldVEvKU +f0fFhecXg78Gy2/QGBmk9jdpDyShWxW5A9s49ZvP3xLuo1zqu2s78SCLdWe5iaB+ +hRr+vbYIH1iGdpI3N5F2cLigjBuRXpVGJSAYqeq5d2zKmRkTKPOpH9v4cJss0AbZ +Via7uxjNYrxfRsX/egsRtANK3WZS14pkELseFgiXHr3NDfpoDvMM3FNHqp4IwXMG +EGn9oYbJtH+urpdvJV+KQtGPjOGSWNU3lfmj3vPLHaHpWsLWBAas9sNkZyn/OBSa +HBRuLRXvQvf5VI7RoxhxigyKcerQ03V7Ej2fGahqxe4e/pz7rU6L9tvSDi2kYgn1 +sX+0gx30U0NnkRgi04NX5Z9slaRgCJ8B1CK6GAqguis8cvisPkt+ELlTX5JwuPQt +lEHi0ggx/2nrFnXxdoHV1QaBVZMKpTq0/N041YgBXUR7Z/HHEcaJ8hFkoFsVIajI +vdzx8tH4+a6pgLk6XGeAliczH19sQK61ZBISSxYqlcu9WFUu3gMGQh4ntMsUAaE5 +nAfO3xzO6LJjMbtFgmj5yJh3TNlGJvUdE46dSqFjZtBd98rj4EwOMifBLYFH3PnO +sZgy6gkysZMAxjWBAhr0t6wbPJ8NPIsedt4lC5u1rerLJYDJgS3PujpdEOdlxuS0 +KoeinllNSl+2JorH20EkgI8Gx9w7zbpTvjAebMkwLH+NWCVRbwpa6h3Cm9gG0m+S +1ljcBM4Sap6D0eKTdEh9YMDUvaztZu4yRWsflprAnSDbvzF1QH5Rynr3zAZ19sjw +WCKKqGMnLIoyAyHEvSCzjeJnSLJvhOX48Uui0KhXe5p4/JEHxpwCZr+Cfm5SEoi9 +I5XJjK5bvcpI2QX6xVptjapbp1o0lnrgQ31PA5SiOZP96fkFRUAPbJ2MKQTq9w+o +GJIOCtU7LLQTyB7ZZo+SyMTQua5N6XQcu+L6q8sVDxQYlOnukx26Vf7Bj4XNcesr +SaCi2NWpm1Dt4rrM8OVuFQaImyngWYR20W4u3UlV8R+A7iPPxvCoYx+P1K47mn/S +P8/w7P8n2S3CyfA4j4zl3Fwkc7/dVQhj7Zoz6aK4V/inwl9UAPe/zcczcXI5OxgH +wun0L9bz7v+NGXay5it2+6fkggzIJ/KadhFFERQD76O61sJYP3C/YgfipTxLkAiS +kgGrmY6z/ryLV4MSbr4BJmMqMMj0lNUeMLqAkvCGP9OwgzBm4oCA/FmFeHYpNorp +Q6CkZ6opG1cOta+W8oIElWKFcEu448rr09MJJ0LuzAXfogSeih6hZYH5876x6hb4 +IIxlI7ZZ+JhJw7PmYnhWr3+wdCEYGJeYLBFRzjjjs+2DU+GzeR4LifSGL+j43Jt9 +IVoS6lNvPLagP+ePAUcLaV+CPNszGQtqjOJm8s5IT3BDxIIJwUYshBR8OK/32pBq +rAi3KfRF9Yu7zD5k2tIkj7kljskA00BkkrBILI6QQb291Dsc9eVHoeuJkljPYY02 +Z1I+QZROf76EItmHpqF64GF/0CHPeI20XzY1Pts6KLzYhFhD9pNAm5/IFbKR4YOV +w566Bt/4v13SPFQuXMbgG4AQLy6tgWCa2Ytj2hM0xXGzp4sHbKox15dUAsS50mus +Lb75BnQjtrzrB9H5/t2gKzJ8UfkG7N8eP5FCJAUoPfjdRTrKjUvoG3+k0S+j/u8T +c1y2pubqP0McWS/Le9AsYWMbH1TyKLlTLBUuQ2tDGKmhll1yeKWVubsBOdkVosnV +520H4oRn+I+4l+Lgjr8k/5anNYWb8BROtY5SJ3NeebqtsEl2jf5KzeOkaT8SEWvi +YT2LM6iUlr/IUYd1kO4V2cY6dgUZRW0LyQTXO7D2cZSOyobp3qDFj69youjQDMRQ +tYXpvnxfmIGGCqPGQNaAm7m9ARziUnEA+MLddMswddYH8JxtncTK+ZrBHfP51e/A +U7+GiCZi59LrKRS715+bGJ1pIhKcRUhf+zLfrUxvNR9mcJkWmuQ1tqiadgmfwEHz +TOpAGMj2VkW8Kc47K0wtaq23GmIfpDdWjevgWQBzDGnea7eQ4FDhL/cX8uEvdDpC +XDeNb/oSI2yt9s5yAd14XvCWHUANoF3/e6vW5UvOfrFxMgDWD75XM/HS0LtnymFw +DT64yVl8OcUKBh9vuY9gmoiwY6eIfVFw1TamTAfJOKmeeQk3Lq+ZoNVfWPaVSe0v +i5GpJWJnlqhHtjVvliCTP0PoWN4P+UlJppEnOAuzDnPsMjcjfg5Au+QmYSCN0z2y +Kjd6sZOArPbtFL6koWMd467IbMzZiPR/wVw82DFRv2FwLNfz8K4PQ6tOOWvzP5FV +y+evRCTzbQo+CP3RGCWqvsuEeMkisRn5sFuk3BtiIBz7+iTTDPbRWSXHN8t/DP9X +B1+IaFG8UOFlr4v+sq1JAOGi1XStT0klQFAZrJnMl3rkXW6K6fCIFtCLKJBAEwqH +OJPWmvUP6buWpYndIZiqUMDEAiVujyM8scFbKufIe1/o1IeJKfAl0T+6yc9CBUZs +8amZGKTr5QLkspJ0X6C0e8F52OhLWT7KUpVkPTzAIj0NEAo6TlLTVPSeUZDsXWs4 +dDsnaPTNhx4vPofRjDqXM2Ja5iXQBqjSwJaaZY3atbEXUxv1KEOla0NujR65K8s4 +luz1en2FFXYryTHEGfkVV661vJzDTZkuSaYOyFPAD/X0w4QqwmAyyl3pPUDMkwaA +H3OrcIUriOtT3mv0d4D9v57wIYEdOOimihxMaB/wS9SfByc+1zbK5nT5wVcqZUBi +lsr3SDVAO20cUy9MPvrA9/c+5jfk4UYmWf7qzx5JepXIWeXQ1K3VdfDqan3HofVI +4rvfFli5SeB+X85smVqerToLalQXJfOJ5yRmih5g2tjlWMhU3qHFUF050NwLtV5m +lEscwyfSx3F2duKE7141+0z1UtZYfYhIa71MCuG4BEpoHNO1ZvZ/shZpBZvx5Pr1 +7wWwqRWzhT8TlQ5/De97751zZmJ9r50LIeChudh28GkASpBKbk24yvMobxBZJnBB +zSYhcvWd/vNGCMnbKfKFw1T72hBdn99lBALTUZ6WtbJWXU7Op+igjZY29qefEuuE +8gkE0mkXtLoqjS33zGB6KfCWrIRzsYql9fNQCaAPSDF+wzaj3q5pnmYzpivfvStc +T1e5LnmHjfHCGOh6meFv0pl+zpiMvsu9H3Fa0bKiHqywcvrlm84aHggCyPorPDdL +Bafq+Or++nWpEkgKI/k1PFtWCu4nVbAIjqHisCJ7AvjdCZ3U6D4iz+DRRt0+kufa +QucKlrjczBe3d5YoKoeu1E8LQxLCN3iemJ/F37vGg60wJ7f7bz2rvl5ZK16RH3ci +7GjLVLJFfve7qKOARkVGZXTaI0M5isIUHJ8X5uMdr4BmiSsqu1NsVCOl5b892/e0 +ogk4TOmJJ4gbWZMrTBBKG92yAKxQDQIdHJflru070v/S1/44sIGmMtG4ZzPvSFHn +owxpmgjoU/73qJVl0KUE00XBcQOKNXJNiL9j0ux8HGWNdBx8lRjAiRrSi/imGOQU +38iTsdpuWZ3LAVqqehIar15PPiu8FSZbJ7Jo80llw1oyT9x7TeHV+shHthhwjBrW +EySCC2FB4T/yKvTFeOGVdR1KCpS8YbKqnFeqmZH69SzMLy6qFX5Q6nBg8fTtZCoU +wwCgltnjeicY7Twu+rW48LFcOZe2tmM6XH+b3qYKt+G/B5ndEewGU+E8gLSeMduX +46lapVf7fnubtRTA3/0Ci9W/K3ZxyDKnTIYA/l3VlHZyDkLdResjuL1WwKkzEp8H +VQ6kGr/kbL1xSpBEO/lSTMiAscEKbdOMQetyAL4tOEXUMCi+zgYXDUTK69j5m911 +Faxfg0m4LvyVwIwFz4efTaxyp5mT0xrbkg8ugnKkKOYwGFYv/rZM1ybcACF1vDtc +0c22z94dlgJNlNGkdV2PbpZFJn+Wq56TQJUZNtDBPJCvs+pe/iGc2YSdBwdPzJxl +0Yt+Nr2Emu00Mbq6rEu6UoPK6cFFqdAQULZIeJSUak1BQUH3Lmd65PgL1CZ9VsOc +npTAhG7stvgNMvWCjvEqV4W3PB+01B2PcAj5dyKK0A+Lmc1oruV0BbLhdfrPbcCe +5wBuJt4FWw0dlaokh0kI5/9Gx5ASgH16dgwihEGlYEVOT5NfBl7VPQ5xlmA2en1o +1pRJmQZsjVoNIsnSUpQgqGc5JSIDF1e82oAOutaeYr8LpdvHZcX6AjtIxqZQujRV +BQ8u0Dqjeode7ybD7nbSuYveIBHm/LQz3a8fAGWHCx/ETIXt5PvAdVcwJS0SYbbO +mVPqY3lZyx5P27yiaGuShoGE+QeaUXJ6K9upFCYerX3hS8DgMXvk3Fpy+1LFC0pj +e4nZt7ivgJDvFSJnvKji3jjiRj8Q2jnpyqgOQXDZKbNSiu2hnMEcaaP3KvMTtZhI +nGUvlibihCpMBXyKyTJnKcMU0/0wUppuZ8FBv4rZYWrr5FytlcBkS0nCH2ORj6t/ +JSZ7eJsl96jYuhAJ8rx4WhacVLcjKN4b7f8polhbsbUr15GVC4cxD7rcKI+wqGfQ +rah3WlN1+BWSA1kYHPGpuX7uME1Z1NexngvXaPRpBL4bEseWZS5j9GtblpI8Ouk+ +CCZQPbmKXvwHfb9B+c1O5/0GsQBp9XuSscnf45F4l0fW2xAhQFq3FU9CrnMJ5RSe +dt5Yvf89HLW/TcipcvrTgiui7NQltjmgXrQhSx8bAzLzeWTfTKJK9ndUnq6wFZPH +C0i0q2H7wjYGoHvF1c1FJ+6X//nEsP7Ega4TJk3YlS5/RbjqEdzptkLorooqk2xF +mgZ63X7+R0r/7GdB1RkvtGPWRLBXsAJuRQdeMqyWLQUgLxDXRZjZnd3eyT8iCJNz +TU6643+n7mv0mh3WZCe3uZ3dpg/SfR6LspsLMDFpdvCDyOvI1lwPOVorIE41koFv +uogbt56jNZ4mqsulWiYCqjVmMet2O5BaGTpLzRy3cTMyVdBuP3/oHD5mKoutNy7t +GyFwkgFULUve+w+wX860JeSuUWN4ve9HOht8J8WasEnX3F2rvNUzRfzeYDw91Y16 +IQnMhKEoZLNQ5p6Zc27qiE7813JmLK28yz/7fPgXM5PKHcsGKpVtUSy1+UZduZpC +t3hJLEJEr1diQ09JOTf+RTTmns1gQXEKl+PPY0zQTuuMV9cM2Xty4KwIO/qgxXwK +w0iUNF7MgG2+YpwHLSqF02CDeh1VVcwtwEpjKFxFrJqMJjAB+lLEMgg/2h1tQTE8 +62wsGfmsqJCaMJZm6J34IX0OPM1o2t0yzjCHVuelkAcwlK7cOk2FmXYkuNeh8aTm +rWK54W3LHINxz+86/1w7hS5wM200emrqaf5P7eqgg16HPE9sslandekPYewq9Xal +3An0vsvX3cwWRbEgTlkvr0A0T7o5V2W+tIFdDGMXV0HRIHIvB6mGppR0OQ0ONR9t +sGNgOzfKd17TU7CwuUuedP2n8wfzTcn2RWQ5Fayz6eT4xxKk8pal5gS2qgrBO+8J +1qUIV0++yAz58z8AbcjldXph0hhX/4Do3/Q/yVxZQ2OTsHGyft8Y9KOgcILcWeTf +/CaHiS8leEcghJEnmRAXMgiOXAHsk9ideff8AJeYC7Wn8BGDy5HjibFMXU7xseXN +oLN9ofqdIZuX2rNHBf+i4sZdjZiO2BiZkwxOLIOVSKRbd/FfU4l7NF5Gn8xhM0N7 +xSmLFhsJZGwT4LrEF1YQ6eBrtb6HT3WBhlO59w8Auk0GFjoOO6AmmOghLoel0RKz +PvwaGC/3tuxKf7B6CWo+I898pXxd363ToemdYhIg/xQyfs9MMosTDY6PL2sQxyx2 +TDe9mrdHR5FwxvzLkwrURZTWvHDFQWlZIBE1kvL6ozvzuZUEKPeWhZm8AvRWR/Qw +eSyMkAfeyT0ZNSN4vHcj8mIqb4JeL+paOS7kWsXEVHZIDeDYI3OOUmhWvFNur/tV +otKsNlyjUGJjjOPsYvLXzPpGIJQ9qiH9M49XJWkZo/IkgaWhsrkl+FpGpxyqrIni +Yi2WVoHy3kSJuRCPUxRS+IYOgE8tpQVgDkuRFz7UmTKyB/moqY2/yeUih+png15y +otUiLF7VBUTB+IbhHbUoYqvLRZxg59FsX/+0ovAh5KqyRcKyWoCK+QfsAXfFzJx/ +SMEvE6zJAmlU8sg1QfaRrK9RFvFNThEj409PkekdygaRTn4JAIaje5REGQuWmB6k +8ieI+0tPdh5MnQS24MqXGbZAY5PSeHXz5drDVSmNrYb7yKuPEVnNhKM5t+TogLHD +1fXDCpOoOwU/XIlvvcez+ZU4S8tU99k+brphxA8fsZ95N6FGkHsKLfyQOaAB1O0A +jl2GhjN9ROLDo4iMQ3kxunDnrxzgySpjxvqJp5poiwhd+qCq7gFqQ8s1yiywM6wu +wG8f0rz7Ub0nq8Botu4yodcxm9liWTjfAA6gciSxRdNhDa89N4DZQl9c676F2STQ +SOrY+DKBpnSCNuLziPpexGYxKKayoaWvm6W8A+Ss7b5SkIuV+Tm/1VEoQc7TLZ9+ +MPyR3mw6tqSHou4ArOOZ2LDNCeT3JAx3NWpL2ZiUJKz/Ti2oR7NEn6mGO1cwEsl0 +i1BWeSoAXbeD7t2pu+l45GstatbVYl6IaECRFAuMaHQkqIotYHfrWupXeMY3eo6O +DJBzO5rXpzF87aCy8cfV6X/GbMcrj6WJO0968dy2ZTb/DGdgQZSbUmIfeOUP2VqT +lVfNiMNvjNeBdpkJjfEkb9fiDD3Rcc4+votGRIDDpcldYvh5yRt3CCe2SvXotf34 +TEuJ6H4ZmeEH/76JxPnKO3eexiXoyssQxRFZSQHxCjDElO1TU+4Wo7Iq/AfRiwDY +J/iihLaJhnEa8xk7ZFWTlJqHJndma4qtBGk1IkTiGhBGf8RyXjm+PNsNabIU0n+t +8OVii+GGGr25DG3d6AHtiWWp/Eg8ovc04nSW3RSAQ3VIRg0diumXMzjn9fEl1+Nv +a/qH4sDhuNtd8i/3lnwJaq4smffnntV3NPwdIwaBYFwbXWerhR7fJhpzjlapSUOF +2+6zGHXoKl2ccx6aTLzKDGe9rQHxZA4QeTFHEwOjykt8wNVBC/38EFSSMtqhGVLd +Ogp6lff9rPKo33I4tdVFSlNdL5e+q6CWbyZkkQlJx8NC39b8gIY0i2s6fwGTdpB1 +SIzq1sqmFyp0BcChxAkM8yS2+hLWY9eqWyuStglk2w8Iypf2iPNv3MiEqw4kg9PE +HlQSnn5RKdCvqATYqUxVxM52P8+uNqcezXRK8Z1+zszVsJUOI9eqC7cqXsN1fGmQ +RDOXkS5hbmbjrNror/Nj993qUbFJeJ6XuHu+BnTuwK01/6Z9NpBgz31H84XlOiX1 +snonxxfsjWElPazN54/psWoaV0nGM1RzwGeY10YrfikicHl0hj6KWi1gyYg/ayHS +wMjDPqIHqF9MhwL1bwemunNscKQ8YUmehTG8ngK9qv9bFgINbOg3ibJtUm0Do+A8 +916Cwg5qJTE83xN9SH3dLMteX6PpnpbTZUyWGw92s9aG51zTE9764hrYYt6and1c +T0Dk332aLFBgMwCZk7MKVDT5Q6zKU9avKZN6f4tjK1KOXjkbQ9UI3nyCHlYuKvKn +Q8bU2Cc4XcfBG0HjXABzKy5yP/LKhQ94bGAmeOuKLrJWJWAvkzEyIuXu+8kyn8jc +UOr/YpWXAGEsC3IBLmxs319RFaSlfTObIcP8Gg8QNtf2xwPlwAahUqyYvyIJZ1pY +A1wN2aLHX0nDXH9GI80Mg+ibjRcGus2qkPHSIeoWws11qxWtWQzozb4mPpPvUtKB +Me6IuqWyA05diZYKRqk+ntp8LPcowVv81HKZbH1QAcd48F+zeu3uDaD5Is3XivPb +bofDUVLc0/x+3hWntmGD3J49ZW+j+9pyEOgbo72BgEXz7bSijSCSh0e0t+qx71A0 +7rmSHwbC0Ka++DqvkWMjmp6yDF9rwZkNPQlRu/XWxn0Plzy7qZg5gYS3t5fHiAG/ +11UFHH3e4hsSy4ew73xTH5u48av1PvrZDpUgP8jHvx3xAW3qlW/WWG8EEp5X6CH4 +1sQhPktcglM4QXLIlWEwwqAAXW+6qN8Lt1dACdo/P1hASIvdScNl4lLuwE5unuCa +H2shDo+vNGRBoT9UNcT+TFUUtNETngTj+bh1tfIq0Ktq1q3wxcv+UUjNVolGGuxB +IBeSj6Q3R4qEXjsGkoT0GaG7yiE9hwseAEpX/QWVb6znyzsDCBcJS3PIxty8J1G5 +PkIGwo+1qUlKlRKa3W1Chv6BW78oWIubbwNAsDrH8jDmUgsaRRYp2BL/PipdR5so +p3N5xVH6g/YSkVaXZmcEgZPFWGBvNhZXOLiWbL7Iy8AfPE3raaGj9XD2hme/NV7i +0w79bdoEbyL3keeJflYs9AjhzozGVqSEVQYhsmJvq1e4+ZAvEHcFGbu06h8IJa7P +EVdqk3LSzRt4pr99KJ5ujzzdMYfqYLR4wwry5CXBBvb1dalVcYkcMdlKKLxK0rht +hLyuXF1z7Sa4Y7UgvJDPow+TDM8zhYV+jp6jEfdk7CLE5lPe4kRbUKsg7KS4cL76 +/Cljk791Gxz5FSMjUQ7fp7T++thBn2os7i1/5G4vi47vAqKfT/2zfRYvCO9kh/q9 +EgXrmN4pjjJzmnABNq0EmiisLsGNjKmx6IhpyqMXQ01yOCCGSBvR287YBLu6+Nhk +R0z71ukF7mAbOa459j5LPzyZEMvwuCsIEckip4zUY7tuVuxRKH0GOo6qjK7Sa9Dy +mGmsgnud6EbX9dqji9soDhIkAadKcE0FtsBQgap909aJ5yyPlWly4MEAjvyL/0X6 +3aXGOfUor0jiCa/gFfwf8/d+ibVXBIQA0qUUX1UTWXB8SIsSB/4LDYyQo1Arkj8Q +npmXlloBvdMJsZ7KA6FZL4gvp4DN6RMBfUNd9DkGbAy2VgXqNze4hkRPbkQ3+TZW +u2Wkx6a6UtntvXpPvIUhh1Ffa3qqCTHqCjn8BFPfnLYWpEMETi75quRXRebMvKKj +DtsQpRLitGzo3LRgdTweccX4hdu1XZ1ZUvo1BhPxsu/105c4iYT/qs5uGfqbeovR +syrZMjyIjYbK7jNF7SP9QHRvPa1RKYl6a/KRjk46ZaL5JaW9ANrB5fzVvqI5FhUn +8UyRTWxmYYuoX/YyIpRbuFrwB05tgN1uzjePGsMQY2YhmzaHqa1rBYpc8GThWQvJ +WZfth0fyQrU0yGoV70X8LM7v6Wr27N0NfSPFr7ndweD3up57gScGgh/KjI8FnBXX +cMgPlRp40ePE4muA2mHnnsDGP4OxlUMl2MAcGKicfEt3ZFX4WWwyAh4zHmOostW5 +tJGHEfv+ppJtW5vjqIFa3EaR1mkq4lTQyF0NDJwe+NsN8CP+0Ubhc5XXt9xBb5NB +KP+kFZXptr0lqpIJAQmOhNJ1PnAN8JGgg6xwWQFqEsP4pWuRFBA3hJE0+BPRFLhe +bxpDT1xCbF/dW7aS8cUEBJfy9iGJS6PVt/1vnMKF5AlChm/RInW4OOvQUnX5YO5y +0PILLoa3MDj1bIQo/3aTTmirPuT+0uOInQ2fqGixg7n6qRHEinStF/ZZP5fAbCaQ +re9n8LxUrOlHir79kZpXhudnjn0wEglGy2k7vlmhPXiMgh3kZS3/yV4wBhx1qH8V +DaAfwyA65m1T67MRJ3KX44qMYPmCQ6onH4gyjV26lIBrAJk0cCTrWr08manXySMv +zhIVjQwv8Y5V8QWELcTfcivyJhbzGs0mVOeg1uV2zqIt/oFFKdodoPwftFTIi2IX +Ln8FZQcr9Sew5mblvpeiDMJpk54gmQRwIfBV+5SItWmXLtE/G1Qco5hdfhYI0d5h +hkB5t+sv1z76Y4Ubd4yDpGyBhew/jKLwYC5V+zF3Vm7p3/rWHj2w5PMXjYJVwusJ +xENJVrpfFh4MZjBST68CL/WjEjYPJDoWQQ/XxkMNPHpdMrByL6xienIhBCPGjXBK +NsHXWZNiINLYPFufJ4CA2ti8dT0SvfF0m2aIqN6k4dcOChi4sqI1JpJnvqXQMO6y +tutEiMWnnwusCo6lsWwf5r2rLcnRkNtFoLXaYDfgcaWfmy1CZCX11KMTLYKcqkui +CELetKw1DPscVdbvf3ZBWr0NLLthpw4SxcoeU/I74krcX/DUxz3Hpmi/bZbVl933 +ALgVrH7l8bYy+fut4U0uxGip8AGQUzWx4hm2Ykc/FaesFc9OjAduOlPPkMb/n/u/ +52zmD6JSoQOEjcLK1bRpLAaTwixPPqAfec3JsocGUPGKtlaOZesemqNfwmly2jEx +KKzvZs/EiDW01prKiX1tufyjA+c8+nuO/3YAOrcO7SGBF3emtCYQlnelEVSKlyfh +v20XMAIMesEbP6xANZ2jPIk0wYrXXrParzs6e46jqlekgd34WQfP+ZLi1jhNKoik +BNnabqFMgZhJRKp0qvh7ZCA+/kL9e4kGJDxcWAzXfvuNk5+u/4ifdJ5D8D2beIX1 +sx33kFk0t+jiHHJrHywCgd/VdUlV9oQvMX0pVvF+Oy/0VB0bAhXYt1cuAOb/aNda +ODfmemzIQTEAuiyxqHXO+EBGFb778wCW1J14m2oNKnVDEhVAsoDAoMizsiMODN3z +2mA6+iRi0naSvuaT16g0v2W4nMY87DUYNGnH2hAXW/pz3h8tC2re5kRXDQH70AUE ++BTOvxK/BhyBETNFxIFT8pnVExxOPsL5rmRQu8BMyZRT0iwvjGBoDZd3gX9973xi +gC+iMPu9apH1P6uA2f5ZKWTe3GRtGjHRbbqZIfodgB4TgEYUoCCemuowHcSSEkz/ +LEStq7ZmO2vq7mttV0tyhITaNT4nuNFaij6mM3MJwr1Sfp2C/io9URn4T2dYTuKU +6zINwf3NeUYLt6aFUKK+5G4OB8dp9NeLsp0PF+7DbIb9SYP8567yhcyd+xVoLq6m +j4qAnlzEo8+yWMbvXgz5Qe9gPtQvQaCQMEAItwOh5VJyQ6q/EL7lklq8pEvIyCR1 +GsLMv82gHXl06XQDWT65HyCJoC3fSos/nPd7Wa2dPNGIkCRxbsTsf9ggDjY6Xi9f +6hp6sqGiS+NCC95IG6p/I2KFuDjMwTpLYYv0LUzgp3uMbZ0zaojCjmske9VwQtzz +Qp/6dEXhpKezRZx0r4WFGZXjYRSvlTOSjYntI3OmltttSpt+bRoFqjO/4CN+kZ96 +VxbX0M2sYJg0XkWbsnV28qkK48mmxKvgTZEuj2sdGZIJti9sxGPFk2Wj/lltt4DE +vzot/wUVkfbxd5skfMup+qCUkxasq06v3NNL4e1ui9uxQ8QoFpFiuvqX5bD5Lhzv +g82ng+Y8cKdcSOQAtpnoih/b8XtlgtK7q1o8vkL7SaF2VcsT1hu3T7IIfHhVLLfc +nmAr/ctApsVsdOxH/HP377U9gqGHSGlbGTag1kn/Lf1qy8tp6TAdGNQ6f1k5+dL3 +7r8S78i0XdVuC9MS03go+GJNB0scMFeHm0bXzkjla4jBoqkibSERlo6Pt+OJFA09 +P45vX9yyC1NPJVDzwvePBWXERZ3tZVp0GIerSrnGOWgGbbxh0Q5Fkm/rd4My+wv1 +dmWd3BwVsw/j/Yr7lRT5in9d07BmIxmi5PnJxWIsatF8PB2OdZOxNMTJ0oot+tuT +hoF3J3kWkhcicJhvHCpmP/8KC3cK6DzKc6nK/1d5GMPR27M97qAYP0oAwO2hO65F +JaEtWh9DdWXWd96HsLhZDT8l+gHuxQJ1Xs5cRedy4u4Dc2vuEbRgwUm93TplwGjK +RtS3JfGhOjgiXu6EJmrdEnacUmFtR1w85S+o+Kf4PIBca/rARCOuVnU1PxiwlcWr +AbcRwdftZW02tWjFJq7Y7dcD1a4fzF1Mv/fKNnN0Gjorrh2vALZM691sSMtLzkwQ +WSc9wxgKB95rvErDGTynA7nui0sgwEcknP0KPanQDgQqdhVjqv1u9R8igv69yMtH +YsR2TvfUYBgmA/WhGYIiKofaOKcon2f/sAoNXKo4OnC0J8gywqTUuCpC1UH3Na5V +SYKotc5LZorOm0P/sYKT12fz/RpQ0euXSzupohYQHXJticThtQisdUkB7IfFXilT +93nPHwsv+3SbEQkL5I4/dKdQBhRkplxIkWYzMRFXlIHKyltcA3vLUvOnLbdPJM39 +zhHqi8CNl42CEm4W0xTyBK+3Ymb/ku9N69An9TLKS9kJSh+b04E/ZSXHAEwOt5eU +ovdlkXMCtyQLToADS9JeaE7nIIBA6FiJ+24nfGaX7frUkELc+mzXKW2X7Hgi3Kdb +kbBCEUTEumcEpfCAb/EynKNKlLKaIEYCGrZ0sr3snKmouDc36BEgJodMplXPzzSR +AYP3JMZqeBi818jST+2JFnXoG7RLPUpj7MgzZuSCtfJLaY0OR06OZVW64svlVXOZ +f8gGq0CE8IiZ2l9iDmnzQGv+SmhOWlZg8B1c24H8omsMnnaiRO7DBhsWNzWn0LGs +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_dprio.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_dprio.v new file mode 100644 index 0000000000000000000000000000000000000000..72342c0350fe6cec94b878f3a747c230666430ee --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_dprio.v @@ -0,0 +1,210 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +daXjSRV6NKOr1HtD2jNsQrYP040TFyowXwvL5kPPnlGrBn4nw9PyQMND1Tr7eue0 +a31RwXLoAfYgsl4Jd3SYF106ELMey9ZA8fcSsGoCJbEMZ9nhicH/qFJTJiznx11A +PYEcV5WLbwIGuLOAfhWrwkseEsD4sE3vQ1cRwBEt2w4= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 8352) +9o8ymcK8c1EwrJZZFSs+3fLxcnQb35nuAF4QX3hI+C09Cd/gTZIuRRziYgPUZUfn +KYzplQHk8JpdmzCHQqCKYJmL8wdVkJXZD1dfskI/mDdgp99pUwNBR/K5LTTPXmik +WKzpDI0IystVobTwAdXLcyvTtzDP1wGVxhGhS+d826TC8i7L7bGss2VOLMoyoYjU +lpnl+7Qyk6vxSVOwV+m4SpWKyXPINWJPGYur0gMrrreqwzTVbhCtZEiv+3XqQm0d +H/PgN9Yf2qUuAJRJXvrOLUV+EqrSvnqOIeTpRWzHgm8kLa91BHEyElNsYGS0MqVp +jp+GD0tGPCRCnTIfQoXTKUpFvauYidL+42ULVAhR+GfSTBXepa1fRMYXkxdqDgeW +C7+aED9lcC2kpC6ec5w9GP2hjAbK5WEpi6yPjgZJdi7RbnLtg0lZfNXMqkwxMLRf +j5F+EIdaFB6895DShw+3RWQkvGFYdJs5QmaUpvoX6yWEh7W7PNr6C91UsqjIbKPl +kjLqNs+R0E7Hv7TccHL27p2vt2yA/UgkWccpreWvKSfHF+igOwbiTHN3cxse8BCE +nLCrdNZVr779DZ7dkeAIilJN1BN4LMbklihief0orbjko0SN8eJNggVcV1Jg3K4u +QBYHdyZZpOnbWf/BuBHckDM2Tj67ZXq8qB9F14OeOnS5ouIHkKc3n2UbXI/VZD9h +tXlXT+mvhrzjGiDfK3gaD1OpEJR2uqeejeDYpOF1XIfMw1DRBba6zlWc/Z6NHKU2 +/OxU90e3R87pZTr83JQw9b9etmmZknQnobmOCUNc65X6bz3BI0r+jsW4PkbhRBSa +oRL5mTi+Bg8x2YBCC5cod0EY+DGysFU+Za30lSs1MZpagWUHStNA+Ok3ckrf9ns9 +bfZs+TOU73NIGvWinDpt/p+A7xCU0CkCSDjbIHRKpAwlmfdEzOHGAqyM6ECO7Uvl +gHqVdkis994Gi4LxOToyoDkuXAwBJ804fyqXtHuhTWGdqDVwjb/nT0N0DEKaeEVY +FIc3TzBr+SgIoYF+kGLPc5RfL2D2lQ4Nich/LJp+a7G7kUfFTXnn3ecNbdaeIT0j +wOdPz9yTJ5z4h6iPHH0uZnyp8kqwBVk+/TwA63qMavN8i8ybRtSqU/esURQ+1203 +gQCiVuwvG2F9gWnShdmqWPByqIXm0KPlV335wOlnNr2SeGfESrIx5aUh7tpOqG/z +4/zT/UHcBGs7HLR+9gVCNUl+zvABH9SDeL24sxyr3yDqZkYchLXUNEyWpUL1DyOz +fnwvPGmwORKpP5gBs3vFvU3YbHFnkqK4wDgvMxo3wyadad0l1BghHuzLqhmC3dc+ +S19QbXYzQlaQTRRJGQeQvDyWIihajF6IGQTUFGWGCAIuI2NNSdHpDHozNU8prNRC +hI0tn0sfe96oa3sx3s7s0MRTwgadkSI2R2U/rituUnX8WN28GUyJakX06P0Ze/vd +zNDPukXLWhaqU/HykhEFQzxZLmEPjQac9i/P1T/3C73azD+vF5YtipVhShkMEJbm +ayunBjXI535XirQXMLjuAJg/KiTGrdy8EIBq2IRC6MjorIyCSSdql7xBD8PkCIfy +M8gl6zMHBs3CXuUhI4N1gW5ejdb6Tu9GNc3ZdmFY+REppyjrALoUWXfAuT9ew5h1 +pgXI2jWIEqVDmzm85gYXOrOVInGpRDTj8OjRHKznhuLXyVuKWbwsNjhoO/UUQHkL +TO2/sIwoPuxx7w2s7bEuhD3pESAD5ZWhDE2swwuNQDv5hx/tCbOs8+bXC19GclzO +6WGoP3BisO0hibm2z8+D1TEMI2E7Y1Ri9v4dGs6zbMWxWqY+KAqZhd2CZyp0iMjl +fm5WZumRwgFZu0w02C8xWe0apNHclKh8pnfXn1+VRw4DynEDzoqYXrrZdmoyU0PN +wdBN+9owGDHxXLD2Nk50yie8y25Oq0ZRtXwB6IjUeBKNNEqOvAubX5h8U9/oZVe0 +3USnTOeYnScqPnEwGb7nwiU/b9VQwpjXmIZtNNdK0VIOaVGxYnonD0JlyPc7tDd/ +CzxBA+b6jxPr+NMQPn15Hsyu5TeemIrTSQY215iUwrMTO9HgN5bV2qL6A+TSpsgH +YuQ9yG1hpGyBX3tKxQhTkbTH3lYkKzJQxds0wNkjFd9IyBBvSD7UfqBR6HLprQBz +hg1D7F8ZbHFwbIlbEnPI5fW6Tzn1Ti285ey0xLz+F3P8xTl082dpjW8aWQyY8Bpc +4gB45K6c5/HikyLl7JDQ5r0EAVlRm1XCNOW6oSaGBe7JKwMxIx3+6uruRG9qRBu4 +TQl03ClzeA/XJxVUnN9V3EXnaPfm2lTag4EkziFnFduc0sqYOs0s3dJ6+7gbJjr7 +UAF8MSVCLKj5eND7pHsiYCEZRIOVBlM6y/4Wrw+IH2j9pzrVTDxhyvEQ3Tb7tsrC +6K9s0GJDCrGAr/B4sejBdm3YVQFBVpvF5YTuzi2NTb6/M6JyEqvqhbSeKbbIadAp +nMjd2Gzbb0dUDzHqhA2LWh8MkSqEVcoyv0Sh32/Oro+JGP7MeSKgnGo4Mpe0zO+t +BCYaJgbr/zMsBMyUt8OkzvgqXjQpwkMu0jjIWLtqpt5VmGxQD4L5tgCF/EFkcL6Q +/rWw1mdzhqg42ssebd3fubL2/18jhy68qnXJOLzCJqAa+sHMEUPI2yX7+yjSgdzU +z7pOm0rp8RAA7AOkEyFfnJyte+yG2Ysw+NPislKKjI46DKy+/12d91Hrxv7nczfR +dZg3CUWSn/ob0mYcYa6qstOjILoqaiYqBwS1yzShPPWRxq5ivkorDhD4Dg9q+/nY +aOCShxBg1MGcBi3P7uXHPecjPxr0DEKgD54QaOOZc+VxUedSmQPgkKS8+Y5zVtem +gLWKm9K9NsL+bkSejXsj2BBYtnBuqt9eqBuGZTyjfLkaS72/B89UHWt8kJgblMGJ +9t+wZtbybuHehaTgV0IhgMeomd5w5WEDSzcCrq5RIqoBrmQYI4X4aM+bZpSN5SHW +xD9Aqii936WuW9L1pDsDH7etxgKBMtdycLGhhNqMokXK0oopypYYF9PtwbMM6z8y +dgM0hwukDmaglDV7hGOmmkA5CqANQf0uS9XScP7YVG97Tubs87LFDTaxW4gwShps +Pccw4l5UQG9XNUz298MlqdyK2qNR7U/BgCd/62FWM7sU08PZmC+g5y3idzePCe0p +tRV5e/rplwFY76yS5VMJR0hbNv3fulpf80mVeQOCVyaR+ZVUZ3dnz7M7Ws47H1k7 +57y62xuRsQPfaeClU8FZywrkrw49VRhS2pNUGSoFDFhCSwcfPU3skJ2J90ZGljBO +jHR0zNNfVe0lD5RyGjO6I5JzRXcyd2cswg3Lsrm8GAIXUm8ezbrZAgk0/T1vtxHU +NyhGlJ8btm2tDwZ0splrUL3eiSft7Uo/Tf2dEjcM4MkY4yrPdJ+ETTsva8hC4eay +lrQNB7rQX60QuKfioPH0jlXXTLJmB68qEjSm9cJvwp7WNRPV0uDAb1aW4b/iohqx +nfo8X5hAEbYH5sXk3lEOkeZdmpUeEc19EKSIgCbsjRvvhSfoG5AF8/km4Is3xaHx +lPnIHHyT/YqFC45shrPDsKTwYpUvOe70EdBJ91bfqXETnnP2H0058v5QrDIM3w3R +EXUk1q+oxhbaZ/yGO+qJCgEHqSiFnalNZJDqXC1v9PHGrM9BWQP2OA/k5Y6Vbtp+ +Wod1eu/7dW0Bg5XBTmigfqf7IyuBTMDHJA+ECEznJ8Z37KTyR8xmt2FsXU33QSeJ +oQcTM7lCFHtB3ZfBu5XSoy8hoKlfBUiT0upWne67Kg5T48NmkAz8k8ls7RUeOcEP +hrZ61ERWyPrOOixqgVamRWEquJY/cyL/Pkg6LiN1vHpprS1fkJ7xpY505PFUn5XF +Z2HptBZl2/Cr+Oyo1nR4q1ytE6yaEcDYLXJkxqJBCeT7paE+0LEaUkm4F9wXda8/ +vRztH5I981h1/COwEHW9a/Pr30Lyh4LLh6HbQn75F/C3cbasz4tpv3RpwbziRBdH +/hSQBMyugRMEQUsrmpjdwEUX6X5RBwP9BTTSU0E/xEfBDngYw9YKlISc8+H8q/TY +4UEhUnsueygqqspORh4Q7H2tONN4umAVmrXOTYJDmW1Yod/NlfxLEUsMibUlDeW8 +pdBVOmAWBIi4YOvOXWEFA7JIFpzy7eZMxDvQz/9M3sXqtRA8L2RFnKF7PN1wx50q +HMDe2WnJbYcanpjhzpTSAmGVQUGF3vAVYAvL9+a0k5FQO2JwCnHQiZENlq1dTrTz +nCFsm32Y+hD55OfTftz1oYKHkGErTxCkX2QlZ86Cw498uJf0ZHyYqzMFEjZmrvQD +COz29jcadlmvaxxWuRmNLCvwlKwfdMi7NapF3IFnioI2Lq/kA3u3VZUze3XqnbtE +sXHKIYbBRdN174LUV7i4IMiYmtd41USyBEEBvmnYu/Sz/boFRwPeUJzIVAoSwc0d +siv4nMtxobJ3otxauH6Rj9JfrwKgnXKvbvaAlsC6mKroc8mvEW26ZJvSCKCeVQGK +60pSyg+9x/yGtICayLj3qqhqsgG66hO4czqqTfSPLpFlOirWmxhtUwhmUKdK8/0u +OfVhMNxLOmoDBtey5JSReOCKOkVfiZIGzhsakx8wkQmEVEi33GnpKu2F1GaIX29h +2Y0qoh7cnVkYhGSDTUZ8IV3ljHD5sRmdMQCRssyzt1N15o00gTGPNaW1+DL4KIrb +oa+gDepGspn3RprAEFxqBXcBJ4zRvM4yBeM5HhV0EnGuTXrmp/H0qj8LXnLuz+Mf +eD3+U8I/wQy7J3MQ09MBX28XW5sjbJ8SozUWRQrmvDdTfs7jmBDmIPdWIws9q3Nu +vz88RlY6pm2SXSUIzcjMQhse5xvDVuCwMgE7PF+1erL4axo0Pem8FNDlYKU3Mw2D +B9E0ynwETU3urrY4iWV3TSfuNf6IvgtdaXp7GAIsxhCKep7T1O988t3gf9OBqBke +DRo7QUnoQy7ylq5SUskYNQGY+ReQcBIb9CeXyATHkM8DrIiggSettm3829aU255T +JBNGgj0PB4Uzw17diyS76wnCJkT9pW2QAMIHrKQ/RT+a/rGBybj+JqW1wSBOpMxx +UDpQbWPdSaYAiTUqbBzV9zOKAHb36PwsOTPk1udiafN1RiaNpjYjxUDft52y23H6 +MHyjubHQY2YnQxX4CibxP6PX1f5XSmt831RC9X7wn2g6nqmBnRrEtkeMBYQfjuIx +Hn6ZlSbAbWCsrBRr9WFie26dTJfEIsIgblxNpCmUKn19BBAtt1ba+4J20QsN/EAp +uElzfr4E3A7A8jkPJTbgS94LHen+ScOoZ8c5VBNdFr+M3l7TVlQK+0iW8oVc0Y0x +Gt2C0eMOZQzmCBD66oOLPDjmwhTcOGvxSVsKb1i5ivkmMm7FU2Q0taLKdkzOXddL +0RmYypPrItD2BK8VQxdhm/MVFikweOIvm1kqm45c4yXFGDqdB1BWplxpX32jGk2n +VaX+TBhOwR+0hIfuNZFAhIifIJ+5Ip2Guj+VUGp2F7lFzQaCAePo3Ysz76u7FVfl +MRvdekaNbl9AXIJnWujwgPbi0wmsQ8PBXjLOWt8lJ7QRDpMJsHNoS0QakrZi9zhN +15wm5SJwxq9d4vZbviMZiFOdHTvAKpf+tl7TrogZYCTYClPDvnu7xnBbOLVc2C4O +0lZKOnlUXO1q1Oavl3aSoa2dUrQWgIeX454AIoGxsxR34pB+3IygoMhTjXKkNexo +8IufaOrOsT9AJpNT94oNKsuWxzC3I2RlqVdp75a44IVFvZYpN+Z/v7yscHRR0WTZ +ZKaEDa5/kK76flWjE3PhCgqj46NpnmKwnNOqWeV1OmJXGaiwzP4GPzbqck72O0L+ +C2oi+17C9+KyFiDUx+NLIyISu6ZUA+L4OwowB2vnqzfRngRaofVR4swZLMjrxm8r +IsaHraeC4IytyR1oecjXJ7w+RFbfTVRjvk9OTUSe9tlf2MyzBogQQvViak5qyVN9 +84plk7DeD1nQGMJ6vjdTZ5ZM76PUuRHi2mIr/+nB8xVLzv8OcPRUvS9PXnc5gG5B +lj+uUbfNayrmnhMeKRIcg38uwkYalrv+jTG7kNbF3swMumJ/gG3n8nHhei2buYu1 +2wUi+hcDpj1PKnOw+kLogfRyW7uxT411dCKLx2rQumgLsl3tP7m9CYDbPi9cFRxm +Xrv91RcSBGrTWpnfIu+0zPO6KHT9AOv3gmxEYhCCbeLXsH9em7oGrxel2jgsvsrR +7YtFCTGkPVQxsC7YartBGx64yONtKeHnkuCtQk7xbuZ8pDf27wWcomuOrSsAzOYE +WhUuEgRORUKMDWRz2kuMexiSzJuyuVM6yGtLFabArg7z/dThpmAfmJ3R7cpA0h/n +1wY9FMnASazW9AZBh3B9nxKh3Ul1CEfOfUDII0tkh4lWFfyWN5SAscxqKdsxvy+X +rtIktU/0+x4Lekva670tFdiBfZQl62iST2GHNCxwuHRLaosnlhqr/9FoOYrHICLv +HKndcd+Q0C69KQgLLDZsiInhSVHyZee3EUhNhDaDqxO8C8oH0+WTzoKZz8jz304t +cc1e/7sZpRjs94Pzc+jzAf9MlFFKgmtpOa84vNGYrq2KUcRBPWTIBzGSK/Xfk+H8 +i/Xy5QUy53EA6WaZSLtENEqB6yW+IrPOodJ4+J1xJtcbbtTn5Sfni4YKGL0+Yc67 +xbOgZtN9m4urFMmjMAFJ/f67KoCPGLxmpf1+QeM7UN+UoDyjM7BCzD7oD+FtU3MN +RwIkGJHJEZnHilIsaJWPuIU21BllDxDMUcscAtOJV8wst+ftmlKodUl8crkYM3EH +eM7pQKeV6uAhGiBu1B3aAX8976POxSfGj5WubQfSEOdmGLXzva5LjbCCaIJv5aIb +Ho7BaxdmpR6vh0lWx6ee2aYgQlta0ROR2dhYtOdORHsw/52TokHlG0Od44uaKc07 +MfGa/UT/k+KbAmlUwW6ArAu2T8hjbAkZHu2FI33k0tdAvM1QVy/vU2afvGnyv/m0 +7yzc0OHMvI1yAS39wFTJ9Wg04U99DP+at47SKlDv6Qf0xUUGIiGcGc/MnbwgS6OK +o4/qYmftzzY3ctS07Wki+cCWK5t98vzvmCa9x+4eRpT4rRQSsvQRQ2yVKgPcQEa+ +uUl4wPAt0TVys153B9R8JzHXJz2ZAoY4do73sdIPm8cZtKMKUkSK4JthGhn/hKFP +mIxONDdxH5qA3Z9HLmqURf+X+5yIUMhddM9RbONPwcEPPvHzCWzXRz8PazBkR6GV +WL2TczIuCApNtOR4KdhEKbiW0YnbwJKDDHfJeoTwqqKUHFvVFV0MtxUX75eraNhC +yoJ8S/zK5eBD4Oi9KovjBG7oNxqeinpPYcYPZB8XSseQ77mzJyE+CSaWlXc0Ljo7 +gm4QfKBKk5AOYeCBsobDJqMtb719OxTtK2Vtz3Thz03rCb8OR6HBjjnhzarJsXnn +AJqRzDfJnU/X2Txq4uy+SM8CkLCoNz8YGNXZRaqjhA6m5nCVpk0NjnNpgNXxUTsV +VsBMXj+4TT/vfZE1gE+t4A0AYTRBDRP8u4sHMC1vFTUY1s9DeyVe7/0a2+fBRWbx +cNiic8jRWzLbNXRffEzt4I6XQwIn3SS8q7L2O8k1fwIf9XkbVUhSj4SwiUF/j3N/ +daWQhBP5njV27JtT8/jnsXSfwgFW6TWeqPd/JuEUq2K2vDaRVscM9hWUfldlzobM +E0QdBpCRmlGPpaQ4WK5B2+LDNdkvgG31wJ8G8BNXMpzY9oJrbdmDCLQJgWlgpLXc +utZyzh0jIRSv2WTFKH/0iN7QIsP+M0w4E7izENE6oCT6rGoWvv7ZHGUSScD9P2uV +4aCzeYpFz1fZCPwIWc2BDqVwNj4zBK4SrvO2/n08LrmdhsPq1xZZDylWKV/nWoIP +zaaJkEcKp0tD1hOiQMEq61JK8Ry4sepuEoJR2PLX4iUEi5hsJcUeKkPuaSraFQcy +R2DK92h2c2LI1GHznjhWhjvbFRoMZ31LXSv8J7aolmmPFIaINJKvE43lTeP4d0sU ++LOBMKrxApdABP+tyqhqC/YUERtcpspTO+4I3gg9aVAyG++4mLcWSyP/EVlUoUov +cSr4KuaZIUfLS2o1jUwz2CcrkCEOB/7Oy7HzjaXd0+0X54nXqBN5kvLxUeoRSfuM +YUHT5XsmQz00jb31CHJgnmOFdzewHfzt3uDfbM7yQEY7fRncyu6uq99ybGoeUx/M +tjsCU44RGOZHv2EZGpPpHzI9dBbBwWlm51nMomc5GXhJJciFO+V32dgF98Lz7bU2 +k9qFcwlRPZK7s1oKrhHy8Zo4+QIYg9twqo17bAr8YqdfrcyY1yWipDQSxDigvXUi +RgunPcMeFlVRoiHq9mQA+m89OSTS63w4C7LugiTrouc16+1niwGqC4vb9qmwN66g +7bGF5zi8QrvukABpGrET9z5wmJIuz7z6q+nyKYKH7A5T5MmaNAFl6mglz1MztUvO +lloryRXuWS8jZ8lETl3qE1V/uUOZWHhWhWTSQ7yA+1ZoqrUYgTGHetuFYRKnGLFA +2NHhiF5BYDjymTXsx6IVPMnc9aoU+ePSJ6R0tzfZkPBgJMg7L2LI/Wbr1dqW4lQR +vW04KMVDtVe8bhsEHtMSNckkKoC3wEIJNzVcigWBFA1m22ol050uc0SJx08v8lBQ +EdbaID1oKtTzFnRMR8BM1qCxRDcvWPPZxoMe+dzYF2ItFDiWjwfLxKQvdzQDMHMp +UY5Ql9QZbMDw4WzF9P3yxVE20oV4wHKC+P6AwoCUdxFrTG8hFodwMqWzLPvgEpek +xXcV1DOSdoTh2MaKSmfmw9iMtH6szKjWN4utUgG3Rww0b7SaM35PFH1jG3Wg3kiJ +d1a1jrPjRo8AFF822lem9VUC27Jr7DB0CRvOP26SOQwclIPdyglW3BcYG7Sus22Y +zZ637Uw94xinEq5mirDahosBjvDrCJGUIImITVkSlcd49hFdQ6+w4jfxotUB2AM/ +J+he7Hsm32w6dFRMkAZlV1mPvjXBa78slRtQ8VzdhzzEf0qJetAcedSXYxMevbmr +cliq51GD+dMmsm9jHWJKkrsPDzb4eHx6DaR4eCpl9bxvZZPT4ifzp4wEXgZBbatG +xht3p5NO4p3AL3g1ZbV4hbvojK0HwnExr4KBKSt5TG1WmuzywH+BWn4SRTO9woEm +3JQODPCrU+iVVqXoZrflzp7fXz/25xH91hwHLTnZzQb0Aw7oTEHfvOwl2KnyxHZu +mA8ZzVJmEDlZINVMINlEndJX6wHJZMcn+ALV/3rdgLJZ2TVlaWijf/cW5c8TjMM4 +0lk9Vy1l0zddxpBILzQ00d9wATnoJWQ5BtC2TzUanUD4/itQxZYf6G7UAkkMazAS +Uy0u7lS4asDrDypohpahi5VefDQecZTJPXi+FZSIvrYgO/ZBPQX0zaVdrjFEbeLS +X5aJLzKe3sf+UwznRj4EtE4XdAzaSXpgna9zQmbeRFuYIA4v+L2q8UiKN2XPbbXP +n43L1KpMMAky+iVYFYgwNPdjsmrJeBMuZSbVWWm1cQcdTDFVaTDomScKlFo+e9/M +Uyp6dPVTF+8CtOgWvLQDhZtECxqWntIc9G51ZHQYzgnMyjllGO8sFVItlQCetymU +aO3SjSrQlmjyYXeJoF6iBLnzNJbPt342fQswdswJKhZ2uIkTFPbOvbzBpGg0NGQ3 +90G6ZuvDTPctiwBM89oWrTUGjH9HI4HPjWaTaSQi1oPckTDJi9GAUkU1x7HyebLx +nFIka5FeKK8agDml58r5z16vpiBWzhn6seTbtIf6yHX0pI9YAzPzwUu3xPlWkVzr +P8dyJAydza2NDQr3OmfSAUaC3nsWIBddxD6YdfqVSrVMw+aJNemGIC9NAM6cra6h +YVAUMLqHSDKqkZt5+U/GFwFcCQX6PzwEIBjFkwncRnzkuT62NNFNiN/OZ2/97KSg +BA83LJ215hPtZPAuksW1HnCcK9CjUDk9dumSKBgCGcB4w53l3eikhV6dNlg9r/gs +nB/Idbg5CsOdtcOA9R/M/msTr2twU19PE8GgvIOjZJpeNKieW5BygrURTO78ktr3 +QBqXGRnBdZX4DxErY7h0QeQRHQQ39L9V9QEmWiE29X8wzuIUQjy2NNB6Y6MyVFxF +dH4FEz481nKblspumDPRH+TQv38N6t65DImRiqzQO14sHtIpv9AJX0hemmNpyJDw +oMiYOFR9VRClYVn7WafLVUR2ZQxKfA0dgywEUcCfoTEhlTTGptM/BDfte0haMXt0 +r4pyS7MnKtXdUbFoELuEOx8UHGJ8tk2JkXDnU/aifHC9905gdKWRgWtXa7n4ttCc +Obg1GvlLBXz6VEAKcPsFHdIdj49ySWWGvtNECWR0SfOiajm5qTdZ8r0Ws7Lu96jE +KJFOeRRHSzWq7/S/y1s4gGAKin1ELsR3EYV0dJGhee5s9SHVgx94Gkyzg9QeKylt +V3ywXo45s/TX0qgqrKBuuXcWXK8oI1VwvXJAeDKGwyZO7dlfwhfWB7KRywpLm4lr ++ewaFkPZhHDjuRPg+9N/OtBnoX6J9LS7+pmfoc1gv3ohui0nOvxIJ3/VZIWcGHz3 +GRn2hxEYvQPWPxSbfbCmSy5dC9gPnwFbtbku4rCuq/uf8cdebSMVukvCwMRdb1hb +OPpGHvNOOonps6tyvTp+ddn+fjEnXOi5TS13sHieLERtNiVuJCJ6Zrs8Z28S6dVg +jWVa8IzuMNMMgMdrPhYyAmyhXhUT9RmzmLissVnIBgFBBoLeLBRyBTYO20zXOSCm +DhNQ9au/ZfaRIozwqmoKFcpWThY2D9Jyjn4Uelz3/Oyzg2w+zCGVwZNoo4CmEn5F +f2IZa4c2/TZBrcBB2cER9WV8/RMJW+d6vu3h9FAo38nR6tWIpxtkRC12zVqLZGjq +dvWgApYLqGEO2BokZllWqTldlE6+IXVVeCpw/SAGjjQ3CRb7hutfg0PXmqdsA5OD +vsUsvcMuqCA0IjfH2sKandK+WZADNlaEhbLef7zlBw1BGmGpBLsPNI2VHaMKbBnQ +hG2VP9Ec9x3UrnNhadnCufLJ+WG5PH3XjErHNE/bmXEzKadbSrnNSJn9/tDnmCg7 +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_mutex_acq.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_mutex_acq.v new file mode 100644 index 0000000000000000000000000000000000000000..724b5ba53e2106feb9ab923b2df6e714d830fc91 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_mutex_acq.v @@ -0,0 +1,193 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +QYGUJIm1VQHDIWLI0p9m+XNH8xXNWu/sCv6AKwfwMp5Vn32g+1yIpVqIzZHM046L +mjtqKZ2THAPmXk+JXJUnjLdmjGi1Tupr/dY6MAJ8URNVq8Xnqcil+e12W5vuviRK +sHwdNh11i8yB8mklGxoB7+xbhwCEmHsKkO4uw5cMRLw= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 7520) +KMZojQEEaKDkktGvUtGoucmORYwnR/8iEie7vUK9gxVfD5BsMCtPKLFn9spqf6Qh +01whxxUG90boDoRRk2ICWAdYHz2oHN2eB74O9W231b0WO9pmWD561ZJ99cgUGFh7 +AWbtuwzCi4AS89vIfqqeSqWvY1I1LsWKX7Wp7zRVHwQ8gE6CAYyQ/oXnHzUq3/Rg +zI+PRYLIsABMT2BC0Awk8Zpl6o6iiumls84PmoBIDPQBSZx6UulDeVPJ9ET2sAgd +3jIw0i1p0S8eXVpGEAGQKdM75MR0NKpOpqrJdr3vtEruxaIEvQJWi3x7nWT1PwYb +R9v95caaDxXx36AqEK7V97rkedxlJL0bPPgTb1sbwSsMzAu+pMsGCrluRWYMxwrM +zVy+Jc26fAT7dbH4nmaO/Nsuwra/00DvJTtxeqn6RS8COZfd+VxAceiqeYfXPBXe +XWl1fFBgcjwHdVekw+/wNme6j2BDWwsIiMr2tygho1+VNsYPbAjSY5zJqlW1gtuS +Wdjlt1Ql3/6r6f9LifZMkSuDLUklK7jjxlm7dvY7ZxBzf1CXC4cupOd61QfgjEl9 +tIFWhr8qgvYNKXXifp4rNW2MyxAQlNFDBLditpg9OV9qXch+DmJu16OkregoSJOy +wqJutKNrBBNM6FBVNkJ749b91hrRYOETheYHupwb5FhMogWwBpEFxc0KyXNbj/Xx +HJxpwG83CycVdWRfq1tAXtlyFBMqdmxf/WLzH+cvW6IvPLfOJdQKylLzx/+LFDxq +yFo0Tx4aXePus/jW8+9P9q0QRw4+tGoqHHXF3/2TgzExkqhYjQ0ahyiPe/Xzwpes +l7fKV6jGlS1qsY5Qxo8PT93wKCvyM7dJ39qxIx63hPBPWaQ98hlhD265pQaG5haQ +h+Ei+V0MsiEFqYYWuJUAtlhwVanCqjf/4cXbCzcP6jUccGfn61Koc41Qvw/35SLn +5IXu3RU/TwU1MkUvv1XWkKhEs9DElj5BNxdgxAYXbTnaQicKWyB+S1fsGYRedQF4 +8zsF7U6jEt+a4n1IzYKXb4DUU/JNq2KSmuFGbn8rW7UA2n+P8veVScqELILt9taj +F5uHkEbZI8FhJD85/rxPrvbaC99XC32qUt2hgskxpEEeQ4aITI0WvDmZqB4m+skT +0iyBrRI6B2tnTS+BJCbxGFPSDORHW/9lXzRUd/gANt9MjqWKfvuz1XLJ/7QvEP9m +PtG5j9O8GK+MYC908FvPXAZuAzFh+E2wKSKWMRkdclqj+hp0arK30I2Re8gdX1QQ +azGQkOeV5FTppK9GPYo6Z/0Z55EyBY6xGqz4xh3IpOmkxrrPI47/5QJG30mXadK3 +We4M99XlAslVfyc/IpfOq5j4euKvIs1vQsx7gthe737tpnsSTmMSL9ygoshBDmS5 +Y4/Wb1Vib8TvJ5X3wJyt1/z8SoOgp0yt72cYxQYq7Q44cxs/WXbqg7LFu7TqMqIA +snmqOMZubv5Bnz2VGCDHCAFSTdu6LSc+9RolwrhcSqjj21pi09j5gIEvCxwXfs9d +9GzaAG/00Dcf9mitnPi41ed+UIXAFd1jZG9OGMNxWlxn220mZ+frJEIrX3f22XrQ +UwYM1dryuxSs7z19fcysx03vBfdoErhBqFdFjSatN6rUeICRU+buuzHAmNf1APqP +FX+3BS6Im7YCj3hb11j0ZuW5mIC8pIiMuuXkoHDSoipAu4ANo4C1ePyBLWYNsgF+ +wCNBPdCkxso0spwEbgC4QdRd5XxPFqKTyhX22UO4WkaXr7NEEodGbuicmLV/Ja83 +SiIu5IYYcBpVfUS/eK60cZgOLaK4ISsn8rxf4JmeSPr3KgLlxblkWzF2Q6El1iYu +HmZaJ8zTvXYQTuxUA6yXdl2t0erIWM1TSG3hhy6wSD3LTZpD6f7Cq4rjJYYJtT71 +zx35xxs44Z/LN0L97OJYDHKnaGiECO0wqxsIAg5jstGgqGqQYGON5DqO76ECeaon +NUBkYFOhATJwXL/MXxNqvPm/h4ta+lbZzpqSOM0MiXPDpJgh9+hHl2RWC1CTUOfK +M0vM61RfqJZIBd4ng6dGqqA3bgEIGzXAr31GpvpLsyEq8QmRZX8SIm40IIe757vD ++7jULaCPEXl45pQ1kQmE/x2Zl2gm2+4hgm24ehVUSoZMgIFGC7TUb5k69nF7Z7WK +MT+shLm/pskFednJK0r0CDBcORQxh5Z+zdz6YWGyvQdd/fjJMmlC2oenajCB+8Pe +dn3nlSsiOYxnBAC3G+RfgVOoZvALouik4M/qNDR+VpnkY6/s9RDW6blZmDwjvhh2 +CuPwPvr39WUEEQhk+yL+fpFKiEZluJSATu3PQcUsiKI64VSoPSkQtqDIsicYerBZ +oMFZt78sv/qYQiDEZ/yIX9h3gZXP1NRIoSJeNCCPPmQCnvMxCnU+8eKvV8AV2B0Y +vS+0b7h6QRGU40h9WmVnZpX1mEiJfIYWILLhcF8znnMgnaNlnsTjxj4W9ZelzAdW +Lsks3fKgJms8CRSv/2Kx0OBEGrXwnz2vNpDmA0VGQ1qr/yCIe90QYggY4FYEmPgC +Dw6LHEwj2OSMknPN91ZrG/teF3FV7le7EjNi+lJ6Jq8G1cJGJiaTuIbsksd1NRaF +5r9A0HC6cV5c15bJSiQdXy7c8oYUzhgOs/OVCE4MNFKyJ7TDmQ/6GsNYJ4Py5tIO +TBnbDVMbITJCo46cXwi38RVUuBY1Zn1OUtRxQggQ0mqByUYYjxqW6Ly/dFyh7pqL +8wriEBEtss3Yngl5hAGdgbmFHbW57rK83L7ASXe5SA6wSBqduT2syYuPEH4w8bC+ +B+HcI9HWzgL17o3HqjwAxsJPpEGr3cckjWqDWyDKgKIyaV8cZH15b7qTYiL34RGh +oaF8xrDKIOZQX3wjZ1jNTrj5OvwPFPOUynLAfihhsQxUnrLuLOGB/Uc9FyyJfzap +sUPODrvGlkQobrWNl1MSEcSMUZ9I32HlOhI2MJfgwCaF2ss5RSS9ISzWABXhuZk0 +EiGwOEnNxgZTcIYRvguIy+ZSEyWWtqJCILPgpZRQGkjpoo/koG+an06hYeBdpJrj +y90hF6mPYg8V2SUVzd6Njj2Ul1WFyBXdv+EWfGE0iv4B9ULS9bW3fLWm+erY+yGP +2dwYrc0SVfqN1DV/DT53Fmnv6DHhXfHVCdwgq/kIrbp98TOUpb7w+CrwfIgYjLYY +FhFN/m3akm2EAe8c2nWrrzsuk3zIU6LNnTqCpw2ufhG3I4j+8m+e0OHRvlJWoSvH +U1P46xAmC7TmpI2VQ88bHTPU1EFxjn4BW764VF1JtqbWwwEIDPyCmM8GJEoLCzL6 +PAGdsVJe+yIRPXeccp4H4sU4Bm4Pqquuxv8ElQUVVh0a35HGv3Gsaw8eXT7MfdvZ +tCbriekOcX3d3v47HZ99fefMDD27n5sUSKhGlDW5pza1fkgHc7vfr6GwZ9q+cU5Q +yc6Iv6OCMFt4axuVgh6jHjP3MXg/e1QKIu6nVgNeWAaFJITZ+x/eP7WXsbOjGYVS +IKsPVup9rhDpYFqhuoVA6irMttx2jQ/OaaDKbXY3tRwf+NWCtDX8HovCstFQXKMA +7FIib/QSp99FVnZN1vcLUteM8m1Ke3LLrWZ4cXKb5Kjp1GupmibH5X/ZrFGmzeg0 +yYNSif7/UQAyCf6fSp52vb7m3DMsWWYQfCtVtcXcBzz9wjcWD/agRR4zeNs+BhMw +JZoORtBn/BmAFhz13+ke8SVeEktpQKOyi8nCXTT+nlTGsswFK5835FdIh1bg2FTC +tlbWrPYUUaNpur5PfVEyrs4BkSj7LP6152fU5bjARuQiclm5r50DaL/zV3BWlTxi +qgrHWpnkaybVv/f/79XmkSTuIPJSXUBIOrwoCnalEq7CZ1WCUIUx9uzlQEHHNEPG +6u2/x+EB+woPVoPBA7nJz+zi8fypwC3zSck/KGZT7oQLKidTfGyYkidpJrN/Z5l3 +Rdl7lpx3QBpgbcoYFneTk6aISblOO31eV/Lyx3PIt5byiVmVoqdyuD7sx3FaVgwM +HHpt28l3D0nh26NWbbarLJtcqE5GmFxAUwiM1kHzHm8ETMdEEwILAhu4/YlJbcKc +gN9E7JGusRyxVnBWQvONo1ks6i7svdyQUWFD8siz/3m11km4HvprkU4rXQE4IZJb ++HgJnniL5A3NJpLCVxLsRTOTZS5pJ8BNU2s/wquy4MZejY9KThnKFVKLHQvictAF +ekpVMwfw86XKWgwNq3JFMqrR7EzU4EOpymMoccwlbqdJEnsKAmQ7xLSvykQVgcEV +qB7fhV1u6lUq9SS6/sfFFn3HLTgbVreXgpKmHa0YaFpdnihmajH5rZfJYsQ2VSy/ +9ulU65EMso7KzpamCmMr0DfjKOC56lIwLTHPXqHK0603WOkeK9Q0JfN5g2cHtWVO +0ompWbDwNsh/A+MnEnjQ27Q4kgxg49MQCuVMJUYAyUuykCoatu1AWzFfaj6VVPTT +KGiIciXd22QLKmVbOr3RFJwygFUGdAp38M3gEVpIS3dAwMhevc+X/PPzusuV2l6/ +mOlm2Qh1QyJyhD8X6lJ1A3uWxpRYeZAHFKqeV0wzvD/EqEnatQMdkoDw3dcg5I9W +fUqot/qCE82LB0xyW5T7bR+9SXEj+gw8lrnLR7tSlcaHAwSesQYNqkfiHZcYuAFG +9umz851W8X6T2w6LSPIu9/hGyNkrhNECavt/38iDNSrpPLLQshxSOdyiZKTxUfrb +HysZYLHx+WUCv/jdRh6Uf/8NZi0kFM6HsUAhPHy/o1YOzp4sDwkZ3rTMIHIaoeUm +jKEfFpxa2Jq8ahlN6ZZpG3TRGJAhJqHs7G9wCf0kBncWEiCYsewzNuIfmza6vTZW +tsy3MQ1QSwlGuE13abFUvmMJiU2m09Eh+Aa2hswbs3AWwRcLTbRJYq8pDD6WscQu +COtBLO07q92sA8mB+qqnuZ4HyAm9u0/rBjyLMKib7n2H+uAWXleZIw5eMRHD6d3R +VOnOzJmMWxdhH8XLdbVVmoEMOrkPUCfu0y3fgpxk+VZM+2l2TqnU746mD+Bq+XxQ +jxFftFvOcAaTRDkg3YKH8W8PeA2fAdLrLbUTWTJo3Qzi8xWMBjKPpljakJ9ov8Sd +3uMdfsnYEZ6nJ3pgt8v2bs2QIoLx5Lq0g0ItZD9cX1ZOiF/2EMhC4nQCdgyxOxbK +r3HuflPYpu2cqNB8snw5LdlrnKbc6q2c8kBQiIMAEb/WyGLXWNAXElKuy3mNmegb +lIER+Fg2oxTX1j614Sadw4F6dJ1rY3iAUdfs/ajD3GmYk7lOgvO7F4QURkTOORqz +h4YMT4RnAmWTeOvHPwsHXulFSf3PqQDHLSZEF+18KJoui+szGwzQBWc9yc7lqOAV +AmpU+zUCq7yWQD1nEYYQBq/Zp9xT4GUDr96wpFSXzrsZzbq/b4IhljubqQUmadSW +YwdDa1bUFVhWHtMCVqdqYyrMexMJPqCE/rShCtYSPtrdd9UZxWDT5dBh2+bzxmQ3 +xcZ9Eq7hx7pNc1TTqAfrC0HFgz0lZ/aHkwfY8I/D0CueWIFFRV171OE13mgQdlFp +OHI0qqpXjQGgvNzm88eohlc+F8ShF8qc5hCKR0qzY5W+lzrtdmWQP7zto/za4XJi +a/FKvWywhlJPqM04Z64iE2T9aXuG4Mr6yMABQNI6MuWwO5gfTCrUS7yOOjOofYzl +odeaGdZqfDzGA5BGCYGv4kUhODhuvK3vdUnJmKSDb7kehsCWsc5Cn7HE8PuAJK0a +yzAXals2ObGXFGeRQZ7xAz4Acy+nfXXWgW+FUTXuac0ClR7/LrIAAPkqvy80zBUI +jTk7RYjPLk0WClo0JXElkA3PA8xGgbY89bDnFxkPxFbUXbXECxLRpOoGSkU52DYZ +NgsRnG1nI/V1hIUothmNaTnjWbrfxg7xRk3n9+h+chwLtIk8oPKKpS07Axan8RuU +TqIoIH9+DVeIheqrt7Ffj+5U49jHyDPL32E77Jmqj31u/DpREkoAxlm7t8KLnErI +XXa1zoC2W2zkNyX2USxbVh6k7pOePRm09t55rqjI8hkdOCl6llLAH7c/8N2u4ZrR +RTEoK5C7cpiiMy5B/sgvq3saOHiA/TgtHH/2Wi1vKYhU8tB2/wajq5Ugom2Lga1P +lKgJvCkINyH2GGzHr3leSKyZF7xMWBwKvmdmSAKg51KL4MUk/lesvVx1eoQZKTbr +H3sz7GHflSu8v9aXCZg8HzSBNe71nuw22qmN4BkrxxWKFUbPUL2sqDSGxBqVQbh+ +yypApPZa+bJo9utmFcR0BQHmswMIiXXHjL+6rZph10eTbGiCCRVO5K88RWyeprul +D7ggE7D25Y0hccW9HVLf2gf1j/nehsVNYQvKlJ0GjW1kZH0fWkQNLYCcF3xxBq8G +6cuUpLMmGE05ZScZzcqyGzs168ziZcKbO9zFKKijPdi/5K5Y1wMu+Pz5zMUSRt18 +J9pV7OrB3/3JogxCEW1Q8C+su3M6nmS48KW2bXS2gyX1e+4MXLp9Rx8UGHFy4LQt +79RZGTcTCEVeW9V1L4+YYm0HBeRuGr8jwvUt1436VsQHjXQHSSkJbgfEtD/SOAZl +uYO5RQ19qI8hjoIYgOxVf8Ggwv9l2lWq+yuGdqsSQE3HmP3hTofrrsB36U1Uu5Y/ +iQEGOje2S1DDrW4+ZzbQMPHYuAnTPDIDmlKBNjjvRvpMmzVkJseNo087x+bLC3KJ +wJa/UJv6fZ2CzoOML/7Zkmligw6u/6MgsrNvsqBeyF+g2HtaywlFhW8E6fvvUKTY +z167P0HKWa7cNSmq4OLZlxMNc5VuJVT8gLiY1koKSgMyDDnKYd2sdHG2r2F8du42 +cxgEq/+HrWAmuoimpNkDBNEAL3xE0QpNXCzmGY1aBRRMPN24JQXeAKFI4MF/esJv +XhdK1cEHxzoY1buaRamIJ4LZTuJsb/uiFiRFKkW+j0zLacU4fFYN0g5IEXfKlhJu +MQYd215YHafyYdK+xUBmtVkpkXji/qwJKiY8SCwcoOQqXng7C/lRtaE4nhnTCrCI +NDPRmZbfznNBSeZlgAAYM2qdWcBSAkPPgh8P9BZnwNPWQwTBoEjK9wxAw4ZQZov6 +B+BhKAuxG5vefTGQXlQlw4vQnagFdxNrPFZ+bQcyNCeTUwB5R4jasiPSLEUH1xc4 +J3dRWvH2lPcOxqCBF61JS9lJ+prgZuGWx5tGgcZCQDa1a5phYrhwbA4ucoVzBKDS +6+o8L/cWzjdYSqnxwK/oD3ML5hUrD0QPLVZjD9DRBUhhqp87ej9uL3fo0RJja2xS +fnLuiZu7Rqa+wZmJMSEwwF1g2zWJZCv5G9N3/VuliBu4WrjDAw7zyl5UdHpuEORB +o9P8EJ0Kpe/J3YsX+vMDMBUKAWUjgt725E769GyyLkpsR3hBOUyOIArCXaLvdlwm +k4m/tjhjh/rtfN8cP096PkJCEUi3oLnV1qIZcd+GgFc4fnvFjfpYwATDDOIu3JMz +D0Hc9Q4BV4oLAod2faLhIq4fpE7vcGlSTC9ciZLZJfrAu6ekriXhCYd1PZTt8LEe +zEz+M1gcao4zLcsSlXRPXoKOHnsXRQLWCyv+/rTZq61iZp0FSL8aKC0jYLXexmcL +jlMB9KL499dc14Hlvj8LdL4g2/nU9bYm5NVcRS7WJ4Vd7D1lhtgY2yN8JzVFlG5H +qLKlJRDvn6orVCSYi2ELDyhs0QuejK7VPlCWr3s5NVBJKrYIUI+VaTWvI0pNRUzD +3a85RDaKupxOb3xgfXh3eDQMUo9TQsihrJMw7EhKMy01ywnEh5A5Mfrk60Hl28GC +AVAsLCe9fqyhzWmuEAJmbHgiqSAS97WgeHRCPCME/xRJu32UaezkHNsp+PgEvhl0 +sqbLzt6eL9Ai0L2nocK71Bofk9oJmTyxmzmWyXTfYSmojO7vcDpGpTmHBFh7TKzI +K7BBF5WXjMot0P34/2CkcOlIOlalFGzZilxZXvRbjBjeix9auYiAGhhv7/cQ7Sf4 +rvLNsU01zMv3A2D1VAKWlFBpkImkv9ssFchjkdM1dXhF1WmKlUeVXwO7p6WMK+XK +86nY8dcbiYh8XTb52jjq31JKeHv0lP7dUnO6k8AuyySLi8JW3yfvbf9pGYwvmVjg +Oa2fkcT4IhBd+4bMbZopjf5NrgEkde2PjrR9o4D+vpAv60KLbRb39t76GEqpm9mz +qlbDXo7iySt2EzQQss2Xyg/AegDLuAVtVCrLqAbBsJbd+lkGugHcPlGd5Fe8ri6G +Ij+2lq1uKnq5NmXZKnpsjIXGmw7g42TfcK1YlKQdB5QBPpmJzE0iL/ibQFbG8ggK +sKwY4vboDp50WEOrtK+Odl+XEGj0f/2N7YQIAAQ5J07PTV2gvKJ9EDpa5zZ1vNqF +cHc97nixHfzcKjsvhaofK5I7pDD2yRHzo+ZvTzwbLWnD+hNPjUzCDQEJmWunzxwe +rBQBZgzADgSvyH44AAYqliIWoO6926IBHRBNlMl1ihGgwDI2oRh0g9WGx/hnX/yz +AXwjSDozo7p6xdttK/e1pJ2/gN49e/aB6EnxJy6ZUHpRO2I9xSgZPlI763fDwA1C ++8t4yw+Ecda9xDTeiDR3sUAk6ZlWnD6liHcRCUfTknc2rBRxJh/iwPE7qEiUX4Y0 +in+iDCUV+7NsD0ATDYJluVTUfJMQ2uuVH/g7yxtYBCtRH60XuNTlJD+tLEN91a6Q +s5rLYxl6LPAiFkfHEcmcYF/mcdN0iPzqHL6EavF8lLXIZFosuFZPxGCBaEteq6VE +d0WKS6yQjybjt6Eh2JCQiV8fHcPHWntAI7AC/mTh7SOMSyXqtPWDA/nAOC2Ittw3 +QwIHPNpIrfOKlHWPWGxf+ocuONn+oAK72jvoA6wB5581mkQqk9b+7vhRfPLjy5SY +oy+vg65+LtG73v7h8WrmoUpmfkjFoVmNXOTo/FizWQGAfJljiWtEx2bVzg3fmdjp +0n8ZRY9ZIBoBVNK77LGC7z5X7kVhSGiUnGGLn/jA240a9ZM5dYXD3yh1DHhBmeo4 +Oh+lxOjcBXubQ92NEjPuyWgBn6EmUwiA14mYAwPa0WGc1DaKjVCy1BlUaRo5Qac1 +AzzwNIbsZVV8BHAYYXIUWWjuQZdGDRYEFWCiZfano8ctsxB8+GHUIlHvz/47yAsz +Kz7RgXRZqG614eLRO2/TWcEs7YSSJs+XdyX1eHb5fdWZWjGw5rvFIx0L8MG+aS7/ +8xq9qf2Yqysj0wtWd/KI0gDBb3yqjnB8yydU2AplPbvFX1gXjFjhbhM2VXa2aieD +FhakaPqK5hINuJxmNWFOHPIErpM48Q3RZPFSHjy2HvlxgykOEATouIh/7Mk5ObZa +5omr7hHZRQZCbvqTpqfJitji+nEqcgcfuOd2Qfu+VK/Mf0KvbsngY0Q5OmPXuFUI +4HMeKeWKujsRdtBPux836xPysc+J2Zw/c3nE+rS1OePckfwD6fE0wMq3F1SciNkI +xIrF9hRQDsQhDgICbyYje9ravIxtWQ8aiaAdFl9RoRoIjWZJRaqsRdi0foQPVwIE +wmHvkkD4J4/IhWhUzlTwzvES+ERxAtJcbdBP2SHQoJv7vxJDVm9HUsRWiU6rK60/ +FYxG3HWxRiORumcVKX8skxCwMC33OL5A/h0s7e+30DR/iNA1G6azpoJV1dbg8F9N +goKenniX6hBLar/NOEe5UaAQIO+p7dgOEwE5ypXaCGADFirsfdfLACicbbuaZ+eW +31K5UvMmaDFMx6dkwO5wnsqjCLmBq11BdS3z1Tmxm2zY38hsQ0wQFIQKuV0d6u7J +8nf41Jb5qVk3sue31jcM8lvzGyH4nfPF3ynABRhD6h+Wtqej9OSgUHHs4Hiz86t4 +fPuBdrmt7ahFHBm64I20cimeUh9S5OaH14uYXcmhnbkVmerbTGb0VfPqOvhM/1v4 +8joW2xKVL11eY0G347qHdbqZC6ygVyLKvrBNQttrCm+bpbXsPbK5I8DS7ptFiwLw +IQgN6YkosNlQmTcoDe3AWjcUwL4Um7Q3+3VTlRCMR8w= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_pma_ch_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_pma_ch_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..f22b03e4b0b361896c232091a7ab96b3b8920a72 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_pma_ch_controller_tgx.v @@ -0,0 +1,184 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +kE/HcnolB1LQgiuknfShzOjtrmkREmDZU7Jtnw2fbIQcjIExy1HKWANFYylaB+kO +E2T8FkNfZ2tZEIWqBZyxFkbqnbT+ewAd6Icd/joediut3lErXO8vclfbj48frgk4 +mAvWpQ22jfdXG+V07PBld+AuJmf7v6lPFyAlsIiL6L4= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 7072) +dMBE3Cqg5fggriJt80QJluUPe+pPd2GTq0yp+Ynug44UDbLFMQmryhrHDWeFrfT9 +atggP/FjthkQIrHdb6N8+oOENXsNx9mm6OMZbRFtTbYCX031szRSn+0scpl/sJPT +j4f/zFTGXWDb7iPgHnNK0OL8Mz0gwf9jHYUSWennfomx0oLFhHWoV9WIbn/mS/y8 +XXDj2yhl5jR6deTmty+GdU29UgwROPSDnjlzp3FONforq7DWQb3N8M4DKH4z2WI4 +wyolUHay0YxHKglCbqGQGJmTY8BP1WSX10ucsYTQurKwGtrIOsgJVyYjGa/DtRxG +a+xHZeMqK2/l6uy2uBKfHT5MPkJ2RV3UP73pVs3Odl8FHLzLibszwfm8xImmwvqZ +gQvjFgPD52Iwwvc9U+wvHQPJyYX1L8frdA8WgC92Jy+BOMTldC21VZR4HupBzwPu ++6sGIIjGVRIidqy7q5OjEAovaErjdcfYCAby+egSCZgzz8iwjPljwNkuKAsaLp26 +71x1xegHVBdeLjtMVJZOTBKw2JPvXdo6g6UuG69ZlBYa0Rei2+i6SMyIQgrXRp5T +hYI6n0Yq30Xh4TSjMSy1qBJwBzCJpsKXyyL0eJBEyCahNOmRDqUZurP+x1yWUYGO +9zZnz0X6h++KdCPdTDtyQ60JwAq5NMWN7zBHD42OY+4LwayptazHXxycGRudFcvc +CiRt6EzpMMc49EgvBMEaAPfmCSLfC6H5NrEMauPNJhoZZP7RM2B12VwfO/HeL4ys +cHqY4tOaI2yK9Rs792ycsSrkWHwtIFJ5cxApTZxKYrjIMUxDKbwJpcwNit+zcwMV +eTyyWrwCushfpTtUhCvSbFydspzwJrFC75DICg+Va7Xc+G+qjnN7vPMKDG38TEq3 +c+H9AgYPMMoiN+zenzodQsnGgUyLkm0gx0wFG/ip5TZXcnZdYMu5MCnPjkOIc/hT +ojaO4TqCSb610u8+6wgemxYniUY4f2ardef5vBOurfdcp57NqrXfGZlg0C348jXm +By4fyC9Z2+4kmUq4dzV0PsBWanTC+34SZzu8a9/CIaBEFQB5YLqOJ8vCICzPVvDd +iNFaIy0sTAEnDofse211ett9mXTMhKhOKocWRYfTPJrobki6DhY9UL+GR3iOjcsp +ND0ibXDYVapu3pumYR0TqP8bdUwgTY2WbmbuAOJrEBvm+R1M+3l3CMZigKtW43+E +QsQ+UC9akjtWCbMY25g7zh1qRkGzcNwIE888/XD0rtFeBpwvYULdP2PwHreHAEMA +WDw6FFUorA79A2veUtcu3W5DYdoLYs09NsRBrSmgw1Ru+zxYtAlMswWYYCXDHALA +Nhc37KZBxlzPWf9fQigOj3RCF1w0fj0O3OviZOUgFn4IhySDPz6Bhpge4qQ1T2/C +nZzu9kueulWg4UlO2O9BSiHC4A4ADmdUXuYxWXjFuY33Nh1tSr8A535bkGas/Yz5 +PI05FdyrvbxD7aJpXZjrX5F7HzZMZcTf/ahc6Ib72rJyT2HFadBa0gwPLEdCmrnN +AZFn/zsaznevRNgpQJY8dr3mS/YAHkauQD8FBT8EVvWXk8jUaBY7zPS35m1nbJhA +zkU8Tr5nG7BLXDF3Cppx0MZ6TLp7nGeApAkGGRlVort0pF9/fAE/EWOVWZMIaQ22 +Ka4fcPm9X3nDpfXusnP7Qe+vR9/G9kWFh6/1/ILEIdsYyJOxZw3gcRlCY8aVKofH +2CU5k0+z/b2+blG5OuqEOA2WUo5W0U+rYNm61pB1mMoLFYhwh8yGqw0h1bavQsg6 +5GRmTegL3UuBFPO4ex3PngOPDLN8tNer9sEFfVUbUKYc7OJ189uDj3mGUePFRfL4 +IE6yabi5ozTtD6gGZ/sr7PIUqZTS5MfnwkOz0EbcCz4moXF1B+olutb8WWTeaIkM +7QAehU13rTWAvEUzNj4Y4tOTf+tDb1llpPFPHlkpNKWnPAE30fLKEC1g6JqtDyGd +JuAve+Z278rC9klYN+qgIGSWp2f7NcvH/HmgFUFElBCmFRamcAgfzm0zPJa7xokZ +5FDde3aCByZQOxHim5oFMj2qLOSImlltGXuUUn1kWc/6fnehmoXaepimwL8izSxk +jpK22tcsMlkSsZ1YxrTDzna2bgYj3LSyCCbsPNV4q4CUVWLmLmZck7WCPmaCSM4H +1Doqw7Zhn/ZQ8xUQdJTJj3nDYEQ3KetmUUqj3SLoX3crivallX6p5h7v8GrtqLb9 +ARdzCZLh7axojl1ATeP0PAjh7UBuOUT/Vq46u+rywbc08wZAuP7gttokO1v9LTmK +zrHOPKDimfN55zL3Lzb8hybzvZS1eWh557u8hOUQRSsEworKR7TX9TiHh1SJoJFi +ijikTvH3FBTmLqV/qmZ8K+XUe+zEqgQhOlXibUiMFw/pjGL34UOKtbMlfuC0VpMf +JQYkrCuDfIL+umc9POBj67arSmm7YhIkReCNLeBpmwTQ11SfRDEd3+sM6MzuGdN9 +opR5DUSUBJhXfqhtkcEFcwhZYuLZpqArhnlUCzhPVd1y6/X7NRAVgq0w22LI7uqo +4w926+zPo9/hHC21ArinznbBNmP3FuFtz9BqVhV/uG09U9TsKeojvimP2m8gOBMu +FIdLCpqTzuZEDqOn+pDQzVDfg1i3ZcLbXaoc5vyOX/kbIfpGBNnvn5LEIxnSAY1e +dg6asbBUU5V8XD8Khe2vhTIlvZQRfav7a5JP6Q1m4QjbEChZBuHXAzFZzc9qz7li +ckBG/b6MfITD6L83he+6EL4mZyL4qJztQnukfmScNmVotB7apP8DMpn/qo1hI6uv +DQltgM4g+fRC6FFQUur1PVYaD47nhc3wmxsb0tBY6C/bNEv58puPbkjN//6kipMq +jCfbVPP8u/x++8BFmg1FZP/HIl8CEjHGp7GAASrEIS/15NITh8nGYtKfI/WDb2iP +K3wUFpq6tq/tUygTW1a/sA1gBxkuunjJonCwasuk+gkKGCbaJ5iTkTc25KAOHwJB +ifnxENWHtmSqCD0zsSOO1eZSghWDv2V4q4ABdN1+9cS5XNHIPoVtZ92hrZMwoOVB +uPWAGUrqIW+NrmLhzEa4oPnnpZ/Jp8wR7upmwPQDemSN3Wp/azfZQugwP2PRAERc +exaUp7AOdVl7Bi4DgyUqneJUfKyCSuzqUJlWW3p1nA4+47kGOhOVOtA3BqDq84Ga +G2t0wB5716RMvZO1KlJUENVcQnRxOaE9aUy/AmRP3SV2hnWT5/4akXabC11eslGK +AyvAtBBGpUuarYlYR/HoObdwsj55OGOX3qZweTJmgvvMyBms7jMq2NRT+5ykLuYx +7UTZNhnED7tGlTTjtimRFl5an88kiICs9uFdF9adW6RqUK6AhD78+pdvuNcOA4WG +wEUHZraWag3xsEhLhlAYL+vSFAyyBsqendEuEKZLVgvK2RPYBdxfbp07orSX48Ch +nfsouvIzk3AnwZITFrylKAwHJqg9szgwAfo2g9ERDeKZiv2C4rL4oGwP1tUiRiua +YSC1Hi4ur88oJKRvFVWbnXDApPIvB0S6eXOM1jj/pXw2vZmpo5qdTFGlWfs7ihzS +2ibSyUJ9Mij3Y9N0+KLdN8MlOVCw5s9PoCk4eCqDCJ7QgctmJd3FKLMV3KvFCvPS +/YvHGRwuNQjXczzVpEQ/KABtj1ghQskaStvn6lsHH6hE23mP9BozNBAf44XAl4mY +i5ycI4GkgpZKK+qqwnS0XZIPvM+JZa27vnUXWKTqXT3W0nyyoFk39QjHlHIxicyi +a8Pv5IlcOy1yQA4LweZdItU0UMOqJnba5v/d4C2cW+/jYFSoBobhmj+AFvqVIFwQ +eyKBqYa9yT8LgP9th0fyOboVy4gpA5Wm82HvTGdFw/YxGD5WdyQe9c15IVMGTOiQ +kuUBvHV6ZwvY+TRoUyDEOWsllcVn7i3nOcAa1TzPPZTmZ8U2EvODkj3gfwj7wpgL +DxKKBJHPb115E93FbT8VKMfui0slWXk46pJ3xPmYSQO9aFk9UPH9bzWPqAePGVoM +RByWwq6TzLiYAgWARhODp0OaygXtTIfoJaPXRmCtaGk3lRV7cUNGBaZHfP0gaOnm +2nwdi0fAYt4UxovU+s8UWOI4AUNvxVtxA74vn43tITb+n0S1ig/nbVGWw7LBo/Er +/Qut4GYDgviKx0rYRMnmmxfw/bVngZ4dCluCHm918+xrZ0Zh88sTuEE+cyu9o3qR +r6wfZlDINKCZ9yEQp+DwuEYAUeE10sS0e3xG04KbvDZDcES2a5G8xW4j+5HYcTBm +QJ9t9YLJMEqclNZnFmyVntSR60HKiALpjP3og37IUuYa7fN0YFIvfI2g3cpTE6vq +sy5rlWnSJUmDmXna31ktR2jnz41YuNVOl1e0uiAGvMJMtGQJZmI9dXqbVmjPxbBY +amiH8g5zb+WJMbC2DOn8hUCSf8yaqjIhXpOZWJD5ZOnXWQBRsltFtW81GD2qS1Hd +lNZNAK/Z4Oa7PZgFBLOGa0UfdFwEPSPpiNqi6lsnrbEfDeOuttToaUx/85QE0/l/ +2NFPiYPFschYEA72JbKvrVx4vV7AAJ+6W9aQgIO0ocPPGxunc06KQILLIj1QswTq +xxN66FKDSUPxddgUhRw9dKe0sT87W12LPpWSgKXUpEkWFUJX5TK1bSbssz7UMal8 +KZdy5EEKsMczoyQaGwPjRtejbZXfatzMH8TeP4q2+9Zy94QS/PzcbeuL4Lqj5Mut +KLfd2p9yahg+9WB9bHyuZW12ObfX8UDKSEp3wSy2+7CqgiauSWwHm9pxLDZNyEjL +MZ66ns65DxCAB3SkALpNYPmK8JMZA7bLyYFKTHAu1FuRJLbLHhRXnU+PP0jiaYE3 +mbbjQLSjToMIfUXfPG5K2VybCh/zN9T9PwjUrWPAYYAlWd6/+21manQFbLneUQeU +YrTzAIpaVOFRTQrM0DG9qR/WFULhXerqts2KvyAx8Mlk2cCE7Iy9bhzxQuZbamJK +uBt5ikVzeeK3uNHSfDnwGL3dukry27LO4lQdjRi08wcWUtAuaEiL2t14MsguyIql +N7Q4TIKLf1HdMmWhMlEGi1UaIY2Qe2u3X7jGXVQvWSnun8N/eD2Bs6Ae8K4viHoe +eKp0tYJTaj2qsbXq66UUzcxqpIvzhvVuAzpb7ycEMUNQULu2jk6v0HcxL937lC/9 +UyQt/hyKND0IcR4i783wYF+uwtDubmvLcJ+bZcPs3Fv2CqiqhzEEKFBkdwMtoL8m +fyKjRf10mw6LucG2dNznPgtzOfsrdbIwkO0kds65BSXknpO4wsxt0JKV2gvtOZFq +kRGZdZ0gD5UJ4nhnWhTY4DxBj7anLcLGckoY2hnSCBFQwWf+gmTNaz9GB7QDk3cq +61OWTWFeX/nEsGma8UXCh2kWELO8flBO1bvDfUxurw7xYMK21merNHiuxg8nEnOk +ZC39j02/AbVSxy/CUS7mzdfV+8ImRZ9rw3CuoHcvd7dG4CSGspVfF3ppbm6aseKa +NzaSz8f3/HxkBszkvW2uOyeDoCDAVEAR7/p77r4hOE2zsSCU6Cftu4EyoICabGzr +Qt+F8djAwk9UrgNKLAIe7DLYGXBVgpmVyIKOmP2Vl1MabTYZs26AOleHzgi+6BtG +q9FaDsoI3hqP150LkodlJdUOjJNQABBgjQ8lNg5nb4VXT+TjWlCX7DnoIOZPpsKK +ME2yJlL5MQDHHJzVPMugQv0o9jevY/ivqA7ffcDkGbJ7Pku6wIb4ux6bEdTQf7HU +9yoCbElcxucKqPOXaBCmyrA+WgB43pGf0BX8HbNSW2Sit8T8uarmCD0iE49BXih6 +L3tchFQQ0AsbqStXYnFLyv8w6JaUhPMXriXTwcMd2gID9ZRgLiHoPZ6cZT5VGg8n +HjL4GWcGZPRI3HNAuAfHXulxNB5RQ5i1tI5YpkOIGCcd7NsjuUi/7BMut3ZplNbf +FWp3WwFfwPjmUQPWMQXBe1FEuuI2FF6HKaar0x9Z6Yvpasp46vve0AjMFLD+yhuK +/tzMQgBoPmgqbbw2TyP5s4olDD2d7VibTtj7VMtB9la+tSApXpt8nW6BhIY8uK42 +riVKeoPzXjAyI/0rF17P18dhO11cmiSCLMYvtaxe7G2ZPIl7+hKrA9KlceMf+fuw +TxzySdfhCd1Esapk553lg5jwFOdck0TVGyk6vJbEMOMu0q3+a+B/X9U9emv+8GoM +i9B6IPnr9bmajpFajuKB3zp2smB5D9unDJnN75HK2bhkWw8KaFRBXMApif+/Srn6 +focpUDM/QHvsuJ4DSkEAtc+ic1rspdf6882vUdd24HRymIUoXO5ekKkOvMBLzqOg +UkijEIYoqrmIL0RzvOHUKx052dpfXOHFO23l95f0H8vVZEM06k0Ie0/rUNkmGY8z +jcrZKS4yI3shNMKtHwTgfPP2judmjvNWXeanRgbxXZc93ft3Au5Si6AC7XOSUl8M +uhypkC6cx+yVk0UJUeG0bc+bO3Code7tBknOP1ETro5Ybnd2TcEckP8E2oF+0TvJ +COChC1s9HYxducloaJE+iSxDdbQeYBt1saIbwma5xAp3CCdBVcrpRA57ixJJxHXZ +5K/PX0C/dZGWDJSIIbTTWrrPvQy/nUMso13hDsTsB2m8HBYDfZ591rqlwJR1X+p7 +XYvYa7+I8bvWwy0xYvEmDpQsYvc0m+sN5upQH2C3vCnIqSU/6E14+dnkY5Gk3VpC +TRK/xFAtpFaLqPg92NG1LOnMZ7KXzd5NogOcm21FyxF+aNxxklveJ26VvntkKgYS +aYGF89nll1hFG+1Sx8vjBiiRSqDaGoLVBgkk01ednw45VdKGrTUmQT8vb+xqChiD +EPI8q/kCWoCgYjfRc+/N6ReOsNF4KqCcfA/stfUr0koLExqhmdl1r1m0xRkHWAh5 +KLI6RygufElEMwHgGAiJ53QGE66fGtgUbqrvhHL8iH+ZqbJ7afWtkoNH0nZ+2E6R +TqKkG/7nUtfKh3GH4gbE0uSlfreW76Xf1pnHHzJkwyGgqWmEUiCCLwxe7j0/aHSV +sPKZfeNUj3+04oDkHvsWGU9tBNSm8ded1LL0K84qBb+YlXhElLdhLf6pYrYqQoJM +xOwWx1YzR/BbWzsX2dYD6q19T3cEJF0Q8/BqnE2nx7Z6/cHos9s+osK7xNwR/Zq0 +dWmhUjr+Lj4cPBnGNt7q48tKBjIpiAcwX6Jn2fAp8eEOQIkL+2WxPyTsgQAdqL5f +ttd47GjkiGd/4eqvIc94Fkd1BmI/dRqqRJIAOr/7meA2eUFWkBA8DHvnljG98WTR +J30A4da1CD6UGvj4tsMRfktm8jOVKmbU4KmaFme5sj4uwUw/KLS9VTjEAi42xYVV +Ji9DZSmBi+2LpQA2c1ODbjld9omLeOFRmpHa9nnXsP5UQMzA0Uht8s5bfwkCYW1X +mpgXeH1nv5Im1NWG+8WZBexJ8a8ml4ebqf++gMb/+E048fUwNW8pG/43PNgVrWyQ +QJIaAgKT23jhvPy6cuvLUVW1HshM00X3p4PJOpIDeChQeOGK74Ve9ppDjmvyogpl +nNLVF9VT03ukZ8i+Tfqwt7eJBsnfNCVpD3/E/JB4TWIsCZyJxrq33GW5xU2K64OG +M9QXOaOjSpwX83oFrAHnm0iSM5EplKSnFIbV19//7/ikV/O0U3D0/KMu0bq9B2+V +w8Dl4Nb+0cLEpeFPVHp8mA8LMkAwUDXiI/A9uJNBT/qeFKxN29d3Sd1kvXZr6jwR +/X38I3NDFm4N0zS8VGZGTc3bC2dN4HLgB8O/RQKR0T3znIFFARYvmXp5iqbMrXv3 +uFq3dHy1QhPMi1AZAvNkdHMkF9T2rsci7SFcqQ/4/eCLzH3iWQYLUzpKMyumnWd/ +wTCItDkU2uEZ/kNO7rLC5pLUZkvJc+ZGC4Nezo0/LocE+MejBoMUZ45QqEvOmCo7 +jxRkXXBP9U8+Qw8Z0iK7MLBMakDJ6gIEkhXPP2To+amGz82mFxMqUCDFZtg0fAK7 +0WnEysZfsINwixkbhO3bPa+ZrUzmmEw+XZM++suKZEYh/BmR6Y3OvxbgSgORDKyh +t6PJYUwneIvkYTPsikZxD8jxZp/ztbOKGwHtntYk78Fbal6COmOotnl3Nts4JG8g +EE+m2Bm6RAH08/2ojH4rbtZ6jH/of2O7NYqkUdsLfCjgZrb7HMn8f5b0voHFgkOI +8mYNWNFtojfKtVo+Ogpz4jfYmpFOjBrtXliFsQCNeQOLhQ10CJJJLJUAXShCzx0z +pdxKqn21hycgR7U5V0s2HKvrxn0mGfLL+3oFjZlXU/b06ZlvFjgGkBNB4ANSXuun +rx/O9tk86yRZLgwGcP0b5W9IzsuAx/yPYm7ATk1xd28TIWRaIgTL1sGVldhURgil +aalRx8krYhe+Z8JN/P1FXFzv7cc6rJjWjO0ZHhLMvelHNsd6c9L0BuECundVENkC +V1ustG3+TRyaHoUdOxVG0Ucwtmdk7+09OznzJTBfO93KvgHd9FdoXJZKuEV5PCMn +1UfLlYmmciyeU2CkR9ZRCstSZbYltAcu0QjpvkcY0DNerKLSOvzNYIEtJGLCz7be +ROCfkI6eZFjvLow/KMyM7O7ivPlLcVzmIbDmOLqQw1twWORz5lHqpzTZjercF8Xo +DlNNf36TpdzBqKq5U20JS+cRi/C/D0oPJHTo86aSuRHiRTHBvRBrXu2ZQS8F2ASK +tnRNVFCu6bSXlRDVrJvjg1phtWA+fWRpfSz8YzgKmgYG0irlnv0O+MoquKCtyNim +AxTe9nINXunq6Hlg+XzlHqQ+UBhhSjsCB9dqQVxMg0uD44vrgzmlc0bAOJYacBUx +qVWIk5hux2L5AlXowkbeiIFdw3bd+xrH9/yU9zNxqnzjWipSwwffKt/VKuDQXjMZ +DIxPAV/TtQjPd+QnKBxemUl7k2eKYwAyF4LzjRq76Llb+zMpNDtrT7Yh8wTfTyIL +d3bjx1UzgqVue0fNn5vEIlkFpSyobU3Nhclqrk+luflfqvr2xQ5vcYsf5nANNPMj +1wAcOGY7UrVIPJ9YRzS8LTxWVB4kp/IZAp/2iNsWGk3yJzEV8VdWr3OXZttYvQPo +g/cgHfsMqM+eg8ePoXOnBv6uvB8Xnpq9rb+TEQl8O57QQy/kWp5EBU3SpqehYyVX +niE/y0/m/b7Rvo1eW0p7T+yYV46ksMONHhP4EKZYNnZwoBRDCmkO+u1EHTXQKBNC +8JXzqjRC+SgWd8p3/Q1dlzZk7EY8+/YyGu0i3q2Imv7QqBs4Q8DWe+pa79jZDFql +UBO/H3nNfwDqFTqnQ/YJ/MepUpQGz9XDhgX1CKRPJyjRtCyX7uaNkYIrZUayzp42 +iTHZc5ep1cMBhwWEjEEi/jY63B3jQMRkbOCt2hK2r4eeaMc/cAogwKkvV0wL48qe +w+ld+hrZn5KDlwOAfwc86w== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_pma_controller_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_pma_controller_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..5760024984a14c31d24e078458bdc5aa1f898cbe --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_pma_controller_tgx.v @@ -0,0 +1,151 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +XM/2u00IzVEABmtWvKWEuBHoL/ZfSnvesUIma7aIcQWz5kGWMO7re2goitWWf8QS +q7A63Apb29YSAKn+2QkqIzQISLRPFVSBwvRV6qBWwZk10QUxkBFGD+jQcZv0Nmqy +0EayKpVxXbTMdWR90GieBJh91vCf7784v9mHV35IjIU= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 5488) +7nYTEcID5lalpVHehaFlhrswQPXAj3bxTCYVYUsTEZZhyeiO4+XgKrdTbN36BX5M +pyOZJmCMGZNXeAPpwLmvjWWXygRrpvkF2ToVvd5mi9lQguUGd3+JokC3ri4mHoJn +qo6Wz1KR69ZBuTgXEvnK+JqFIPe+0mLy/7PS0xbiAtGm/2gDB6/oV8rFGufkq649 +xe2O0h3MlxsVTfPG5tPVrMtiGfuFM/gPBGI99DOz/axBETZb/PNwoonX0Qq3rt5q +kclHHsjhFiAAb1CzNe/Jpdjad4ILcb5JmMecnaJg4E9pKN3l8AF55w68pgf+PWbP +RzIsIlW+NrG4SYyNPj7g/GJKYitAOmoyf01RQx8wL2BZ1/j1zSOuWi8iaBFdeIXO +8xd4J4uetRShOSrCFI7EliR+fC1LlaR3QTlvvWsh+4uIsbqJFYSs8O3fofCpFpSn +DWrzk7FyOB0aM9v4cly7EEuAtE0NapBAMb2NamB/rxkaNW0HMVIqWyabAsKNDfAR +q8FN/+UJ6kAD3EeHYX/xtcChPTMjvvLG1XFGB/GmHts9h3ShimGNV1+bzaNiw2/W +GkI6v0uY5Cp5TijTphLLXc5yBGIJnZPbHKM2hAd48aC0BuAXkIZx86nnPIPHNUPw +f6Et7R6s4RxbKktIaMYIupQJz4UO4DrwKdGAG3wbHu73tqRFJXinQEozEmWzwV38 +wxWOnbp+fSI5oG4POcYMquY/kdGr+dTtV3eViO5nC/JsJBKwdF7ldIVVDjf2gMn0 +Tse73plNU7kJMbN7VtCqo+G+Z6neFiH+RjmV2PAxvfPB6ITd0Wqkt7GLTu24mvOh +11RnBSgmr2uibWG8HQKRX/h39QBJ8wetRMJ91Ozcrn/RR71fd/oH0ZFVCHckxRj8 +9SZYdicAwkIJzMfugeIqGZRxw44HL04curhY/SE1BBCYc1tm8rPZ6rvczBB5Nk2M +mWbSX8FIqc+N2fTaaV7DE50gSlpHW+YwJu92H6xt5iCyHlkjR1Hwwn6EX7+rY2Xo +dGfRQrS0LotVLFygBGZeO7sPE9ESUOgH7W6c0Qqmi5SC3ptQBZeT3obeD7888YK/ +iu9hToGGoLmRWe9Z2IFg2dBtOCmGXFc0jwKMKEoQ5kAzl7DCQyli+MN0br0VobKQ +mMpb5frPwNqdLZbmmNuaI4swNYi7ySrYqZxzXVBIj8GVjXQwwn4xXgBtkqKyHOr1 +2Jtoa4u6ty8grB5urX4YPeZNEiOFn76sQF/n8ITTcgXHcw7fyxDj8kppyd5XCHAo +dbgxs4Zm9TZ1NkHGsp6Xdc+bXdFKkGlSZ7lhc1+9iQvdrmYyUvWBO9rsrcriCG7r +hs1RdBRQoe5N84/OOWcgsPF0x7mK+ly5CySvsc87QZa54Ff7I1VfFQjHYogO1w9e +6LkpE3sWU1or9B7TdWn1b3YUmWXJggCRfGQx91QiswJ4xqfpsmu6cvN5+kNTBosS +U+W3uv0khwfJQ9pbVeviGJV8Av6aGuSrYKPMtHBDL14N5WoBa51gZq/L0iTEsu1b +W+cr+ppDk0cbO5pxWpooTqXRVxnoy9VA2gFIq4KNlzY5ARJpTAaOX2lc+et61Otp +CowMKpYUDpTFJnl3qQjGpzZnTr/MoM/v8N0G7Go158YrBdDQbqF0wNiv9HPVwCR9 +WPxi2KtsFM6+N7KKCoGb97t18JxS8mVuXKrlg2c7DWHPpV12X6ixp2ZuaUzcrKqS +QAnTjadFgcmpkfDj1H5KLQQ+NBWAwVqx8HSJiVv/ljQprwkP1K6pYaRhOsxrabwr +vsKP81bvnAssShuD+wxCy+6egdCGXHwoVHwutlEnXrPNR9bOYw46b8K1Qr/TzMuK +yqtsRhPPXO8cqhl8Ukwbq7WIz2ys5XavcoIawS/2Y254O4ZuBM7zoYzZbzHLzKey +SI1+u6P0HCKyPbWN+9+kqPTFOc5DkiMtdE5j96uXns9dUdZFzEbdyu3q8O2BNwcL +WQ9jlHzpU9UPY4tQGhK4BSEDRvlJavnU1CKb9YSfJpO1zkgfA2zVvu3R5B7xk+dc +laEzg8UBQVnrvg9rCMf8m6pbitG/NRoKFfLMfXctxng6JjaXGRT2D24ONMx+RtjA +azHx4B5z7wpUZTqwCSmtOFPvzkwSBLmCfuxiXCfJTLttcg/jBFoHa5EDWV7UU3in +VZEEPoC2Eka6l64L/75aXAo4ya/EG1cCxHotq90H+3ge4iLrpFWzoYing6xO4N/M +Z5JNAM+9sOMlakLRUAmW7xeGnKqF65+g23RPUZqu+TgbLh1ELDJE0NgMbn9m2A9k +7GClAqHEARfg1gtEoc2DfCxN8o8fukk1cJtRvr93sqiSj9LaeVOyaIy+mzSf0QXa +CaODMfkfUcZ7PiCq5w7W5nVhx3JPTO7SK3vSvQ6W2Wgp9RHA+Jl4qUXT1g90U6wP +V69fFldZ8YRQ/fLrbBwaAu5dAatO72FSPEnpPxc52IAd8h1BgTjVuP/+bHmZedl6 +Uf14dpIUsr7aYcrA74Rxr+dJmqp0dBS7uOZPdWD3aif1fmeMANpkRj40YyBdRqY/ +75v7uxSo5bt030gICEuu74TV0bj31Ie80GnXIBBWGK9WyY5faA9m4ppzBvdKwq6x +V3uJ9NmMsjfwtnsDS02CyZcvif9tssjppNv1cbhCUYEhk71uVO0K6ZhSGicWLYnm +N/uKIgfP7RybJB6cxSAVODjEGeC/nsIervhiBH5E3q6CWhtmSsLN0DIe5jx0sFZU +FgmdBXXCpUPLdMQ9f6Z2Gj1CopJxpy1Q1vlRhSlESY7mZQus5xPuQk5LSFqwDI76 +gSOIoHGizZS+QYQhUM74jdZOZR65olsIWIK8iVwXcb6Nk2V4NOr1aKVi6J+vTrqF +SlFJLJa0UBaaFY7/keR4o5JHy7eCaIpFlKcUFLKAusc8f8iFUBO39CmuxBDoUMIH +Z5/OJYaGN7DZXMBLl/NBddmy4K9qMwHzwsOQswQEKIyYlDpvTA7NVwOvg03+gcst +Pu8zaFUTX1KzBOzBnROTX2jlbiQNkywmKw0rxpTQ9I/HGmg2d01PnnAIysRiszmx +17UiHzzoKPVBT9NYRs5Zht/D2Fb62BoRWS1JIbpToYAirTek7TMj020zS6Hnmi+W +ex+AACBo3/xfilrvIraYdJ6uAgQ4edJMWNuvDJKy/LPc1LHTkNnWjvLXqba2XXWL +gVrVlB5msNk6v9uoNlYJnKOzPhDnm20ZbUj6dN6godvPhG7yBFjX9xiXr1yjoIxT +RnKvNElTcJcw/zYSorSWwLPc6xXr3oDaHzzf20rvVtvZqFnA63DToVR++1LLr6cQ +l6dbQEKahbHZsMIBPAgf0zBycPkLwZUV88enK6Hplyg60+v2hq+cSFsxL5Mw98/q +kIgjg+WQJjWIeHXPV8VDOzeH087qWyXOiUgGE309B7aZtt0Ks6AIcgCwqHpvOZzJ +UjL90BOyOHk7elAwyC/qpTFl+iYvXp5+9OyMG/bh00rtkysw+ynJvj5gVmTzbUcr +jmGUA9IpzSKg2uREK0NIPJvTjJvVRUGPQkEwOU+2LBPoS1MdxoMgIjIhD56JUAMX +2W6ydrqhRZMM7OFrN8QSqUY2XbOV/O252cpeRDtfLmNbVnH+KCMygIGg/MqcEmY0 +3gAvQy9mL0vxWWsndewUPdwKtS9Ca/TEqpzE46b6VuB+qeT5V5iWSEmNNoHn/GD8 +hki9PPDsXvQXSYv6lZXF7ScJceKYvUakdE8p6mfU1CffsYqyxKVaBrBS/FyazOYp +jt+wVNuTNinTWJNHgULQbPQUo5t61Z2/H9dgCPrA5l2mYNtzzITCF85eJg0PFjRW +JUhfGdEnjM/+z2vVDjlTs9tBqB69xs8P5gSzTU4k0uQXK+gWCMgmMgjJG4jD4FId +Qv+YYx3r8STUgxgvxDCXhw6pWR6v5mzZ4y4Z68Aez3QZVAW5zytNoJHhkHErwK/z +0Taz8if6ca/elJUTVhc/+nnBkLHRA7fFV81U97R+x3mionuPr9u7TYL87+JfBDDj +7vtrXFbc6JwSx3h7iA3vIfyEj8SItO+gw3+qxKfyI+52KTlDtfVhR+5HSF5+FloO +h5dALD6/5p6aM1O/Ey2fkWGKEMXabpPC3Kyls5GxDPDjsetQsB/ZNaFu9E737afh +8CXL34Vzhb+TQ833apPP7xvRGpvoOkTOzLGImwd3udtsruxgzgQ4APf83JW1MCEH +imn8Tgt2w20hrCccIqIwbhxFXyCl0jZ7RjN+Ap7VDe6dI85dgDmfxHu392lzkUc7 +5HHVQI0bJw4UZnPQwxsvaPRR0OD3HPnqceIjCo2mA3+MJ/PNKaek+licGRWyThlB +KcZ7ZU7QwVXGuABaXL3ICWQb2gNnzLMYxFwAkeysenfcUFAnhTiHi1p57BXqW0eO +RpxAFawZniL1mX3F3yl7mbRBgoRk0g1KDZkKLAVlo+8tJGJoUlAwAINAHkQX9UQM +sGJX6nly0F1eCzO2iBF8YBahP/ukLHN500l0DkFliH3n+HFWRNlmkobQw4xEyJZA +ygjzly0C25vFL28W3Y5FxndEatc5V6FvBnz6eaggJgPavePvsQwmJFqBWXDcyBMI +a74lc0sCdDPiAqMnT28hbJasXG0lMkoZrBeQVxlC7OGz0/cHdoY35eT2TolScLFA +8XV1j8RqmdO73f5jzJfU+0yGisHopG+yVEWe+9xe/pvD4UC57jkD5Khi2sSlNL4k +Sfbd1AnMQVbuCsRpEbUzRyXoIuMfVnDsqk3JcMa4Aid3uVEeymYhlkRhYN53VdR6 +S3BCnun3WCKVmN7hetKmCbxSdcHcJub8mEN7br/QT1Z/OvGOyrnYXsCmfdOZoseH +0FviPHjzM8KIly1Bj/AwpUsOAIDqWcaFiUlB4IbXdcLAMztC5LwSgP94008RbYRs +7nOf/zD9W9nXHCStJgxpAQJRn5PH1eo7i03kQmSTuusvHJxOKvmzTn6RuWwA6ARa +NrvBY6xY7jlejD6WyCr8FpH3s+c+vPQYqPvQUzhMW50RrsT+/tvwXtEQJfqQrF7E +Lk93WTiuQpL4kAnCuRY82oQUnsLJsNjS5J6axuvFLsRXcQu5ddUKQpbMvLdkK7tK +/w0YcD3u/fRup2BoZSOaEiuVVdnq8KtH55R1ZZ1m7Nws8oKdW0T2pUEVGBWwkS8J +YhqRhaoBqYAdHLEr1Bzts3FVJix+mbIPXTLxkYgnBXcuXQZepvtG0afDtytZ2yRt +76TgQncjYde6hxT6naEI7UYAHlQpKmakAyq/9D4Zr3jlpT/7lOGqCbqjb8fQ2CbC +tpHlVyBwdw/3bhrkpMe0OHHupvCM273AGMy8fKSzgs/Lp7sTtlFRPXjs8lpBMqj1 +FLxrL3Tihcvd5kmK6T4DhjySdvedgvGLYqHSYpDX2LUBShXnFQ+zf8iuen1FQFW8 +8IRkpaOOnmlBttRs7615VhiRJsMzUOcqddRSFsIT7UyG5G2HEJXB08xNFysMkncK +wFmTjVccZ6uATEkUmgXmQsNaqxf8ya4Jsg1dCOVWKVXmvaxfkIaUES2TlKi+QtlL +8yxidrAw/+ONc8nA6+KhV3ytAmPN3/z1BRqa1r94c06iwsjADPd3vpiK+bmNssb+ +0OBK1PA9eABT7H51Ls7896Ped1YqFOLkLeebnnrfR+BR5AcIiE0CeEdqmqlBuJV+ +lTA3JzufNR5LSpatnBnpoEW6RucQqTdtuKeQu0z7Bxz/ggB+IW7hfeKR6B8dB/pK +DlbwBXesYtZfhuJpTmxsg59Dc5i1VofdB4J+QtJH7vdX1xFRqVbfAWr+G1Lm0AY7 +f86JNlIP9kb5NzB4tAvbvem1pGFZbdnieouzEvT5pUmQ0Z49E84dW4ciYoQMBzXa +3SRxL+3R//2piJYBiBgfUv9iyQbtICfAE3AkWbZAyKRqmPhA5PCPz1WVwFZ3/lVT +ynor+CSGPxWiF1svJexPiu4rMJ5iXNLpp0if8LhBNYd9XJGBB4vOe45qkx97L06W +n9LmaM8+0g4Cv6IufrR9zNLbX9RXIoADk1hp9dYsEghmDDoi4bA3IHbX3vykVBzF +yTCHy1KfSW2hu/H4yQcwUp4QChHvu0CYxHI/+h3OSRiGLzCgFAquaMrJk3diHVeD +yo92bwpNuoWO+NaWH9D2UoUSBqqKyvbe5h0WCGsjLEizcyEsv0N+Pa32gq/B5psI +cLOYdfwqqQXD3IZ5+dl3o8iMnvWSyp7RNRX99lMKQu1N7HrxgZbcf7VNmfCqSVqT +gqqr503s+1/8XxPmokDJgf/Xvr9EKAAzm10CaBFU1F9GWVEyOPH5/KylbfAC77Q7 +tu8IsdMJ+aMqUYf3XwhZlG7aMiNTHngB8HL1lxOmgUshFwSjutlNkmwSb6OZvRkj +qi7rxqdOWrJ9vMn37CxA54jKf5kXmmUl2oFcBdQKNf+cDBWoHAdqLR27aKFGYi9J +dKZlAgqCMwJS9VkrXXVN45FM8bcJeuokNyC1Xtu82hLKqZmoI8RI8jGvtNWy2VxK +t7srShUPnvbXCAyIn+rk9VGsknHrOSwOAEiYWsC54gi0A9QMwGd92BUJaW1CgVnb +1U7oDf7AbLCny6P1bGVOj5NP6+jGlC5Bc8SExV09RTrnd43ikzQHldIqgfBdDCUs +qfMLwZywLIFcFU0yShkCgFeWcgsvD0lcHyxx0aWjpKf63LmoQ+DPmP+Ul+2L0QhZ +5tYELrNzrcP781Ws2ZaanKuXlCnG3af8+MzFmtImaDklS3ZwXkVjbd7tsNZMGn3j +gAYNQWaL9PR8zukJhsAyic72e3otPo8OKuxY+eSUZP39XLybljkPJDyERrfI6QEo +z9hYGpfdANMcptyo2NGu0Epv0q4cSChnb4u0xJxTsz+bzv/ioA4pKKm3Gtq5TlpN +sMkUYFdDq/gDocWvZprPd/PVHnGE92w2aWyPvzwG4mOiZRWa3UR8CWXrS3CrLSfZ +TPv+0mc+81KXMuibBn3SV1Z8yG6Ao7dbPtKczj9bedp8xHEihjpeyAUQfAKSRMRx +hopDuOpQhLElPoxwRhCJAVHue8MPpfc+9+KhK6BVbQac5okYvL0nWgaYAk91ZBAF +ITwfB/guewahdvavLuZbjJV4RGZTogMfyInZDZoztYwQkrog9gQ7ZdGWd1cz+uRG +yUPwbFTlzuBrhgN+WdPhrnwW3r03zZSuigsqZPmRff9mhv9KgD/yM+WNwrhlmNUf +ijrwugYYBeZMth7IDU/f5PdQxVFkqOIwdEHzBT1n6NC1v+R/psh/oQd5e1jhU+c3 +L5yXswGdUXs8tiOZCjdRkw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_pma_functions.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_pma_functions.sv new file mode 100644 index 0000000000000000000000000000000000000000..ff457975bda064fc5d6d257532fc76dc68631ff1 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_pma_functions.sv @@ -0,0 +1,88 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +bGpAvLh1/ZUreODVSRw8PY9zQzDCVgZvO/IgTMwrxXQ++UAPoMctgHg7XX4iJEXO +mjnPma55xG8zi1IU6rbZ25wPG1itawPrbMGvPYe5dmZUcsjVKeZI2e6G1j8sIyPi +lcunJKwVcoPfndodUu0V12DYatCoNCtcME9SQ1rOvik= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 2496) +jng+bRgaKuhzSzh80Ndw7hRJPQuNnj6qns2tGESxGO7fEK4jrAzxFdhnVMHyEXut +jmurQOopfavKRzPyyG/vSpmJtECaULZkFuynIYqxr4rCyMTbWx88T0SAE8WFDNEz +/p7KYF3DJLG0pda4+iZob894crggmyPELWUK2bJYtF7zKCKuZ4pgCKH3nHi5Odq5 +tYWu2T6BNVp035XgHPnJ9ZWq7VZjJBKI1oKU5pS1D3zsotYYM2UQuwmYnCp1jT25 +rWoxTHhmbKtBBz3ulHG+pdFs6AUS2MONMXKj6fBFkAE444L+OskU+Yk/Ag7SY+YI +F+g5Tm0WmaqqwN1b/R33PgOvNiAakyX1sH3JQSkU5+0Bc8jJo6jmwqB3yDykUt2r +95mMo0z93t5d8r3uKLqWO9gRothJ/HD4chG8sHWX+hf7STSU1seMzNaczGDbAC6/ +e2ZqO7+eFgk/f6+rYxUo0KUTxdWFnQeM8itjNYiji9/R3u6ezfIk8wxE/CyHU829 +pIuKnHgwL4sM9M5i7n4LvjXZArpFIPW3uSl8bxlrPCQk79fjqg/A3+HO/T2nU3vL +9nKsnG1u6k31ISco0kDcDIR1CA2WF0HcfahpFhPL/dpxNZheBCtb5LYE8LESLc97 +A7ITZuyujYitKCQs8Sdqhb/HVTypPnlwOqXrcTSihMSrtOguzYFvY90z66JchZe+ +nfvlSK7DBMJGjimuqGpLHE0ozi6mlnNqNoJmE9fDY7i94knctn0cwvv3hOgFjnVH ++A4wzbqafj6UL+UiOCC4z265Xy9zR4dP4mOAeL6rNFoM1m7/eUZfbE38tz/OPu0L +Vbde82u3lW0NnYOZXGqLdGG2W31L2ZMv6WOS5UNYbjuD+PRZoR+tXcqXe+CTOGjm +OIx/7VXztvcaga5iTXIxT7jMI+mRfryzRJmrFDfQb49HRVp71Kq+RExm55Vc+tLO +7BCXvs7bVeKPQOyUNIAYOs2obtCCgNoUxyWrRlxeqEEdF9nQ54B4S42JsW4JW3MJ +zvjk0aPZMVJbCphSCbkFhnGDAdrdZSH7vuC0Elz1jOGMV0k56oRS+Q2sWP+aglCG +ig0UB9KyqnMybsnfRPzt5MzUYmDUPxL72FJzthBuKEqI6lmDQOMxPgloLNBh/97k +sQJhzfGCCe02Fowc8uEe7HPduTS9fULUfj5npcSnFt0gNCkVk394/rKcWtQ4Hdq3 +BP/Tbmec4BMoSvyc8n2s1m0w682l75zXTRjuEL0AOyObsANt0LH5kF6BJp+Flg4Q +u2a0TQLE/SMwg2/v3elb3WwhG9sJobFJBCPI3tX2dGlud7nzNxt3VlhXXU1Qa3Da +59LD7z2jri0ofwcxxFypxHrHLGSE9DlqWJRsCh+e9W4WmQM3fcHuqgHTc0goNiAU +o/5LD8f65rj4YxAMNDpg2o498t57c8htjJfn8l524OMX1aAS7q2pYLn4d3xOb2Oq +NF5sXfS3cdt+lTXUyad0MuYyVxAUvJeLefr9EZFlrwmO7b+u3EVwmudSs/ICwwSo +iHlzp7cv5QHXtKuSRHYY/ifSgqudyfHD9pCrGheH7REW8BDKfyTqN1WX4JJthEIs +qQN4m9Ny3ntR6itjUphI5HmHcg+boE+/w2mI7jBibydaTn+TvDGP7/MqBdPSfeK5 +Yay+4ZCraDbUneFxIzUj6hw7xAT4Oll7rAj4DiBBTtgMuvtefa8Jf9KonC/OPmj/ +eSvEgCZkxPf0FRjxq5yioARMjPEsqvKnTS4p0J3zJENfwbGAd21h1h2nq5/OQzG9 +qCW9SH05UZGZAyN0b6ZuLnYNyfwNdOo6R8PKScR3Bb40Dm7HksGzeQO4RhhEMJyN +W05oRX1si5lntnedTORfDy2XBsVs6zQI9hpwUMC+i/TMgC+ikzBVEOyCYKec5bip +sVStWRkbt1LMnr75hBFpGC5npU1qmGF0fjMpyW9V6AN6dc7bLmnzmtWCWIHqnDFS +tLTnV+MWTOtlCgG0pz2fLrqYTjuEKGON1iJ2D+DSvX+MlYAvd9HCKLeH7HmrXqte +NKvc7q1nkxndv1SXmtFRovJfCd8xq8+swwNxIC+F/8CdgsUKEEwCKnViGUKx4EuP +Kq2jA06n/9QXbylk62UxTaKmT93l0xw6lAo3nq3ML267sUlkfdUqBQZrqtoPSyMC +tjSlk9iMPHbGqa57HA+oIyD5MfBzFoIzD7MXMA5sZra/EcoqF85tROgdr/tn7dRX +I7m2K8xsY+p7JgSb+yzOYZzsgweDIlU53Fje0NAlUn14+nIyO3vmspmkmToyBOyQ +oJiPdIwriirps7RPvwdUaU5MbUh/5o/xxBGud48FpawHr6FoOfKN0FnYKO1lzVNO +OWjmhs9/pQyiO84qrJoOeA713bVFhKGt2ko+68NlK1lizvHeCT6mmQ9RdlbWimmp +baFQzvma++UkMTx6+5vn5c8I0Cy8kvHKTYJy1Y1xZgk+yLfVQqJ+ldl7zeopyzoO +fSNACwyP/XahXa76vwdnscYGDSCi/dy5E78diGLjEENCKrbGv3pGgJCvRthes5E4 +D9o/WcObA4xY1ncuNbdLZ/3FFcqsyGjexPlCYLjJJLr7dyCskaOko4yoHGgt/eNQ +AWO2N4TKCQkOtdyXFDcxa8urQ6Eon5zEfqaiGypqsPcod4p5NnCbqjBqO89Ihj+N ++V0aWu8tnc7Ij92rfhVPUUJqJdDg8dXe4g8i3aij3r0+FNp288WcaZ+kTlnTDktX +cdhhPotERnbapW3Zl6dEoHxfQKSYavbNL+ctEoXnLngCE3UrpoMVRmPRzqikTati +WlHOiTYkbBLnzgzMwTi3THOI07P/YDfnRpR5is+t4r5wp1qhyOyHMT3xaIg7PpdC +l1wck6HHWGKttFu33Qdq80wKCoFbyiUOdyuV860K8t5ISVLSRTrDKx/z0v9Fd7gQ +VrNb5QLyFKRMCwYP+qxLSIA9IohQkqnQs3nckUpgoXGfzKIs6C2LNWPU4iVMvrIP +8FkQXa+129oBnw4L/p1i8Qcpq3g7ZxkGTwrxU7r18fzge/3fhFU9VP/oxf1n+e7O +MG/Nx4gq04XtwlqWpfDgKOxbqRaceLvopRzGGNJH1MRqSF9Bww9o6Gd0AX6Yvxh/ +Y9So9V3yD/WhEcQ3/Dgudsg9eEHP5FA+Cl4qC9ZOuS1jghEd6bnqmw5oJ3bNRHLp +d9OSESrcJl/44czejrqPCJGTjbwDefck8+ZK+MtVLBBM+ZPNGo4oS8bYBcABjU+q +c77VscCzfY8CXlovpVHIA+fP1UHXXhgWGqP3stQfE9WXz80R7KH5k20vPf5/qRR+ +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_lego.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_lego.sv new file mode 100644 index 0000000000000000000000000000000000000000..65b81465e061b87494a14045dc11a9a8eea218f6 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_lego.sv @@ -0,0 +1,249 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +ek389MbWAhrm7y5hR4LNvhaoMaUDcjqiT+tY1DNKVt135jVHfbDwNh36VZpKl29P +Ggac/U7FWahR7Gy6xhmWsk5dg/WeVV6MfhoOPFuCES5r51rUcekfbsFeE6ZG0rI1 +oGGkH/EFk4/egC/hasP1BCj0IZ8yp/YHthddKFGYlZI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 10208) +uqYIZBxlIAr+KQdgST/mytHLazFxPdQZWA5FD713ogbAGabQXF34kcpWFHHsrSI9 +aifa++d5iqMAgnQ5tRP08LcHaUCYxon8wUknp1TjwvuZWApUX3GaN2lyohX5O9Lz ++VI+tASF82fYjDC6VV/tHUYU0xGC7BYi11Bh5UBGXwp9M1+t/y3mLAhC4xjcw55F +JkIBNXQvlKWroATqc3VqcxbbAk+pJqjJRC7rnJWmKcMH6hNjnujDrVwss9/wIfG/ +2u32XP37DVpyvognNUpvqHdtdCsc4gCyjrTUL1/QzE9YgkXNp8eRx8ObbUKvbt3Z +3gfdcQ0vlDhgC6wrUPNHAXgYoH6Osdq2jBS1Ywx9uODQG+l2eMHfMpvzsmPqZ3hi +iGc6+/WqNePGXTGJ/IyA4G5a21zdOD5tHJObHGAXWniKbr1b0mnk2JOkvx0c84e+ +T82NOqNgEuViLuHmnpafre2Qfeh3RsJiKmd8+sVkhLh/nBI+kWHUVm0cVm41m+fS +YFrt/0U/V2ccToKBsHfB3EB0J8oNBE4DtIVeDI/e2t89txVGPGn4iQZtgfya+yd6 +xjEMN+AXsgT3URMMDLH1T/b36qEI711kj1lM8SkM7EG6PUJmgCMDjaXGfq73/+QP +Glvs+ndR5+tALzXdakRApZTClQPo8dMmqHCvyL6aoCeq0tdkY7uE5PzDr2ztLqxy +KqCX8VKTsXgaZWFgY3A/S+H+eLBqOUA2ouozonTkvLwjimrxjuoFkh4fo8NZQGU2 +/hyFov8WsGckGd5Ze/jLCyzIeQjw7pFkrbm3fl4HsV6z24EIXABLYdp1rbKqhMiq +Y7iKXRb2kpaK8T630F1HnITSEkq5Zdl+XALyJ8fkK4X0uPmLj97bE+cuuLfVNQ00 +uVfuiL+YfsPfqlqVWLSY3PliwPdxKaU7HR/cjGHsZpDPl109/AqeMpn/d1MctWMN +H6rf2x3pBL8Sr9rek2OJgK32+QrjKXI2DqTIIKRBZ5tgtwVTvviEeUMvHn0a8oLF +ZmHrY+SwAFJbBCJvrFzd31/HbQLicnFH8oBx2zLIScA8xcC8f6mGdYVEZNxu8olo +K6++UEyp70Y7TtfM7p7RCPCS/RZui7eViy6paL0QtvNrcXb3rq9QXoJjA2UDfuYj +af4L5dckuwuFUgA5lurWC48aFJ+47CRzna4Il/MwBWUmOy1UqV0iAJUq190xuFBs +AYU2g1DuIObTsTNbmpXlN8rQdmkKT5VJcwpjrouPcy67ZKq8CO//B1gUmbvf0hvc +GW3I+BO803HYfQIWzwVqZwyaePXP01ApmKIuHadTE/Hvf/9jOn8EtKNlGg+Y92oh +Hu8Oo45S4TJ34NNuEVmj5zWLushKwcglbmK9fkhRGmoKsWOm8wGcehPfW3dc8BNA +599AZZKassm3bhAgJTLK+kNEtCQ6pYMfDlH1F/Ll9nPUcohcAJH75q8efzQppfqD +rQHegVjFy4Zss5dcQ+lLaZ3yswQXjcCy8qfg+Oig/MRCf21ndJO7m35ivTigrqfi +FQQKrna+uXjpsTzioCtqGLsoQp8WRs8xA2V8vLunSrHi8JFtHZzeeQPIwpUMyi0Y +EILfk8fOcygouPudotVGjILfnshWAGvCrldyMX1cTnMdLro+n6Ie1p306/fQTygZ +yibWJX/wPECot2AWrUoytGZWYjoy+2ycOJms4nABf0g2a1TDja6Ahh85ypJsp5yC +22HgRCO9JRwK4fcEJKYWEfLfI4/b8Ncn1NgscXQtkjJOtaXdb3SH3Sm6bM19HeZL +6RfjxhBizH1NSwAxrzKQbnLu2efMzY/50Ve2UmKKAYMjbKdrW3a1jFK2R0hokaFB +HWyCrxKIeqjNhOm2+LdLgFhFnJqrR5ZQNjYdJUGvTOG3E+94ge9YCJSXaz2zNWtv +l2khyWqk2kU0ma6rKgFWv+U6YxwcTVGDKBuFU9PS/CwTruM87L9Yn7u4RCUJV0xB +Wfg3Ra5Cca5qIOmy+LlqCmND4rfr5md7kCFDXGYff3ee+e2lUIqjJyJUKq8VWN4g +irDbk5zBYklpts3UvccLYM3HIyrI446H0gn8uUUDdqk1svtTRmHZ4h0eShRUEvaT +V+O5DqAINDtnmz9S3NNnUW8f/BqKpMW1oqarU2sPSJf5drt9bTglLMTiVrq98JfQ +QfGnQMgx604BBhiz+rcXY1xpyMML4PTP3N4aunY3+uh/b5cr59/YU6/KNYzP5iDt +4qXdo0NV1M9S8EkVlDxbfz0Xt8TEd1n+gL1ieJg+OhLl3uOIqGdDA+t7mIQk5OHf +90fZcxTXLubu4w9hSZlk25wuwL3pz/oQH+2G8DSGEtQbcfgmazjCoY+QCeG8cskS +or0wl1OxOwjwoZXhJTNn8pM3bqkvzOWuhBpJFpzZRGrhsDzgakHrx5aBgagftkBD +l+Z1wtgY4vJocoV/VB7+c1ppSXDjvTQHQrr58zuTLQIfR6dcPlOKThLq1s53A9No +CsnXk94LF/RmDf11Iq5/eEh07sYzUlmK0hS7ZX4M2n3zREOaVeHpt9e+7UuMey54 +QmebR4um1uJgY2w/8+rKbURaWIvGRzVXZ0s0qu7yHodd+RMtLjA5ytajoJPA7fWN +aBa4am1EChWvr6zlLxq/ixf/6Gy5hWscS08Ig/laF90fetpBh3P7a7U05objgGvx +k3y7JtB82G/zARtSxCCXZdrb/kr8AndHaGCSTmjGaAcw/cuTjlP6uAtUED1fU8JU +AGeYi64bM/cqDKcTFdVa41MkVNL4Jn/aqVD3kUqWsJ0+Al60RoJ9/6hy2L5N4zpG +TqzCWFw0H0SLYCj7t9IUpiyV0qKnhBIV7Hrq6ESKbuzcmR5N8URML16zHaOkXmdt +N73NkciSZ7sH88uLj8IYTHOKvR75DBJDEv+BYAxyJvlFJY+2cbK4dOxJoMNrBFQ0 +Ewm0swaeO+XpH5Uh2XLYNskPixryEcaAMhlzNt/6ddtc7hv/F46doF++5FVwqCGZ +xm0fFulZrusL5z2z8kJ+9liBiVivp49sExJeYnYagYEgdKuglO0OpAaSC0atwiIo +erx7+UF+iCdSLPDvlYWaN8ZyQ5Sa7J5gqUP/8TkqZo0/jPiU7gW1cq0Gn1ogajPS +QkXgLRI9GFh2zraukdxkJJ8FaBpHRGjF91SbVOtJPqKGEr6ViNuZ58c1nz2sDVbi +CG/t0tegGl9Gbb0SnyGbcEb3py2em3RRhUVvpasC5F6dbpDZR/0HZ7ahUQmICHWW +mw2Qkxw2dOasfa5KNo6/iSZ+Et2ydAqEoFFfLUm+QEC3rOqr3RLbcme1sTA1pYR/ +kuO8U4Bm5MWb4rThSEu745pVmOMnoFPY34sUMfNatCayAl3sHWKJMOifrzSHEPGM +m4CHu3CP++Ti8MVjlVYNg2K02OSfpeXwBcdZqJm+zJ6NGBXBw0zZMRWJG60FFvgm +tZL4WD248dV6aNqRvcuNPLGKs9AUNyTwHwAGHG6waE2zQlda0UGlrGIzRhE8N4Yp +/lfeHDMpu8PGHO/kAAdyxrJsJ8k0k5REvkfrb+y+/+g+C6+jfZGyoCmnOmrfJrb8 +v7ka2lTqNzztLUqEAIZqF+dAf4uahbJv8/374P1DLfYPv4Svxy1OpMrYgcidIlwm +INpMfNi0VRmWRpJK/LwiUZ0bnNQBMb+7mzYF92ZxjZbdDTdBBw72uEi/2hLEmi/O +wRfW3x1EY9Nw/r6GWLEwlBWhNt2NKN8Kw2Vl9dzL/dSXwnU23sAGwLV54CrJ3cEv +c3/bmAw4ZU9OmZWsHoFMNiTv2cNL5hDYmYR5STe7D00t3hOUPoEsw9epCT+OlWTN +PQXuwK8EAK741zke2uMTDTkwdCzliFX91lkxPTEY5+boQY/YZTdOfziU1YjVzwrb +JwPtr369f/YE6as0fSGqSc8krJF/IPrIMBhgjndfi9a2RmZmyZnt3XOj2r/Q/hna +J/Wc1aAHaSJf2NhTvoc5RpfW0BtAmcT6Gi0LZQF8LyxVRFJFi0KBUvtmQp62AY/D +X4/a62ZaQYP53gwiAmS8uGkhm5hSH0e1l9sUQtVWXm46Owipq3PhTMoL/uNY4fOp +y6aEzKISBAnLweCeqhhkuQqgaGZwmnrmiRz6qlYwfWSltqOykdPoTomERN9vyvxs +JIUBC5Izgexg8s97zAawjVEGo5TVQ3e3MyTZweHiVrwhx98q67CK5+LTsvJCMlxX +0cfGQvRyUtEHfu3mXNUYbZ4VmDXexADGzp4WGa7V2Y3Ja3EoUnJBtsSYpbWPXKWv +Kp6pQEZqFEp3fCeNDQlRHmtXlOiybWVXk533PhEGgIhWP0/vOLYIe4ZOa4bgUyRn +lhzxkbJ586JfArH5T/bhAxamYmTMEK7m+4PSCMuAZcyM7ehNgEhH+LKVgvg2nxPU +mjGcl+59ziOxNfSy6mW4hkNyMu54V471gEEKuX+1o9tGC/RVxWeF2xf4uxKYyl+K +ysdpYnDtGSFWg2t29uUtBO9nY0vPY5eRDfsh99xjXLboCAB+NrugBgzhkpyDNxQ2 +zAYR5iKZjqKKp9qwlhMzG1TMmD2OLYqgOBUcreErQ6c5RveeqQDFTg3w7uCG8l/h +e/AoNPzUBOy1EGBnGu+XrB/FI98ICf9BGlTKRZ7KEgeXvUhJdmLsbi/7p2+dhzUX +Hajvpw6jdwAkC3q5sRp+TzrlO8yYvn/h1D4tTmZUiNC5oo6TAHWELHKhZBnYF3ua +z3VvwlKECG5Mx0e8ITZU5SuYQB7jIJvpE6vtAYuioDCGY4DzcBEUDl3dEbUiiTxy +Yroxb1IxVfWZcBgCcuZFIWhpnX90ZC9NldI1pe96mBdD90bqDgYdTWOrEuWklsme +Zrn7RbfstQ5aMUyAIlN2BqBpm9Ss1vUjJs9uNpEInaZ/vEKvhqPN9rUFUdFiHnjp +CJqx8MkPbdR6mU2yTI/F8+tMr/D+19MzMkfPYrPjZTNxTc1ejksgbfFIzab+z6vS +7yHGd+49EdGFM7Gplg1thPjOLAC/E0Ui4B+oiNKTCphpWmzUOhsUSlmA4GtjTHE8 +mdlpbZ22YZlEVpXBWSZvEvAIEjAQdUjTq5QPpYDVLFz0ePqQd6lQBzY4Rc2TNgWl +Cn8HM6hk24Ysi/cFli/RSdE9Pkws01aEZuJ3RP41smL5R0tJYByYAsfjMbF39kDA +p6KjHQSxo7WxdyEE5UEbccCtU/mf+1MmxrQY/uXFJPXsWV9cTExxHhdh1dfHFcFk +fIynt0ZPmQiKDqXHaGtQzVE+EfudBZu52/qK7hNLQwht9RJoejpT9OJgvFLqclzX +qCluFOq/+oHclXuCY4T+E2Yy6QED4hMnOQ3E3LQi3GeHRC9dbXsKlVhfGIQIarXy +0Sx3RP3NR7deqqyZN2QK2f/z7AkOc2qtGtWqnA2jWWP+0zZZUP63c4Ogq8GQoe58 +Ez/9BFeNRmOH5ElyVL0EVikM1oCqqh1zttErTs9ggvIAZeZxE3KnX1DJJvTD145H +FntBG9QyuT9TRgJZw7xrjkddO5LmCKrZHSrY+owlAL7oYaZAIuEHZRXDfdBHRrPG +o0Plza+yhniPfpWnvow005lInWYhUEURxew2T/k9UL4FGdOx/qQFNCijX8qFQFjl +9l0kPSY8+VzLbyM8h+XP8XsIgQB2Xbmj7kRH0exsxCSuDG4+Etsf0W6G4srurOb1 +xUz0sSsYAVrS4t2qVk478MtWelDqds8X1xJIw8ibyb0iKZDLvdqdyP8SPGMDn+wK +DwQH7SLnLvAVQ8gaAu8foE42oT0mrnFwHxagvfAPulI8MSNqudztpXPyqGoiQN3X +HxMTNdlKU+foE6gEY6YebGW664cMYDFGNcB46l678aRHb7iRaZrh5zSfDmz7hzCi +zwIW2t3TLqqSlmstd8FDnaxspo5AmdlCDhWnjdnqZfIvWTQhEpcS8S0Rs9pNb1/n +5Px9tVznX1PgXLjfwnpvVQHqCSOkgjJKPyBquhrRdnBKjOSGFjKIe9Gxlvb1SmeQ +NRRr33z1RJzHb5q/cTlWSUTkb9NfpSfF3xkcvyBBbqpE4URq3e+ZXJbckUb/x/q6 +4whJCoomin9+s5IF//WfPC6kBzs/G/oiu8bqJpsp3AnLSM6qBZLbjshA+Gm0QSiV +NaEkI0BbEsYwYO0RpKKp1RePPbOJY4CeIIUVOT0cZ5UxisrVhdJwZXqXNb/hT0Vd +a2qoh4KP6rAqZYVFjSn5UnU6UyVf0ZKk0GrccqGsra/OVlFeVWPML+NHP6fI15Al +vkVhia+hW8Iss91jv6XxxyYOJkp+1TjAWAsmBZg6WUxTQkm5N1YMkQK13hFN9FOs +4rNa2/ih13zZkFFFH1iNHkyRapTzZ/KD9ovV0gWjjOWAm2Fyq9Mx3W061l3QNYtO +GGcNHxirzwdIU9/aW9psC2cJ1p3ctpU+Mgp/qZqHGQSAl8E0XB0Rw0eZL/2d9naW +JelEf/H79xD4Qx4qLMorMD1FQ1mrOCbko4VV07gsbjxjwL0T3cw98W7OFHWcedvP +sPm262cztl1255sRxoH9dQinwYMYV5Pf4u1166XTO/qWasROcmyQ8z6tzONqmLYs +CXAAAx0iSwKbv0Mj1wx5BWelv/0rYrBZQmTmoJEOpgapdSs/fbzxEWXwF7ce0GOU +RQKSr/8mqK3Pa1mHF+q/Ae5dGaETnG5iMH9/FDRFBb46agg15G1P0BHMax8MxPmf +5C0nSy2+54zjZ+1g0GWqXrCA6GRjvLJ72z5qJB2s7Njet7T/Be7LI1TEgwpqatG3 +Vxyd5nbaU0tMohEuNJbI9n4/o0e4qBUjqHBohpAshLCqM6jmwkr1Qi7M5wzo3fYc +9UIhWhUZbfq8gsoYNA2Qa5SDz8qrJzhpkZk2TDOiLvgal1J5a4dyhSjDCMGaTBGe +2/pt7pEEdsI/ypZLa5KRcGCeSMmOnHhqHAJuguHYmbtFKpR1OtOyuRsBEtUGLL6T +fL8Mqv7NJKND8wLRTX8KYBSDmd9KroSMoxdtgRtYR6ABwDMC5LA7/TE0q1znP1KF +1yjVh0R7wfs8pdjAjsbyq4IVeNBrWRgPbCrUREULgIdhuihILKGULl3OpL7cq+gT +Xe2sljSfed0RBnRwFbKomj9T7sasnMIT+hGlhG6/2y6xQBdOQvvajAWJuyWFFXl8 +y12pBmyFGo+prolZ4varblFQH8HCyWKHQy+KeAyYo8TOuZwTeVOV0jmkVSK6tV+j +F3A2dtp8cKvBiH0xk6PzPRxNh6lL3QsYTLgnlhzxVEWOnCjzqqWbf1Abfaa/dcUm +9I9O2JeVID+rx6oGahyBN7huBgrPCrwS8XO6DzfykBkfWFVKME6o6Phr3xJCfisJ +9Ru/HPxXGFZFC4OINYoSb90qBDhVkNBPmYXUuk/+e8xDeBk5T5oZapaK7ZIVf2/4 +AnxiAeb/H8Q5HV1+InD2kiaj+kOxiUlz2CBsxyls/pcy1JXX+4ayskWdQ8K2OoEj +w+AzNK36nvoOkv1Z7x4ouEMwK4OpI8YZPpPKOby1cB4lZSvPtnFBPXMnl4jDb6Iy +cokbCAj7WhLgbw1+fEdzOpNvABoS2TlbP6GpYE7t3m6ZfF6v40xKqI1cUniOMVEs +LqaF5jZ1rP1L1QT5uEw4lhRbElmhB9DvZEerJv8bILp08wIS2IjyFyUL3G7z/J4B +MIyc8vrFyPwlLfmUezrp+t3g1ay++IaY3igexzREO25/43VpSkvHWJtk5xRh97KV +gQ3d0tl5qqvSfIuGfRnR0owcJnB0OiMT+K66NNhcTxD605IjQOatjVfoGiRRity9 +VhQbXGNHa58U1ExFLayEcWtvoEFlVvtMarJY2/tndYHbJG2nplVgTcbZ/gJzFFl2 +qn+p8aiuo3vBxPR4tg+q0CWNuT3b5afNBm+f7Nif2ua1vRFM83A9m5jdtGPK8sBw +dbD8YetQIZ7529QbaLtd1vIxvuXduutJLRce5mcFT+fzbzfBYLoxoisPmPD4FqK3 +sh4I+ugCJ7XxOgx2SPMecNi2XY2+qIqacRtCg0sGunrqjjiEzewiQi0a76Sw5738 +sZU31EYGnlt5A31BvVVS1KdlCzDyEfg8QAGVqfvU9Ze6MrYECUolcmBJjXP42sNg +lv3LDd1/ndvnafFi/UAqLmG1G1fZbmF8nekkEQliSW0IaoQKnIyErd9ZiDLfvv3h +BEIiXNPPRvxKjuYKaWzr4gtER9GKuCD+ZMkl3ROQDCgwgMQ6zVEhVipn12RgmDc7 +qPIURKoI+McB6dzXWYUcViN855xtvrzRv7qtnBhwFyRqx0Xm9mJnn3HuqeYfiaYS +MaZ870W1JcvIQJjLbEqKuXoZDf3LNUc2QW16H3CUC1oj2KDR4dSCx7Bk94v26qo6 +2FsiAVp1Romio3CFqVpo6tUqaCxISePiyzF+2dVpYNVKgzJm4Cf4qKtLmnBCzHqT +1bL53yPx6fwjPafmcr5KYpTqTKzRYgSCtXMFEyZxadQxuW4YC7XXQwB0EgxMBrep +jTkxopPbJb6m/8OFIiE/RvSjY739RYjIUy81IP5E9K+jLrLp6AyneI2S7hXmDVn9 +dgPgNFxzg7j97htFA5aCMlOw5UkAHLgjOWvF9RrR01djssPAFcG3ViGSeU3IiTEw +76AxbR6mFVPElqi4MvHG6SLMA1u2seb1V0uv1IWzhWi5hFGydveTn+SiGZXB1AQS +/yHzeQcQvcHN6E/x5KVzm+XuD647bBu2ThpF4Wd8DU04e0Zg37Rb5k5M6x6FNoS5 +6jGH8oURrWJv0C7wFHrlrzWasW8HvQcpvjpaZ4XUjMp71hht4BFGnUx5Hr3Fvq5F +BQ1lzwvIsXCjeExcLj8f3iBKdr13tGUua+IKpmRW9cwImlF0mDpAkpGR6IxWflY1 +qilDDp2j2Z+Zt0s15B1HwOhbkPewMDRmMGomRv2yQVy3pMHH3hO+r7yc5J4iWKDh +yWknRm20juDu3Mx99aCq4vYfN00ORhE9w3qkjWwjd34pexvQ7/wZesfVtpl7Xdpo +S1iUiwm/UB66UYVulAHDfIVSEQU48QfW1YficpuAVvXUZRYL9sAhzvHhZI1hS4N5 +N9+C/dsIZg3sRLExuI9JdXQVwpf54tOvUkIiXN3EAQ6jEyYguAvRJPCJ0I8cscyX +WYeyrzM4rl95nONjcZ2p835j9uzEoyqm5ph8F2yBEGem/Wj+YXyoDE20d7t9qCzB +5HX00FpwhkkVUdDD4gWISmBFcQcVR0hY/BjFDWkWtx6NKJBUYmCl+lW8JyCcVS0Z +CgfeLfR7BjI6ByXLzsF8XTgJB/MCBSwGgvQVuQgPG8emKPSzJBFjMRK0SfBvKZ/Y +X+h+ae8JahIzqxA+NJzntJ1ETGSfeWFjhLd/EPI7hF5H4TyE5H6BlJ1abfMjoOOC +3ssw52v8PRL7Oit067mU+0B0ZDrMBpiZ9smI3H9OYwBqh2FjaZ5VBQegvP8Z0C3J +6rGGo6Y+xPIgZaRglOEkyTpLRU8PiuEcjIBQQPFzWMaUu7i9aIVRr+foIZcBeM0B +jOGPSJ4U4Aqg3FEB/XQDUZXUMVwZJtFZ55A4y69nTtVMS2QiMZ9Q54NCdkEyTYdB +6EhcEHTz5Skw+B1CxTGvjacX/ZXE+lAJm0uV3JSH5vtqibnUGQZyE5W92NKyWt0b +8j7Qd4Qgmr5jbuNCN5/QodL+wlQdW5wGBAakQ+OVYq3upKndow2+8LPpgBFIh3jw +82cXJ16yn8UJbE5mf6P/5PUkZ0otleG0JHandx9OaLFzZuxv8AnV0SaYFT1g7J6i +wp8LE6+4LoDGavbZnl11PNWc5+robY+2R71ENpTznnoO5C02Stjai/19zd6rSeOC ++lG2nFlmUP4PibOmEXVrgqYgHeBYn7SDr35typHJKIl/tyuVxygQfkwRJTbkVdR6 +9NNzaRwnGPwIY+pVUrBO9CkbC72gdhT5BZBQkQoOBJNzKf8ZVqfZC/SWUhyJbCZB +TjRzRfOG5TWOd8OOOFvLatbWKaFU8jKgbsKwVpMJF7fhOfriBkZrMJrHYG8Qcx+U +APwZvPDrxX9omPKEAPFKB+z8/X0O3vjZLQcA4N/mXnaL6cuRKSQbtrNYLdjMwXDT +KCygmW9Wwb8dOh3S/SENJyb229R1DC5mX7/RWbm3RfSgmYdCNmZraU11JSTmfWGr +D2JpZ124MFRrISXk0cAfcjoaEsC05hmaTkjOISClr7PKpkRFiYUQqBTlj5Z0JRDm +u21aYYjCkU6TDsvc8GYHkWCc32Rg7ucPEXRkTLpY53mYpsiFTcK2bx5l8B+jD0x7 +1+LgIiuIRKmlhCPTtx6AuJJW6ZffKZZg/UcSsVaqolCIXP2jPO8bRN6DHEhjLjaM +W/FadOWKb0QoscF4g2ckkR/ZIvynYVBV5heTrlbQrdnmR6vltAb4L98HfNlevBcl +bq+6HGTe1O+t6HUeLZ7tTL7lVvw0q/Z3WIJr8Wv4hvTRBojZwE3oeR+xDD9FnARX +2HcKzw8sWw/86VHHP4h2u6TD3p+9zBVe8Os+EHkT56R/F3ave8K/ejKU+eXYO0UX +gS4Bs4+p+DUvxRsZA7ZYF3FhxhgQiisBypSLA4rXEAW4R2YgcsFJOgScORVfPKTA +y/cFJMXkb2RGZ1Q+9h97YjCpCjKlZe+G3q3UK84Efa1ryx7F36s2qnv/l4SDRv/b +t/kHLUiOpdCcIE38+tqM5jey6UWXiycolWqOzsmSJqSBjpLr9ZmpKyCFVXQ/LZgj +cTh7SdHkd/I81IvsiS3jQ0dD9phGBTZxndZ7POjbt5FU6TvAenwkzrAFuqyCVyx8 +o2gVz5zmM8Qtm5/OXvBuFwyv9D8LfSBq4xUSOdQsxVR9CWheSLVXxGHAcFzap5pV +e/DAPBnlOtlqGbxEHZOlXSV6ZXxXxgHLkyx0+j7QYub9QraKUkOkh+os3rJhClvF +wmF9rTX3YQsgptMtAMRvZtH5nmmDWKTCEmwCOO+2RXipJ+lNdjpL2c7LDuVqXo3v ++Yuhf0fLnb5B+xSujTLipBorGqh/WkIVn4l3Z6V8NwQvOiGFWvSVJ4qQcsnnq5ST +CMofKzWNVfjY6dVc2hU3dWL41vt9zkyyt5JXBNi8rR1tcKVCueLxH8GUxjBoFXTX +4SDkABYUsoeqbJ7s229iIfXci6rEPhDDwZE3AkzVrfzQsyf9F0SoqGWVfF8VPoGj +9Gk2Ko/77T1zYtNQm7F9AzjagPuA+MIEay3oFed3hzkZZJEgCVHMmi2KbeifMZxO +kQKNMzRTd1Svge1mOFvW7VTQ/6np+OHyZkljbj5qcKjLYlwdvY1ICDlxzlbDtCGL +sG0LL60GjBGSFhBAjS9QuHyDo7KwODyqP4ZD7ruW8eMEelThP+xzhTlIfOzBkU3D +mIPKUxhB6UGd99Kekw1WOh2lvQkqV642uOoglOQtBT9PhVtzDQH51vJavQtxXPJy +wNn5LoGVn6rgOtLLV6MxjguRQllnBR16XEI/4bLizpoEFON7BsC/W2a/hJb8fF/P +H187JmwfIbIDA5J5npIB2ZWqwWN4v2gGrJGz3HhpiOceJqqq3OU5RwIrUgN4lZJ1 +RXODum5QXQjRsDtcJKGO0mCX0ZPL+h8eD6woqodrQNWrLej2bWKf8NIcH8fMHdWy +Nv3HdIokvE/TySykSQkjOuBrBCysGpw4Gk1m1k9+WiXAHOGz7VH8UcCEdM/MpdBl +2a4/Zlun0Gd1C71qwyWPdr8hPe+fGCsXhFjAyaZqM0OqpF+EJrUKoUjW9+E+UB7L +TXf0+bvM2karIYQGCynjC78BvRvn3ZEs51aROxDk8rS2G0OQrBuEfemuIqaUqPm/ +yoBZfqYJpGBUeA223EkDYNdFPlFoqnUr+WuuRlLAwq1EEnUi9fAyr6RuN/VBhih7 +m6n37QXOiWQXAa/9R+hRxs5RUU4rJAjwqA95Mzj3Jc5Dpk8V1dpIDpyNEEDi+yRm +JG5oK9l0/AueBqTG41ju2++b2yjVVtsMX0dBRPA+zj9tOUj5fqab+dJZOFnUM3qu +OP7pb9JO38k49hMW7zF0NqHAFlpO2oEVkpyvqBup3Q17DQPwRckyuVnoOG1FyWd/ +kXbfk4Xlio0J63VfTZ85ZpV5VbckBLxxQb9UTtgEU0TSqF79T2L2OVVzLcFK6hMB +6K6XCWmvtoq09l1JipAfpE7qkf//LSbFUirrpuPjP1McJN3HAtEgnlGgYcSqu9Rp +0gIJZTmF8Qbf5UZEKnCP/7CuWUZqCX4MjZQtAnMcEmsXsoR7frpLykyxrC1SQJUG +o7cUrEC/2DCvMQUD1mLB/R9y01yFFNQVAye0vr8YZL9z1LTcmllzVfDZrEwCrZ2c +ugVzyhfNgwZp37yC60hpwSBEOD83P+B+t8SEYu+LPPckfM9EUrItNuxM78A8Flwl +Kebk1vy7Wz18cg+2VuloQmYbO6yOkplppadzLBmkSe8pAE2Z1pYmUjF5P2bU88Zu +q6XXYzT4CfVh5tGIYUgBSJjaoR6I3QuufqJtKB23TBbvi1NlwEFpNDYwhHQvrhcg +ayQXk9v5qFA+sZm73+R8+XfpdwHdQ/JJzNlO31A2TPjIGxg55ALRA/ygTlhdsFRZ +2nsmH6P10pm+bhoJ9E7aL+7lhi1WeInLoy4EQod8IZBDAvumAncYmPNW5oQ2Ppgs +Mp/XX3FqjanI/LdExq6YARYkyZulozToD3F2kyM+o7fcPqZQpGOH6+McnpIBd89a +pBAeqDnGh8LWZ2Nsjk/nqUfNirZ0RpVO8Q3PH1RjyZl/d49MfIzhsuyxHD6hY+eI +gYS/mharLo1RPdSXbZ18vCGQyrxfq0o0fi9lFe8eXtEf6p/DdhmDGx6TYJeWUsup +T+850I/n8VPloT5ob5Mn6e89zc4hOB9p1FNhO7nZgH6v3C3g23FCDCO9QaDL90kb +p6qS+NToKv5fqqNdUS7Obw9jQS0GlSDxlMNkRpKX3ljUJkIovMkDu4qnQ8PFCJT3 +2tpfMmZN3TS6+N+qhIyzuxwzAO+l029Uea7YJUTUbNncDFnVPkuxKYd+l0g/JHlI +PjTCyfVKb1m8PUtjGKCBUYGI9CtKABrylF7mgromkKCeChHg/9zILnN/LohJQvfl +CqF71xSku673YuQVUstZWecm4iiCnhxG9PaEl90oCU5Dy+lP5Lv66PmbZa7kocmZ +/I9YibNZcXb8PuBA6bDIZr4hxBZc+O1i97OytOSjqsL3uGjm+MLkSuZhJjH7EBdn +wf/W0f/Z8uQ0Gcin5itnuPcXIA1Z0jsoj6F4jWKXKKLqvUqzTrSUMf9zPU8wJtfM +gtX/QtyKD/q2QC+MAmWjxFFtJMs1+98g1Yf8Xtr6q30P/eccqkOhJ/RZZb2A7Xaz +T50/rkRoxsJ8WIs3MFoZIznvDi2Yuq64fVdOkSZyFNl4prBo20Hd4cPSiA65XpNa +BDOQ1NcfZ5mw5CgE2iDnzLQxsUtajfkmVFTV7i+VKANgVedAWLnKBc6u34Deffy4 +cBR9ul6eAv6RhmOmfzpwwR4Xexukj5qMLZWwurksUcUMo3PY0nGJGBoX7P0q9SBs +MhJhwLW6eTlABUmlwbe+zvxgS99y7fEhH4wEwNbBg9w= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_tgx_cdrauto.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_tgx_cdrauto.sv new file mode 100644 index 0000000000000000000000000000000000000000..00a639830a0bb4222b123eef29eef247c1c99ebd --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_reset_ctrl_tgx_cdrauto.sv @@ -0,0 +1,245 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +U0R3J1zFuPTKwWxPcPKagGAEzZJZkTVCyTg4CT/Znj2NxQ8z+5cEJZDVHACQov6z +0H5wZbglX56dMLi1dXZ1TD53iWnq+cLFzjsX6Hf3am4ZEhPimmCFUQtPD8q+aSS2 +oLasYAdsq8f97ncTkxg3+egoq+ico3SEndm+6N0S/wI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 10032) +LjDuIyg1JhjibxNQFSOJrZbdMZp1xLe+6JsG3ZJG2q2XVl5uHtcNboO6vddceX+3 +qpCNRVqRknR55hESnambN1175tOgESqhvL5OxGADb45d/Nz1+uLUhiFX4onplwka +ggfMYCQKpSle9O6qNov5lsNEA5Wv4JRirqpadUWeVtWVDz3ljycmvghp7nLNKX6d +qN/rFjS81syVw19kXUejNrR/QAZOEVGPKNS5Znwe6COmqK6E+86JXIOicYUDEyh9 +t5xGgI6tqL43QoS7Pby1Krf8hGptszLaOQH6OawQD627nECiVkvL1gNKt9qPgYOy +FJlI2AJy6uT9uk/8iN/eolC+eXhyTsP/wCoCrgMtfTkwnCVln6SjwqQb+dPcu/oW +gonSCgFzyvi5c5Kr7bEBMxduxY+V2ctJZpil411iD0HuAo0q/mxAcILmuIGhjVxH +junZ9kzaXgCLpJatgOKOiHOWWd5l+SnSAoZ28/SVRC5sR9yXJG/nplEoRMBA66g9 +VEVb25dK0RifEXpsGkJc9Jn0dthVNRZsxGRap2OHWbk+mjeJJBqxD630Pnudi75i +bvRu8qePfmV65RvMDAinCkzzWzf4Fe9V9MOSZYzrnZmezgeRwSS6hve917dKXSL0 +Eorn0v6M60VFpW45geWIVz4Y+eIuUenA3RTIIdW0mckf+eq8dYvMVAwAfZl7N/mz +uUTL8PxyHHZXND/GRIIA1C2Hxt7nZjY3wghumSTCaAu7U1j7KF0ZJaORvYPmY3ah +NEoaVbI2V8oJ71krUstIXZRNagOZ59dVXrdzwDn0QJpvpZTB5Og59rOijbk1M9QR +fUCQwJQ+hV1JCwLgpzX7m2ss6DT5XD5UoUylfT5aq9BETAh7cHVCtxUq4bCuSiFF +4ZNl2icrNH3wxw3SGXXHM5K+rlXLICuscUsVpK4hjbvI8FWHJjrMTO5jGEmJRNvc +dg9axQ3CfMo6gDLUFRsXNO2fUjblicaaVc3e/9xyIbY+2c9h2LNNQby6vCSusu2T +Ha2JWpw0/1iAZmf0yS986zQrfx0DZDwlhIsbo1BwynEgf3iPaXKaRQUrxtzHDWaU +GrmEWS9M4B1/zBJC6vU1TiKfqA5/8cEWA+EmiF7A5kDYLVLJjkLhOK+I3qcgohOI +JQJc4jfrd4hdTaD+LHk9R0AFhffqt7UNUMzZWN2krkOUGUHfm1iSN85/gH0ORkgY +dZOKpQAKDvOOKU6Fjc7wl40zxok2zzO/uGdMxehM01dIXz2X1QzcOoO3YnHf8/AP +2yjLUjGszL30Lx4vRNa11UcB+AbhTOUE9gVjWnqHQc27N5nZZHst4Gngdjdd0Pia +dl+lY41gMoLfshwSDIRkONLmLzCpORWuIfVIVTFEDPoNzmF+4C/jpSDLYMhb4ScG +8AkTht8o03pHdWlcxyxxYyBQlCKXzigZCer3Wb23soCHpDEwGBZ3Itt/eKBHqfBM +YMtuYz0aPZQmH6HbnOD5FAKGxJc1+jhvwNPqnYhKbNkYWPBGuCWXsc6K590pXFQl +5N654D9UGFBodV1slaR7IERGfaC0uda6WfVTuFeLIsPh8C5+EUd71zCcQou3SxGx +lxPUdorb/I2E5cL100602k7DHxkaxDQpqu+70P/c1vsjXj16WhxUMGUtqWO5rKf5 +Ep4Z2nAH9a52NWOjyf8sQ/kpZVueOsGTr/ZxTTI7m6U3+arazv2i76mz/OTXL2RJ +lYLYNGo9O8AkoCFYnI5L6FTnMEmpdHIQ06F1qM0lVf0mk0j2EpAIO5fuaP27jKM1 +PpuWXHc0yhkNxbq5XuNB9iTiOqrCeRCgxsH8heWpcf1mJPTBamz6kIWSSCtdupbC +9DjxHG2YzBcImERRlBkldLzcAo9OPW85GZHjI7ul+EwKliql7vU8MVnbBEEZi8+l +9fPCxFUo0mUUFRHgfJnYNPBLR5dkSsDXEtoCn0Z1UMAvtZ04Oz0wW2fnnuLp4/J9 +LUZQTvvFP4K4aJzBJqYZkKArw9CvdgqLMua6N5j7umh0c8W8fevWTDWwmboIfdrC +GwYLy4esBZsgpJend1dfDxuSC+flrR3z9RNA9qdvbCqxe2nDoUMQZ4tugPdo0my2 +AiltVku4+4OEMUB2YlZrmASS0+5u429omy0DywSeIMF+y7G8cPRiJ8MHVeSYpItt +zl1lfJD5zxInQZubpRZcGoODPYwOFnYx3CpqPOB9pSqE843zyCjoPrlDxiatxSbX +C3DYRKRtXP/CQeMMv7GwK/17Jws1nGG24+3j/NT6D+KKtNDATij76AqnJ1i/dYDh +0jExTUHoFSXK3t2kKZpRUGmeWHjGiX0Yy8fhF/hCrBTwg55IdwOIfU+bqkOoNTvK +5NYY8xZ8qaFAomA6shPeRKo/00yRIkCrlenNYt6s1dENv3cC0C91PF6V0j7R8z9V +ce96Py92XnHmMACm4ZkpxCxMeP5hOsbrkxhvoPLqJ3XaTLNceZMc6JI1IrmKobEp +LSsBj/Dzq3JAe0jyQ+jHXt4vz3oJ8dPLzzKFB3snPvUsJc+B9R1JTDr56rTlceoW +GVHa8y70ZG3A+PzGSOHnjC6jLkgMu/PvzQech+VjgEWqhJxElFksM12U33J87esJ +OSOk362Im+McpEZwyfb0AGYCRTrBYKILAdu01QFuxzDLLDuHefk6AzjZTmiwCn45 +8guKxFiezrLh72xQpUkTgYZFUO+uK8loTXT9X0UjuKWGIbEnlG32Xfdx8Pdm2FUf +4AR2f7d7/SlVkW3uQH0HZ1m1pZoIZoVx/aFWECKGsSm004Rd+7qAOAdJ6xqLVbiJ +gaQvZuOBLN6wNRRr+DK/guropmMUxtNlGAj/UxbYDgBVQl3QpG7Zlow+I3PAI79A +ra6cBR8zcKVqhj5UWEE9EkJJ9lKsRmcgfQaw9nYU7URAKsytOqRqbC+jDmQZxYQ/ +TARmRX+Lr9o8RlCZkZHPFqHD8XEZ8oqyWjMo4yhWRz1XWvpd9NVpwoVxX2VZrHNR +dM+VXRdcrCvt0Gst67XFObSvSMrNq5GzS2I7Kg8drl5eiAbeLP/WXJgyogYpbW59 +dTBJYFS9AD1ZkbJJ4LozO91sR1cVokWeNjdS+dpAH5vB1iQxctNnSZYsLF4/0Pwe +t03PwIhCb5B/YkJtjDXAR4VMRGKyEYqCZmuyyENPA+218MtYqAYyKqE4J6cDSxTW +2dzomr6Zv5d/dX8+YZTYUKUuTLbSB1vGEH7wG8wR6k/iQ615ZsMm1K5L5AzylodM +1QnrvpuC1oYxd/4P93Z3TWTxqKrHNQ8//Ow76P8OKEhwFYZx3LqyIH2YMqIDCqjt +NzW+BMu2RuqhOtKOnB/QyWhpx9rmChh74WEkhSjvX8KQkC9imw9vRmk7CHpuF9zd +kmc+SoUBVlS1XU/hLDRfYztIXXNKEdMmPU+b/4Yn9v6FU39Vr1K6vHGFtpznQIax +xFCgC2J7navxi5AGtZmrJbgsXC3mPlAtvXbADlHiZ1p3atDizIs6SkTHZuRw/Nzz +DkXEnT63Wt2edSEZdqsmnmfAFVbiY/7aI77In8JWFx0LIzhxAbZAEzdUBXKUG3CB +m7+jVvf7fl/UOn0hpvo1ncw9T0RO2jQSUf7rKVjyQ4KNuEEjqzwISvWncXAlE4t5 +ipJHHbJqe55TQ3S2kv6nOERzEAwfLhAh/QUcIqiCuSlHHQKRxKzVmklhMR9PU6jo +qQazw+piWSBAXzsBHlg9mAyhSvZG0K3BjX5j1lCc0Wr40aUeCtjxvbpr/Lj2Rjoh +THNtkapf+cA70c6fXCcruIxS9eXAzr++4+caDVuNO2j10YcJt3P7DJDtuKdBBrCm +A2akQfCp3QC2k55rxGmKpE//dFomjKg1pvx0CDkoET23gT0O3Ln2DoKUgs5X9lvJ +SctXjxMmkEtvTncNHkOqkG1lnQRouKMiPn01r5cYjdHaAHgiDg8p8r48ll6CXVzo +IIDI9x2NCmAnY6qMWD+St7zeWWtb1PqW2PuNNmLiFmMtb1bq0rwHTBUS0Kof4zjK +tIdY34SFc9EPW0PFVqtMHW5Y/4gH72ncz4w5LwRBlv4oai1yjYTedS5QF/KiD+wp ++GT9FZXvRd33SPmThkXcUW5NWa41aGyg3Bto+HkBucqru5XW+mdC5tFPFdJ87r/M +bGc3wOsAnkuQ4+sieLg74/us3Pkb07swonyEM/Daa4cSvOdwBfojZhsvr7BTLxLV +sctybOCEbCy6QuE6Q1Kcn6453sILYzSLnmF312tnz98VsF75EiedvZHa/oNTp+Pn +tfn9zZrR2//VN+BHuZ+QjR06QhH4ySWYysgIC7QVfvP8vtxrkLe6B+6VTzdk0sw+ +U+Q3f3hvwSEhyg7sFrFcyHUdxzJISMrg3wg6XuosOE59yxmdKWqeC64OQmbYBfYs +hFoQcU+OpUJlOP0uCkK5LHx4RMU4NQ1AEmqLgx2pQycCN91cQSXHBZFzw8Krr+6H +pwaiCZhPM6AIY9eemvUqX/Jhct9S6Dsg1EeY9sU2LtBlY9IARrNBt1hDYXHKRrvo +3kQjvJ3Jyoq0877sY5LiHMaa+4nQ4YvQBoCF4wpUfnsRAD7URNKyZkLeCgxFRSSq +bRiXBIl+F7I/+pHLmPFIOcCNc3k9gPDS3sfH+8sO0F3i1QKlH6YzgHy2GITDr+mE +H3NNAv2vdK1ljaCjp3Sn+7l6wIlHoL2KmaoSXD81fgrJMk1iINAS9XGNbXV+PEQO +2qh4s0H6HDQwJot68gmqtJNTiciV1eCH+Poqf0DyAB7nc3lBwpvHnrkh3pin2kGW +r/xpZGVRM/nVPyCitNjMQcJ5wAUR1K7oEJNCTNtBPPbuRqeTwc9a+chhw7fZCVzt +BE3EEhR8nZrFIdboSCb9RFpm7ERTbirPkXuLsS4qWYOSTQGEYh7VaEkixOO56k1f +dqlgO1RhfzUhWmyOM2pbudHklgZ46briJEnb5mcTffImwu+lQVzKdlDME1xGpJto +NBzHlh5+xnLKlW3O9XzY9MzO1CgOKv9MvRjF+GKzW+QIqgKAlBmqjbt2as6Dyt7y +cuO1lVBGpcUL0h5Fb/vYjlv9QRmuk5dCsFK0kBy8E3b51IzO/RAbBIhvN6Tv/RFl +XZf0MOSkP01ZIwYKvs09HuHgVGxDa6MTJtRqRMJHlf63lYSkYI+aJ5MmQHr3x97J +0Ptt12BntL/MmK1K1ChG+PQOcYt3fMhY2xwH7aYil9Vorv112uy9zq7b1W4W7+Xb +prHBuZyCf7Z/aUa/jyOw3+R4GSxoOfg6NmYN6NgaGuR4vDWsf5y+bplQzf00wTTR +rlg+lHkjZhUATVnLezA/RAfkFY8m2xpPXstAODafz1ZwKmC8PhlqYh9KbAgxUy46 +zRx8FwgLGzzL2tIbA0Tpx3B21OkpadVg1ePsXuTskssfxQTawkNJTX5Jo5ER1XOD +MJdvpqWwZK+aRcAH2Ufb1wYnWkWJal7dDSoEBB+F0KtgwkPiAVLtBdoiD3g5KwIk +tH6wMGL2hrNEzADvHXv6RgLsLWiZAremnAV/2xL9Bd0rT5BauW1rZMRV/s8jkUhj +LTixKFRGwgeLRebZJeEX4EPDO14xYZKEwBmi8pqIM3m5x8YEd1p9Sotygp3IqSuv +q2DuVE8ChksY3FXmMNVnemwKkfpPlXuN/afBtxNSC02ls7DZ8IfEooQYf7WBpqba +tKTxhuNdcHNuBRnsEk61akdRjuuxXFBmIVJQd9D4NYMqyWLw4XHda6fV2prGKFxq +tXiUk/KuhI/JMKMaEVoYToa+iulirUBTRCAVTlwOm1atsoyZkdv0QmtqXhGyV3ZG +BranzL9OSEyDAdDyWx5vPrp+k0C4F6DaTwpwlI3l2fnvYmaQ3jB5+cxziQSinfXg +zyTPXpIozmJTqK9IV51gfz/R68/Z+5dwk6Z0ATy53Wuat0qOWPoEZAy4H4czvHmm +oq1TaNlQcRRstSTGWngfsNasSjCOVNuZWjv2C8Z4HjrEDcxhbX38RORgspSiQgSO +wV25pATjfvDDdRd6BGKYMXzNCyd/V1UqIKuU6yXujdPBYJg9stPyYavEix34mZGT +rN9tSYXhZ62fhnB6B8ysudaKvNJZalk29atTTkkrwlx/3oTwP0QTpOPHbgi8MqQs +IdnpHW1Qds2Fo3wmjNXJcJHURHpjw89+Q1BJ3cWdbWRl/uvFm+X46fN0iDvqlGzw +6uZqQ2UVO8DkQDUo4b9ZAYDxLaK63EdVA3sOD1oUWo90202jFprRCjGIHFgNEf7Z +fEMnD1lwVzqljyFdXE1pK7otPPQUB7ZuajgGbPr6k6y8KA8/k2MZVxupm67zla3J +84bRv5rXm6bbr86WrsZK8YoEn/eeT/tvH5jXTghLRuuHx3bmEABuUbSMBvFJhNt6 +MOVHOHDh5cIZZp/RJUpUvcAUPNGDVTs28qqCl0Y3nY52AFzsYDpBWy8kN/f8D7mf +XRXV67+j/D438XHiYCCb6YN2OAsmxvGf59H4m+H3l6N4Tg4JUJ30ZOixmp2R6Q2n +XL1uvhfKvgJl30OwPKGmbLoT2KFGwzELqetKP0QhLyKU3fJR9+wkF9DS6VMZkc66 +NbAShYSZep4thYWRpJEvAjxnc9C93w+seeZTOTYaEnZfKjOQ/BmhQulFdjG+Econ +G9DDPNCYFdaweXoZbJ94B0cMoG7FkEN4Vk928myNSZR2+L1IQoT8f+4OOqdtJYB7 +dQhDk+VQ4N5++eo2kUdh9F4sgZHrYIFxYKqDug/ewZPQblHBNlCWsGoaBuNUwgBf +s9WhFe09kJA0+akuD5JFkaQGbkN/hgOaa/74OWGhfUauaEuf2yLyxrnZc/X5AJq5 +/8ZDFcYN5atD7RdlKRAXMhGoHk4HO42juvXrkt1NQ8qna49GNOWCfxcEpLruESSW +oSxC4YGi4Qz4JrwLUDJ19rmbH33AFk900JCY9zYrdzYq4MfKSLlKR1Un+U6NYhym +26vLlntMkCu5SoTxxmxq+QCDNvHjw5UemTn3pf+dXRxumFNWyBndiqVKEC9ue3IB +fomT9Cel1JMAuamKiP53Qfg8Mepym84i8ROYysOeJtLQz9gHVhh4lCYsNmtNQlJj +BYc81C50USilMq0ks/+a3NzSNRWUIMsk8czh6K61aiLfuADqTVow9mcGM1NbwXjx +RAlb9rbHXi/eSGjzQWDXWdn2vhegQ68EtuHrv4CRjdx8djgJU417v2Jy09EymJep +XHWGBRrKMNlSUII9h9FIHlVVITNEjxEjuRcRI9HBrn/fcW1f/Sg3a5hrz6UArs5+ +xowQS0dRT/BH0GPf3NfX15t3yOeQ+TODP/pm9lKrXxcDct5YFFWX0vuvKM9Gsllu +jRehL9dQK5Gm7q1WD5/V+tB2qSIiRpOWphPpSG27sPDPvmch5QXNJIl5n5cIQx0X +8Z9JzoJDVzSiL+TmZzDv5oZpJGyy1nOo0LgHjUdFRXjtKamgtlb4s86waqNMwNY+ +ztdK0HjqB1EojJ+7n4SzuLuZEF2MEUUGlFQjljW42EsfR36pR49ldxiDmYQxGFmS +NhS0IaAqSPG4OOGZjOf2rsWfVO/GaK5kZrC2pHvtlBStvqzD58kegsg5LKkGHPKT +C1DyzxDEVvng5XWSYXYFoN8/v8Oxndjb5C+82AakodOFxIRN6947dbJuu/z0/GFS +VCGfN0RhHkXZgQcCtmIjjb98cdURV9lIa5YZmijVPTLVv0ueqTw9Q9iGkcr/eNpK +hDZ8rShAeldigRPKeo429rGWlO/6K60b3SndkPuTgMK1zZVGu4VBQJ6l4L6YQeWF +sqL7SL0p2TinTAD587pcEB6OaQW8YwjVHPHrxduEVtrfvySEwKaVH+mAnfbJebaQ +XSfjg2ba/5MP7mqA5ejKY0/ShQEgYRkAJWdwDGMgzbD/Aq60dmz0UuwZCiZgb35V +zFoKJopRJX0jHdXkr8cDgV0bckWTLA6tkeMDCKjD3MR1V3OVmf9VTazZlh6CPPUu +SwqUysZasiX+ZCc9dXNZKJUjwtr31rA0J+HRU0ah9P76FKPDAgukk8pDjUwAg8vy +62IS57JAf455hH8aLYGTBp79Ex2brvj99PZyBlu9K/Wbltt90oL+cH7marEHNv28 +Ufeb/sPcXzgi2w4xkzm8H2+q+GxL8ZpleT09Qv3D8/2sYrN4JXkdSkXNArqT/cwp +uOWQ1xCXQACdNJL/EnYs+eW4PO4UrA30njJigKXCaMcG4Ql0XFStE529Dht2FIGo +qAlZ43+qypxdR/uYdpfCuX0PJWID+Ojpel37A0CPsQ4YoBWYsZe7gCjVoeE9nACL +t6+yLLUyXPQgBttm8INgStSR3FDzi/wqZrlGLS4A/e7szVKMh6NwvPzDiH1X3Gae +aai0CO9U0lNNONxQE+a0WtjB4MvB468WRmz/dGymqPK94olmh29DHLLPHEI/36mi +Z52/M4cbOPxVBdL1OsEw4+ypyX6+lTd6oHqce8NmUwG90D3cTNlxYeAecDJY5lz5 +0g7NZ/Q8Ew+yWTkySsywoiInh1qkUGZkRscTSW+3a+Fy7tfwoGFnqPDHDr6ZTbVr +79dpOJdKo4ohDicwEWLnPPJuZevPObfDBxlcm2LYzFBeeXI5hYjZc7sRICLonlbD +FvnvzOShrChfwhyYXgwp45UIDXC16HT5g9RL6lwde8w+IwV3Yqe1wh9a5D0OSasu +jZdt28Bw8Nxfwhf6fgS9e9e+rAS+a5gyyI0BwGUaTi4HKvaeQZ22dHXyms/MFFt4 +BVZ5zI7Oi9tATEVRC4Y+XF2kzxbxrJbavF3/9fL2T+mAE2ZNdqTbwW4+saT/RWp6 +cb1QhXabGgCnd22r35nn4i2L4xTGsonYdTRKmstgvVGQpPEGaGHliS5enuUsbKcm +rC1mhyzypnBEh7rloGwfNhF7ZSGQnR0ATUq3p52+DJVTkmWnztiAEvFUCXS/N6md +bbT9nwyu7jhYs91kXC7DtoNfzyCwk4EJvEdiVwzGNo1XAG+3qzXsJJA31byeGIIW +tdO6I4AKXJV/gXk0p4klT2I+A5I7TMtLGHI8JAlqLZwgArLK7vKXnux9QWUMvecA +v5umUX1C96ueMXjwBOH3uSFVwre2jn7z0yfR5wAnJwAuFBpqZLnhxy/Z0rXbXPQ8 +tGuvyVVSMdXYCeTCGKCnAiGpKNblduvI16ObtcrdlwZoKdAcqXeGJUeOBObXKpzG +5QWD1Zg7BAjvGTW3DMXwnqT+cf6gZlt6DKvuS6w2Sev3yk/E7RD76ud/Cls0HntX +y//QIkr/8A6Ctxc2BQ8j1efLbwG6uZYpidcYrCC9Zma6EQUeHvIxxbKtSjV0ilrT +PEbo6BrVFp5yMnBdEYUHCrjVXYd2eFGIRpKbU5IlRWwQu/4zpgSq4mwh35SWtG9W +gaueBony78+QSjrwlTrnpG7qKbgzrPygo4brmfMwRlDpO1xLG6KUc1lpKWCCxspK +olBqCoKXdlQ2Oiycj7qSgpnvoOaRaaa9DKZDBKxRsB15/Yhe8Gr55OVU0/bm4lnp +8ZMC5koYPWGe9N4KA6ht34Lpfd6mEJ21GHkmL9Dr/CXYV+hi9f7na/JFJ5wwKWR/ +mtuXPN1mf5KLr7z70//EPkY4OcUosMUJ3E1Cd5kQZXwyZvjt8ZLHijcDfJrQvmXp +hCr+CNEiCzYZXauot/KAy7WDOAhlY0byPYifeo3urQcvC1xo3UdfODEn0icXOQX2 +GQnwdh04SNKaAhM2tmuM2DNDV2UGw4tqezZ8AFIlWQlCm5oQAUc8884I61IFTF/1 +TMqvSYusngj+nEJaCXyH+OKTPh2ODQ+KjYOpdnnwpMCA9Euka5LLpT4Xl6xhaP1X +jC4pLbkhvDXhryGM59g2obaOxnwa/voVL4Cr3IKH2pVo9QQZTxEnh6pUNc/Id8NP +7letkzL20FntqFNqsWJsKD59LUXyBErlCHNDUKIsofzi6gzlcWbBSIsyyxVT2Py+ +/CwJOfpaX+RhpFR+Gw0BBbrUTqMmfvDypNIvZ3i1a0VuEImVS9UJRipRS8Gc7C85 +jVzoQXCD0nkN4tVhOukA8uBq5ShQU0z27mAnelLzWvGLLbJ2C3mTtXtmnLZ0zkyu +/GVNANww4mWza8cNWiTaYqpEck198sBnAnEoQzl5ke00IZ0Hp+yzg4PwAynma2qs +J6lR71IaGk2ekir5AVW+PCb8Kt1OwquBrdUtKI+UdIIcawQHGKIqWWsMW8SeRj5S +iHa7XGXjmyCeRFpsQ+MJJikZicToUSXtUe5moRPeEDQCIEIUG8M6rD+U8A77vT3m +84LgOSIbzFZG6rVp3sWWhq0D2fPyo+3d96APAfV6W7x3ofgMK6K/zbGq81WJ2hJz +bjCe5FVuanc5AxBu4AI+y5DYjU4s2PG2rOei039DC1Qb9fgY7jxbg3QkZzv2w12m +riMus9FV9eK8YCQMD5CFx8zxNvuUIY9Sr0u8W0XEq+wo803d8DeVW8rVrY7TCWuP ++a+8Ui/qh3+XSM6Q2NjwZko8O0RbY3aBcjjMzgWpXUTmLobYN2BiLN33xWPXF50V +x6tcByhCvo772BRvRjKt8t2r6RthjIJeh8+4G6ZIBBJpvBdrmOIURS5ejb5tzLSr +MYvGVOlbkgnU9Rk88Glraa7PEBhl1MQTXldWsw+rTyaeGqlf+APtWdATqNge89y2 +bnLmJQxxl+ZPIl/ccE0iIKXaB1qI5BwDczzt8Lud+BQeS9bRhsZMRIgLlbrhYBof +b+BsCA95mMITZR7sFZrUXGjOPN/3C2pvE2s5cSi25ZrT/J+Vcf+qMwHnPEnEMpoH +fW/8MAZOB79tZPInxgVulbGEhkoE6U4ZKufvsP93bScPHuGQFIOGP2LHvjPEZt/q +R3aIGFcHgcTYpVNMwwI3PvCshVljLk5SI50lB7aQhBi8Yh9jaNAFK0igpwzufxzp +67BGGsvTz1kvw+XvBvi42TVwSApUsGYy9FJg2rC3c0POJKb4mrONEuMuQgDbcWc4 +93TqUhvxXa/LVpJ0XhqNklmD1Sv+uSnL+8dgJkx53TBKfIX6icTX68YMhc00huZS +NKH9E87SJzwjav4XyCi2hNX+r8YY4ObrunPU2aUQL2qQ6RpSwrK7fp2AYcWnJtXb +Mv3P9SUmp0m2Uf0XtNPQedepeAmTCt+M/Bg3ip3VgmH8DXpUpDYCapva5KvcQ7+l +iQYQTY8AeLKNd067MhiE8bUtX5Y7LzQs4K1dKLE2gt8pu1NT3bczGtjicCvloY9E +UQJz8NKFu+cezFp9GmbRYeiRBpHCQAbV/UjoyvFl7FrFNgNbR9ZaL2x5/41JAIhW +QfmUrOLP6OBYsQ39113nphZyF4KFZJkIYBf+MvyRuRCAVIpoOad8KIC40wHJiaI0 +P6ExGvZ0g6RQY2ZyPMwsm2eu4OOKVxetCsQQdSWdev9Csynl1JiMKGS6g/PWeONp +X1siPpbIWXjYB0b2wjdLHpg+nVY20zY0B4M++rufBW8e68VVx0nBxKxjWvmCKHP+ +MRvh1ePXAFUwnIhNKziBobv0SytPG93g+FOKxgbGORN5frogb270yezcaZysY0ah +SSYOKsh8yzdituw8/YfXFcroIQ9erhsTVTla+ekEvR7RecY6fvVjleuU69Z0CKCu +KO9pZDwcBRfWGVRY93s/Dlf0CgfKUj0hNDBgjkYer2LtO89BYjaMTHu3UlOJLskW +1lRV8fcRSpYApeavALuIwpkqBTGX2pRVatwUg4OKqlO20euJ5vFsm+2JAThK0jUg +rC7E4KHlnbsLjJCGjoG82fP+sfpqspOqMwHb/SyoT7iNsN8b1uffEmtD/BvC2aMV +MdQtbwYQ0XmLc1WXqd8HBTXAn+GXXl6F8rumGit9aaCOMcCaRU2ekCMiZOq/NDlf +d6Mpg5JQFqPrtiTrwpnfRIcD4KPDv8GVFj74Rk0GkuJd/7D0FKNK/tmQARDmnXBm +av4vjokemSNI/lxLNe1W8E2BzFLKokYAp8f+78onR177OOE/tmiOKwKKIMuovz+C +jBbiuNFuwUH7klj8d1aQkM3lk+RreN91UZOP3DoSily3szhiHOEr+819cw27oJJ2 +CwNjU2MfdrvQt06KmBjwM8YfvIPFkWoUEkkglZogLwwL6IkWDkez6jLqriKkbem1 +RrkzsIaHBkwqdnXQAsJPZcPchZShzDxauXEqh+6R6MbzkNe9NHsRM7nfo23InOCP +L4jmLUzKxSZ3An8V3hSVePv+oJTnyrJ0G1ffogS2NlheLGXVM08ANNsk5W+5oBlK +rNNmX3qb/Tc4oes+d8ueEcSuljmqdNncMrTqR7Iv9TYgTsNaaD9NbQChWTcjoCLd +hStv/vVh8bXFLit5kOBnWNx8pbtRo32ooaosWp9ZM0lYYEjWfxxyhzv2KhSlhSny +odrYHCoFFsthAMn9wLoNUSOGRore45Kj+T1cwFE5qxwlb09978llH71ApBBD7llH +DY3W3vrye+31SWG2CiW3mzxi8kTD3dE/iwl8RIEwBsVA97ocVOkTORsSuxPKcg6l +IM2ZEJhIP0O0xGgPU4VUwvYRfOxWVt8W/7YVkqkxW6XVPqzugD4MNKQgxgiycy7K +QecbwCDMDkudTMWYbfNU4Ko4I89PDAbc6pCFIcf/OQ/xnVXMr4EXavrGdMwLb9Sv +Y0yaIUM8GIikx87qcyBlCbt5ZEVuIhROUEmqnaxFGI7hkPGqTtDmlzavuKXVCNqU +wbg6KuPcHkRJ7AmjRVMdcj/tUY7xKK6b0JMyhbOaN8IRGohH1KEZP7g7jjvtCiWu +F+MPeiw1Gx55g7WrNWLdXazG1UYz0ObBEAt1BMSs5QlExS1XHH8JEcWIbHQZzcDG +QAzwlV16rGgpkvYzAxDDXObDu9YbpI9qpl5H0eKhr5mYU4547uGBfsAhAclnLfDe +aJhN9amSLVjKRJEb3CAg69O2dR8pjB3OL4ir7NHoSGGxWqEsQasj3pwcoaSplg+b +PpqOWUaYeac1qFrjOFdnwCgtBuqr7R6YqrJcKPHWPPoUroOZc+mhE+LzCVqPU/HS +oK+eO3REX1hmBhuGPVg4t8rJLZNWa44HhfSOKj8Z50T1alewhfZSACOrGFpq6Gn/ +Z/0Vr5CtgkNf1W9f9u/tZq9mb7YlM8sTwlEcVHc6a7767PZVAaJJl8ktAs5U9HAJ +PWkTEvEzcxIV/aGMBB3xOojwmYhIdroq3tkb/UzXP8m1aAaP6UM5J83/A9ge6p8S +Sw55irR0gLEsBLE5StoV40XEbnNJCHVdnxI6W6FPEmupkSZx913NTIKyack4lDVY +816QhtC8rXrXm+AuQGguVVZOxaSdH9KS4UXS4XlxboKQuiy6Z3HBO2xball0hDwI +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_pcs.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_pcs.v new file mode 100644 index 0000000000000000000000000000000000000000..ff358dde1d5b8a25d12fcfae2f7a700abd6c7bf2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_pcs.v @@ -0,0 +1,337 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +F2Wulyy1YnZ55hYmqqovWdHCgPDH5abSfABbhpW4XuK5mt+4GGMBSzC5V/I3v9B5 ++3h3sqXT69JTDZ3IXWSGvjkzhmEn5bOuNmwJ+NtqmuhfFnlIvbTPGZjAnJpTsVQp +kq+WH/zJYhV7SRlkSKGhZjs1X6q33gg33gckZCQD2Fs= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 14432) +A8A3wlE08ST8vTDVpjY+uXdswcbMsv0r1nbbKTzzESrrURC53UyqltgR0XT/47Fj +XVi+K7qw3RKTwwpOlIlCZV6lwkuZKr5lP5Jb8dfULj51cUZVGtn+pQBpvnPvzdrL +WCGi2tneDDtvU7qEFl8l0hAmkwo7iAoblAXbi2QDAxXM+Dte6hPNEZBxN/OdovAL +LOj0cKlnlq8LZmKUrbgulg/3RGh0nWG3nbNY5qAgFeGodb07wlgGjtSr2tPIgp+d +mW5xn1JwblXp9UeqoJTVhzoO+jgxsKE2lZT2gicY+z4JDT42LzM6p34VfyT0gSsD +DDiIeTCyTIQ+vsEPl6rASYbIBWqfMLJsOZJCUZtj734VBpEOE9TF9sQv0J7U2MdD +kARehlEdyk2bQjRx+tMqCxPpG6UmXSReRLmQzqV98F5M+9oC/6O3MrVcdmT3TZy8 +CYTfz0gIalHSOCw0lDLnM/2YINchr587C05fvyGoRaEDvSMnCMKjkl7lZqtwm838 +fykRx5SfPZt0k4x/7FyYH/j5FsziSGZpLqwZrNyaO3h8VpeZK/wDJmctcLOIcFZE +XY0DQrqBPALEUCuFCVDc9n8v6G4sT/6EEux933wOnbt9mxecp7fTs48grBF8ui9i +k52bCSNvnEicu4Evi0GKDAoED0Hov03XkGRmCQ1Jlt31wNIdTFOgzqPJWoXcx1O/ +GoijWZWomZNAov364+DtEeORUQ9aWpsH3UW8StZtdHD/P+Nbx5BcauEFwZRZx4gp +BF/a2xcFf1Du/wzzQXMdmFIJgyMMsACOduPwoNfUum/Dx9AV8quqgDFJHxj+LaaJ +ctl4D0wOSg6gquLY8tmljVYWLn02xHrhxZAYdTiyL2zvtXREffefiFztnN3Zx+IK +xjhhWf+XdRdsXXOAFvuA2qxUUfzEk2ec9SSsclXCIdCxixietCaOuA7xodnrIDz4 +htflaeeqise89oUc5L3fjs45dwqRS3CGrsAwxKkGw06wklnJrY/wDNfffNnFcwjH +R+Notz5hKH8M7CNGwGUrC+QA8gloxBWkemxiPkQ1x95qoEtsj49R5VODcJOjMLEf +AI6ibSJGkxNXmsT+PPe1xca6F788+PcZTUMYdN9Y82iUQDP0QF3tiVxhHNNbkMcX +kFMqJ69OhAquoFz3RfYbj4++rzmxmWVv0mEOu/8reVfCtUBYmIY0vG1IUzYFFwfK +7mpUn28n2JOFH0dsAJgz9W9sBlTFeJaQPXYRw7iC1foWMK0t+0anoSEETMs7rAGr +vOjYmPJzoM1/v9x5U50YFGaKoMD51Y8ehT7vPtKK8ZQDXWqcmb74UB/G9k3Rg7wZ +DYiRFgAmPsKD7nzZosqXh7UZN4HCABbg0x07nqhWC8BSeP7O7r3WlU55bsLiT16I +9C036a9v5lMQeHV4mH0FUO0uQ741JSRab9WiHOQsynqqutf45QFoXmjSiikAqYag +spnUbjXaT486q1UhNqwSF9rbPI92wGVqZCsRcvXjCnF4hZz646mY5zcWeS7upDvn +cisAz+JBodIOAab1lt4sWCbsl66ydp9ok/PVgIDxhVlymbs3EkjNUWHatHTasnFA +nTj+xUiaiZULtDrBTuy2QvAxdDzPdfcVQCde7YqXiNIYl86eDfVAbMcDLXYvbZ/b +xV5LAk8yZjtseUxw632Ls6K7Dp9MQ2ipk7KWYJhC6k2/70MVTJiO/9dnlGePlmZb +PZsFA1X2WDYhqc+ndeAof/a86JKzsdKBCe8yXbsvAnmS/C9IOUOU1cTA4Q5ZCbwH +HtcIRz8r1paglvu137zzdpPuhHW0/ShKXCZy55Kgs4E+slLRrV2paC1qUwfvxbmu +OMa6DpJSfmcCw5lgDIUsxlLGyIlUZAe/+601cQzolX/0JDRAIh2eQtWysTu2XzJW +r8KOVkqqhfL82Ih+xl5Kam2DKGxY7ucUKy5+yJIhQPwfV+i7rziJjFKjkjRq1wHR +fwQT3AGwkvZW7gLaCAVdhaFJ/T0Y5RGRJcexwQX6EurR1p8jz8L4fAxOd+Wlt6dr +k/s6GVadgLLjmWdK3kLvV9v5cqMcUEJTVKkRJ89O1ck56UHHm8CG14DN5XvMbf93 +hTWistOrOy6s2XZujJE09xniI9Of0zqK9a6MT7vtjBGOuY7B51SZFrJNI5/2ecJ7 ++XLPT7f2Ub2BJOTAe7O5IzMu93Gb9vGcoMdBwK5p3JJqswWWcHF9O3WOjviXrzMM +lz6mVNVp0HSZI+DJjrMA+Y+rPqHgy3QMzPA/ZKuSL86v8sJf6FXEKGfinYyhEUi6 +Ct1cYwy7alIBxzsGArRlgPOwwhOycIwX6H2s/ZpyGq+c5Or4+XFF5rOExzhr5gQU +gsRmQevTRcw9egY8VLg0N5qPk+kfBqlBlWHaTSqKpU5sU/KLzIk0/iZ0SlD7/aaT +wHvhzgYriaxfohpo6yuNobEOQiXKlWRh3MAWaLYz7KehuBiDoCLMw9n2WoaDTvnu +cE0P2NyAmZXmoMQRNqqbu/rIVOyAmpzSYtcgwBoPuohVG/Re3Dt1aF6xWlvERCn9 +w7KNBG3vRpOPhZF9Fltb4RAap3BvigPRBPWZkLSnLRbD9Je/SOIipqzmaMKEJpl3 +8UGw8pH+B6Z7PbQ9fk25qRYaPX+NivCnWwEAE93kY4zxU9itlk4y5YeTqcWcYdrH +6kDzXkpWYKuTA78rGlo6YzH4DFsVDzNP8wNxr3vLIWbbwrBE8Je5Bhc+KFRzrgAW +dWSGvha2+Kw7yH5CcT3Sw9gGQdjl2iWuZ9vEhS/jMy1KiUojiC3OxU2qMxT/zIQu +HBv2k8MlzdyfmlZkWJ931JUl4Li6DRXbiZVLNF3Bcy3G0uyA/Q9CJKca3/zp4zYs +QUpaaz76Ulg1ty1MigoU+ozm7cSiSYnN6G8ejJb/xXKJoVXFWMuZSJfJWnT3mcuy +BIWLFFEAdImBhFc+i56RM0Td6tqBfQWo2pM+rEl0LoYYiqTA5vNfFe/1acPajSHz +yxttiSiwwu5TiAyDAVNsXRfvEJzgh2c+RXtFrL4Lw8D74iagVQ0g2qWA1x8XwS7K +oHj1C3V15F6rDgUajTf5x1HuFUH7Dd870Ag4DlJhsc9+DBzBy3f5GMMiVZWdaS6n +AL9I2CTG0ecF+UdZfprdYeMQBO6xAzPm8kFUKQWqkFObra1q+3lpTXkwe3RTATqc +PpAIQg3IlM0IJQNQI3JOuNCW6Fbr0ds8aok9GQzR0rC2Iodj4hU/p99yaVw14ctP +A08GjTIgMpjZBQXmnVo/FSvTtTSRtrPUTNnO7zC/jMrmVcMS2lbIZLJJrCh7vg70 +O/ZdsZnr4n0Ln65EWFd3S8UHrgF2mYEuanKgVJBqwJT0rIGcWQYyYz/MVu9uZdDX +AQLkuRcu1KjECnfV2rf+Pr6MRUNob0+xN1aF6xC98snqG6TeLIhwAZ9k+FAvv2M3 +VX6rLcvSQUig05nqlrrfosEZZANi9ta5XEZGljFRx78W2ruxEmSzuvEpnwecj6Xt +1j+wYWDYV2CkAuE2CKPEAVFUVsJb313ML6qNDd00vLxXDL/8dtraoc7/7S9BlmRi +zYLkYgQAzydRQ6/6Kik9i8M8dvxdXtRsby8m769tUkoPRxdxAvaVohpTNt7B/qzi +jzdw/CtoKO1IXfkelc7kzW7i1AQM9MwHEt6cVgA2swIiudcyx1fHc8+rf+0MTRbS +QU8pzef24Bu0OGDNUilRMY0K1OLz77J3Tinku9MyJD+//4y8m0DywIKGAvv4GgUv +dpxKNb6RUX3+gWNV4b7jQWDPVn/e8Ra/O03Ro09043DOoYnLq8dcz4BgDJQO7UEy +uZAWElFgujuF8NzCxiz2c/hHL4DjnLLVZZy96k5kWaQC9VW06/EFadcNWT6j6NLb +Gv0jbb5GA5dcpOwf9ncdlb/d5516KSl2GF4u6ToAEm/S3KlDe+yHK5jPEaCWzKXR +Nekx5pJfInppFKtxzGyBMCEeZoYw6u7qW5eAft9PbP09xoVsf9b2oyyUeBuLtw2f +vum1p28v6Xh/HzJamVmipzv+1xKMv+sU0AUHo5fl89xY2C22KIm8u2i/hNKmlgt/ +lxkqPaEsZ4XxW75s9vuggszeYs9vmxNsaV+F4oXFKnFjeWK3le71nWR2ZN1cGweQ +LwnAYopgwDQg0ra+8U2hfcS6YolFm6C7TOhydDifiYXPA1WzVSI+dRC+AghL61CW +bstUthcvn8ZzQUiaL7tKlv1tX4PGHlqrTA1BcG5iuOp3KMHZKv5F9zG2SujO/7g4 +86wdF4S4mof0iJOItu4wu4xWNMHwngIN3qMV4G9ujsWOdnC80Y/vZ6hR0Jxeipuu +hQ6t4TAj+Jvw+0BPfLHzsxabK5ehcFXY0E0AoGO3G4JaTJsA3Rp9GE0S/gZ4H1lT +MZ10/V42IP0vJtDHxBKi8Kw5/UneHlyFZvodLDKWxI45uO5ZiLV6Cq51LcLN6W5W +cwhWRaBCv+USPN1d/SpNK8V9/VI++ASvAY2DnZUOgZo2U9ImUgVkT1STf0cVwWII +oD3JcFVxv//sKCuMV2g/zPt/1GcRD3u9ock57xLIt19bqoCuodYeth9psTO8z2rQ +eCGGrwgBqeeTbVgPrnWmqAe+zmmXcBPRUQzaGUjE2qflc5lwZPj8RXxYa+QhCO4u +1WnSwFUdufBppaTLwjRj5VqruaDVudLbKRlV7nz1urDj7Ea8T0CvCnitq7NxVbqN +wkWDgQS9FK7TREWSLTvkWUjcHTjQ2aBW0dpCqseAWucAovyKJm32EFz+s+liaSt+ +eq7VBsq+YKgGmtj5Ym2Mfpvj6NfCnjusCHQ4eOvrtzvJfq+9K6rDJASCKhb9Y6s7 +rtCbkLoNJXjKaaY5qaLe1SvYq651+dNBAC/B4gi88OvDcb5WEBUGLgnkzLbVxn+C +yAl4q1FhYLPQdKTbA0MTFfzIAjHRqeOimPilKshNyW0l8cvFpuJ4GBRwLdIbDpyg +w66oCcCX/Kzdh1ow2SHqQBvIZf4bSd3DU0Lbubf9T7WQSYgNCWLYwFMx9J7T01ap +lTAxOM8vO7Hzu871oJROoAwtFBHodJHEiHptFBJCybpqpXJB0PevqHgY5uXdVeO3 +6LHrlYQCBQytNdVdxWwOWJh8QOED1qdOqEpQDLqqNCae8/s+hSoZ513f+LRkxnSW +vvFHtedGMTZott6JgBtQqPHuReWLborag2PhbLdPq3MIvN01Pb2yJxoY0JeFyhsX +tQqrt+zceCQO0uckwzMKMdMjQCP2TsCnIgu1+tgE0k0td2zbjhDns6mX14Igsgv+ +hPtz+LSHwLB9YZD8op/c9xOJs/DL5sO1QLwgY1eRIjU6ncevYGeLlQoD7Gd8xsrB ++giRFwTP8w+inlFfFWWARjx8RyVrFiG4l3mnXMrnj/fympMaw3+14QQI1rHyN6qA +sZC5ecCuqqgjQpDP2M0WXepbkt9NMbojCLbX4vHYvHyxvhtZLsHnqI6hi87CX6Ry +Mp+yH32ADXTPNrLE1vlNyTGzyID2PwdGRMkzGQpK/Wm9e/jXyXD4JePFRRqyFlZJ +Wyjw+Qvb1+h8pPrIPXMZVtLDYGQCSAuJmlcqfHlTKKXXO38HQNJl0dxvy9PscQ9+ +S7K9rTtikXApfkUnh7cAPuT4JwLY/k5fMDVjpL4mTudc04VdClhNevSZ9GuH97Vx +X5Z5QhTRia9ahLPv7TAWnBKpxLXEKrcNptOAS3pdBVS99eNgI+QLo8TWkMV5GxNA +EAjIb4sJGZIENkiy28D5lskndykK9Q6y1XCJZFeGKA0hoelg+K5nq0JYSwAcIhGF +6aMf/pkXNQeq84hCtZBg0dG2/BEGZnDHsqcSHI1GK1WW61vPAdnAix8SvNSEzcXD +hBlkrvFl2i1+DE257IuT6iIvE3RyqkW8zSpep+BI0NwJ/r0Z3YAadm5SgAZaFVUr +0Yb0qRMfCQi414txNwYegsbya/EHIt5KinRoMx/p87d2nxiqq3/id+SyxGWq9xWC +vPHFNlYr/6bth5MyF95vLWKtKjoLEBkfqGtF/cgug/ra4pwlC4PbJ1Wk+0UQNVXm +n50gMgzhnSyjgE09com83vbP/YrDJ5oXSahTGb8ZjyKMeORayUr8iH5gBSlbCe9q +Go0R7LCIyA1aUFUf0hSzKILeceE6KgmUrgAtIYh2F58/FIanwhoD20Sn+A9VZA1W +l0Vrntai/CHIx/7wyLa9MgCRQ0eUWvLB54STPZP1CU5CHjLJD3mUYfRPLWa27efS +klZJulhq2FQHsQmov7q2e4n0YSxOLB2p5A4Bd9r3VMpOC6qUDlrY+9CXXvxaLxyP +l7xQuN/J5P6Xh1jyUjz0818p0tpc6UKZJvSh0fKA6xQ4sIa1VL0r3ChMc4KLhwE6 +RYtNPT5ip2XcxvwaciwpZ6e5Mef1d3jNYfe+xBlq+MLd0oc/ODbbshz8zZGcmawm +EXK5MX2kGlAwk+j5P2DlR3bvs8yZGReJl4q+a58nRR6YayfR6IESybbNSbxHNN4W +fbzcA4X7a1FqD+egZjxL4qp6GEscIA4yr26+h74OnCsg5GlKrXpvK2omBCMW1wVF +awp6fzLQbr5TpUt8N/ZQbBftXQFtggfZNYvmzKdkCabR9h3YYI32zfbxJoWAUwLR +5pgdKqabGfpyBhWhh1fUSAjZDciIwIZeBZwCygUq+UpG5hlps5ItI4D2LxyqS7Ee +x+i3rVwym9y1lZ6ipA/ALvysYwfcAVPXVf5g+7IYMpUvvin2ZSq6joQN99D+oJaS +OzapNfX73oE1fMlM8Gz/t6yrOAxNAHLNbsn3uTdjz7QZcwr838JFXI3a0xu3Cwsz +jtJMvP0q8H+OA5X3KY/6aSIYtiRzlJpowjO69WATwAHaeh9eJgTNZzGU9Bo6BGdF +IUKj2oIWIQ8Pm6IMn5io5Q24EiqMh3QBRzIhxzk8dIPVh44Oj3Zp/N52n3TjAvN+ +y4JChh+A7aHrwn7LlfJgWeUz6XY5SpRM4Pp6yF9ZvCyx43oHoXeQxpN4gSSqy5Hs +HLNbgIIGvNEBXQV29EV5scnxxWA/x7varzXoo5HaLwNM4DeWTrfqcDGztZqLXHPS +Y+5cDRS81F1I8vjIKBVFz0yGAuk5D4EuZqURTzpDfjYpL+gyKsnPJawoK3b/r7ZF +a9/LEovSfDjP6z+y+Y3yGebrvJXWNZ51hLodvAEBzyVXintAJRtEDvLwnifWJctH +yk6dC//IJO/lNdtOFS9gtnUObhw9iD3DoaS7yFf8NTvHNX58uKiOJl7AVZWDDTpp +KeY6v7pkTIWoE4hFsbkI/Ryi/8PKjy/Ao1/61TcJ6WIrcTJqvaVee2LhvNVBbPNz +2i2f4bS4/7Oc2FI9sDAV8vCZ1kuwSTEZxTNUJs90mle4BRoXwsJOwLV1JnM5HVTh +dWnRvkQaMJce6guThJ9CtkC6RD6XeUASEoMhyrOJ3k3ZoknbI7LqhPrSaEVr7P12 +k9j10VcOfO2VnO7tEwCcRFhCas9FEAp8Ne8MRubVI9Br6Nb3G0PN5v/kNimhUIy3 +cyuqD3Ezie8C8V2NJu7cQVd9ZvxDnN6rosGywP4jf9iyEHXM3kp7pK5D9APdBsx2 +MMdsshKhe8JBe3b0nfPncqE0eKbY/Oq5WRi4t99wnag+VHPGSrHcYH2fR1mZoAGM +NactGjCreAjJPZdvS63K8qdIasw0Exah6WmGxlS/nAitb43oeIIatqLWjoYvqMDQ +LcGhT7v+stVQCuYRPcuoP8gfg+aWD0qkswZkJA4hb5IC7LCf7aA+andKSCtw0j8u +avzc/KYvTlOc1IHUOSIy7PwZExEVNofqm5OQBHRO1NCS961wwLUwxn/yWzcVgcY8 +wsgVdAk7wDlhyPIVZhc7sVo99h2Khi7t/VYUxSwCzz0r480uRM6bw6W8/ITxBkIt +0UEn30w6rPrxc4vqEk6L6iv2iFSbOqrGqBGpILvHJ9SxqBu16VGUbmJDEkyNOO4F +xS+2JpOBStsWUwXrsbWqgAdH4txOUw4DZ67wLsj1ABNVYfXEXSstWqxLCL/LBPaq +tcupD2VjAPRxZf2XJ+ArARxix1D7RWToSRStiNAUVxlk1OIF1LbT2dpJK9yp/CiF +oDoFYaAsAbj3kaY5mPcBYRjGtgud2iqiIq4W5VuL6S3syOkCB+BaWfPpmdQBh1pb +VE++HPxiKjbBkZKGF0H+NR4r7i6OeBWX0Ag+CKdSJO6MyECoKjWPMYIa5NZvtPsU +YbbS/X7fSgzmofPUHgj7+KQWyfHjdfNqySBVcPvzIpBcF/QLycbc7nEGOW0jFezJ +0D0CSGx0UHlv25tmNy/VRUnZEysPA8xpmhXF4OJfvCFOhW5ze90T/HeNdQbMLzxT ++vzeB932v6k07HY4zfYpRGp/Pz2jyYuwyNm5cUwai/YqgFfWdozejlhMBcGIpTKp +arZLTHAAe1Is9WpPWeoAiDpu3ge5s8HjWavA8RsLJjRQt0nBYA4f3oPxNKYnn0CO +vDH2nPdf4y/AWSLgeI7aiNpp1l8hh7TRymu2yto0ZrbrC/fpyECScdHjEv0K2nlc +5etfVWLs0ljlxLJ/yXRPJvC/pZefrezoVCfcZdyVAGLItL2aPxvWJgrq0wqCkEBv +LU32c+l5IopuCMKlaZNSMTfvimz28fnd8xy1mSfOP2oYsuxhQ0aEP1y/U6Ht2kG9 +vxBURe+ALsDFqN9B+ApGc5u5FnX0Mk+B1YtuLodmrKctIuVnFKkQ08TdLtBp2Q18 +jUJRMl2E2fKULA2gZFzNYwZHdu/5CnwIsTtBT3z7klGy35Tm9HD+FyEySvHloZFF +7O7pO4ARWMgNzmfDSaQeeaXqg/1prnDD9ZFGxYq5hcwxm8DuxkgwF0fUJGtI13WZ +1TiDIUgbEBkF0e6dKvw5Mqh4IxO7kj/scjSLF1jTeFjS2qq2lOoLweH/cMv2dci0 +jqu5akua9ZYIX04JTarkFfNDSF8m46Z8R8GSOClaj5pzXVFa+a302Kq5q73uqeGi +K17sYKCA97vfMNNPA/v6BWKiexytXPaGPomWwG8NBIS/sjLH0bQuSaEi270OtIPq +FSKoJg5W/l2o7v5tIKkcc2pceMnSSKP240uqO3qlAjyHn+jaE9WW+cBkDyGDOOTm +TkgsWdarV2mn4GD/USS12Y5hoBfKHn8H8QkKpSA2aPjH1NMEt9/DGnOpoWl/LveG +6MKV/2L5PPC/f5H7TDKnaP2518gV91C/e9EN2JKl0jMrJTkkYv7R1bn5tyxs0eUt +P93IRg/nsFSYXWuPamBCCcgXqEIhTMbrcgHS7Wl1M2Ic7XswQF/FCmsGMEVIr2Pw +0huE/8l2pJjD4T3zHMtGQ4UOGrDeZc1dHF2+K+PN+XYwdeaVFrW/qoVHouyxh2Od +BVwCOjzgAmzvdEfzXf9/Nb6HuFCquREL01XbAqR8iUy0H32XDb6vbNUgMyyubptM +VNnQsaS8L0s4NEWe1yJdF51ruR9L9O4/wjuHAVvN96Bm6lWP5wY5OiQq7xrR5yD+ +DiEqYPJr3Hrbn/9AYZjQy443NvcWWVVcmlP8DuEl1DwXDLb8YWuE+YviJGDDOi5e +Xx2Q/vBWDcsFP4o4taXaGx6b6wGK0zc+qtZ6gjg2kEfsVGlPvFHg15lMoUFimkZ6 +zxb/hh1jJBKXP/TF6HEXO1GAxhMCZrsTFgqFqt+PmJ7lc7Hkx7Tu0VqX9npmnMxe +oF+ZahbHeQj3P3qfRB917Z4lwMClvG+5WTJzgEJaqeUVSzAbJ8VXPlsfANQe8s45 +XMI431c5NSZqhfjvUcjzXCOtR+IuC+i0kEOrAXnCKtGbIGHhk2CAQNNF83UmIcO6 +RKhxejw1D31jDmirJK86KHb8X3v8TVDa0rIePTd6/FcDZLSWzsRkDhz7r7ABMZGC +7b82CqHPM50J3W+eEbcdmyLMcc2NOB8/eWJXSpNKpKU26nKEDWqCX3MF9VlE+GW2 +xQzgK2SI+0SwV+k0yXHI6WfeNrTjqu0N7V1B6jFQPDyhTghTAgs4M62TvG+0bMH5 +pXHtnYZJovaa2VeomRstGiNFnSPnUQSef6U9Bb13NukkYcQaW9T7SZBUK7kill5S +UKfsCHx+jX24jKdbPnYhTrHXzSb73lPrkm26fqf8D1uJyNweJOaSdGT/RIu0BPys +fk1Housa2mS2MRX/dwANlZJ2LKf3EqVrU29/Stb3NFj2gGLfe6w1iaiKSpm4yp7l +SdngvxtB8xCCpHMPPeTGVmUxzG79HsvzLz7PzrC4ehj8PYn0TbVG3cYPbkJlDOk1 +J5elHvZdlJ4dL7ZQO+cothh/F7GTspvmwPUef03eLYJrfzzVcIFGme0+OgsI0PC8 ++wt9344sAyb5aIt/rK/XFTXhpdgOV7H68ITjcmSr5EJxzeWTBLsR4Kl2G+MgOjVT +RnbfQO7xkTnNHW3FgA7HTDeBNvcjfAfysEY7dtu9gHRK5S5q4w9qwi7qKsyRWOgN +MW2NBq2CCsl43tN+rwD93Gck5NCdBxGNFgwRM651a3atKJnO8g0WHGUrYotf5XlC +/BxvOhi+ACjFM9087WINMGoeI45nQHwDFhC+jejx6hNtt/m83wCWnqURmE0EsR+E +i/YmAEGXzBYJKnkNpzeIhM3HFSSFE1mHBvQvZreufkz8kTGCZNpqMYwZUF6GjnHU +rRerNdW6M98GqnAsNXNH/hY/zDRqDUEY8UoHJR53qQBZ08cQZU5Z4oJipqj25LA3 +AbZTELmyvQWisYMZwRT23kOQcCuzBly1N7sDpxf4OlnfFj3vOXdN/eO16BnBEpwc +joUCgbQGGg5geellQEeGzPf65ygSPwJwO+bHZrhg+WLfMtg3p0MSUbPwVOFugzRY +fjlaRe60bW2DKMFdcgL7krJ1zj/vNVk7BR4+1loy3ObqEhxzgKt/S7mDqtMuuMCJ +1QvR0i+9QjxpuvhKR8nJuFLWUl4GcEYQBCK02ax4h9NIygek0lGEYCiNCQ9Kk0QY +gIS2g1iHwKXT1bUac0rqV4k2DtE4/BHvqSb+wSuAFz8euEdsR1m67c2iogSXPsJp +mHZJexktsUHRhJm5IUCdgNLY0C8uJCqSnMxUJB6rHXSd1MLbkQuJtiQ4qmt3cesa +uoa2dVoIQiCvlSS35qSknMRTWinx7sdtGTzhs9HyYX212mIUDE3yvHEhyspWdIhf +fm7O0R9WkkQLg7s7KA9CYe/D2gibo3og3CpPllcgOKxeUZcIM5movuDYfiW+sXLh +ivhBwd+wnabBiodt0Xr3hgM6g6vvTxn07AGTQ8oJHA+FIxmFCFc4kmUnT39qNS7d +d2ILnJz/KmLYY9nGsCzH6azYnV1/hlvkK8SC/Dt9zb8gm+2Azsfsv/Po1leA87i0 +4Q1e8NzRw7n2DguhJIPe3+3jJSdSCFOpA3o8LfpsvEUAOePfTah9wmNPS9VLAXg4 +zROHRyZsXfAo4AmWvrtQiFjCJfLIxiADZinej+tpxFuPhxr+Yjx2lMjAeNtCP1GX +gvNYG3ZA/cPdDk4A2yEZJGX15rbhq6jLwiMKB0SS/j7e0j5oN3EVxKiEnfLGsC8h +mgM1gMW5L8/n/m2pjDWwP3if1OEBTeL4b2OHbkYGUzSmbi0wapePkaQ/Y62L378Z +/JPwNnStLUQZZ/AIxtDhHNpeuQesIj3OGxhPvLX2XVv4aG9LxFvkVgtaoTnxxYEU +ehGdqeu9OeWzwUwSkz06RcnXSWoQ7ykyAO2oZUA7rfOJK9sGFOAUCNISr73kCfwI +Nb0rW7nN263ypCwpFCR0KXdI8xNrIRM0KuhdGKi65CSLPunz+Gk8tQqDMhfZVBpW +Fwvudf6/RD0zwYbaXaLiD71UGXAfX0oMHmsR0wTEFRDD5IGsGVAaDZrrE6MjIKMO +cpQtWxdKSFyZza0eoYULx3H5JkJt9BCZyj4soRlb/vCIkRv0WWDfva1LDHfhGQDz +NrTvSaR53JR1lcKmROAUL6lIySTxg9O7QVuFS0H5INRDa5AXp+hdFVtDhhSkGCpX +DTeLn+rgw/nFz5jkoZTgDRXmtanMgVMsbmojEPaHjGt5h+vk+JbgNLV+XIZ/eOYP +zoGjapTm0g6bp4SwIel3c43SZ2WkOv1JoKp5LPQgTDJrXKRaNbgfJT0V+jFcybEp +KyS1rbAziaRqRH7NN+SEBjGwaDSyBkzqLb2BEMY8GFUDdFJmlbiTru9I9ibSAl96 +7qVCeNtAhbrlSWSGk9ZgJlu3tkqvFIUyGnrJXzHpObXenSaJ8lGoQ+8bCd2fDIJN +TIEF9U4GFv2EFh8K1fCkFNOx3o7FEpbD3/mpWsB2IMdOJKb6059GmLHx2CZvVlbz +aDrVSP/H2tNwdc4Fg/wJvebiy3npegLsRtoEC5Nj8ewV2ni8ne9EQaJdgqsMXZ2w +zK88lwpl/x+LBAdCCWlngP7P/eBHqln4RbegSf3INmq5k07AGijZKe62exQZNXrL +ttzSGdHV7gxAVmilYm9+kLGX+FXLw+hXVB044iyNfErKlEktYB3tfU5bClAqmBbz +PQthwjAzq09ceE1DhKzUm/udIA7pxitg+Ntu1FfwM7roifQ/NgoxBgIlY1GA2gKE +tYB3c7C8vNRvGiMTZYmKVp/XIbPQHoe8IZwMJfTYUthNs9MSJs2C3Jn/CXWO35Io +Knis+l51qOeD+nSULsVGwctTRg7ShaTJhhCPtLKXs+miaNVC/LNIwHb4TnIbMKMW +leR/50UJJh0t8mJ7imF/Rusv8E8XF4KQtEwr4ixpOC9P5Ei3dPrCbWDw5DTetlz0 +/nVneyA34WFtqzrwvuzR5yD5266nggptxq+z3U2vEqXmBa45ILOaWs96NNkzUdl/ +qAt2itMUNr08ZSJEa0Im/BgYHsPEoXb4PEOaLV2rU6na+4dNKr1mQfrFOHUZtwMZ +T1ILU3DFGZAp3E176BlPaHLu7NqJRR+RFfcPrtbEl6kkQUSsAHalPcm+EOzkfpUS +hXCYJvEAlyqZ1slYC2MISN7IOjUWj6JASiy+m4VHZmLLjRG87laZjFkn9m+Mn6uv +E5alyVOuq3YuP5aDBKRsyB2Sc1NxGUUoK1RQMQVfSZK3YWq629EYVK6h1yAIxQTK +L7IGMBikS6FceljSQmTaQbQJDDgDhtqDwgtO0r9RYqGnw17y3FefF5ffVuKjEtZe +IvCmaNVKDNslYDwkHNitxHrVO5fC/GWZa8C5LoWD/BVyBVHT9Ns44oYov0YtGEO/ +f02VnNOvMfAxcg18YxGMX9QUa108YxVct1hbe5/dRMOW3RhycmbcIvU7DkDGOmvZ +AS3OrOHOkeNTtOVlkyY/Xa4oDaXfIJ2GgElR3PlKry8g9+W5GYBUbNEnpvhMX2km +SbvWQqiwGNvIrl2DQqvtCWw5oopFEIeJnigM4gJJFFO+eTLHbXhcxNRtv9O5Fz9y +//48hF2214zgqDTsEyxlHQbeDVn0PiH//HaS0yDrZBQBARYMG/9t+VxB+r77w+sA +7Gd58z31j8fUVvsJS7ZoGkrzZwEjZ8GEFHmqR5a8Ew1XRl3Mk9OlGfHthnFXdWWl +D0IjUs00WjH6IEoxjYBe7axmkLM/m1HbKFga53vF4XaFNXvLJgGeyf0ykkj8d8xA +YVkQNBGGudh8jRe/To7GFQOHggnXHYuUHARBOlGzl9MupJUdXPPgVvESqC2qZbY8 +4BXWpDeOMLcnAIYUiCgG1IyvrU/rPEi875RFXX+Vkl21+nTBAQcmkndbOkmaXqSz +sTZ/VS5tSUmLERp9d59dT5yRAJemvDO0SwJfg/34BDCqGJAsf14aI4fqFpdua02z +1U/3XnR0AEPGU+0n5f2x36uV13pT5KHd0IfhpGlFnZ5Whlr0QTBNqqbAy1hVPYSN +nM3rFrag0m2StOg9j5jD2uiw1Yzg/1kToznjmNeLIwdzC5TOG3nMV0nWBDpTeKzy +fy+HJT3A5hRVpCevKEM1uQVR0vNT1atPnQ4+C8IVHx1Nhutw825fpig+D5XmsrMR +qL263lAv+eHknPeWAmFn6jpirhh7+L0G41XX2GzCV4pZ+tMiaKuiUQ22p6Q69OAk +O7m+HejUdApWN1poyMAhActpJA8T87FJpZ5HQIkorihmGwZpaZ/W4l5fiKNsZ6KG +VBEk9nEqonxblBr0LRXlnf1kYasIm/9Y0W1ioZ3FEXo3+/tz8gMBxA2KcStyPLuA +8LdBCZjSa479Or7hwFvqA6QrGDXtLZoJYD8MTeLIkyXhi4zFe/nGPh9ow2WQTUdt +w6Eg5RZth90i7LBJiz4uxIi7xcIOKlxky566hd0F3/z/CZWMkPwJMoRf2/9tnfxY +LZ/kMGB7JU2EbulFHkNCSOrfmbd3BdD+aKr4KiA8ooy3LlaTIIrv6O1LJZcHomwO +eoyMhwxnNZt3RRbtvoc2h81pc+zMWr6wNeEh2AcVYCTEDV4hDABynv/Ej+oQ2rB6 +WIQlNnpVeleweYiG/7HatIBqZlAMkRZxmkh1Qa7VZfJtLqNo4xmDlol5mmafyZzG +CUiDqOBKD29y8sAtQyh5F/s+nyRIELmQIjfrjk64oJ6CbEC15X8tgwGqzIdUkdRt +v7WhGDFSNzfhJ1f0ylDZ4bpFAWvK1BOprvFAitTxOnAfuqSfLk4jKBMr0pMVC8dE +oCUsKAgz3sASLwvMN7az+egrIOhP0/rhE2qex60wFc5frmhlxpBtrbLpF20o71ur +yYYrgcG06G73U/KckUIC9CJVoNFOqtlJU2nVOCIjoJgKuAynBpxrljE+CgCr1nBE +sUYi1Q60IqTWYfTaShp946QGD4nXwoHCnEf6lT0JlRCKtiknJVinOBRY0sq2AwXE +bci4lZXHHgswgoM5EgYU/dD7uNw4vkOalBNJMzFhO7mk+FGz9fdL/oJlm9ry5tSf +dF5nHoAvMekNNFatgbLh9CHtt4S2Svby+duCogQQgn52OSv4g7pBQBx/hjDophDr +7YFYIfJOQ8v2gNWapEHgCn+y423KUejLFizWWbXkktjjeq5z8o0wBEGvajuaE/F0 +WvscD0gWatmE3urGK0WbHicZ2sc2+SZDSjdXAm8jYNyZDV4rOUGdrqLUE1qG8J3y +ZQ+Hs80YXWK3QfgugELEqOj+SjduKa/XJxZ5LH/IeY1SGr1JP9gAZE/iE9/LgqPZ +qWbfLjVUpoY0NEFK2nzCVZe+YV3BDG8QxTyN9ZRVUMTjElnnFdic9kAyF1KCAjpx +IPiwjID6Uh4FH95PC0hpnIiLzesPtXLxv+BCR5jzukkPUj53VtJToqjXASQyHUlR +/w2Ij/p4nxM/l5TROoB75TyXmIGaaXvcE1vNW5tIEDmo5t94T7bJqw+NO9NrYt7u +6G0TGbE1C9qKUzj9xJu78n2lpfiAfso7hH+04yc6PqNV07fBX6DQ5npJnvJh/ohv +2kp29u/mfI0rbxPGoh5hRk7DNszCCB/v3PBW7osNkB0W+XTeD2CUS1S51iPTEOl7 +NdwMqTteq+2TkYjf+2NaeW4pNGQI9KoTLFWradGf0FpxspWkvmYihR409W8b8hM4 +MVIxttTLi4PONQUxrbpyC4Tl2OWplOWF6BsrYFs3VlNoKsBlB1LE/WJ+/PZ6pbuS +wxwSHrL71OXzXZ0+AE05OITNQwWd7A/iQYOAYGCzbLlsxvwvPWYphZL64mXdxyJI +dpXsFbRb0+PphN6Vmx228sJZY46rZIaE2Nx4Be6kUgOn02i2DFWWGKwzGxQ1hPE8 +2TIILVYFaxj/Z/FXvvQ/oq68F1SlA2fn1w6SbvNgVwl6JkUZKsCmgTckYW9PKqWl +/yw+tNBYSODRPe3AX86nwGrdWRg9Sn0vbEvTVOHDuLLyOacdX7wrW8125zdIjpwW +xXCPcaRh8cYF8Yt5n8AUWu8DyeEGHqd1LETCwyI0BP32lFQiGzosGuePcM139L4w +AhXhF1H9Q2Qm8Ogrbwzn/ktalAR+WpioLnYlVLASkHoGllJ/3H46ayy67ZD50JjO +HtLzqnXk+XgXErXiacACE/2MrpGcMQuGIelk/Xisovspqo1vGslQHV0aV+9F9fA8 +POvz1KWrlrpK6jfWTaB5sCREvj3wqBFZ590as37Rm8T1StMgn6jGBr28AzV4quWm +aS3dKeV7FctjOiFnFTCo45vao41n7s8DDc9uzu7aIWgfPCpkmFNcori72/79zM73 +siBIUkTLKIAg4hhCbUwEMmog2uvyfnsWq8Nfsi9txxY86XYPD1bClkg9ci+Xtgn1 +Va+FzZbMkacP14tWky9MzucmY6wOIr0aixKO8KD/eHZ4KiEOIhsIXZaq58cUsSuV +nK9Teth2RJhoBrRfIh2XKb1rHzUgvNEiVk/XQio1i8cYm4FUd1oFNlXwzILCZUl0 +wxrD+TV0YpcL3CTmAk9kvxFAfFFTV2HRVfzHahiE3RBRYIrFmyj7RELTkp0BEdAz +YP5wKC8V5alHURXA9YqaUIdPXcFgMXDsTWfw76QfpXdyh8CIWznFoZ6JLyKLCAOS +Q69sBpdqXdpAdpcEvkcEHLoLxXw4pRaVl78+W4sHAF8oJT2gRHtwlbiB9iBH6Ey7 +8Zhj7PsEpamAp+iZ6Z3rJ4yr2FWDqddeHv6pru0UWiu+VrHd0t5ez2OIwbZFQcAY +/c/g0yYi+2oQXlS9xd7y2JESoFum5kn6iyXpa4KVgelsXOdMU5/tfPffoShkjYUR +XT9zjML3BZ6e7LdJbybsm7akR71m1jlWYTuWWb36HYmcKMCecBN7jsvOyKS2ojs/ +uqpEjfOblJ8FXkeYn0P3tkMbno+qgDi/DwXcLnwiI7YGJ+RFGc/EyRXPcvjgy2mF +radOkW2q2SjbtvSbcna019arhM4MBAqtuqSlWDG/Yy4IOsM+1oc2qRgPBFArYVMO +cZTfn/lXIYbRHFreXQzKCRoSUvYYiQNGas27KRuO9UdO/eM3S8RVhcYrEyLQBgWW +yDaVil1StuneGterIGczTLwOAPSfzJ7Jo5NxvImGVGc3F1DYYD99zYWzDNGrxkg1 +aVmsmBMY7AWG1VnNBm8hUP+wIUKd67NAiFf8ZV6cpu25l63ayXgDY0dzEEmArN1r +WXW6nf/HxXmQ3dHXUJRnCAfMNfkXiV3E0R5AB5zLSwSQbiK9s1yii/UTTq1XPQw2 +N+wCReXCoveXN45tlrvitUXG4v1MTDrmEISshCf/KNxtbJ0r9IODuBs48TlWGTQi +2GwoSHWlOJ39A0aZvrq72/bY7Ujluba7TtO2Sht6bRlrrZeN5WKYztEOr4C1Nt+D +MdsO8G14JxmgO92GATd1qbq9ziHsI4egylPysgzUEtkxxdKFVmfatLfh0CM0ndz8 +xIbxA4q8OHVahvtqhLqQ9GcnU5YXSjHh45aRe4SuzrOTFHsWsuN4NB4Ex7eENcG3 +LJS4B6OBKz2Ml5Rw74ZxhF0lF6Y8oXg6fv7EAjAaNs702yFU/wlJNbvVCKmtVNUQ +Gqys1mbwaFO+9VdrR+3Z4GMXTlCuAXLCPfhi+o7id1gMZNDjTuyzcS0pgIkoOStx +/w+DQw6NuH/7cn0uNtl03NkAzNI5Un3EkslgHnBC/iuaDt3qCLedQ8vqtAH6bbgw +GfeO8bcY/COC9WKqA0AwudAzs7z159DjpdHATnWk+AHdo+KSbjAj2ZWPrFBkgCOp +0xWDsEuTOYQT+6VIlB8HAnq28Mrki1rp5/hzIC9xauWww83+WQFvWafWTDDO+Hy6 +DUaW4o0URT7BT5qx4vNE1x82t7crolIUInONzQE7Ejq4PpfjE0BDfyE8n1kS3xel +b8N9QmjUX/9kriYv/oQcHUpz7ORHdG+EP9UTMU6SUlYxMTxUW0qUCU7LbM1raVnm +duorAaheFgzQjnzEBETaWr22ktsc+orNqOBT6pBXzsXOKa0K0yadboVCEJCEczUt +1z2jOdZMQJLFsKp9DLIbckN3SqLJOziYeYoD4bcJ3HhOJ7pniHBEB+TcaFmKF1aj +SnjiuKu6ebgHYPl8egFMlBIKAe9n/887mBPsh1zVzk6f1hS/UpYqxZuXxQ9guLxq +3X6BnRLAbgJQdPaoxf5S4TgGSVCIRIAhtZGEzFeP+wFDgYz54jw+wlXEnBGRNHTs +ThG546Co3sztk3Qe9DRJxd9IWQuRbvrV4URHPQcamzcX+cammA+0vRsUozNx9JPm +esNG6a/isY8R4nqGHrKpFEn7E3svceCQXQXoaW7yFMZ92TfLWkQmhvJZcFbDkuID +vQGlS1XZtBbmYulVkXhvuZKJIhWUqZ2dqjSXKb3rqUWALVejo1x9JUbMrviQXWeY +fdVdHIgRPaoPx3UJyNcyv9e53YTEAl7fiBTQmejErBCLcKyPyXVBT9Bdce4ySmjm +Ec6j+9u9n+mSYlzfO16+eya3U11m4sJV7hfj/6Yi9AzaKE1530lgS9dLxUximS10 +62eailPkMSLAEd5jgFCUZ7rMql3uupB4+29+ogyoWQy4c7f9VwTEmePwq1dCJsyc +3ESDxHnzjSRUzPv6X550hKAD/lZGPFZzDQumNVlN9deWzNikkE7k+ykK77KDYVrL +HqEQMtiPDwLwE8eUO1gGGAxxSfPQoN0CQJHbriem4Odr/ihCpd/HjZgURW/HqN2T +gHvm9hAlc/JfUDoP0xtUKZBW04s/i83duGlDiTbXKNHtMOIJTfxyeb2CxUIrQIPG +h95SntKUQmb4cfT8t0guC+dfg0WCfTelKYQGcPp/6zBKoxrnT7H0DuZMyEG0RxJB +rrUOxYuCaPGkaFySdhXbarb+aWoII+rneXJXCbkBkJROUYsEyeQQbPyIIZxlpZdd +wrcet6pyL6LDl2LLDAbs7zsqmj2VqLOAAdQJ0H+iKrBeEKv7OFdb6aOmT3e9ramn +wNsRVN5gW8io09iK5VepZ2/t5a+hoaoBkGiS+9k5Oce1uJvVJjdzh++8Dt/E5whq +9hj2ODq/0a9q7Yo4g2LZ+FhsyXJTZWyIwAr6qtdLT32STJ4UdEYMFMC3HMAGkll9 +6zux6BYEQqEbODreMXhYm7Qa//EO5y8jgj/wUjrZarJvw7Yi14RpZGu8ZUujd3Qu +E+gyobNMGH6kt5EO6YPUUz7Nj+ChaFPOMueWeaiGEXCd42QoQ0wlKoe5TpZOnFvp +Btsu0OC3PZGQbC6c/Kf8uz6Gqq/2OMMhqhEwUgz319PMPZXsQMe1eOo6+SPFgATA +1aiWghZORZYivF5Qr1PJ9eOGnTiMRohIO1RZbM6QxJg= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_reset.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_reset.v new file mode 100644 index 0000000000000000000000000000000000000000..a748396778126391702d3a8a1105ed8bc05b25ba --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_reset.v @@ -0,0 +1,228 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +ILYeyAKPbzPp/2cbj8pIy4qJjnB5vQImfQksUkNiVt7EQrYMAB7JT99YG1OmdLzS +1HL68oGbaOvmED6a09rV4rl8cypaIB0fgm2W1nv4JlZSs2QgPFmcUjf52mUfkcqC ++ub5MURnVmAgfaFF1ksNiieaDRfYDpd0NY0OGkbQAK4= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 9184) +WzsbWJYFH0GuNn31YeHS/+0JwD1TJbgkINzFQdZC2sBYpJf3mdhEi3TLS9b9YupU +AfKLSANWWzeHSxmzTyaTZdu/CbPThm9IxqMtfwkZEBcrOpcYR6ynBPR/wgHBHxKf +a/kqrWR9rWSkmdaKwqq6l7ebZcufHCakgE41QCFVquF3f3FzVWdZfjXbIiOxrgVe +bF6jyHecf7pgja+Is7AHBgJ7qhtjFxFch87sOULhEGxE2kKaxk4l0hLYG273Z0JP +VHpKEnM5pSB/uqb3Pw7LzFkG+zc05HdGvYCMGwe7etUVGSdubPH1s1RvkLsCjQ4w +djoMCg7bmP+nFstyZHqrNcI7qW536ds8F9x5GunpTBWCXI0EMgVmLj62edPE0kzS +kbtobSxstxoEAvpa2FT5vbg0lJ7bOMT0erWopFDyXv0Lt5AnF/lH4xPrYU17Nhtj +8sOlBfj/pWwRaOMnZqwxBP3306tgdgSOTjYRQJCpACPGQySaY9m8AdPKCMEN1TAR +x0wBVDbYXYiAJzVS906ZUQrgrmkEPZwqoybom4wrMFL5rWkGBtBv14UirSplAxCq +2gsWTRvHf09R50rBSpAIOaqcQj7TueDId0XLHIMdSiYkLxk8Y7QdEfA56ym27mkn +w1h4aAKn1OaiHrSsb+ZzY+vYrJEippIRm5gpWphWGpHLPXBQ8EKOLzW2QY0IOZyG +GvU1ERCK8OtFA6ajLcilQkujvcpL+KqRK1QWZrhl2jNcsTi+BgJfX0FcXxgDVlgT +jc4+r33uFzaxrNNh/7/Gx7DpVv9IJyPrVseorTOxr+sFykylxxGrSI8g4RIMOWBQ +36E3+/r1F1/4DBjvcnZ47aic7kPQ69UDrb5xcWT/9k/04BT6qY8pttxDad21Jzfw +AmSumYIWkvctntZoEkBxsW3dm5LeaIlz/KuHAflgV8QxDIF4d0ZUHW/SWEl+VADy +2ytjgxwnUeeRwEZhD4uN+uVyuT1KS2HIHFtUJhqxZieFNs6w33nDn1cnJs7S+sDs +cLnH0MorqziHLoSObmJQo/KR+/POLXLTEBH2Ivva0b4Li1tLc4C+7NUghAU0RXZ/ +N4Kl0Gmw+d+Dj+/1YJedcygaP0LxZRWbB1HM/0GahLOthzjme2Qts3trA/x4vyK/ +DczA8n1xzqNR3siJuwvIn1ETjf0SH2MotM2wATFy7ZCrio7dsHwtXkaLRh2Pls52 +eyFzTkZdJnMzr9dLcdBZJjT0RxRAyXZLJQYmVv5uGAPFii4o5a9oQSuDFPgDFfRe +EqvnnmMkJWuZ3DtHMIxckZfu1vjW2YhTar7nBPK2MDCgmnxEgdUl3bWQ3t2g9Oyh +q3cJF4Zej6dkH1xz8GQMqTAOFL3/imqw2nN4GB22755eIqBNJf6O4zrRF2wdsTpx +AbGLkqoSHO6Jz8Yq3DhOS0uylOVCyqWkH50D1Uf6g9gF2XnB3xY5OYQvjyh+paXq +71btkh/sFhaRVsqnwp2FuMGQfFKsACn626vqN/kE8MP+ZhJVlGASX22fXgcNN/HO +GB6FlP+UxTGAVYqwUfhC8Wj6V0ju+fgQtieW6ii7qSuGUVWjT3W9mgDZt7CQlz7G +/0fqIihnrnmMg0j/1xEa8xo+F0/zdlQSSdoce+nieBNkJe9Jsi3wzMTvcQNJJCpi +dtCXZZ7Aj/Kx4zDuXcIzMoeYkuqg1F3nNEz7a4epOXC4qfGUiJiNNTwgdqjAkFXk +mBSNOoO2ufxjBA8t9EQgnAUnEj/vt099mzodJPO0z+zrop2W3ZI0AqPEJYdG+GV9 +ODed56+v4ytHVBmRW0NgRZMQlLUxQSMgeFbYOXXCT47Pz3B3BaRfx9ZkUs2OmWTY +gDawGmxogJvuL68AjKnYfABzNjoz6xUb+nTJPgCHXZnbrQlBoUr6syAPjRWm9/Mh +516ZHz2wc2NELX+KkK+L0Ill49n01wM3jyDVOjS06xdT+f1v6TbDVtHDgSYZnXlV +H5oSJke5X70u6G2mueO76lA1z9QxT6J6YVjawe+TD1kgeCFOEXvWw/coCIyDKve9 +C/b39qabSBBru1WFoUD8qzcDw36DUnktrhsRpBDRoQV0u/GlyqXwe+Pc/edPoLdM +rp2AUV5P/qG8e2CaYQJJeBSF2Kcl6rItTNl7I6xoGGcCuWcJcFZcGVLWAHCBNJcd +VPjvxmzT3uWXF1cV9FvA+vbNDHldQHbkM6fLtixPGd5AbEOUk6+m1qSE0ezTUIFZ +vlNPUwKzzGjDxXzYb1EtcXuqaHDW0SN/Ub17QZmJbk/RY0EQAZ494r39aJRKXScG +DPtV6hpGUQY4/UOcg8Os3hPXPOUeafPP+h7w1B0xHzGCJjj+lta8uKkmUfimHo1D +t3Yhd+28/UkCgiaOf6ie16D41sjs9Ww1T5udc3wgoyloZd7Wnuyf7j1tww6LgVNG +BTRyUVPAnXntz3TCn++uOaKHwqtcg+HMWwWI8pgYrq8NROJMCbhaSW91KqdlpkDh +XBxNaXil8J9+6FU9mUtbpF2q8Nsi3OqFYGiCBQryS+sK86HDWfIAJiJRqlvNPq90 +QNXoVknWBTu9SPwFhYo7yQyLrQBQilmAPOV+7mcMW5HU8XIWLtCmrt3ZADpmhU7X +Vpvim/w5ODxfMC/YPBV/Kz/fxg3fxThZ7l9ypKK2XUFyMO/FpXC8f9VE7OC499BN +uE2NmC8BtNTWthNZoEgYccvgLVMKPeBu0AlIL1gyKp81jBocyVgkPFCfw5768Wwi +bKIjaMocuwq8eBwMNFHi24yUp+ZMJVXGE+mdZk9dbOZU4B/s2FvmsBHisVJXTClL +FsbsffH1pzTOe6JT9Ci7xN+UZHg04/4D1ZQA3JPT4KZqB2DhpnesXVmQlyE39vFn +vVHHzQd48A38Jv6/Ari7g0VyMGufAha132evDRb0rbzyi8Mm4qjJqCgEeeZIiI8W +nroyLcE8BOW18bCO8mcBDrefbsRfvYTtV/cvfDqc9KvCMF+bhSP/8KoJWNjyRGMh +HkKVLMW7RIe/Hvj/Ko6nBAiZSt8RdTMm2oPtfUFpVw1Xmu00hII+/61C9VE2iCMw +p84kWZSyCq0+DlTiETyO+tkxM1qFrsXXdghVJCcTS22aoNhuncXr616N4qyKpjSK +aohXJaI50ZQ4WnDggQqfa3OJwwwq2lyJ7Mzdpl3oAukZaTW1tTXsVrI+e0Rn+alQ +GrXtaIyhC7TRWGqn7eXxLO010AelL6zhmWHl0U8JkchvZL+BDOlLsifOomf1nxdo +mGOinge/vPrLzCsBSJlh5NbrX0YKhbAZZNjhsNfsPGWVvuRr2d8II4g9RTso2oIM +vfshdQnYSJPcE5Rj8oybvqVgINVeoeHsr68ZB+M9MsVO4SyF7VzmuvDunP606mvO +8i0J9dnJETMlkA/JT8k23xltpgQRqAATnQT68Yn561AVqXNPCj6Q8t89/SyUAXEk +rGrFZ02uwfdDXMjqhj0/u1Nqje3MskWciocoxNSaK0udyCm2acaDQywRSjKLU4w/ +dgRDZl/UCTw/ukIPc/SFSWJNxAeNhHTOXvOLIARbMOBWZ2HT9g7rKyqBpB9kjUT1 +cOzfyThAQx7oJ1Rprd7FGcG5tzG8yS1nzcwVGo3VJL59n1IuIBrHjEHIfF5xjV+I +sE/tW7TcZqqKD50G31tebJgdaWCiYk8nFEr02fEL98+lWLd7hfegbyTuI8e4qsAt +8/6fLAr5iO7BeSWjK/xtLp+Wp0SXmuBD1nVBabSrz10OuV0jSjik0yNyt3yD9QQj +JZrcGGK4uecv1yy6pnEdxWZiisfNTlIE5EuGwArg+/Yo2bdRzDwTmJWlH8f8ZbSb +70v4tLMYGy9/Nhju7nbHOSQ+4BOiH0lzUxvun0zp9C9GuwN43jbMm+GXVfj3Qc/E +xBU72ip6dxA23oHdh0xwf/BslmttqXg3OEi41tP1JGY9A1Hhy+3pjXMcL5pJT35o +2RVoIP3NJxedoAChBfQVOEh+13cPemdOSBI9XtG2wfKuMtBSlcTOvcWGtmuJUmoV +VnubVcXM9bcRWr1bCeXnZhhfKyWKWGZmKhJo3EyRZuXdZ/B2xYk8mEcbWGj1UqRx +1MurskrQPmhUKLLKGctOihiONK+b+wleAPvQXr3tY7wgWH6LMr2qihaT/PnrN5Jz +WTw64CJJQb1Uf8Fa1mpknx/P+zZ39qbS5CZl8j0+RN9EWsArJ/skAeQ7P2Mz5nGT +d+h71OMEQHBSiRiXhfxaC+YPKWCutwslWtGUSxHqQI6roNguYJYkOL0iB6440BKJ +abpQAKyRmuW7FVsQXpkLVZTItlf7EJWkBrleJzyTyDX8ago9csRnCEr37cEjZX7C +j893K5CLzyTiktRMpMt2MxjCmXOTasAwAvhchTzU+RPourEhmd4/JtvQhHBCw0bQ +qVytE5Er4rRkhuNyZyLDyI5NKMr4calFOZ6RuXusy0Zr/OH/PxiVkdC24Rd1+tsc +aDratwPblfVAw4aYggRi2INDIc+ZS/hS9+n8qRtVX91oC4eKVD2UJrckXG/mbk5r +KIoCfj3Yws56o53fCaQhoYABwJpfQIF1x0MEOJHaBwPzHy8lA0z5O1bh70uYHEXV +dJb0vBiakisfEqGMjfcoDwC+pXFXGnZqrSWeRND7ZboyFyZN0QduP3U4bSCGufE8 +V770CHkPQAXhyvvlkBlptKxkRAZ/ilp095BLygmprEIeL+iqVIFvXH8RWae8QQ9q +axnKw1ev7RA1+OLeUEDFhSZoCDHwvtbn6zRXWU7rcPv8Hmc0flXYIxV1mRLgaryl +lF6SS3dBI523GpzFoo9wN57nCkP/PW/1qSO/wWDpHR0Kj04uYnJuNqmkT15lsWir +9TjlElVrgiAm9/DILW5VEVhs8DorkBObA0haGFhnSMHI5fmlGws/jNB/kM+cgl5P +fQ7QGqIC1PI20nj2S9NuKLa4LCUhfynSsJae1EycXzsNFqHtEuhNah6p3El6f/IU +TctJmTWFSP818mtFKIf/k5fU2K+Skmh9MVRAhnb2O2L+MHBFHHR0bJ4ghg4SjNnS +xcKRBNFaTd8GhSy/+1gwb/0oJ1gQs+gHFHl4Fmqf895eSrBGHRyvKpWQAoqxeR8x +rTuuHijlg1Wmmwo/G0cxr0Yx2iGwfM/wyfjIQs10rkGllX34qzA7/1JE4kjW0EhC +ETNR0QiIaT3Rwrg2dwjKl8JrZ+sQH8kqH8TUZ7RbUMd+tlFNAYMb5286SM8VvUXW +J949lWziS4bQaRpEl8U6ayyQ/QZPpI8/49Z7c4G9YfkrncHvnciU8ltgKlvnL5el +DrlBskmQ3zlhlHxGL+VwANNc12NpoJ6YSoxiwQaGkfIZa6QIge0su0IJH+gTQHOI +NFm4D4uCBnlpbyVXqjZGuM+xfyurYB+G1yjuhTa5QPCOkgv1YaFxKL87/cILPSRC +6Ocf7cRSKq6A7ov8rH5cfGTYe9qcZ09u8LTU3Mg4ykmz2fuaO4WVXqDDocdt2Gdg +0Ut9pxSgvIJHT8rWk2pRmuy+I8InQ1CzTLAktc+vX9BhkGIZNY5BK7SRtUxHDoMo +ia6K17Yd0MKxwu1IMoHuuRq/MEBmFNwYJfQDDJ83AIwnnlqgJZs5K3dd/JdfYaRq +psUK/yEWhFgfB1t96rfbpc/M/1lEkrb4uo9wZkLbRMUTXTDJmv/vexkN6cZL+zoH +60XZnTC+Q5zXKUtRbtdJ8uhRkXFy9ucjtbAXabca+IjG2UauTJlomMHQnTZwuRRB +p9D7hGx73f1uQ1lFN8KjrK2q1u3MqW7QFTcZn3Us7Axu3X7GHXJ4fgTBe1EqBMrg +KpPiBV9t+CSrD7add2mmaXTzAorq9XEvPLvoiArZdWr4BOpGcOZcir73W+bYYEzF +LZmPEVnhiY45uL86bImaqULAHp6mhqyNhK/vaZEuGFt7D/5vFIGxNG+pApm4i1+L +tPBZFo60ah3P/N3B+YfmHWgKO4il5glL0waAWAdlo4lbKKAqrZFhYnDSVYu3Yque +KlwOtkGg0s+R0cTjyfi6oU6DTqFzig91pmQQWqG+nb4guWzh+1VJxZ2OUl0q6lXW +SP418W/64hkZuqriJrMPW8QlgS58kgnZz5hWRubF+1ZwWAST7Byc+wDP2hOUrkIo +T1atHJf+xIfHStxVIdOBiqyHlQAKhsWDFBMTAL23sg6JRGzmrCDJ0ES3ibaYzKnL +i3fpsbkbP2LjbOtOjS/DB2qBgPYt7Ps5X7XRbCZZMmzAhNO851UVFmwhLKOdA95e +XlKGp1GlkMMSXepE+kBIVVnN8X58ncttDISFyjiJl7O4YrbX52rmwG1vxTYL/1Mc +c3SiIrzIuAjUnZDgTw53XzasoW5/OwzWsbgzRwmISzxN4aR+Yh/8nVeUM/rKVzzl +yTHwBCVT29zJw7OqXkd1SwL15MrGLGwNusikoIzvnlemwgU10c3WckGF/x1l1anN +YFLxXBs3mFVHw9J+1jCmEbeYco51KQK5X44rrsC34VPYo9nJ6w8tpL2awF/OoA6i +FqRwqRYWrUwWWWMRZJ0hGmLTHm7SAZQ24gT9iP+rJqpi8K5vv7milOIPrjcAFc83 ++LRoe/2kXa/nbBiRLQfOqhOky2jWBId3Fv2JrBGWQAJbXNcAzV5zkwh1xhh5g1Id +x8VLZlwDJnxxMXF0Oh0+oPX7WQhzibLmtZdO/1vEBpWsJIqdnr5MJJ/QSxJWdjoA +Ghoz/dYQBKQ10Di4Q+BbhjdPmef8BMsyYRWRuVBOAW6plNk7/xKXrrXvJavCVm8E +bmvsUCtj5EJL+Txrsl9Bpq2bJ+WFCfN49LhNfAmlg806G1m0Iu/UXiX4P3KS+nWC +U+5HnRO8F6kQ01GWxNDW9jk3RZ+mkkmTvR2K5LjFuyuNwu3HoIesM6kQ7yzsxz+t +iATV/DUik7Rde9oE0VEeBUCNclu+9vsBz9O59ABWa2N3WMLKyHffVOCEBYEJ1gBM +yiN+EOrY1lAzRCl4pR28AhQgpN6+DPiDIMCTBzGLUh6L+DSOKVpqKEs2EtIT+dw0 +Qg36Zv7xO8kmBFtxRypA+GHN1twjm0i9PuX/NUL88Ni9GFO1jHQEidkmdY6EPlNG +T7nmRYE9lw1simyubEMnmnvhbyrZwWO94vLWVKzwUh20vfFipss/UYc5yPxdlzeR +gsMKiubOM2xR+YKnVcfFEsXid3Lc6k4TYxVssTZ9liw5KSInBAWSgQUtX9RWnyT2 +J359ZxS2H3hZOwy4tfFmQh6Qj5ALGL0wF1A2Gq544CaSDzbppgAyHiz0qzeTm+3B +9AngR3QfTaVStL7kB30ajcNGGR3GIRToqSyNQwx9QoGsmoj9IfFwL3fNipxtyPj4 +I2qd4z1cXhgcrG/jPuLSeA6fi0X1VeKl/YY4OPekggy16Xgjji3Jm57MRGqyPTLz +cNXqrnc6Jfl2Imm8Akn47UnTMTQURAS0Dzv6NgZ9p6kTAjzciRDyae6lVKNgEDFC +Dx/YqwixVMyRvFLHyBHI4YubDjx4feW5Gh7KWS7HC583rAB27XLyZFFk30T/6JzL +MNocMOESUTU3frEeJ6DSR7yZK9Bb9F7oe9zGKy13fY3oVrQE809UHJtKKtjxYgsR +0qMI4G0FYE23lKm1lC2VDq9LY96eaFEVQCBFB0+su3XQRDTfailPHFveGoSgQqE2 +yC4lJZDe+e3q+0wP8OlJuWYHvWjrRc0zxwmE8Z8i1+I8sONbcyvIoVQznImowPbZ +/1s2q9I6OejormMq2b+ER9kxuQKzv57SzMf4S7HZ9EZ0zQgqUTjcVI+uVlkRa4qu +UEAS30PsrBLUlC9n1sxQrAkP6rv7rmORDmTjjHsauwQ9cERtcgBYp/9wvdyyA5t5 +V2RhBXAlHlJmV39swzB5OwnmjXicCuob9j0BuLU49aFxIaoSAEf70vecFlOdATL0 +OEpPywTJauEefIfACwezjJfyUQkrPYNrbvV+c6uaGghZDR4smztvRKU0F/oVIjSc +iKXpeynTzbf7YekhW6dfSJ/4UCBD/TysxPk691jBFi9Dm/BavoSsa13tap107ON4 +iehXVm7ngCyxJxeRt6k5A+eNxYvtRAoHyMtI2YH9MBUWphMsouAPkrmBf8ItAS82 +trgKrEvZIbkdcIZnzFRgaUKFMLHAkv/ZrZ4+VQd8jdc6bwwcD9fvcSIxMEyM6g/i +LWQCSVWn9CBWDqIhwEc9nH7OiMUYIVXueE3CJeSwB1jJsxRIqAw06u3miJ2iu5FP +s7Rq5416YGfiDm9B59PLTRd0p0qbqBIHzWkdK/rkvshtV09d0w0CmVHqL3c3B3Xj +nYg/BrFWIvXt4UED3hRxxo+27gPGbgis8bVxBNbdRd/fwIs1amLdfJyrv/zvDdEF +0ZR6Th2BpiYcHs3R0weaEF+eGmrjeaoUUjJwa7u/69W+1+YojkEKkKr4H89Cvurt +KOjcuT7V/D0xT4zCIc1Q3hLyeFKJAjjWGFXilL3zs6C3Ih2PIfs7zd/02rqIzzEI +UE0aH1Osfgs7Wl+n4alYVuHVvRiHL5PoMvoXvcPRz4FBVP0T3RffckI4aBC6w0A5 +xug5VO6yKQf0YixV0l4EOzwGPgAQEEmZBUOl9jTqpV6YcUn1V14iB3TrNeXScJ9d +RQ1i8oVYwhEFsIKQjcyqAD9AJCK0C4ngeKVHIpi1dwQnMGPeizn6aZSq7ZdKOFP4 +rfS9zSKCByYlLRQZpjWZNktWowVzMIe1N/oPDDKxL18qnxI0C1iKa6mxKZ4jkwEt +vf+jNCaCzb19AlU1KGUkfX4jVn9ei4Zv8ulXvZ6MMFGuHGbvYJWHEO9imoKI/s+i +ymhTRaLY9t9eUZM+F1EJbEI8R8fnajL32lhQ36gDiCqtpau0QMlD4eMGL7uh2oWW +DTrQ2EhOnF+ZdccSsgvHvs7sbGZDciy5xl7TbYhVqVzzqnuoZH8yGTFEdyfUgTeV +vvs1yz2yF/KF00Bq8vT4VxB3CSdritha1foic6b2fdyCS1EnXIqokKrABVxCLjs2 +U0noRtgY1U3lugy2Ppe5roXUCNIeTuTpnsEn12wiR6g1uyNIVLagyrrjaZeweNHD +lMlI4iRusXeFHCiRj2J3ZozYHh41W3p0WTLgVh7c67splaBGdG/Qpw4GpK+BuJQ6 +d5B/x8NRokNZoVs5pf3P1rCfKIAydekI+Rlsx610oVkjEfqHth7DBCo/Esd2pOMI +0LKQ0SYcHcy7KXXxyI7Cakhr4BgIVeXawwjZRdWT73zZs2uX7hXrvQWYQTrs8JNg +hcfmWLMRUgPxHNvgv97PwRtpHGXRVw7unfO4PZMQaS/TCC2TKyQMYQY4SGQ3BPbR +5/YO+8EwXdUFyouHKe4ycMufTyttre9VRqLnL27xj6fQnlnJ/dLtif1dPrIANfBs +qB+WRtZTHvWIKkvDn4b1sx0u3xIhkpxwEZl3QIQNlDbU/QjjW4TtqVdQZqMN3MA9 +o3kwHZjd00oU0+aeflO3iH7hs7GIcGt+VgDq2Z8Col1JEDcAGy9hbbSSK7BZI3aA +RWwWNGgWcWfSBTvOAgto+nAsuUT3o/TFTjogVMSaRJWDZR1UvxTv0PfoiCYyZog+ +j6pFy2GhlvNKmC1VOUZ4N2J5tfSHbWQ1fm6E/Il37ClxOi50ZlWDYuHwgZoXvRPN +sAtbeuisIVA44joKv+ozIL5pe84R3NShkf+IhTIxSatX6l1A3yMG9r6Zbax6Q+bf +vKp99aJ7D5UQ3pIJj80FadrjTwfjbxeAorgSpz0N1NBBl1jQD8Dn0cCR41jg2K95 +mcSSpz4A2GRUzuTaZa/uY1elnNIadMIhUYbcqR/TjOACCdFHehCvXM+xTWRQEFLQ +a0o2tAnyK0CsKOiwRlicPXSkb8hTBpfrapNnljmXZ5gRhdku1tZ2X73pVIPqqm8y +EROM0EPI1rf1podGsp7tY/F94huo+T4XOw82osDDXAvYdDaKoqhJbiz1brbxX3m5 +Chihx/mIYudqI1Zow86Cf5DVNXq78Vj3ulz1MTGzgKtKPSEA0QTeemS2m+8f5FdQ +gy4fQyu6Ybhi8TKGUbpxE0EDz/4Uz29yK0rSAJ0MpMM2K3rAHrP9Vku/YIzBH+l+ +tDEGpUg1c3JSQ7bRozTIs8yjdTjlb6B6GoSaWUCMfL/GcnJWpkefiL5VZBt1dFYr +WjzJTY6aKyQgNyvp0RwCUPxOCxmS2RF69+I8h73iEZ0W3J/zq1UteRQnJ1saiL3R +44Rh1BU5X8pdwLIxarKIbHUvd+uNfVKCrxoJ1MaLNFmvgDO49y4CffPhrf5+oCDY +C6Fd97PdqGSmfenvyXbYG0qIHG5DcUd2urdXliMI4c0HRYhomf0uGzrWDCmGSUkg +gq6f0/r7UDnn7enCDxK8Or1SV6HZgJ1u41nEtIWPqWPyJlbZpkp+OpjtCHylsuvs +//zldFqmww+MHL3BhtMr+dvGlej44ROVW9VusyKQAJpve4rvIVId5FDFaeMpAlBp +cFaVzKja82mYyK+24UeuZAxCTL1/zgjbKwx3h/qKsmKwesU8XCRkLyplzme2YK1S +qmmE0yuIkuw3fHBsd+KEjDkUpDyOTxGYZkUKiL/fQS6hJ783tmCR1z53+j7GTFdW +v+8oSApjLce39DA9paKR6v23tcPwEJ+LmZzV2rf3YnJyIKolHRthfJ1bSRvB6ha9 +WzHpaBgAaRyHzFTtESuY9CfAYskJHOHtQ/SNiMHgv42BQlQIoPu7TYCz8jaRAl1s +EoILaQSmeaxobICSTjUcbFmlEk2hNfvCAth3/l7/D6+ZRtx6uGJk+GeNGIS96d3j +RBMiKG87frwgY42ukMsnGlRheyCyvkpMTlV4Su+F3BSoInarIvEXI4S6OpQ5uqq/ +w7SnGOlo6aLw1zgY9DKMSXtdYUOGBcBM3IrW3Syxfjidp77tsLFmYqcgpspbKPPr +X+Y/XIS+BmdB9ymqf37HBIGZOae3LczCp2/GdVWZERrS71FZYgDoM34wOwzvf47Q +mZ9omAxbZx+OFgqRwGItUcBUiIDgCAZse5pzZIN5kgt2OvIZd3Yrdo9Dq/Z9ZtEE +vE5gIfqxqIljMKCMsnoX96/WkOOmmwDPoB9SsEYC1u3DMkyj65x6Cs1y7BrSHz68 +Pjsck9THGO4OjBW7f7wTNmgKZoFoFX7PNZ+TKlQVXI5S33qfCKIFyNj1ilL0iQi4 +bLjI74mMyBSWiv/JPxfCLGyN8bNqCeCdlvAsdi9IgXDnqCUewsPObFc2IkW1A6Ec +QyBZMyyyvvczkcYy9ND4GZKiTp0iGj1A9nq56G9VeECs40Ir9y7dAjLfC4Ou7+9B +aCDOY67RQXciTtJDxeuEWIiTnscMjgpt0SX9uZLgpuarSsfvvngc+aiK1tSPwOcT +R08ILXQduYCpaJ9WuONt9Ytugzpw+vNIJJrP31yw4G4FEEs//Me1C8dh0XJSnvqu +qikLyY4Fg3Oves0EZ6Z8jdxICSK7+4vQ6zIozhtqXAG9J4lh+FQjBGOeph9PkoQ8 +HAG3f9dIYDFAaBBZIF0bXRJuy3HRANMd5eMhhRh8FH7+xLa23nVn2deCAzFx11ES +bvrH0EhraJ2mLsPf4kfyxmNZNICviIQnI1cpdzYWCGV2Gj2b6/Gb3KEa0jbDkc27 +tCnNEzATAmxpn+0wYeZ9yfCvmehikPprY90xHEKlPVAB/k38MzxuyOI9AdJKXliE +Bk6Oo892Cnf+hWfM+l4vUDhgpIWxJHTskMB2ZAkkmaI5orm0s3zDStfVMHPm/I0V +uqs7Q+Odwnij+jVDYx3lp5snQF11GPqiU1o3ZDRaWnr5awoke1xdlrG3wc4D84a6 +7GxAjCImxQJjFb3+LTKsxsoGm8y+mPwMT8lQ//MASasI5+AD6e5tCHgG5FHxnWCl +Tjhj/ZreOGjFLYQMINfAsTqh7Rhyf+w+9AIReDZUSd8dP+3G1l7Y3AZRxqT4BRGo +hL0c0tUJduJDixcoz5uEQMDgwe0OmqN9P/rmoL3EeKSP72dKA/4TfTAirjtPS86N +HKL6Wr2Z1s95PrWDfBchN4rBVXe8OrjHygoGogYOk7LPooSw1EMjzY0qgxLO6au4 +kSIsK5eye/1wqXg2UsnYE+OqZ7vIvRCIAnTyF5oUh3hTSJsFeApnFxR8pFUrZvM3 +DEr/60NDdE8EOCjMida3W1RV0p8nefy6sveoATlJE2fU+f+Kb6doLd+P0PxnVXbv +wDXOeAN3rUV73eFNs/MkTw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx.v new file mode 100644 index 0000000000000000000000000000000000000000..8eec46463db28db1dcec4de675577e4c88500ee0 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx.v @@ -0,0 +1,511 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +A6VEMueEynxOj8usWta3LsvS36y4TVgCFLq50sqcLeNxdofU0LzS9COJ7H/dq3U4 +JnuOlL9+94Fuw78QqTSWbvyvHs3kA3vWc5sScEQwEb0N54s1wS3lHKeKFgUj2yHI +04oS2yPB0DQVGLf1I9YupvXSSUVMfQZl9kz9I0EheFQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 22800) +nixEDGPZmazlVSKIs2Gu9Qxy4KKlSD5cl5nNGNIYvN49/KQY2ZflSeAqfTgwLQ0I +F8Es7s9TtoKWEGHARajVaD5K5DaZcChDZGg3Jop0nInDCHo+ntWpODYrBocia9Kh +n40OzWYbc0xl7GrlX4IO/WRDDdBDUlfdEdiBcMuabLxlmfp7XirsyxvEBhej7SpS +tthAEJ7Jt+L9W2D7Q6UHi0zLKoPdKXMebaTxsvLGONcW8dYwlMpj3MCyUblOQ/R2 +Wz8OnbV1Xt2xEB3YXzSUAy14sJScFi5Ra7NkGIRZDcV9yU3nX8Mr4CgQt8Sypexv +DrDBmir9Rzxyt6+q0GcPyMZmO8alllEQIPreQosZ37WWFzt9Wk5vn+cXILPE4cSy +FI4vyO1UVbuRX38+quEf2uMAtXI+ebMS0bn/PXGyPW7Wik6+HzXN1AkV8Ek5NWlY +2/5KF30Z/6JDpzV+awfZdGo2D+fiSE1TzTIZ9uZ1qIty5M4bdTjXvZkudPbKqEuH +mX4DBcAc0NOrCHV0m28V68tUh69f2av4zJ45kj1GntA5JRr8lZk7MZ2WwFTTxZEk +hcFefvWYZPNzpo7UnzhcC9C/qhJCLGk9e3qjQv1fL3X28dmN8ljJZvIAvkYHaidM +k0+Zwp0Ib8tqKIgyg+pcYqXIejSQAHbfjZOW87+S7kjupsa8ZshFjBaVxT10ZTJS +4k3DGBmVVShCSziNWvzOHs2tTWGTGcr5XP15KpZvfeKYkY2nNiB7gwoN3P4CLv/u +r6t21KVICdetj8BCwS6td6TSqI0rbtVgDXucf8majOc6/mnKm5UvwcXPe+K1EC4l +H1HwSA96jxDFjjkCqNFFWt5Dw3b9L5ETO9Pg0cp9UdjD/rcCQene02Hw3maCfzGB +diE45URMYAdtyN4TrGyKL/xx9x4HWnPyhT3xW0/pivCocLYuGkkdZzGRUjMq5N0+ +M2n9GAAX4xhllSQo5UVE6mQAPO1Yt2U33uPhQBWs3Xieq8d/MP9XgmZOefFb02Cp +dvkrrL/1j/gj9owMdJcVu1KNomZctsMi+bzJ+EwvY80nXLsIelCcFTlFVPRBtt+j +iYpllbnSSzKl1PurvrNWKOzIVXQW3qbq3ytq0/Ih2xbMfLF9lP7U5KPFEhNuMmU2 +rSSeWdvNBFkIpLItHZi++19cFlQbPpWQkLj0d53GQUP0CuCpzQGWdH3RBgqKnNUw +sii0BPev9LT5hbtMHDnJaYc8XSwACEmHJUdrTgmf3bqnebe/YT9A0wsgNx8GaQEk +e2eiG9DFXFU+CLIFW8DQF7EDsMqeILRx0r8/XLsLg2xI/Go1lL+DVuPYd384NsvW +S/Hn5ZiVz3PcY28XEw61avTOE0xwjgcBYv4K5TolrDbbKAbEoLL/x927oxrb2F4h +3XpQSknIXvPZP8F39yELZrs/xj+bUFXjbGUvQ6d6tmXnMwuvU9mP0vpTOCedQLnX +87o2JrH21jLo7TP5lGEsRXc6CXdiwzr/ang+MxQ8XMhLR/Rr3eSAdswiwwllvoMa +FbMseHXzlkBI5zf0WuHzmKWEcp6CAcDsVtqNQ3vZ2vJb7O0b/vypPQutE34zKzeH +hZ1qpho86IHJ4Ob/yAuoQA6wjQwDWEOOCEx7QKNOqa/sEDgNvEoDQWK6dlCmy2wj +s0+DYQI3lF7ZHc5iX2GUdINuo7STKNC0MUVre/C1JZgHatNNXgJ7r40ElkCk53JU +RBpDZtrr4BsMg8iKxdAhbHQDIEmL2jsRXmT0sz+GSsVkH/7U1oy+BSzbEVuRgV9P +lqj3fDclQYZsReB5Ya+5uZhme+UQZs+fhGFTZ+vzgWQddpB6Q7EN457QMG5s+WDB +1LyWjJNuFlxc1lI9AExRLXe6qVqDdcCIrCY6mDGb5x1QNPioMVnm0jJYRMJyhJL2 +ZJgr3VoCcihWHdY7QrJH+YWMR/zCYp2fBDC4ODtlm/N6w+T82ulJT+icNopPD3HJ +4zy4SceHLg3JhcLw2QkYW/LSHGZq9S1n8eoWFwKIJT/UlaueGLyhJJn/0eI0a9MI +ppcs7vOCz8U07OL+pVDgg/HNPRl8htKG1PxlYDgUfNLWsvqu7jqLQQ7okaTanOYC +/7FnZG1gXStDns0n35c9XcnrxKmY1+AmYAkTPwwVMYOb42Wlc4PFqKoRvwQqRW0E +di1ixETXl8iUqlk4KCEx2rkKi2Ca0nDBUr44GZNCv5BMOHRTj3Riqjl+xzIlcPEb +nSgxnKCbmZ2POrvyDNQTkEheVa6Aimphp8UWW2ANdcE9QHw0qf56T8B0bUX4//JO +f+8F6Y7RTaD8KS1GgoB3L5mINtfiOkbNEpySRgE2M/fSm3ceMUN2CxEfzo6MkjQ2 +VHBGRIffaA47DNapUDfxbie1ZgnIXLBW0zUXvOiRqu6AMAJCvRLW1Q+JdeYycWkb +5s630Ix7hECGiL7UKwxbb6+hAq1ZTvR3Y31GevML/Blq+FJJaFhoO/0QSsWkbxy0 +ZwIE25EogzpYANp6qV415WwMOBCsyITHOF4CO4dc/G4qDdzsEIkUWRKml4fztROa +MkQGkgjprFEcAEEAbOJmvWhUiUIbGDvT6BBi6mSaq/E5u4vjPNkEw/y4Gg85603H +cxJeqZIrfn1MPI1wIx6ij8mjo/5BONEzuekB8F02U7sEv7gKuaqhEIq4odeRSg3O +85gdCNTilIF7+ZD5XzdbqSY9tY07rWyg26HJCL6eKsOdBGOi5L/0SnK8lTlA5YDn +ptuUNTlBIW3cx/k6gGzyC30fk74+XXDMdP4YTRr3es7zZQzpRJlJd2kXyE6OtZvc +FMi03ZIu/FWVLy5TLZ026rsLCWNvvAijFpwSSW+84VGCzL6oN2kmdApyxoGfFtCw +rQ/6ygruWAHdVMb6SPbCNEgY5NXR3Xob05mg0gs4NOG6ZAeb/Carx/jahWRlgYkC +OwaTXyE+krHf15C6I9Lkdg6ZRAdr+WGGVxTIyHr1HB7qhRYgx0l66RdnT7Kw1mhu +7kI6QacmglISID43TwOFy/m/Sf8OYhfTslBxOUJwbI7QzSfaPiN7xVcq37Ye7XZM +eh29Ps71Nks/e/WAUZgPssU+O2kAtynSAKJ/y/Vmedufq6xc8rHX8hIYzcU4PiDd +A/i3xaOx8PTjHbhO2/2oZdkwsKJBjs3UIUNpmf/atjC+2dT2nkUW7Z11KLYfVnAH +EY0LNy05/imlERuaUIc45KOp/ahlRjPo8JKbl0bLfH0qmziFUZDxdrEKtm09Jc/T +AW3W90YUitDhYvLfIV6v7eJFmDOglY3dhY8jjYVzcD6yv9WIp9bwk55vpxCdXjlb +7ViR/U9UsY+qO3eq72bwflGim8UIRKidH7/h/v27kz5DR25bYPZCSGlG/6ZRycvM +OhWrzPdk5Fkr4mS2t6E4r1WaC7Ng+bTV7L87LDWdj/9M0VLr6JX40sPLoJCqaOvB +c6H+KGZ5yT9j/A2cLlyvJk5YaqeBJ3mOZW8FQlUWLqGtrhP8nxcIWSOr5YeVxv9Q +xPCgF8Z4b5YgXo3TYkrtwr90bT5AK9eggI2v5XvvtMC95Hvenw/Q6YsFG6xrsv1i +6amaoIJ5QxJb/gDdCU8+qx+NCzE+r9QJHsSUfDev5qd103ZABsS1dr4M/LMX/V9y +wpTekROIERIQbwzkNDddS99X5rHrhzi8+P79tlYYvgEd8N0Ko7r0BKchE8UE52fL +cAL8LOH9RF2fwkKt0z0vpNwd/r3oXUu9VuQp4476SGdDaOPjw2ai+lvkDFtEpEGk +CDjpRDZRhqx3qCTLz+qeFha3DPDQi1MPvneQK6hPjyLOVtdxPcqhSvCh0iSBRlbB +dWe48NL88vwQKLqK7sUhSMM/E4CMSAx+GHjSkrhArAVdH8QKNxZll4zmN//numRK +GpNWFk7b47ioqSu95LKatJHQWXDVGHX1y3wq9oaNKS0zQsAUV25vaqTp3pWXxssW +KpbqL4jpu4TnrKOAiASDut2iBaJXIc4MqZedz4Y5nm/9+I5gqJ7d6JPT26B46f1k +qtjtdvjSooALHut83e22zBA+q5ojWjakaaVO+cbM1dsZH4a9tSfOG02lmLZ7MW70 +4hGVPIjH4GWDixbdU/zqaT21Kw8f53DdM4A0UkrkPc+KM6C3NJm4JVz58BK+CzG2 +OTvQIz6fCA+rjuH0iOb/yOhHjpUegneNm7/AdpATNKY5Lf8VBy2DXlwZP7p8A+WS +F0uKiOWwkYDKCbOGf/F6lA2xD2mH1FF1M2xFsx+L7qla7ezAAAoEg9y9E0fARzwF +Pi3swcGavB78zgehfRjm+5YlWMbefndpxkTUxd4NmBylEeOJc0ujKww4NE5dxPJ0 +TZdgCAfM7nT1YJlA1Qjfn51PqbmgHd2GWtEM37ZaSA1w8PLLHA28Wo1WEFQkxetT +c4W5muGHy8HZJsTPtP+E8s8RIiFpL2f9y54LSWG6hWnVA+aykKudslcah+lnUejr +7yE0wM/OPjF+OiMRHZABxVnDwP4lnHTPnBo+Rc7XhE+hX3O6sdJl+uhMFmCBVZIo ++6XGMSeppVbrL5TiFrWdfjNfHF1PRKWlqBHcnq5iqlmIVOwkmmhETyAOmGt3YaXV +C9NKEEMB+/uUFWVtRXPH08s+DzQsekTr7dCbVAl6gbxnI9r+B9LJFGoOfg6HSxHC +wDVyCVTH3aZ1tTDtWEJLbrL7LAIKq7FSbm79vS82B5Cn2bAyfSYkE9Nsi6vyIF3J +3e48K0AOQ3uWu/jBlLByoYCr1uCpltpm8ljaQdJF28Rs3lINA0k/UNC+dyVfqT8y +LbJm3Ln5chHw9zyuoAx+WKXSX+OBfRZM9iT8AyomGXwNqVG3hHWNyXMZGltRAaoB +rBLZ7lMdYH+padxqquXGI3aOEMs5ExNYbND5M01hbuVSHgGIMnKs1Et1NxvBqKaH +aCFJ93iAwlW3JMQzya+IvIPT4wUPGqS8GE1dVDL0K+m4kcVa/H7l3b/G+pEu2RFT +mimlT9wWtbkGuwvdxZqaW/3RkNOXnREWL+844xn94LjriJC62waaueLRVhRg6GDu +yLsyJTjZaxWW7PG1Z/Ce6zLtLakY9RYxGVT700ycVKIYIf8PLiVkta+fMFvo+xSp +HrgKHxBGN5IoSHi1o7S9LQumQg1SwJ7mjQeqgDgwdLVVrCddKlIp9IRUQ7v2qCJI +820LAM0D0pFOLHif7dWHmxGL1eqy/CRJkPy9UjM5kSVuL7KsK9AAkPmMT9+rgoY1 +DkhO3n6uv6P6llegmgLNvP27uYSaFmNRv2G5VK0B5LwmzkCulB9Zo46dXs4D0Rv2 +AzrHAQMyykPoV7inPBDcawFO/UMkVEEZfW1uQle/4/868A98+kkDS9lVGvxtEc3X +e0TPO2LVvgrDcebKxpur7Ip8mUoaraz2JrsEgpxnGXk123vDXa8nDNW8zXzudBwj +R/3yQUqVxoZgCC6t5tUZRJJtfkT7s2G5BGOi0EyvgevcQuJjZ91dJftCIpLboovm +Vy3OEIVG828ejlZWBGzCAqTNdkH9ljbq38bMAQVaJxHx6zfi+4HkgCvWj57fQH4r +SGchsrI6bcI6O58DmjEkTUYGqHjWvWiMfwOqofxax6I6FcdEhn3FI8SMw4p2ZIaD +bgRzfMplaF/bERBz1fxi8WX0CLBZiAgEzrKifltMC7fClB+18AzxrflQojv30SDd +0j9VcBhO6Qfzpf0mbgVSyQoGpXIBQ1CbUPwtKBn5Lq5nfLfnB6mUM4lexx0munxv +JzcpWMZ/69NWOWmSlJrT+g8PHjmlPZrMG6H8Oo8Im7GHLdznbhXN9xrOmRmIiTWr +Nh6aD72yqxys2yo/AoPanxnq+Q5LjUswqJdvDeA9wevo+AkUU3sgeu7ZGLQTP8+U +SwtYnox9xurH8wfZpcn4QnzHVdf62ACdo0Xivw1ZYq2feOp925OcYM312997FLlK +8iGwA09y+mB2XGuI5v5rvB0aoHBPY/JM64cFf94Wngv3aDbpdL8+Oto3Qn8PpjAt +hkHfSMPOAi0XNXr5oIlmiDeuAVmg28BOqOIOkAgZxXTWWhTuNJN9cRe3w+JCVpD9 +WWsGFn6JrIm10WznW3p4I3+SIz2702vNnFQXUH3doN+gXkHSXXpFBfQnhMtE/YMA +0EYJNA3pmvKdOqKIBleZBi3Ob+wVjh+zeoGzHiC5lSZssDoYW6nV8pcbAEp+H/KB +YdZ9xM/dV7L8dJjoSsWarlDlG2pY+HBU8xbfhghHLpq2+xTO5OUmkh3Psu9P/P61 +/CmAQCP+Nk36rE9/tXM6dj4U3P9YWz1TuqjVZJk5nlN28WzgQrJEnvv5EmWxC2v5 +TpLUFi46UE2ZknYoKYKwQrP1HZbvz7y4FyF2ODefznyMNQ27Y/anASDGEx7LMgRw +Y6crqfiHAKTHc/3N1c590F7LCMZMnlksjkexF92v0YB182l/EDz3GdlfEbSziQvH +qIawTi3I2gLR9l2Xy5cnFkpgK+aTGaQ/8gBRpAzMfROZK5kv8j5aF3N1I9km3t9W +3wynMj+x+Rzvjxtnwqqmt81g/gx+HvuOWmQasPRZQ4PqtlKTxxz4dQwOOY8L4bLE ++6NxN4GtTofHjaCUKXiPZTLJnK0AdXFZqkZXuGlRpstFN18HYRGWdmyUMnGe1mT4 +vVfFdD2VtXFTeQTF+nfYAngpm7GS568sj31e9jquOQzCztQLgNM5G9MqDvT9OOpb +51TMBC4QC+Unu/HMtFd+2ekPAz+rwGVIladrQB9m9FTHiPvyYUjDdHQMoMhcUPUN +Q6FUEDdmnPWw448UnkkOonhK2jFhOBxGKjpaqsE6wY82n8QgBIuwjGxODrqC3bxy +2qi+pgJpWoqZSx7GplBWSLenv/jxCZyYTqSTAVIbwbjgY9PZ0pWCEZJGusPELrCE +J++sd/F9CIqrS/zxsPMvCyRzuZOvdlqhLwfYahSM7FIsIVPJ/Sr21OdKMVcE/p85 +KxCLz1NBQghV+7OBfxB+fb5O6TzVFhX3SHeNFu1fdYL5ZxFcMfvoJ736pkmY2vvv +1invr2eQVce6w85XNP1frlFyV1Or49M1SaBL17LaM5LBt2NGnCmcEGIJOUTkDcxT +XwHWpgj7qV6H8pBpBULrfQJqFRXooE30NwCw+if/PeUD8RyvaRIVLpOOzDsTYn0e +/BG0hFeSmlfwLzMAzXn3p7R9+la4i0+gF4bGU3iYnSGulfhmfOzHFx8FL4kl5L1K +xh+s+q5BNgQOJbcuAEp3gOBxzS3wuG22dlrP6GBV73HQg1LhOP/2/KPz2Q7/a9vQ +YbdzqMTu0y+BtAZJTitzoo62Bibs3Fc57DUAjtKvrKo2Mjh+ITZOqPpifYvKMb8V +r2MprbccnYlLROAh0D//qq0VT6RlITjHEmf1hzZYoLl472c8uGaiRRLzAfMXU+YA +Is0O/gR3mRrYboZ7irO/j+/VNKo+kdieEaM9bxCJN6NGNzewQgxPwC4B9JEtpWWa +KDKCYwrlG2csVQrbgQn8HmTy50a4ZinmZLwJPNFj9oXzuVG38uyJWyCh7unZQfHD +hTrJ54k8UqDIl92Rqq43zFlTEvZGtvVVqbmGuXvM5OOpbkQ6Lj5kfcSZahvUrG2N +nr1JMhRH3jDWFIg3pU/an5ol0cM7nsxDyFk/10BC/Df6Vbvt1h2hbVY3CugwYDT7 +rzceTO7LqEknCVma9JiZoJnWftQtkoB3bUvVwZPn5eokDczEhuKZY0UADGUmBH8F +qtBfo20k5Nb+ZaQ4TArAQYEXgSJ901SGsd+aYnNHS9LUDGE9F5sXE/9flRe7z+XI +mPwIZzkmknjR5KhLEowtblGWoaTD8qEJZ0NJHCK06u4Z4dlVbKiFbyuksGwpzLUw +RP35b0mHPF4kuOF+0IXS1xWdbRcUudaxTCHLN7KpwKj+yA2OVM7am4IYbUrHilNA +bTft3KpEgOOt+rutbbT6fsA2nlW9irTmjM/Z9KaFuJ2vR8mR/wkCV+yDlGdVoTTP +O8pptWxyEJ3Sgg40OAtf3xcaLL+TV8lZ2F26CB9r9bn6zuyvVHx21QM6eOZcqJNB +dlhMwMHeJ63EyWkQDTe2Yw1JKyS8Xh3xMrIV200dnAPRZEt840LWp+N7TjVDEs62 +EaASdxbPkTutuHlG1bsMJs7AjSA1vOcoOGTvtjfU2eRsr0RtuXvdfTyTqBVZZRWi +6BTz2plDBC9FrAIY8igrLoXiK+PzTv9D8QduYbi5K78vlMZWSLq8Uj8C6vktuF6n +jtMRDN9HPHfZChMJM3ywWMtUUbfcUxCqJTZJAPMjm5S/7vkxMsU8kp7JpJuYjh8b +qP5+fFM+sznd8tDekkv7BNvrPaOWrIfdifjPyPa8DtJWhIpcftzoMnPueJSVnatE +NbIaNbL9JC3rw3UtbuTBQdus/SDYewBKb22lc1VHlNK+fvreJkOuWtgwrg6rPRY4 +iPoTjpGwsRa6/mCjFEuyawTpGRKR1WAvdEWGG80/nkBGIffaRIWhDBEUOP1coqnB +aKQAGWKcgIKxnQbw8bc71MvpNWNTBT2lSOSVAqrIxGUCMLQkaeVjrrA8HP6abtUZ +TDHHf7fBcXVC15pFSFaDOfdMJSlDzUQL/HbjzleDPPeTC+PmgLM3M7kxBmcDqKbU +N0SzwOUhz6P9YhHi4PriOrf167Sbp1fn6dUx+3Qe83IiQQYDpAE9DCGPEi9/TBLw +QJmH3uABQi8cPwtJ2p/59z5ntEv6hLQy2OxMcv+EhIjJMP24KE3n9U1YbSsKbksB +2YFkKkUB9ZTFJbLL86nLYyq7awIV9n2f/zgGJ9+Z6B/vVWQLue1TjdZgvUwSRhyn +8v2T6z0L5pc7PVUwr4LmdXjLcV3IJEV89FT2/djBcyMb2iBTTVbfCckh2PXfDKkB +JolCeSDpAGTPRql0c0HiCF7I2CoP+ROQPRHczJ6mBhZ8XgHxt8WhBoZHrLALABt4 +mqDfsIR3P18XHFaBjSnTCTfMagtnnv7DIRdxwtIOITlYk9r3sK226NMKj8X0WKGU +tbroeVohEBUKAmzZLa0m4U3jNdRI0cnzqzSWWVeYeHJce6qrza+MBQvvK6HUzxXh +AKRfnVlQ7N4Ucgr32Tt9st24Smre2fEGv5k6Qixh/WOjUp/rxqlEvOaOSSYYXcFE +4TJmn9mJmObfqKsYoDO+UiFtkuryD3Xt1oIy9olENjV+Rmzooqrh01Qlr7kGv/ru +IBSvqqI0NuU1+v3O4v9nXA22E27KEg4w5Bqn4C7ZBnftovANZYsrwM9W17ZWBINs +hd6bQLV4iyM7UOM6XY/LPlMH03fZrv2Z1ACg0rnWeMjTFkS3zGAhofHUZxhwwWsH +wcMNLBT+bsEAVTmCN0gybOSbhPm7o/19YIysgu+cjpD4VvlzcRS5auEwR5o1aNzb +g4C2ruTUEIPgA0irPYYZZE1v2sGMTVP3IOFSUOtRqyYZ8+Q9+q1Xp5bEgWbVsxfu +iFn+qhdNXJynw3usliE3HwiKLp7/snYjGdN8OQeg1s6uKHrppX9ElwmooJgonD1o +vwI6HkmeW9OW141nPytBwRjp1Efmg8l/0quomnHIqW36Hsws1ygSorslNVwPvCh/ +Ag8hDJcv011uZFSj0JIJkHZPPtpgpmj9S2clQucBk9clV49GCjQhVMK53ZRLzUcW +WJ55Hc8uDan3FUUYeAtz52rBb6YKzUbnS3rx/Xjn5/6Aj7jzZmNwwu8cNnsXJ4iY ++LGhGfXeIux64vNQ8FaugMUebiQcjjKWGa2mt4dtwpLK0t0zDke7x3XV+40hKppL +p0ZYXw7IiIgXxiKHJ4gRHuY5hKxyuF+LiWWCcTfRnHqckKGryRs65TPurL86Isgr +n0nCFGOR3ieRGtMNmmt3PvxYRxJpua0/5To9Wz7P7VuDmOAeqyDKGHVEtD10sfyU +cu2kxonez4MJkr28pWEGAiTUlPyUh8dDoGGIWZMnDINm48kB9SOGW4QABjHBBzKa +W9PZilf1E3sf2lQxxyDcyqLe0G8vmfgniNcKzvgeIXlgrRsPzc9ERHGeJ4LWmEny +547/mtsFkJneSGjOPBS0Z9UGPAHd4/xChzdsm2H2aR4EwzJ/WYh0pFaW0VaKBmTb +fPYUcFYQXCBZNpC4rtstlr5zzqbVfvqhdxu8x7PK/qNSNqpTm5fb42nG7hK5It5Y +0n0u5lAGD8/t5VY3m9rYuMFujS4ub8RHwg6ncyX3FDx/G0BhaHHST5uK+eoDBk35 +T2blcimoLMies2JtffWJQo4BfaRI0+SjkqLpA7hfG6hXH7GXKqon2TY0rTj0/PQt +XDL2t6zlzZkdeFYuPTqjXR+aQI6JGC1RfTqFOl3QVtszERxA5DOEh4y9Nzd63rUA +ZsBx+7fp5hf6yMMi9JfzQrjOZS7j+BR7Bhcn+puSFxHBHBsV9XBG3oahoKrYnPEo +6h1Lgr/AuRoKZQQZTU2E0tid2RaHia3FQYXh5h9ygLHzhXWKSw6IP4TSiwKQhZj6 +VQwIKYIsa3aoAxEvITcwJxeLHsryIGb6kuc+ElmnIzO8BzBt6pZCKjn5GTo0r4jc +p8ucMjMCU/Aa8LYJFj1sMEPmgucPc0RXO1QRD8He82zwuQzyCJ65zpyag5elom/n +YPji0/RYa4HRrvg/CUPJWiGudIABoicjnw5KL6T3bWdtSF60zF7jPohMsghsG0Gu +X0KmLTYwkYnXcRAhKqlIL8j4ETxrvj8eFblCdC24oteneFIBWzYC3RtY4C5HihMT +MwOknUgYqWmTc1ZBvbhEhOPKZMMQbLu86lnY5/3WoK3ibI0xR+tCmzQ1Z3ga94SB +VmIFQ9FngCDX66fUPoCTJhdnOFTV/yqxET1B0P1aFfkpxzeQQKDQHytozTAwxBzt +5QcbJvsxLb45d0XCQN+ePAFc2M1xqawz+Tjyapt7VT7KybcJFCBkMWOVs6irG4uy +O1pgW6qKdaascNl1xGniz3lpo9331uHhmi+6MTUqHdHXRecYdrNYLw1d8drVTupO +T5QGRzKyXHti8GvcsRdDYxBwtz8H53nraWorQeaKIkoSnTxC463Qzaz4CdK6qx/q +AJP5w4K5i0+kCwv8xvE5+qEzoBgTOAJzNHenk0MditaWyeYYPunAAoez6YZTZ3D0 +6EcFfb2VlP+lkwIfx+qZ/cyshsLFMsC00SV+lejDlT13fkvKzPkpCaCgBWmhLAZg +vMAQLqq2/PTNETbpPX2GJE/2wdMpAbjQ/Fxwtu0KWyx7vRUbMC62IzpEt6prrE5X +wf8TKoLwrMsxd0vAlJsfEjjxAqNrpO4LjaYA4MPrWqK17ifUEzONDIBDYOw45obL +k15pfgllLcazoBi4vwyN1fNvMrEkkisG7yy3xhSAyNB2INATu4xxM2fshCePh8/Q +Qkhby+O6K0rqa5n61nw6pP+nvSSrf7gb1zBBT97XEsa/jLpSwK7J011/S9Zl7wXM +ZxLG/nHbmwv1H73remXgix22pohq2nPAmJg+JnWGbbs2yaxYA6nZehFnrMqoOOpP +Pah9gjWmqYuqyRuznN0ZAC376taQsApyNuoMABa5+2cVCm93sbz7bXX2wdDTw8Qm +YTR1Ghy1/5F6xnkSefWnI6ZWggrjV7dvfeLp18LpBo0CL/XdzscsGct8FwZJAhqi +wnce2rJsGr0QAO3GP1NuKAIVTpH9oIa3WSQT72Q2FnWFxm0eksnByEQEmKR9XCpS +Nw/OiszGIN7iWsILJKrkb+UaqmTrcFuPrXg4wyxjImCSKMVF4ZfBD1mXzMrKdNot +gts1EdmXZ2y1AgKYvfNeRHvOvVqUgJxDP5wOQzREr9oHXXYr34uZAuH1kyv/U5ry +3Zvtb7AEtK+/OM9JP6c/pZMF6OLcGk1XENwHQK+BMXKBrnm3GjwipJPP1DpejdCc +IdngLYeIYYXtWPeWttdPf+3KvO8EoXrRrp6oOD8FCNHQiiobh8fRGhlc90snlKZG +jQRm1NfNxLeH3Tf6aQL868gnIyyFO3syxFo4T7bFF9sOCHziCOl73XOcPnjg1eWj ++zj5y1KccWUewseXkMCSXKe0LtnyttiW9yjGxbCM0Y2BqGBSd5ZG7lOumFWzXUFj +hYSsfGlaI48yPVTSCmrGSHlWF+nmD07fotAXBtY1ObWnMJ1LhsA0shhKvJPurQh/ +P83/Wo6gSIKXJGym8qRJeXfo3LLcfpJxMYQenZFGrSL/vAwTETwMMx0mcWU15DTU +KJl5mVHMdgVtP9mYmXiWpeo7TJjgoU6jz3Awub/FongHNilACR+2OcnOyXGaYkvj +iIPOVokebcRx+FVBhFkM0Pg2iGZyosXQ1XPKX6zyJrrLa9aDZdFExDVto+v+wLFT +5QYaNmq+Yh6jxgUjOHyproHKLKpIb2HW3Z3UsCsnVxhMySYX5ij+wSjPFHCtgm4+ +HTFhGzwGCyoBuWdpFUH5hlR2yDRNBmhuN88fb8ensEHeNaj1W+K2KSMTpwOU2toy +7Elc4R5mRz+6dV1ZttLTXhBW0QhBQLk8XXEpqHSH07UTldvZsAOtvD1/oKR3QYzP +73HueCHmo93dW5HXAXnTRaPZRtI5q14mNVE0Clu7QfH1EG2aHYSawH66Kpau+1M3 +yjACiKCQ1i6GIRWHvTRMpx+FdIRQ05xouZ5USA+PBu0P5tIW7C2M7q6mXpofPavb +TRSZpQRksKcuiAfdPGVaY5n12NMdE5xyE/UbALKXKtphF21oR2BnruIlA5BGamzj +8q/Q4VMsUDT+LbQ9sfJrOq0smOm4VRvKwXgQOQ911m0zkEzl7TiQbRqGQI8BoXj0 +sTNtHLpFhyvdzv+Y83Vgy1Aqx5vAbKWBm4yu+R17E5x5sCBZPpNNfyxW1XjKhmia +8QIpxxowKAXo3j7Bnv4QKN38XWLtK32LnMRNVmjfST6W4MhUDxgprBMng1raG/A1 +pU9v9oFv67iRU1r2Bk5yWXQzOvowqY8Z4mmRvu+HTbtVzqS/TK0C2ixUDX7CA+Jr +y9+h+dP0YkBLIq9Fg/oJBPgze2Go3wt9vxifBcM45+XaQdFMCCmK1UhMT2vHAjzP +CvSEqCrwFfq+VXZfOpedk5MFOi1ZBjtUeaP9LAZK38v3sYfE435EPg2JI5LTWuWv +5sGCdyn4cb7UlEdnFHrYs7Dryft31F1rTyzpCqU0jljJQi4iV7VorF7vLkFWdElh +33MK9TU3t34hFkPcTZiQSuQwZ2FxazO0VXx49moe3TJMGh2KVt3rTlQwtUZys9BN +qlS9u/YrGygssXeL6+T6VSmITrHKLR4ps4VRl4mbimNC+83kWUY/RdmUAHq8EySh +WnKqrFNKgCrXT3bp2PCllTYnMuE5/J7/NIZsANbVl8O4po9LEYQ15mQD6oyKN9cB +nv1maulEmQb5WWZjHUjDevWWMpx11FgkBmdG5xrwmUyf/VSVJ3L9CoGpGyPvrKkr +WLj5LVpnXIw/sy7wkbEaiDrXSqNH0vJE3AOTgi6bybNB2xC3H9BcU0/VbCDHG4tE +uxvvIchNwSIhsRIfAb+vwz4dhJ951t8dcSZA164Lpg7+1vzUX/UiVMGJcelBqIE+ +G0R3C3q6mhii/63HwP5o132POHz038oYxi+HiU4SMzpYRV+tPJs0heEOrmDjuiND +j1/Y9kLndOiyRWoE1YR6T9GHyqEZraBtgcB+NjbV18I1dF6saOja1LajJev60Iiz +iCT3OOSnDCdkFvXF3kqJjtQmtcdlNBcyojR4NOX7svs6YCPKnfkELtIxe4g78/SN +nVPCS6hCUEoKI6mPz5fqU2yRGBQsO1/EbYApR0RbKJPrCDVNFT7pKm44BraG5XIr +P3IDmR7uT/1UIl8QMuQjviQY9zBOxcBlrtCbdxib+H2+BXrO17ras8jbBqBJxnBB +dPXgnPN9BQk1yfLYTLDi5oAH/r1qZBiUhdOrGVmqizLh+jUNua94SO3mi/dvhvlu +MXcIMTu+boAvlXuPLWTov5xnDDUpUSzTI+fyTtZ3qWGTk9UJETmBak+XmCVlLD5O +u71MawYTiuMHrZpxOvgB3l4TQ3oDhxVseQINlQTwIDnlSej3e5AAKga9YOBV5wMK +Lg8OzE1xIJsqYsqCUNeSOtU7uKuUa4p8EhGySmcT03nZ6ygNVHDS7F+4k1W8+CfB +W11IB9wtALn9870zra1n8hg5kb8Qvsfgk8F0/s5vWKMbAcCvN1mE8DfK1E1hbRVu +5EqXxc/h9xeyYUeCXdZjdgG7TM/s1BDECO2Hk1WDq/6a8ddoSkhvkj8FX+kHn2e9 +EhmkKNv5+XJRlZMcWZgcURCZeJPKtqb5sBUAaEeZFnN9CTcSn0V+ONUq9ggr70cY +U9ak5DWkIkQhnSKfgMbN1Azx5C5hskm8MOryHdFrgpvGMTtXUxHvVNygfbd1AJTw +i+Gg2RjKqTVIV+weUnHrQy5AUrYleEIRwF11BDOUFeSKXz/YbdoZgXGLXV/C/cwp +6yiWeMq4AfivyRul+PKNRLt3vN16mwvvdvXrqH0XE78cXOlGVYkTHnFRoqV1Lrjv +eiB6puc6p41byTEvwdgliFO+lvOBFGthC/1kkr80p7grifD1BKVeD//Qm5ddD3DL +YK/cRvgYvswSw7wJbSJLXmbmp0nsZJd6xLE0uUhkWV8REmnMUUXCM74PMggOzVmF +j5BfQmaJOkhO73ju/WF1L/StAyjgqMrmmtTKzdFr9Ue/GYZzYGTZvwr8BguU1DdD +iwsIPoKGhwsvvdFIyWU8R14lL5eqrfHYV+9CIUyZWyDaApA8w4DEsgEfu0/VhGiv +oJbHZgiyGX5r2VlfiEg0zWkr6BvOQlP4hDOT6bibZdePwjUrcIXrAFAEXh8BVQt7 +fEJ9kZ8UaGoi1XjpxKEZvKhmg0I6efWVzEb+75dDXjXJIvyKE0BOY0mJ8TG3OFCj +q+UStH578Y3L74WSopFcT2JqjFX1RViQekUhl9TcOuDCj2XBYnpZzecDDxFkNZuX +y5+yI3NlfNbgyVc9QNVXayTMD8zLvkECEMGUNee+MTb+uryw3ru/6FTmpuwU98Yy +/VgFGaeJqhzzYkxrgLbw6KjzYuattYIOjbqURbtPMivXnGy82lpKEy4r4LJmcLuB +QzR9LTOdxTvmjOusc26m5Yn0kExIHOtkzH+LIiJxgHrkOiKCS1/L5nLHhnwpPIle +5M6DqZYGbybb9hvL0UuJd0DpESTYRMsFKEmu5OgAlqWPOKDuNwztCbQQFbkvdGzW +uIug86Zaqe/0SJDp85RoHytq8wRB8iztYydu5oUilAOjInMfpC979gJJXnb6PujC +YUyHQsHn5KOBkiX9eCF4vttFGao0q/mV5Vimlft4Fm3ZkBcdLKMiQAeyJMymjV00 +nRj5GduBhw6UGFEFa64RXjqPwpqV8DleoEDrWhCnVeE7etrcdlxwNAdUYBX0GX1p +lb4Yk+/412SzfbZq9MqEMWm57lx28dD8tjKtzHtKt7eZGTpeltZOUFlx8bUcp/Jf +Mes4DDDjEkeeBPeP9ZUqZbpIFyUpmY/gAuCEPsP6Qi5lmRqU2cIaPvCOTgziXmg8 +EAI10eQJ7DUQ9TlKlr0/vep5FqyKNu09+2zCzGiZx4skhgIGNvQjzzsTHQFc96Of +oFSS96YWAK1Ig9v3EWlXJlgMGzIBhdTt4cADUIco5jYzFOH10btMoA3Y8GJxQxFQ +iVXyAW2PDYLCNGxiriqr9zK3bdF7Qe/lEWUTjX9F28Ia+21P9kwufabRuYFOSh/a +BgQghDeJYGidx4xYjqrimUorcXAi1LExSzPV75luDEncUo75IlxNzGjn3f9Ycgt4 +9y3mluPIAeI/C8ayy85wxReYNHnnEXZMrxk/L04z9G2k7Jr/AQt9H9L5K8PsW0qr +9djuJmrD1NwwEGdw9ObQ/03+dfFyCHqfLn1K0foTbMWHoULjY4Ef+NLFgXIkSmat +nc5TaI5OXDp8ju2pJW3wc7YpXuJ0n30CRnhT04dKEkpPy6huA9O1OHRtGz74xn13 +v3+mGQr7IR3igf2a15SmjDaT+Y//BFqxE120O9Jeyj2NbfbZdlWHcomY0zEubkuu +FHkGienmlTj73AfjInJxjyW502Igs1xOOweNZtAkxQr6dQn6CMgZ7sh8uesyLOAS +4I/63rDhmsOot2s4vArwZX+EVrzFqksb93EKtSkzTnGLqlti4iM38+6YYrAwOLBD +9kvEr9Rlrc4G+lRzgZsOcDQ55ATa8v4D56rxVNaot18RjcpCEHqYTqpvVw/SI3kt +VpN9hVIXZfRJTlALFPz5APwL11VnOVRusA2atX6keRPPn1VUOe4Lp8wCM1tjNtLf +MUZDeW5g9odyCR/ZluZMGZNLCxEnxhfHIfB876zZB23yyHwjoJe5FowwrdE8K6PV +/2n7DDPJmeqgukOeIfTwYZNnX+QPma2fkj5/nZnICU5H86B7iR5Z0BSW6q1QSa64 +TIWAOjkFoz90naH6h3PxrCxoB6pQZD37Ci/3T98xHVrGunTJdzl8lqmgqd8FrQBz +vm++T9p6L1z080VE4Yj3jrlB5zrOxRJuPDjEMdajmZg3llaNuVd5GVx1Nb0ex6fD +tHEiT6irZ95xaaRZwiGyN/w7WRb4AsHNJTyxLPg53voNJKnG+xzbauVmx+jAB9C3 +ADPueEolF6ad8aLZNP+AoP1Hshv66V6UQS2UIISTijx6EY9wXEeP6SNLy0ATep/c +EcOZZuu3OTSXC9UxjbJD0IvfoZ9MYVaI2BxAZrLdCy/CzFY7EQTGejryDc/76Bj/ +9ntZYQ5L7F2KwNBd7nJSKLA07mgbVKXwLrtZpJ7k+69utfNx/64E1jYmjaDq9AMv +Og8zfMY/3h/x7DoMlvQ/OF8h21aV9EwamFxSFqV+u1ZUZiXPRpK+PSxt73W6WiT9 +qztr9pLqqlWvxh1Rzl51AYsh46Ee53tw8ZD/3PF1fnP9gt52uEpC4cZ8vRd3d+Qe +PIpzj54LTnGXqFuKPXAJEr1XFtzvcbSued3gF9TthToa+ZvxKrls4xoDCzfcsLj/ +EdGsnamtKL+MfpvS3ZLKUFE+bVOluWL+C2NXO3iDdMZ5qxtnOvZBvRdcfUuuFYyR +3UmUVPc4ke1vwDHAX4meUY9V4g/gVMPhmO77GrpNoJc6JmK4v5m1cc8wnIyAKSTp +N8D0SHCj48luE/33BdWH/fXHPTnMwEBDw3ogolq6v/6vCd+1Qw/tMCxlBVkhNZpr +/pFcWJtFa5nuExlOWqKK4n2HnnaQVVlmRV7FQiCOXPm6XvMP+8CKW+kCiE+mWXxc +vRfHMISuuTh2mMcpQmC28bzwT0HmiDDo0KJ7N/lKjV22ucFrlAmY4zQcqtaezs0e +oPR7kG3i0m0Ii5H5lyNU0TNiTycGdp7ZJFygP8wL9Y/OLHgc/WKihGfwilu34Luo +pMSJm4JHiAxp9v+10aI3IgNZdxXmn+SLiOkBDt68u4tlwX+NeO0qSX8vIO3+APA/ +Db6dBF9Eay6imJNTn0Oib7A4ltsATx4nEsudSfS6z/c3vy4cLs9TXaKJfVp6USBf +j1qaRhhUGK22yaFtq7mrmDSyJ/yw2fORvHB3R3Ypkd2V4DSrscEt+zVemMa37Qlu ++TFCKTmQKuA8kC/QMWQyYw+xWqRgqF+V+lFAUWZIlhRQIYCh95plFrlklIwHzBPV +EyYGwqTD112n2Lgdch/EQ0VPKjAjYz/CQ11A7WgcJTRfPW2Da0/PjR0AGGbrD6iD +44LglGhnClkXwp6ptfSnzxLUI1a+/MOutQcHv4MS1B87oZQg/hTfi62deTQeRy0Q +lavKIEpeUOGiE3ohyS+/ZPjxiUnqwqTEd3oR39gxZKsLOMuYV9yMoUX24RmTHV1m +4i+LbzChjSLOrIfg9ou6LjxCe08D4FwMix/sRy1cNCKUmBXnkK0D+P31BWZPqG+l +trYQeR/EFELP8P/S0SAAoMqUF4c9fNZw8+68oZvnCq8vF3AZ5eEZ31TJEeUpkpwl +jpW0yBqtscsNfjqAvgfifJ03oVfRGRVkyEqCZxJXz33S2BzxYGqgVtKxNEPnfeKx +buWGlP9TGEyIOUSg05YjGKoyMosbm1w0jYR7/uPRsbo7IIePKMT1GzqMlb/Q900t +mV8+0TkgeiMHdTduxtrQ8HZNxe2BryCtZnYPzj5O8PDRsYiCqtDPj8Hu/uZm5V0O +nzvOhWsmT1mcJIJGrwW29K/W+9o7YJAlWppAjv2zbC93l6xGDcI5Uq7JSKhjA3D+ +M/6xGeMZtzoxAGw4itUcECFzSebkKjaz+Ql88pGeZH4XY0XEAplJf4uhKA4qKShS +S+atwqfNrr6b5H005qLUKw+rYZLVF+baKFZYIsleuXykRjpE4590+VnUpb3WcSd8 +ta+Q52YnK97JMQmln3mgfI8isxatNALZHJ2iOFVqz2tuMd8FnRrGDrq2M6aqgEPf +4oPEStBNUBgBVX9sLBzInihcqsmMvl32BoGXWvanVO48jltKh9fRufTT2N9n57hY +BhGeAWtcLGTO6vAGdeLrGJEeutfbwV+XeLo2WhnF+rqKz1AHq86sZa//uPMDj9y2 +MtPkm4ExKB3iHihzqVHMlLPePuuMOswqWEYuUekjIw3bUrCYoJw+TTalyhRNtguQ +lkeuGXd6QdUDaREJxYnm9/tZHgRLpqHtXmloO5if211OWV4Dd6EeDhH0XLRBmvVI +Vn09c1HLf9y1mzCJvV5KD7uuTqG5m3CQxkWoKhazRTov9ct0tSkJUP0dd7Gp/rgF +v3osLzChCfCGh2mK/TN4/XfxDMzsS3i9gKs0xlfDFTJGTbXpGFtMVOYLud3AgMJs +qMBr3RC6UUa5SYYrEaK5xmoakOJdiyUjuTz0DtTTKxb5hba75QaR5rQLHcKiQBs0 +dpntGLYDDqsroWisLzeCYnP3+vZhVFzmQN2fBXa+F+q6XGicmCR9Rk7JIy8bGNKA +kJY1ojAV8D/Qvku8MkA+1tQBwNVgef78dXl198pkRgyOgDuuogUkP/dz5u6jzELn +fzcljkHtBh90LlohsESJDQIgICD3gnL4DbFWUuOGC647+xP2nR4XB+In1K9iTZgG +f4jz4rbj2QM2fBbsE1WAXB5vka/g3qIustOgFdxZ9a5Qgvdeqump5NhkRwRMXrkB +hk5R7ZlCzy0K+mrNEyqITzpFTYGPgjkAg4YrPy+vVg0ARUZb3+ArxyHB0+jEGTea +jY5KelE2BjL1HehSu2m/QSf61NTQzbirwj2rgXgsTqVsHS+yuZbpEyU1kk92V88T +myqFGBMcQBazpHcRFokAnXoKMOnY/xUJ2j5ajg++I0O1VF/Etj8Fae4CFFp/rqQ8 +EApvdno5t/aF6FifMgbIAa+OVFdaMMtiTZrgWaMLQlFcmM3x6Nj4XIkV2D36T0qB +RvO8NWdS/pDRc2KapDI5bc46PrJT6ZAzcPLBmc/W8t/wPexYMmX0qJyapK4ec0FA +fU3B0hOCNxwhNKlEeFudlPLlqUbfza/lS3ucZiFRWpo9qElXNCJJ7Iphx4M4PMw6 +1RCoSBCBvisuDVjRxzD1bw1GvdEdSpRohZ2NGC22zvf9bvVM/bj92f0QZrR9Vtv0 +KvpOy7G7ms7KU+JGkjGvn8Ns7U3y1JlN8naOoUqSGYM7R78DsQ5McCNZZD1s0m3Q +YSKBcTU9zPimv/tGMsqPEr70QEherXuX13uO4UvjNvqgQ6UP2Nqoi3DuwGJscdO2 +5Ke06UYo67pHCno/qRZ8BdVkQUf5Is/JSf/P10xyeiXPr2U+lZz/pcCD5UtUhTef +1YkJ5skkuI2x3kI/y1yeEIDnHWfRerqh5BQX+ztgZYoFg5KSMgf93sHiHR5zx8Au +fCr/0jWramFoiOpDO0VkYMpV/GbCfrVWS1A9Q42zRaBJ2Sj7cGw0DD4jMzE205M6 +SrjzGm5zUeIqgPZ9o/D7rULh+3EW0i8KX6ehRsmGKARNsAEJx7aRni6x5ZFiq1jN +SKv4h9JsYCB5p6huDRl73I8fztzPDDZlwdkMrW4QuHHWsYS7h7R48VPlQe5GBD9C +FyOQ/VVNayCs3H02SATExR7rbtCTzCjyUvEYozx9fb5gFEnwMad4OkF58+5ClEAj +8BJOISRTSwTSZvPYE4ZooQoKpzmSbABIdetCSEkn0cxYvmqfmQRkIJQSbb5qRGHy +2E6o7FmTwroV2Wxmw5X4YmfFrTuJaFNXcPynmmxVb/+fEfv/SDF3hlfbDntBdb2X +sUX3KjXzy7YQDOUZw7VLv3DxjXgOx+il0PVqFY5zsryanh6+IY2HcpfZO3ALp8j6 +MAxmi4fjfkbo6vltHsYHY+s/yYxmWl6/4jymwIDHnxNTzM4t/JUzRj76PcrR7SOY +6C3TYkk1mcUANkJkHKIFdRMJTTxJsittyaTmh8F+I2ko9Eb+nRMxd5ouFCSccI3/ +TZshHgdqUG06I6QVOpMzEaQqUknKNsazTB1+2Zr9Pe7peRQsZKuokJJGCuS8KLBC +A4BknsOyQyScuvvX8b0kbQatnh/wJjCwGKgjNOI1plQIR+C94awMgWOKXz18Z5d1 +xNJZdZzAKBlVWs/RCbjD+FdqSmMDj+Szu6Lffgsm0Kshpjw/sqsapmaQa4ISs89/ +aMShEKyZNBIdNw2wWVSRsIZ4g+KCHWEEmPQn3oGPYi+rrSVGCuzDlZWgW8yE0041 +fCF2IRLcyncNfsvinijkP8vSTNN4UbfEVEg5PPZYcekHKyUaSZlRFFg6FCxDQZhV +FFXK4GDxmR7+75rHN8ALWNQk4fu/luu37ZaLlyCmB3W5jlJjF19b2iAGZNuzOOAM +kEQtnvOGr977Q/MFxBr8f41J05lO3fJ+FT9iglw+bANC93TdQ5dL3wloBAeC2Mk8 +C5/CUPny06fTP9pRKiv5Ljed8/z3ZcAOHK3skSU6UixKlANQuA7sdXjrflIum5iq +9C9O4CevIf2lzvXfSkjcYIsETrhdcJrB5oScl9Fxfapr1kuUhvUneQVrdgw8G6Vh +ppf9MyU//L4u5pLsKuGl66ljzlkAl4XcqnsGt0ds8U7HQwMai1RzJOf2vQLB/k9P +k2AlMuyBprdkzox+5MaEyvQG0WXzxxvwf0brRIuVkpxG2SDeoNtvQCW0zTAJPmrU +spqnh1X3cQN3OdWpgcyC2VFDDrEK9mSJ+GAoRT5vlEVyG0NfImqjmTpMIMgNjBR8 +co+0S+AXwfoouZRZvhjBONU4DsX+9eiYfIRC/kY+ji9dkKqH1PmZ4Sipe6QKood9 +jEb3VEV9evShAn2JyoLSxppAG8AQs8mrEwQMEaywTt7Fv3oXbdHctitpL5a/r7cx +9pnNkM5Khst3m6Yz4ubRND/lp9nFkcfgVZ5ySfWpce/kEfE3S2r5AhHF/Q9o3Aom +rx8+1mgXMxuPOS7dN577VASxv+cIcGv1hIzLqKrJReu66nz8ZpcW1csNl/bvUXdg +vD4gxaitZ/vnsTpB3rz8Taz6DTZZ/hNCOAnURhWcJuH9XcTqVV2vXTO6+4m8fKZx +cgavYeHc/NewKwfpRIing+Ab6IcgyzzPTDTzrDQVyQ3uGePtbX1zhrtvSuf0EzVV +X00wujOAuDUpJAAptDRugldhXlJnoz/HT1GZrXLtb7gve04VIYGZ9sRZf6QJXjt6 +Ysm0T5flnfcUACqkTI3D+t+H9C/85NywbGzpeW2pYVkmUOhnb7+ZbOEaY2InU+fE +OckZdMUG+DtI0bya0RaGbuaKS1sp0mXN2SyVrWLYpL5iI5EisLOhPjJQlhdb+DYS +JEvqx1UmnNsPWGeNJeRvlELhkiwdsFgXtCsi7POZkL2Xm1Pl6IxMTwx+5IXY/Poh +g4hNQCweypQR/oN7XCYcCzQOx0FNL2BfOmtILB1LgDR80lmslQxoN8M0Pnn50rEk +YEhZdtkZGMZjAHuOZlkqbabMH3zlJZ+U5IRvqRr8jjqWmoM3hq/uwfkzxO3GI6vy +ybrPJkrNHvPfeWhTSBhl1tke0Gbm1R3Nd0MW7gNScb7SrDDkMN+fuvfMFqk+G5i9 +J6WIpGxFevJCylh1XPMEqMOVs7Y+14Yhme33yOZ1chBZ5dVs98oQiLLKj/mzINoU +ex4jt7BusIyvjQQhF6ri+Q08mPtkH58ZQ8hHJc1OqKcpweC8x5cFCfPlOo5IxOKB +kzz9QEoMdQQTZnYkPt5GEFd+Cy0E9AZcw4rodEw8OJUpA7wwY460gFy5CeqEyx14 +huF6+xRUWBIXHGuVWXonXjJ1G/ndHnTeidHfVcIKygl9xpeRlCyyCYCEy4r6RyBj +EId+Y5A5oaaK7dm3SXzXvJUlQyEH7qtNDHq/9L2TtU6LV/hi7G02xU2bwBy4HZaA +r2YQfYD1H8aZQIYZXyDesWqyQFDDG/wNkQhrp8ycA6RHNgCc3D4DVjXgC4nTR7Io +o5nAuKPiUfJ1GNB2YadoV3ni35cQLNUlzsRKJzgDml5ty7UoYcddKphlEY5t8W+n +QxXGQ+Aag17ieZ7rwMqV539CV/lycbsO2yZRh0tGSfqi5GMlDQJqzBUEqe4Kn65E +txVD7eIycSBa3bxOZr0cyWBcboVBQ8V0gGYU+g2uLPPvkKouDmupqdWwkMoLLVWQ +d0Nk/Tn9fAExjZ33IObFxKv0JOhzhRJ8VhLbkEscD8QQ4WchjczOyitnUqHQMhde +G9nmzCBH2OSoja8FLpn27m3vp57fJeGiyVsvRNs7Mq56Sj3AMkVQgAdI2dgt4UBJ +Y45qsguj/vgHMbTqmKImcx2IDB3EU+KTeo4bd/+OAFraIxaybkKAnTIjCANJrYNP +QEqZxGOeAnwmzWwI0ltkwQUBKWQjsPKdhyJNWM/YPR04ArRHVLv74C8789fkqlgJ +L+IAyaXmWDw2r8HB85lLEBhnsqUV2e+/GAWospeeF7S/0q105pu4e+oCjtrrPLbw +TgftknUWuMSEyPRX1itbBsJWBwn9znXqBYtYCHYW7VZyMYqKaYmiAtLmmeh5MIQf +llUElovjDdpUBFVlAQ8HddfoSLkKFAtXMHqxsXrgHhaptuIoDULXKQDHeX2JZ5ls +r6D+ohH0rjagrqB7ncw700AdRuKn1TN5TcwFqbD0WoJAR6BVY7sIpkqd7ll7CrEO +Q/4NoaxvNxanxSso9AW0GEmlkn4n8fFtdJ/zQtlxkDqTAtpqRSoyemP5zVH5NjaF +I1QiBp3/gLsKS3hsd9zgFBEs6fxwpQaDBJlkimskE+pNiDz6J7Vje3Hgueb1yihP +atXM19vwoqJX3SRxrT9tUaaU4wjzkAlJrnP6ep7oMBYOU7f+WZ0JJRoSpqZfolPc +Z2z3N+vDat56L38agHpMdiE6jjGQMCr2nVci/Z1fiL68LT++s7jrYKLsYZrhqSQ6 +Nq2BF9rGdyJH7Aj7s7ZIWU/j0tIzZkak0z4bJDmdO/nwCu7oxW8Oks6VU+mTkPtp ++naD0XYJzfTGPeiYqIG9pzedfq5gM10va2ML/ZU/auagjjN80sDB4phDV4JyAuG6 +Hkl/qCXSF6OKAbSIF+gLHXxMcWLS2ZV9NfC5eg4WQR8mH/Fpa2SYCy8APXlXwVaJ +u+YxWXIe5hAvwF6Z2e4phiBuld5EQ4ZWdJ5XFDaI2SRcHPGYlM+4C/2Un/SvXbSh +2LHpcTxuyaGxJKsWkYO8m3FQ52uV5NpULJjeNlApuaegtTuBNrmS+K2OPDfVUssf +wpG4MRVyljOvY6ohIKZBsYlPpJyfpVFXjTPzVVBCUUzQCkvM+UQEMdSHh0wKqsLS +mYJvysJFME5QS7EUcO4MX3ByHYA9KqwxBnG0IDwvD/ei/d2qW/IPWBDUUol+Eh/x +YcUDdCcl89KzWAuI+9WyOdzg+0pVS6fVpugruJaXxZT4JNUE+s6WIdoz0isTYMtA +di4QLL3ER89OgeNKobIZmLJDpH6v10L5UVR8iZQmhwIuQ/qoEtPxiNDHeCoH7vjv +JW0mCwU2P6bUnzcn6LklqGg7IZ4rVj7f0yjmyOdJv5GvErU7LQIQkXbotR1rMBOn +X5UvjxKLg22yUvg5KSTev5g9/dWjSTswX3P0Wdy8d+Wm7cALT4pIaTsMD6FA+qa7 +/F8bkKYJJH/y/S/Gxt7jzFfJ1AEoLbtMgEhzyVLKmACb4Scwtzes2RjwV391esqY +4wh/leeeZR3mFSxbiyDLRdkzIUdO2yPecHaAYDX71R147KAMGaHTWcgPDL9P1kO4 +QeBg2Y1/pYWKNJaFpMCg2WIJ58hHtqHxMxoBEC05pzQLbB/Vpslu9AqoTqtBN8s6 +CdmtHEJBBTCAOA/qybkdi7/HRC8XY6ScAakATcWeIjAsel7CottlIM8sjq30zkez +ttwcOzFZXD24u0mRS6hH6SIUpWIiqlxrwbbZMzA49bo1TCCaJzQ3CxAdmVHFN90y +bp3f7CvRawJLJm0LnF1huPkuSAhYqT5uqtoANRnexb2LJchDVb1wpQ2RGdUP2oZt +rI3NAEMYMgBxVQtMHFAHS1S71CQPTQkFucOyoPUdK9K16abkrsQ0NN1B0VnEOm8e +Sgd3aCgv9P2VPG1FI9BMMqL6nGFQgYe5agAiEQAKTLOp3IW3qgmIYaIlmTZLsWFW +ciEmvZHmhgy+0WnK5ipVjQDKQ/y1JnwdNs26BmXkiA87tJFUD/iQL0Ef6zOOBVzd +dBw92jA+KhntNRW9QQawy0NIIbNj1WWOakGEZ6vxSOPxOM2EfaFa8enMYfbqMxMk +tALGMVKN76UwDB+IXLS4NqDtcFUphfHUjepp7CFGGECYsif0GMVwGkEI1wGaXKR2 +CrHCgktNdFeExAg/U0SyxP8ZOgxAYDMwq4QFiW2pMtCBvLrTm1g2l42mtKYQ8vpG +BEvfF22slb2JlZbn3KUunbOQUUvCfM5FYW5wtEK5Nsm5YVQX/65reFZd6xPalVr0 +F2oWhPEriye8ZlnOPF6g8G+wo2UBPmn1WNjCZy7pqAllEYk1H9yPpXY9SabN9/2A +PrfsMy3tqvMwucIK03rg5eteqHKbwGDPx3E56zYBBIxSPc5KGaF3wcJ0M6Ysx1FT +Clb5IGQei7xprr9ASYnOfeaTWoK2CB/gptkuKyv9L2n4A27xlyIZj55lx7j9PTD2 +ddZgMGC+smWnbRL5VqvLEBqAEr4GhHBoRqHmyypGMT7cc6mLWGaF4ETiXFgJ3wyK +Am76zFxveO9GjZ9w+UrFhSi6j2M2AaXkAPBwmhShAYN0vpoRNVKZdPziwI7W0uIZ +TBN9KMvOpIoJR4055fHonTKglTN1krrh8GQMnpK7YNH/OZFGnCh9p+P7wVH8OI6i +wdOGt1b7UYEZPZeoo6AQPt+7pAtXNvQDQUI/17PV+3dfD9oqEPg3QeqR0cXVQ/M8 +GMhXpEvss4gE3Mx7VWbIMhy1WJb62mlshTikH8635N8xJsj6LAf5lJsSnDpcvnL4 +8q0yTV0KLi1w2KMxgMLGUjTf8eZXx2V2yN3+p4leTvxBKjYs+qjBl5TdLKIa6lnU +eyYiJzZYDp8Ulz7l+wlVZvYWqA2MJVjXxHrDBaM7FW5MDg+BClfW+AgsxRPtdz4q +3SOIHVdiHCh+eK6f1S4jWI2sxgWDYYMaA4/ScOGiR02Ou3Ipg7sMKi32mTbWViX/ +2PO2NYxtliv82yZWCP7zWAqNJTWDJD44xjYNbu+S2zIRM5WGw3elX6nu7NP4lh19 +V5OLcU/8jRg0AJvawEHxvfvD4Ucp2Dhz9YDjvaarf7RM5JDg97nh/vtn6dLqnGTH +JbHFA7R1z4eaTuDATD/uNiZp17gqqkFsxDmnFnhH35N6nmU16ipI1vbO7nbCpLd6 +5zxHTh7rvKcPqgJyKhbvlIXQtLkhkrFCBA2qmmTkQnvg2uh8crz6Y9LQBLA6jwOn +0EoACZc6mo5XH6FyfgiVCp8C9AvX/71f13FEWXxD5SxLCIt16OnI3BuV8Ny1lYkM +GV0LydvoaFSW03+OZMRVy9cUj2iO6ykNq2zIAp3Ecka+G/Fi0nwDMp0W5/7LrI5j +zFK/d5p4lm9a5UU3DxDkU0x/llL99I5eMgpjUpIvCYGat7mZDux/M1J8Zy8dfcLW +0FBTAmi5n2T73LUlN8vtgk3gUTYhfoW2ZDlyx5jwgk6WtKIzGq4gFURHVWx0YVc6 +vcq+t1l/W4qOSgP9FctCfDaoEkUPufgCnFb6lpzNPgKlMmaRWZy5eiJXtJ/+eg+E +f6iSKB2JQu5tn2/W8Q/06Hat6CCoKN3az++1bDzoGfmjhdAXruKwqsuB75ijZebV +N4VFPjbnku4pzlBZuCiqN2caVpb5n4Dq0eypWqaMdMVHLqh23EDc7XfYzG/HoEeN +Cu7IpzSryLrmjPNxaS3v6MAtr17LHBYCc2GYg5hLW0WH/ExpNFAtg1dYAuVs5++I +iSh8wd4zqQy4S7f6nqf5GoAESuh39eOs8+5IRLBM1GjHZ+1tLuIgsx4+XvJKxUjx +s4P/n9Ut0J/24bqgvVK/y3UwOSXkeZ7qdI6+3OnHiKOOrh8GNrN0xnCGGrnCfA3C +ArvGSXmvrK/wLdrepjX5zVMqvm7b21Ew7z+0MOQl+pewRDgJ0K49DqR50N6QpIBK +q6m0I6aT6TxB4xwV9Cys+sNWEsjH+DowJ2rS3ds4uKr82ClVt0pR8/Ou3eK5KH1c +ghtTiR5nz0KKxp9NKekXexorNsdlVynsfhCw7FXpuUelr6Ge1KornyhPrj3UCZku +ih2szbOlXkClsRSnByNJcnwD0B2pef1F3C9F97m16d34MZClxGmxvlX3C0EA/ZTf +P0mHpG1BQVoaeSuo3YV7KgDFI4LF9iznf4lHoT07QJ0G062QSQKCk4FX9RklngiF +I22iFSHBnEFNKdNNtKNOUPtGXGeDiC20bS5jq7gUd8fdEepCfoTmFBhEcCOitHYO +TfDhL8PwWMDjq0lnZoca+DYkgtGuWpSJaScoB0/5302TW5farJTWW+D5KBIh2JHa +pFb2wjpMlU0Vv8xGG6MYUMb2HZOXu8pLNRnGsBrdctc+Fc+LXnTMVj6LVBfcUeco +S9K8gb1BfX3G3OvMsIZFDkLwfm9bBMAH9faFd19sK2TPYB0uh3NO3FRPWoJ5J4jZ +BrldTfRAo4NzjWgNxw0GGkepStbBsk5ylrGgcio081kTwBiek0GXjuAkE5Ue7PG4 +i0vDb3aEttz6CNml7NrDLt2FMo9KRLEbzD4RM0qEqOxMhtLXZePrCQhUrOSbm77F +4Uul0UVRwL5jbTKIt8kGWpWRoPKD/W15wqD1OS2o3qNo3IT6E0NvpJ7GYsMSdf4h +WYjnfggU+lNLCC7Zd914sqqyMkFJKMy1wGNZzrhUlJmISdMx/96KqrXgw36qQwgz +FEIJzpy0vC80QxuBXW2ddoyBOGLeQ7zzcr5PWIAfo4d44AqJ7XuxTe75xMk9GRvR +MJOhhltReT+oGurj276AsleWgmPpW6x+wZVGZatNfqsSHj/HheOOYIQzt9K1P8T5 +iqSz2/Pgz8jd3IcyXUM3WWm9LmoKzkLkSyCcr0F+Sl1sI9Bl1ptGtd4th+UxKz22 +bjNUoX9lYrntp/OiejSY8og9Qj3vwg3ZCSPOGajbhDSI0aBEJgx7DI+dCONhTyg1 +/64Nv0jBWEQjKD5Y0HyrSePHvUGnibVPi9gw+4xtRvilqW6uZ5T/K05qOuw7qv6v +aZvfeCW6LLcG5qXvt3Zi0f7FibDVjcRArSb3qxf6fjfrMAx65A021uwgKrB175TD +hWSdcthO5bwaaLsZfuxx9WgWqkow04JgtSEGw7ngKaYB7kdn/acoV7ruQL0wTN0r +KHqr0/QmE5YvfrtLkrNuN5UY++bHQUaHKkFsHddqSi/1JJHHL4C1JUSLz2aD+o58 +jkTNwTR18hLy5bNxOh7I0hsfy3PPyBGz4zlQFwpivbugs4GTYKFffcgmVxuG52we +iv00QsqEWWqGhH3JcGKRYYIeB+uEQDiQL3evmjn/Z6zSYzOxi+gwmJyH4SMg6dG9 +ScnY35O2QyxW0mbPmq4fmtbHSCy1xEJY5EoL88NFHnkFNW0iDHvMMvqxbvbJ1GZd ++rdQlqcX8QrAQ19LuIVDHhsE+Lfqbr+QUTUNelsudoDnlVO3BzJJ124F4jZSqBbh +cGpsDj84Kf8MVtYY9JPaZB3FcxdMoppgLZrnM/Npbd3coasfSkYAQ0J/DEH3puS1 +b1s9y8oF7uGgRMowsMg+2J7CuvL81vd4BZiGvnzEx4yxQI9/wg8NZd3LX7ZoC9Mh +IY9UdGL4AOUboBqZAavMYNemnDRH/aRQrMY895cF7/w13Q/RWLW5JUymoB4taYiw +y2LS0D233Bsoudwhq58DUz1fynZK5gCB58MtDlRAt8od2OJ+zrbsvfHN7/4CBaRa +OyDtVFNpRvwi3/PZTu2j0oJ4KG/0jtsOYO4wGRqc+RqL9hgdZAee+DxFg94Y7a4k +2vRRMMIxbc2TVs0jyFG/dGkbAA3GJMLuYYf+FEdnFmQwu34bsGOpSCLEMiJSZV2S +KPrxrQ5J+BbxCGmp1A2tHGaeVnB/Ljr4/bJnObxyDC/XoPmE6VYfoZfY4M1JPEMV +nTRsCeTOxr8AlmBEBnvaTrm8cqdnWiFM3QxCQuDDo+R4T7/f4h796HEUBbI+inP1 +yBooVavWI3BSoESotsCJNstsfeaf5a8kNmRfoxd/L1uoZ99XMtHCJkccNZWcrGyq +iNxsQeifLiDx5aijUTQvtWpv6OkbQXfsArFymG4bxXVSHD2jpleAZikW5M20TKUU +o8egcpKNwhkJlt7cEkN3FmltVw5rMHvG+fLSJipRRqNCi9ow63X+rOuLpBUPpOYS +zXvPegElB30CfTxd8iAzPiUEHe4vmRqWjkyJKy59bKs1D3fdZBDXP0+1pONHwtQK +oEtj9a989W2CK5+4Yu65D27/C9AYmRIHsAtgk2hS7vk++d0krXX2SF2ePDUMJNyu +jgT/ntFU51BfUzHERNu31qMiHtcHZwlS/+cOHOI70G895kd7EfAzmiPARP87ZyY0 +cg08QWOsSBmcP5D+cd95orNx+y33JIgBRtY5G0MxScFQnQVIy3ZAOIL52oCr0ndt +1Kloy+ShLpwtiQBQXrI9PTaR4Sdh352N5uJtxZRIULktfcUOmYsIU03gmF3Mzi9o +/77WpyAUq+e8aC2jbVPPfcRLvMcc3/OS/CkA0JlCmMcYoTiMwZBHrLlvkjWkit+s +18EJSMUAL7XjRReoxbliSDnKsKSX5LrfxfDrQeafHWxWdntce6UdWaI1C6saJ+eJ +W9ZQJLwg6i37tGzIfkFX2NZCzoQZtNLt5IVyX017Q2jcKeJsZd5d7a1xVQKQft3Y +QP+nuGLj00i6LkcHoYunnX3GTXxpHbMJhOhCAGXBG2RZvFbzkYriyLYCBqJXQWKZ +kv4S4e7L8gL3FCs6Zz1IUThx9Vos1ealN5JO6mBqSXNHrai5rBty5zps4HhlzZt0 +JAPWykCY7X1ky3BCPYDFPJaqB9M2e3/ABrRm82t/XUiDhPUXfEJ0PN99wCDpaZis +Qx0UQxU5LNyEFgvrrhXUAFNagg0dRcZCIhEMhDR6JlWcEaSnrsg7dXCER1cA6dOV +VgOFoE268PFTTKyvPtgJghWQ9heW5IHEDT9d3Y8jem92/MmzFfQM81RdgHXQ6BZo +p06I7iU2y+u/oWR2cCgI8DI5CiORJh10NEd9cXWWUZ/AZ8Oa/RkwSu0lhlL+NPdn +nErJ/n18xfnYDr5bnzByg+sFFq7v66thCOFfaxrfKSdy61KbtFWJzxQvOV+2DT4f +Na953VISgXb2uxmyL9oUl6uV6NygdrU2uuzerkks8NfaKPidUkjDMM29EZMko6gR +w6sgRoyjEHEEjzAL/H6GhloAf04WWT1RNH62Bl8tPyE8/XJD3WDErXeevFSiNXrY +F6qL/LWLSRSZWfhbqtaTIbzQVNdGY2+w9Zk7SYwL/Rbnv2ONC0VUk8eDbXVKBukQ +Xtk9ATbw86NX4rA2QUkeS90R9LzL/jI+tX674FrC6tDJoPbrXKMv5Ua4MJgGE8Sy +nNBV7VejNZPNvqtAxapgWxjBx8a2Cj8NKcPnFgJzI6Rxo2aDn74eLIxy3AqqQQ6k +vXV7NFJ8OqjmjDk9zLWSARsm0O58bz23YWxMUZE7P7ExybACm1PcWEco+PupOYg1 +rbiRnKaicU6a/DJ0KAUdAp467GU4zUD8I4rG0hbG60R3Q7enIMtpe4LDqL6OAkBq +6aUfhr5jI8OD27JglX1ffpjxqChrB3/g58MkqUEaDb08eWMJ6Hnr1Rw/WLW+Hg0n +D11FKCFpbyB2ELj7FdqjpfdzERhkyOA3+VhLMkxgI+9N7tOBTrgqSife7gFAo9A4 +n23hM3ZY9ccmr7Db9gE/7ng14uz1pJb/auXRnsoFJy/z0Ra7XFNwdwfv/3olY3lQ +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_8b10b_dec.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_8b10b_dec.v new file mode 100644 index 0000000000000000000000000000000000000000..0415afc1007b173ee95ca75959eed746e351cada --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_8b10b_dec.v @@ -0,0 +1,327 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +fIZYhzCFOHntBdrX1TG1jbJlyUSAufx2PU3ra36S0jBn0eKbj8eF4LMRDPACQr+t +kIJikUwUDtshh/L4jGAUeDWiwvSkaMCf462i4fxmfTjnDZ6gkRRDkk5t4i4J1oma +UdW0eVCx87LQ398VxMfqlNruQyxhuuXVoqW67OtnvaI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 13968) +zWRnhH6FZcRz9p18HWNyttJkjrUkek2WGvQmT8nB/Jx7By5WyFtnHCCHBBoeQQwA +AS6Rmxtkuvw4LIZKzGoW7rfRrIjprKYuL65j+cum+HDrIWZ19Dk0YtyaNdXKARDd +a7PWeRcE2uYRJ8vMMpjXIGWW9c5Tf32yM6ZAqUw+lhyS5FK5+Beex7aFVp8bTFCM +MiOlaxKBux5b7a/hmtDlBylBbi5jG3k4s9ZEoqbPNJAChLMT1kkGkdGen0OvQxOa +N99FWo8dPlNyp4Qg3iXYOLAlxHt+YYF7X4P/uuIzABB9/GPB1TpXlF/fazk2zA+G +A5e6W3OzHNEKdO6UEajg4oyDgdwADBsxv7oQDqKEZfV07ishL+n0aWixP10Vj6Ad +UoAwLMwzj/wqIAFe0+zXbcTuL1NKTk629jBSFn8+9ip69H/ahukxMA8vdOsgfgGA +vJ1Z1uXjdbQtCkNh/Nn1qM6J88Wg8H81nZ2Ft0GA6KOe8KzP6kGFLXvGP70wDtVD +4ofr4QHDEQHvhJeopM9z0XeCm8aBwp33U0En/fo59GPqyMFynyNhimznMwTttNq8 +p3vOIbFNrFCmpNUupvqDFmyyXy5phyI5gLNg26KPOpjtjFurN8f6BDB5cChw2ZCy +SBVg6ch0UAP6qIyPyIusA2SG7Nr0kMhd5zh3NT2ueZqgY9uhiVFANX/DEAWpB6p5 +MC0vJjVUGBfhADovlPbS1bMiFs49jjx94kD+E3hjEwspIBDQRmcdgvzjSP8FjUoi +cVoKBCCKLDEqik1CVTle8h1BGaEL0IcB/8tYQGt1+U883HhhiyG6Z/r9V+/rw3c5 +BaEs336csvl18ura4ULSgHTpJj5Rd8JfH78w07bh2LzwR6l5pnV7jYAI+yS0mtVp +//tEorovoFTnYHHe+plcXbsEaxg+S4HPQNxU31tznJQ2QJ8Jm15yKg0kkwsBaT5/ +h6+T9zPQXc8cUGTbPWCMjIpMkkeyFxUgeSEZ5WUsglxszx1LCB9Oj+RJ26Nyy0Th +cEUhCcsuzN4XDma7cqtoICF7PqJFF9Tgcm2pQNO7kfO/RNBaahKudYBjqCAqr9Sx +IFdA5skMRJr4P9C1h5DohZyCoJ7IV01bF3OH3U9PnzuYsestJ1K/5NV5P93JqIhd +VlekdyNCiklhm/rgEAe4Lvg5vZs4aNs0BGKM1LLc3uNfc8zpqf4juJ7LblubM9Ji +7HfyvPD0wgNpaOGwk5X2ijd6jRRySNAPmy4lRwZlvfhNux+vVE4hmN4K5KtqPEzR +6189QGfu8JxqydLycYkvnrV1jebB3sHmZwrqEdg3Cekokay5jjlzmfSNlBXAppOX +kM0y8joO/KJiSTcnTaHQaLLQtUt8GN3uNc4A5j/GrgEi3Wmtu0DoakCHmIjlZyAZ +duazqIgch0rcfAaFs2bTbQSbEvODVDOcoZRfq8BbMzyYNWXt+mP5NV84AP8RYZh/ +9q5FKUfMfSCQ8myAI50DAg6Xuov0Y0S4ouD6hmhay5sYBkUPtsVQQmez34ACNa5L +9bM3WpwdEjb175sRGMb6FOjFQtIQPIlPd8V1KCRJwm/U3Wzyu+qjUbmesFfsGHQO +tfH/TKa9VMo3etL/ZsikvacSUA+7UKpa+ix9KKNcUSO9H6a9bpL53zVLw4C1ZKOV +ZZY1aobPDuKtrbjD247K15O7WlbmSwkmCBL3FG4v+ItfE1aUWMv2NvYcZHvd6cFE +a6isEo/eYQXI8oZsEYAlN/xrlNDxTyrC35C9s7TgyE1VUQEBzcDW0yNQCbjNK8CV +Jb4mFb1aPP6S0Xte9IyLkaX9HKLTDzgq6/FKD39g2Y15J8sDI12qAQy1OWSTKZll +rp406W6TOagQ2vWV1ucRkwW+jIREWUqfVRf1nqwHkvrRM/aQaL2V+EUPl5ObWZm4 +EmR3EmJbVo6utH1uQiS1i/dieSF5fMLaYL8K6CELIDDlsqaJT1MBiR06Hk0Y71tp +Z7PT47V5GLoEYq3rGx+E5fJcVAT2/lOaFgQfUUNjNTx86dtTERzv+xIb62DccJ6n +yYRoawd/G3vNdO+rrw04rScaXnRsqatLkN/b5OCzf80H28EJmo6k5IFQMsNIh2Dn +ndez+MBga+eCj5Xw32SU8isr22kqKFHbzr6zSW9NjhXcRALk7Hx5dL4RBiZgoRiJ +BjQRJUQI0kYVKWp6EC7Cdfpe0ziW75appsj+gTPe867baWQ5zwLoqFqkJpq4v7Xj +ogNyRdgH1gMIWZA4E5HoqrbzGDPomdXeFFhfEvEMn0Al+68uFsXDnGzxL4mpbG6r +NMtMvOeAR8xdjKV8kqtyxEWZvnuo9UW46U/ItB1ly1NVg9yxMJEVP65ZW85uH6Fr +HsDS7n8ymVNK581VukANmXD3bClxQPQzL2z1hEpW1uW7oTxtltSh4Kxj2BlkdnJ6 +ct9ptK/AKVPi4OIgCs9rk+jIKAgJVsAIi5Cc7a/8Fn/FzeSFD4jata9eMLLiO/TB +KOAm/I0ivrpZBiqjqkm+6/lY4QrYOUCuSbMV0XGQocatzOBENzxdUhav4Q3S3id1 +XzGqu4dk80144rshQu8EY4A6oxH0yAsae1RpLJiSIwYjDfds33QY6GTdJIFrmY2s +iEVJPwX0iU7iy2lWWqnEkvtM4RjxdHBNpNKxJslolxF6CQjslvZV6xyYhCA1/MlN +VHYIFb+coLhNTBK8n0o7Dm7PPP93E/98Of19wQk3eDfieYYHbXu+nuz6VnyAFZnC +5IDvsSEP0pDV9pAKH8JR30AFWBkl5xVj9DuRb6Cf5dxefJxaVsJKCBRkPJFHi6Um +W4IEM2D9UqS7q5TZlssZ47eXnQsOnHsiAIx4P4ovkE6hPKOjfn4SuF59NPItrgUi +Bt0Qui+fpL0RRiFLGMsoQp01UjMVKoWwns3IZ16lAkqlOh1MK2iq93OJV70lIQfB +UU/GRDqK9MB7xFNVM8gezxIP9ThksR8spQmQFTwvFjyBPYWZa01Tf6CfepZRaqN7 +S7DiehCMlsrAvYnb3p65ZoD1RZYwolgLqc58tOYIhZXKBgWhX8lpPXkI6QehEVj1 +uD1d48ZBoXfced2S400Ro1GoLa45XiWq4ZKYwXoTNHenn7WxztG2KTVOFTimuS8T +etT8P/fdCX08rB1cx89iqPue7qGdWwrnz8HpNQJ4dchZxJ7Vm9R2SlEpB1hiPaT3 +55fPrpPtcyYPLMjvB4BTN5DNEL6PsC30j/pHFMMDBNHrBp2nJi1A+kKxaaq8DjvR +iWHFH1ukKDEg0RQEjQGCnm2jf7Ys3cMU7bngaR69y7PscshxFY+eRL6EFPWXj6T+ +uTR/QtBIWdDm8/zfPnks9esTSHbXru9LSRQOrCtl6RmQ4qlNPX2sVud72cqXbTfM +Hm/tFf3J7Lv40wO63I9fM5cnUEMYsjtur3D8QmOnc9jtCras/EFlj/5rG0HHoWz/ +xtNI6pI4eS6x8S/WVwrzrNjrjRZEGSRDPFXVWF4z4cXKLhL6zhlqVJxasploNZCf +ubIRAZ7UOgMNuQbs/DcgfKhqCrRmQHKzw7M24IDH2UidhS0DRk6qplPf0LJit+yc +6IHCNlQV4fuPXwDVGdJQySUl+vEk52fR22Jg8qwPOL77rurXj6+S7FS2pj1VRJaa +umdp6AYYkWVStYySdD98Li78hy0btlSq5PKVHaRJYyGHe0Ve43RvPZJNRQoBc32w +VnY9IpqquXnsrUyzyI4L8JOjVDjeoMtbL5oLdxXsrP/uTC6FGI2S++7gKWjj9Ax4 +oJXBGqDZkfO1eX3jybRF31jVR1I4OrYaPcBYe0799UCCu2Z6fY2tZb9ooXUz+TqJ +FXJs7bNFutIWhujlU6iTP57cr/V1PuI4FwURJy/1cf7oj2LnNRpmQj73oiPvXVyb +FPNZ/V0ZwMBkF0fFFvF5SaFCUD22iMMmSGGA6rGFCgffMO5ywLP/DLA5CkJcsh2h +x7L6sKtmaesEsTI+BOL2qTRjofyOhCs4JiBuEAF2yfX3lZfd7Q2N8g8vvDysdgPh +OqEP0iCFIz6SeB4XnkVvd8+Lcy55zZYyZx9NEsYO+VfV1Nx6vYwTTX9tnG7HzcrN +PexQPhOJjfjqtOS1sEJC0I0fSq31Hi3xINbcteig3ObMaH1gqd6hbA6Vlm6wvXhc +2nZWnBX5lAYPW+5C/dDm59NeN1MhRVF/X0rHcT9h3riHVny21UgESbBiml87cWow +JPilyJi7dwkP1iNr7D84VDSqe1aAXNcXjz+tPRVPQSf/aaBOKS9Kh9th5WRIPczx +c9JZ/e2zQXVfBmEdUdEYDVECVVXLUbx0de8wfHm4Wyv6vNS930tWNIU5HIrICQI2 +Sg+pfXWSVw5rBKapNt/fNuclgw2Jin9OvccTvc+GpQB0Xij+3mfHiR8jlopE9A5t +GcwsIP8b40RkX0V60SKhjgbkRcwaWpYejp8iKU/4OSwgSVNBfXjgtdZuXIKlZejq +K1VrWqdX1mVo3A3glJIe8JGXumwCh3F3KSOs50zVprjYVH8BNg1+ZxeabZf1CZZS +c7Z+unrrksMm5VFWGlOLNJuXDgNJ2HhPmGGevzRfX147EH86rHGaP1Uk7+5EgbWI +rS8QvbkjWYx++Pt9WPQutNW9AnJQt8KPxJtbg6ZDdAPcmZ5/H/j0UtFAj+F02OX7 +wv+xbJ9Tw7s37MlEfNDGJE1Ane5EBV6HSLKyT0vBpd4cuO1Z6MwHvUwHUiv+DH1S +0drKXRKU0b10mUmLOO4dafUgMcgQq5VBEDCclxEaCooIkHy4iRHKs2bfv3yB6rgS +ppXYjRd5gOE2C63y/jEwzftGt0YMomGi8Piv4FAyzN0y58zekWr5415EWxzTqFth +1Ay4DzCx4FRbKpgV3IT5tr6hqcRs1lK1hx3rRZqvYw3RMUVkuW59lkXErw83Mgk/ +dPlNun53NUT0jhHYO+rihp952NdHX6CMlW7mvLvO/Dzyj3qDPRd746TkYBaMjATj +sUOgEhEQsztl4xLL8DTovxQ6MqXSGWBm9OunHB5XqMhtUX45GGGqM42Q0eMgja/O +Ke1DwlMuZNVT7P1jW3MDbm/gMAxFRFYiWAnJMM58TY/cunXznOqxe7tN/BeWJt9f +8ofDeTD0o4rNOPfZ/GF2sVQywRqQth0yY64QV0sbJsMU2JIZGhfjWyBi5bPWaG0B +oUgvC0X9p14JESsTzPRBrAHQ1XWyt7F4+UfhiSzYEoDQwGRUf+JX4vzme7KWBXWA +gEFZo4RXSFb5x53hqJApLDPJc6K6JSgx8Aa6zipCjHbPQIVbZS0SM9KQFqJJpRn1 +figDNdiU/bUfZcGPKZeuHsauePxJfx3MPoo5/1rh5Q0F3+oBGG2mx+Vudg4mOB2r +4mFXYbvla3jCQpBL3mr5s4il70049CIwSG31GoLmE84FhXDEqB8Qg4YQMKEyN2ki +VszQv0PJUpTBBXwFQF0kNvUn7La+GvnGvaly118eRi4T6OuoUgOZ6FHY5cP7S5LV +XRZNdRCiN7f1dcOfsNv3lvm9aU2lWPn0DB5yatCkVYnuDGGxxIN+qxUa78y7WeI4 +t3jBrbhVGBNsJChyE6f3TJCUhVY5+GluK9ZGbLc2eRInNdV2QaC10EwHjJ8ZgJrv +g64jAAAjy77A5CEQGfSWu797NVUCf5y1NONUtfaVejyAlo6cZW2faZiYqLrN/JGu +9bve1LmC9zpVVjGmt4m8C/3hig/QqnEkaRv4pr7PiZ2YVysHaJdnv8ukXXX1p6p1 +eJAMmjGuK3RH+2X7jA6PX0Dj+S6qKo7unTFOI02cyL6EksZ1aaWOpC5vXNAyGXma +g4/qqCtT1+ZOGTJrdEJkPegSFEz1EteClYofJm2cgiItQ5rscY31c25JsFBQ9qNX +4bG75svujq4VD5pWoYKH/WKfAy4oAuhsvD+ngnPKMColFBPvkyuC+yurR1UN+0ha +RQKd7D96dooL3aGBgR3fS6S1cpIbd3C/Ar+ZxDLRLm3XOEiHtpXcNIJg8u/8SFji +WG5tpBvUcpIlej2W+EMfw8v8fbX0tUMgwTkUNJpW3BbAIB2sfDg24wuu4pBexa2w +eTqAvr6d7y8WDwLzftqyRZYvHSEJU0rsuIvWdzAdcCC8EWlGAiLvwr61kt6kb36b +FefkKAH2e13YDNbBy9V7nocLCN/SFwc5oaWUTm9JTEOcHxSNLat8qI2LjNqcE9Pi +gChzmpaJ6QMODvcjy/jUiGrdBljSz5Pp+Idu9/dBVYMGwRNO97O43U9u9zGDIPeR +lOm3OmKi43hLUI0ONJG4v0WATYj1439tzsrIamMIlclemPe17G//Qzyi+Ui+vmar +sXKrncqGT+axWx6Hihw23X2v3MMRWeMCpGg87xN+EI8FsmJ8QeFLsQzxxX+vD73V +Ua3Dk6tybj0h1R95jlbD6G4FpopBkxYDTKOb7e2sPCoCfUs21PmeXUI3XomwN3XQ +oQeB0LZU6/e4Mpyju+Y0XiBZfUYBlhGvSCrLj4TE7IvlEI5eM5q5FV0vduFCcNHP +EaMqEKF3w7JEk3Elt2eVEK3CTF3TXHzjOuzIsVtPtbAhemXWPGdUNOLqmJZ9c9IM +uy8+vGzFdHlRsD3wj82NJDDMUfdeWfgoBDi3WPcrdvVcunYXp0tY7djgXbfXEWj0 +vfsMFLz7mLqu+L7y4MoGbcPLcCP6YsVZ11cPxYRtTzz+amSzu6QasM/eyx3VmhTO +JRxyx/5XZuBioM3Rg10PkvE5FX+Vj1avRWZd+/fplRtB5jX4OkIHGX4boCDRX90+ +cjJpcPxwNhv+IuOWmSItCqSCsg6bLk7cTfJcqtf/pIoSw7ZewtGSnUIxkGHJhRKS +ux8Lpkcto7howSMrbMn9XrOedkxHT0l9cnvAFXt7tHbLwLW6Ozh0jxWR9YrXXpDe +3hVtcIHMLq8TW90lyzNPYw9K5Q+GyJnOFHGDGnSqU68P+jPF6mSfdFRPcs/N+Yb3 +dwJi+HzGqfJ7A3YfDz3bHf4m+Bm28h9zTxriQV4stfjPgXykfBWKf2KTjwj1MhdR +U6dC72W9a+lH7N+YFr5+OmpfPqznT+q4ORL/vvgmGE/ccSBglzVO1G/+E2YnnnS/ +jpiXhAzNCo6Y14RYWW9RBT/Kduawpc0t77jj4ppMpMHBtshJufHXsVnZac1mDwCN +pDsp84+KBRlMc5OUamWIGdKUobKpHCUsbErFhOwq2HbjoHC5GCMMvHt8wsmC6Xti +SpiSYnVQT5uEM6+syGU9rg3y1C51BNj1hAj1Im2B0Ba6Eu4G6KhotdDBSfKHTK1T +43QkhKzDfRJhiv+wC8r2BWJsoD2lLeJR6vQDprH14Fe/otz9VmnPXofJFf86vZaz +boidhA8VBIfOTuBTspH1VUps3PP6XsC4oYMmQbnrh8IBheo9M9Pw7wQAElhJynTm +IiN1smIhmF2lflbtU80IUtEYhikZLxWQsdZPU5j53tVQ+DVdGVHOhXIsBhVBHHyx +xwmneJjbjJQxwUsq1dRszEmTA2h+S9F3wdoOOX851m/KAqhfb+wwRWZd5T15m7vi +FL1nmwY9jDSPLP5tMBsQSfQU8aeMJiQYMEZPygEJPdsVDKMt9svwhDvvZbSvRqDb +bB8o2geaf6ht30rqHnl/bBDC+o+DgiezYS/VdoObVr4u3exssCMD83YZUQtW3S4n +LsaRNabnecZAeTiLM9no7glp9JiRTwQc2VkkTBZaS86QMnoVqd206Oic2w5VeXJM +jhTUqUUQQU/qC8C0/37aA37kiSCnSotWuUUXgc5wSBwVgo3p3LYzKh0LTsWcqxWR +64XdhDYlvvisv7wavvWkurq+oNmu/rh2/EyoAcRN3BtgJlgqcdQu8oKtVq0qiENf +Toe+u7DkfMpmtUeiTelIT0uYdbFRZ13LXHSeSuEfaakhV2jQnPzKDqT2ZAA4wvRG +9k/Vk4lFLTecMYmDtdVnAuW7/1RjnwM2/SHMT6MRc7aSjGCXxS2LpIHn4mMQ0ErW +9g8dnjf+0IQpOznoZrVOh8y8sX4WG6hxv7FhD7NOknYCVRZ6Ng3jIEXQ2hG20zlF +DYrPgEAIvYF5mO9IdDjHTKuiYydjMHgEFQ3i7j7v2wEE4FFjPQGrbsVkYkXaPNlP +A7hjQlZqP8QBGZsa9YHEvCucYzbVQ2caHFhLb0jZuthv2FUaYqWrczy+pN3bz+HE +J55adPRhwspZz2JeG7q3bsIHKxEJFch1udYhvvtbausJEQJVP7HJRC1QWns3i6+m +OBqUMc1jBPaRqNm6NOt/Y1iLH6RobxbrNeA1bSRRvn3wI4w+4OMOlCTECytO10yU +Drw6c/sF1eldteBDWCMyJdJuDWZkFe2g2zFt/EAFF+IOUe+nsGxt3cJkMrfqh37Z +ggHcsZXIhpqQTQn5Ldz/TcSnrx47+eBcWBjXaTczrF9vAs6rkum1TRkFuVGArlRw +mZIXQVWIj4ol9PYIDwY/xVnK+p9gjgBIEVN4inZUfJ5J8xDU66o1vEh2B0NmjCCM +N4xC9wSCYcD0R+SK1ZuCz+AIid8fXkhlftVcs3RpA+roDBAU7ZRjmt7qOdnXHWUC +77qAs4WayuzUNZCoI1Goc1+y+WmMlJGNPqyNG9sT0/4qtH489/rkx5CCpAAnBxst +uCSbFY0Vg/D3meuomFGumGSZg4r3TQJGmIRR19Pmg57lTjRWhGodrHvuRb5R9wAD +kUwLBKvuAhvacKUVqEN3Gf4xPc3tirEjbA+wUZW0fzqBTkwqk4IW29ayDBmOQGN7 +AYFdYK1vPDf+u5nEPcTjnYpSRJTsYwcWZy9QGr9/ip/kEGzOc+p0t6J5BmZYvMLr +KiZxS3h8Bl7hV0wJjmixIWjxbjuxwXGgFxpZQoDvdvhNI0PKvHb+h6VrclGgbr7q +4bZeCTAcH05iiYCnc+VnHjrw5MsV9Wz4q66x/CWlfnqHcNp3gqNGzexW6DFC69jd +JXKss/KZA3WYrhpOaNrc4swbq69905oDwRl9vvnX3oAI1MPRGYZgbr4BW5w7BDtB +4aq7QsWHRlTjX19rLPkw4PPCH6O5FN3/U8/CaUEP1Hj5VwOJL/dmQAgFi0fehnMB +42v9/WRlipSM5fy1o3iRRmA71xKcwSPlI/Zw5HEhfH3uut0kEQn2lfL1wqiutQqA +hWxIj0E7Ryoj0GiK0j2FxvBAnG0mVNVb1/T6GOBFoJ397kS6qU0V2nWo+AKcpaTP +ZBGc3XS2fTCMYb2XTj1lgpedddvCtB8iiuU5Ux8v6HEoEOxfXTpk9gnHVnAcOw2z +uqYQ6IWggbPT3/WecbA+cWGGxuWaBQ0Un9yAfXojYbM++EyYbaiW4ppZ7yeOJovo +c4OEFDuokIsv6SBWmTnQhrquoRfHhF8V0K75v6GW0svOhsJR7KexL75nDZUAJkj5 +5yP9I2uKNCEaRpsNo/agxn7j/RAdS+joLo+NX6NB6TerVxtM8OvuMAAlT0zbOrYj +59eGQUtQItbEWx9FyL8LzYMU1vMth2vRwKCPgutTz+hScCDeZgw4k2nPrI6njJvq +h9pRY2LDF49SLTOvQO90pR6XLLhD2L1xBy8cdFmuAhDQGfG1T47Joty6oUgVAyMd +vCM9RLuqzBr9+T6um5rjB0YLJj5nVQqT6WgluPXFoRmgN6aSigsyijIsPohjAaD+ +G9jEPJiF/e9ClyeWU/fHenqrUQvFcMJ1dBuxUPHI2k8OA4W8YTJpJSssKbyDaHKn +rHiOd+Y3hUfz2AmBswiiBzE55OkjrZaqbFz6/UxqTFbxZIbGTD72hIDw1Jwzf4UD +1/AjCp0Sxn5JuHZ2gmrvVWSNRtSkEH7yO4EnmDEHxvYZoER9o67SifQKoxqYPuo8 +McwzTzyU45Nj13KGQ+qEoTfrz721ytT+LE04ZeHo2o2ztY8FRoV2VcNfcXvyDa36 +CYxSigg3y0QgW1PNlDTnTwZFMly/Yu/hag9y6qBJAC3g8MNCpKQL+SakAZZP/NAP +d+dHuSqmjmmBvfJ1HX/a8echSc6/w7POPzxCSb9tA2pRvBpLlVqSyOd2vOR7n31f +5cbR8YCHKUp9h5KJZLewnL3DIk6BiNkg52m7pWkoAKTPrrdETFGW7bBx0g+DRRji +9IjS4s8YFKv7BIIVyU9kGxtS+Uqi1OYTNGPoY4FEyjYEZJzgzAtP5l1EpkCYpvx2 +ygV0B+RCla/uZdCXHVn7rEHI2TuIUtGMqpOx1Lag0QI2gH1PmQVnZrjc+x0iavm3 +f+s675DaqOVwzxvso3luUHwaBCJhYKawsN1SGpkW9DwXXumPMjciVcWrvMUPoZji +OQ/q+vULz+a5knOj45xbeIX67jOSK0fqRtT9FUTDuY84AcgUHdB1rDd7SrcAyCVM +umPGJ4lmX0ImYfSH/y4Di/JHaQdapsMP+G3cFXMJfYP3Yt4E+e6TQaCBJRMyonXf +vgUupubgBueOc/waOhfjoVAeLy8oxbGqcgNg+oOp/pWISOsneRMu9sUxnlmT18iT +bSke4JvM9e6EBG/hJ6Jv5Tpong8b7Xm9Urys8ALEi+KqjmTc5UR9lVN1GkgRxsiE +sHS1CD+n4pcQOmRDv+lXM39nTrRUWATMdNwaFwuDpKOXGydlqYB8CRgqSKLzm2Z4 +i3Edq+e4N5qgPZJrWKeVd0+fmK2gftHj5wZK9jBPq2u1pW3K9llFcusmbSIMx3lw +UgARPFNMvdEPwqEUS2xqhRfV5ktrRcKQdZWbV1/I+BBuDbxvf69HJncPPItA2Nxu +zWAqW15sLkLNqYsOjVDrKrDB2HGzzEORjhTr9PAfk9u2gIPOrF7pQsJ9o11T/oOX +QujQMqjOa5GA9lWOLivXhj6sOEtAaGsbnEU+XvTW4rszlN4wJSxHkK6Cb+vUjgKz +ZtO910+vtWH4jVlAdcAWBy3/liJApF8AUxf+R4ygiT2KLb/8GwkNp50QkVLQCiGR +nUPi/YKXaAfi3z58f6ahMkOatOL034HXEvSHkUZzXQkDLJqVgNFltgLyz8LrLlHt +ZDtaKQz/wB6zHtm8mHidm2UvsooINen2EwB15tKTO4MgLeVYAdqCmwSSyqp1w2Ct +sW694AueGlCEQVwGwZnzg0oFfqqgPtsNncUYcfLN3sX9AJrCdoBbehlOu+kNy7yd +4k4GwQG4GC6scpXYLHRvb3/zw0L6xRU0v7QoHa93Og9rEuF9PiS2iu6U6kixzyQs +CMry7HkMZIzNgwAOxtRyBhW15xNGGWRqendCiTtO4XadaP654Trw+p6nqqIeJ9Pg +kVn9WqLUA1tedCF1rFKbAKGCbsulG1KKlg+ty7e5iQpORsmhdml1KzCwLmSb6QKP +VzQLf+vpDFjIQ6obYD22OA5M4XCWFrKQzDDPAXtDlJ0PZ6Z7/M4skdD8nJjPlkh+ +FDCQRzKqzAG0w/IU1CQ60irxuPHd6AlJStScEs9PNPFl76zV66vyUCv6BnJxS8z0 +QQ8DLRf6ygJXb9GtkDkwjV8ooArx31Ks1piRVuGL0GpGBrlDM0nPOw9lhSagsjDV +8ivirt9rHV/DN0JDUiwMoZnqB+KtuKip0hVd1c3kGj3C/HBb4oGhPrLlD78S939R +dukh48r54tvObRlf44Tv6NVIO4cvVn0fo3sv2wI087hqnF/qYPV0C7J+JptsrcJH +YpwRkA7o0VRNp1N7Cg+5xc5Kbbf7ZLA7ksQ8zeTzwFCI8zsR/z/Mz2Dp9BitdgBH +MKzYCmJwpcPMT1ndUEew2cG3zW01DWH+eEBK+/cYVbyRGw+4zaIh8+vxSjCwmdX7 +lYKdQ05jc8FsOA8Rq6KSqFzS+UMBhpcDuHEpXzzM/JXJuU38dwwmwSEDEWbITsDl +aA6/e6adYVHiOFi7qcnpdDfHxdPtTthvIrpFxZ/yDO5Sdk5HAe7WfWMRFudVGMVo +wHdEQar3DxB/iOj39NE8pC640+M0Z8Ht0RN3dhjaOye8Kup5JHRbIeOBJ+80D70f +CtSZ3wniW041UR34/iZKBqpWE/t4rKLO6TpJMf6lF9nO1o3Lc6wavlCXQ3pZeusl +9FBSPl4bTRCyIzDcYDKWoM4YGM+juv8c/lh3h0fokyy3eFZw2cLPtMVqZ/gHqPPC +aaHnXTIzH4VQ1G6MquGOrdBQ0u0VCgiPkQ3jF1pmGKpfU1Q/PPAALd6I9fDzrtBB +Mz7tDrEiT9GN17Ub3rMlUnV/dCr19wPgibJNB7DJ+EADhD8tZurgGGIJJijTqeJ6 +N18sz8W3oup49iVGJUGtyBrnxuWJWS8dU/1P2wZmxc3PNeN0/jUXf87cYjIsKFBB +NJ4r4IlI6J/rSdRo2+WIb23dZbhX3hzd50ol+aoSgw9Bu0dPUYDfqeI0GlyEQGt9 +nOEI+7LjcUwI35uZdaUiMyw1uKnaQRx8pSegQ9Y8HatYwNDVVo1Tk6vXGMbuda5x +VlvfKlBaAaS4R/9LJPAecqbV60LQpV3Pkkfq3rIb4evDnTgJSW7LAgQG6n0rjamE +6pe1HyP4mcF8X0cXcZdhmYWh5Gx6HR5gA0322H02wjS4romP5Ay9gegXxuSEkIwD +tUwDMplM0z0kyPnhqGZDkmhwCsY3pmxIqvBtfB/dhrEm1zLCalzt1gHPVBFxd/45 +qa8HOotJsK0IqW6vOUjQ0bg9gBgaZZPlnX7WwrusqUrF/suUp2BEIWhDUkFIlBj5 +s9/GgwzlY3sRBbZJwYoOV8/1Ta9IJNUlubASvKVtAsc/KwNaRQF/c19YL8iPsyww +ruyGKA32GgUjBQPLNj0S5MMeSOqwvCR37URwzuV4nyAFQbH9sI6ucZCrDVpEL8aA +87upxle6uRw5fARQ+nn74nSPCtZn8lQblOoEgSaME238T561SsLeHqWpJn3MqgZm +tzSOkrKxvtRjtrxkZBUoKxT83vywBLZdQzMowt1AaxfCaM3O8P5Kf18vygrYPW7h +pkugf+RxfESudQcgy5YxI75oYT8pKH4aZnGAIMxB8fkAFWXDeQAACm7weR07CrAH +FFd/XE0vvec+W08R/vDj/3HkN5ncn/rDFhoANzPgtLNSAIRQY7V2Sa9QFqCKTgo3 +fL37yPu18KV5pQnypHzSEUkYYhZRP9k8LZfJ1VNqv/p2GYSjLXN85IkqyEknFnPW +W3qC/92CCsf0QzbIKq5Ih7ffWBtT/caTJGdcVGnIu3Z4VE3FHTRMY1BUjlUeRzbL +kvwNRhS4hsyqTFc0wKUzY+GGPSaoZvot7ZEV8yzDJKSUCRcdA+OFMyReXxD4YLuT +btpv1s9p+zd1ZvYeyWtMHdBSACUUKxWQIwwIiAJeeMdpOKfubSxRSNiQMHj2JlCf +TPzpWE4eG7cqhyxPMBSyewTz7zZAw0MS5rvQqZUIb2YIgi1GPc/UBMS5uAE8Athc +2r41O87hb0PGG1r1LHlx1XuRpSzkcog/uTYvSr8IK22QxKXUpR7Bz8IRZvZiSk7o +g4wfiC0WTHm4t7OfW3kr3C8m1NMc9HRL/eqy7+8VB+C8C/Wgndogrh+wVdswn52c +zCemz8FV3YwEXPfSQBrPGUOHcOzvFK+QDPMRb0+uURXn5puWMGiFX+mBB+S1kzhv +PjHXHFiBbSkzqRHFFP3V9RUazQX7KNVFpgo4bj163m2MSwhjIfkMiuCoa5cR9GQp +AhiI3t9Tu6mmcby22t10xzW33C9E57gfJP00VKWL9Yldt0tTWszWgvOfjIrMtaco +AMnTTspnggWhp9zKfI1bLiSDX5VAogQ04ksKUW26f5qagdd8+AVzSJqiMSWN5yKY +Gqx5LmbgqVcUCj7934qo82kfM6BbW/NQiSZ2iEW4e7BCKd6hz8jKVvIjzetAsRFW +n7H1qt/S+f+F+v/UkME+B6QWdxX+i5gykCr+qp/x4+8g9ehRyH6YKBZLncgILOgZ +kxEA1/4W7bEVK1aNZgMdDhzBqEKm1vWmxTI+7Ss2Q+VUPU5mgbscP8Y4f4gT0br2 +a+k+RaecuHhr2lKCMq8d7v2r8wWrH/eUCMYH5JMHAN9kLGgp4QAG0/QBieENteaY +lYBCgSx2kyOpE7h22Vcz75ve9gM6SL1cmfNFssn3KYW8I0mY1993buJ8YdD6t6dB +Zd6yzmXU8r2+/g1Th9B/V60IrN1Gi/0h2KbYWWr82UcZPev3LudNUQziggHfHUAe ++KtBz45mXx+jolu2NNq1nNlEZymTE/08/4afZ+xJsu/ZEu4GWNPzRiUQTn51vcxp +JAJWyiboLYiBtU41O6sg6WB0gTBwV43NdtUBWuMNL+Hg8TMC7GrNibVkFAeihhVd +qZ6NQX5Hk4QTY/wYMOZ+08+FwQ3Eh+PQkcqXESkn3fTWTnNn2iScXEC1J/ScuXWm +FK/Nswwm5zMRbAH/hPyMCKp8RsJM2J4pl1HL7/EDP7Mvw1OypfoAhg3+eSCNVNZj +rr09eEVSXGu6W/m/pyQcNWkYHOuKUesSDauW9D+CuL7mqlbQlYTKcQp9GEC//sQH +HlxcoAkTXxt+MdQui/EwuzW4dXCvwS1/OY7NliR1dgfL3UkAfJDMZPqRfZ8+JeiC +mw3h95I6kLc//P1od9Ryere4H/04og3xspKr6C7apdJyPLFVeRS3jNpqjQV8xNHA +ey8EpGsa27KQs0FRBQcQPg6+Q7uOJPmapzUFKL330t8TvT6TrsANLpMQJYlgQIxH +AsF87E3Re7kNOTFsIabv3iTBe4UVW68OkR/Bw9z2geCwrqaelHAURjLGWC3UxSEm +9DN317HrnvPA+Quw3uwnVkj0RljMAOQH3lPFgVHZQf9zPr0UhXjp5XV8HCwXuQ/l +HhNx7R0gav/1rE5AtMdjuwB0rOaxwDpbEKjYdNFPgu5o28m3MG2xsMKI1GlXxKpN +/rzXBzCtdhKtwwPVs+qmJuVRFnSTZ4kCipPAE421lo4EZHPr9W+6HwlpVlFnj0cW +inoHHMcZPB4zpYEkTcYhww9yakqxRNGI1sQgNIhzE8YlVXjJjEAZ8AxtbwODbBqn +KUDbiHSvmeMBzcUhbbjRPUgHY7B4A/dQYWsyHvhzAjZDaDi4uPToBC0CnaS1knfy +ZRevQl6nRoOSgx4A730ZTy9sQvXs33HbZYCQoFm+N6lPCYNWCIoxDbJXVLS1Be+l +lGtAkpMFJCkxgJgypDUSW3mXV0SXMbcMrEbiAMV2YgIbsxLievuj3HA4q7BehUhm +G76sAPgvDPOBExCQApWvQNxcrR4FnXPoS8opm41r4Id0gv/8m25GIIyx/ayk3KKU +xfrJ024IOXQMsQPur/ZNMoa06IhMn8wtp8Kzboh1oLQ33O5zyrN4jza/PdYc0rx2 +aOEtLTlUJXkNcJSrsmVq/63qezxgIm+8gsFfdvJDy7PygzedLeJUdbHyFOMXB16u +8XrPujOQNPgFmesd98hIU4JYsDarT8C/HqGpYOq4+WfQUNOkPw2ttKMz88eGXYCF +1qZguZpOF35s2/ldxq11OoLFgMXnn4HI+ujNcQCSh3HW0NspWM3xIW0asBnLn12o +DgAySONTcWRwcfi3LJe4tgS5E4WN8afixemr4gCMjtSAfX5BLeVoZlU9Qx5hk3yR +QtC9RkdPVlQSSFzb2xdKFgloF3OIE37aXPbViFb1PbExc9Xy4qZ14VcY+6/tcLEY +w/0J6fEymPM+oXJf/oJ+7a5eM6JdgDHVi5aPDvavITBUupavYIvf+CVWZjBcdbQV +dS3zok+FtCd4TUyvMmU4ytxmtCyC7EWHKCEd8SNWesj3RZKNwAMTQkFWLmiR/0Xl +oWQ/KMHT/miblJqS32x5f4S8Z+SZuQvQk5hwyYSVQNDTNkqYuMqrGIbBP8DWmI9F +GzgsFW9qZ9C36tUmrITsgIvQgQSXuLIe6fmyvv51u1qhMEWVljC5ldCRjy+NnsX+ +VjYuKOT8TEcULJinQWOlTsbs1T/zv6wHFEbmcXLzpQr3tNfcfEUgz8Y5007SOzA4 +nMzfanIzAHdQ1PuEKRYfAixA2XfPtUr4ejosMVHt3Ix7kwMRUmLtVztbTcM4eRJC +cBDo0FJi+a76mZTMfcDbBrLL1LN+g0jVg5lKjzec4VALiT7PuLEJh6RqqRpHoSQm +mcVK4/0naFamI5UO871bbuYQKXBHHFDCk2j5cqTSGnzJbOzt9t4gk8aOLukOKeNh +cOU4PNEx+vtvF2sPOSnYLaXBE5S8hzUcKxw0QDZid6eWPHgPByNiI0FMiC9cgw2o +tiD5R3r2JpGHnVH+ua2yQRhxkHHbyNHQL/U4WsPNyKsfWf7wu1SBFOu9bBv2Mlkm +2xm5XlvzZ1PpAlLDzvGuuueUfTMVPNZyFAefoFXmrUexAyK0yBmP8bDBO9Ro3rIx +D727LjT4MQnCNoJTGm09yoGKV3520yTvAmagtfV0H0vQyyDH4xatYCtjr8GzwJEr +KzXdDOUmRIMvLl7/DIuOc0qnHbI7tHsiYb3JpADRLOjvNC3eAHdIdi0ApqMUPV/G +lQkEAxWbZ0k594/uA7NBk72wC85U7GTVJeNl1m0LJX/Zg3mV/bChaaQvygRIJ/Po +ZFPjXL3arEa9R20wfSsM9FYvuk22KnMRGK/0irZwL15d/8sIijWwIVlsiRrMW5uv +VlSnCPxLPRy3ZklVYeh6KT1nvnbj40joKG8YuW6LUaDOXcw6abodREntQ+JGDYKp +lmm8erZkVHH8gdsc/h8kbz/9lzPS9aniRXjLP2OKyDVKwGi0XcNI6FO4lJAoox0X +/sn1ZJPEm0cKKW6PUu2wKBRDhkUOZeUTu2yB9yb3Yiz/Usvqykdg8XEJUXY6JCKW +HPwUxOfJKSiQ2WsHmzjl5YSAtjl36y9LHKiNSSK4aSqNW7diJeKj+qp+L2g++2IO +CXhGtb3yWsZZyKDqGQKB6/3L+/XAX01pHDNCX9xZwc0bRHwUXNzJC9qo3MxtcCD0 +/Q9RIlUxVRH1Uw307IoWMz5SEQ1EFj2q8h33cnGCimLv4wEeOBxgeq+ATF8TFGoW +F8GaU2fV4ovDMToIc+/Cl3aK0wAV/ZJYdC/J0PAECIZiROHNjGkQLsbp1UAJ4TW+ +0nxYoc4tD2+CtZrko0xAxBX9qhyzHZfpG8bHex5ZrFtvIaEQq/Dw+8pwr3QJWkWD +a753pSo7cqQN9M9cj6MljQ509G1j0j9egcWZqs4ANEIgIGOAhBeGaansTOVZPx2e +/1teqVxSVOLJq6tW+3xAhKvKKMOPjd66qDVJ3vFuPIUaLEYSg4qhrjZQGkLXKRva +tKm2Bwn9zQ6FQL9vIkCttK/G//3ShKHpuWUrszMoUbskVYwN5tU+sE3B0Rp1iEvz +YnE+nBqWUS3/z0Vr7CQEAAjaO1o4BXDhrMo3oiLneEQM9vWICOHQ/YzxQ8lGfqLh +tqkmdLPc/huTAfHk1NloVomYBprRXirgV2oJegGkUQVXAM7hwYcSHSeW2+VgswuU +ux5sVRjDQU9DetWsqRTLn+U46kYxR+pZhFPOMfyY1Si08zRSctRNf6387Ju5Iwwj +1841CuwjuZ8LAJwbC7ThI/NW+MwQhBHQ3YWPTdBUVOgc9fFGxlyvpHJnUw9tNr4y +MV7EzqBonwBzfp0HX9SBsNlQOvpI8uRbdu6zO2EpokKUkaXyeKEQErPLYDSMrrH3 +w9pcaNDLCF6SPyI2A/TvRin57d6XfaoIUFJkxCd6PejifoOXoPxBxd3dVOvQLsDB +qvZT0QSvjwkhi3h/oVgFubcEMLkKr2DitRcMgoO45BWhVy5u2Bui2EqLBGZz7w8x +Bqa5+raeXDFXN2otcQEeA3i9dbryzy33SMhmRWlfqUTE0NjI9Zp+hnEFqsumHDWh +Sfp8RBd4pg4gYXnfB1vfSxAd4sEeErYw7wcSs7v2PvU2SaleCAuS11mELOIpYf2s +NKTG2R7JjLc+8C/5OiaWy/elDs9YRTFebihRAxLLCI+LKcdqx7gxE5mbb/dl/MgR +5V2Ut0zQJQFHvF5PnONKGubAP7C5XJx4XJNdpHTFJhVSpa7EJE4V3LD1+FJ0ik/L +9M63z7yUPC33vP+RZY6ZKQSdUcc/ZUzcNEKeCy39O4MmRedvlVKeqNG7qxeTe0i2 +Cpi70MDZT1m/U23pEP/YFWbfbeY9TSmrrum8wn1l4TUbL91BWMijJztNvxZiPurA +7k43UFF78BU1z7ZIJ7cL9xqgcpSwwWgWwBvqFepF6txo8sQBY35iFXBxGqZsRYf7 +dm5U+I3uhuIPCsshF3EjJWXHTMiI6NiijAk3rcJTTkRdJQ8GOmRoHOUCj/DM+IDS +Zel3eEStSsy31KdgZxZCrttHCscX24y3rgNv8wwZpnLGntRyXZwtENLxG6bNgNF5 +0SNa7GobNByo7KK7Y+Gwe/7bugMvxNuOxJPLwq94FUXqOoh9QU8fnHstMkbb6IE+ +W77x8RSgmnTZRdpNTeQGfoecaMBUhU3wcECcue51pvEtO42i5h50wUcYhIQ/4kmx +2Lo4gVa4KFLZ6DVuAbs3fSR8+QINdGF4Yy1+ucwautB43NjTAwzwJVQQiPt4CrMd +XV/ZKaN0FTXiXAsPZ8YCtnDemFSVhs2XV2m4TRUg1V8Y88Suv0kdtE2p1rFxY3uV +RDuPh1I5b1jq5Xjj9O86R5FvLdg/k9YBrRRoMiEtq4onjmgpl379loGIgh2aPMfj +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_channel_synch.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_channel_synch.v new file mode 100644 index 0000000000000000000000000000000000000000..c7bcc962598d136ee59da64204f9e783e96e6339 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_channel_synch.v @@ -0,0 +1,458 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +YJ19AXRsKLL80QCcR33OiwwkmqKb+OBCYAWDQBXEnraqZNChbme201GTe9gLHSqd +j3ATl/MrCOYvUz84pWoKbuELuEjC9T7xuaLY4GxN/nOFo2bhHaGFdkj9b0fXaPcA +mwwGZAAYdUcen0CiaTIjN2wxcEOmMvP0aX2P6t8u13U= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 20240) +dGAg1i5evMHqothSnosZismvmod3SQXeZKCcDZT3pSevYQhZwQPpJo2J2VNiWHJx +Vct2heoTJ8IpZSvyMbpuKbDatVzUYNFNIErJBKZfAjn6DUmYqjutQ/vrfo89+B0+ +ROYNIqb+vAQZyYAEG1lwhGBDocpipk4j1YSA+KDN3oXFX2jrGfUVn2C+kcx/aI/h +MejqUhJE4IbYyH7tnKfP114SFscr1AKdt0YiLCB/71vv0OmYy+ioyJYvZtAF0J5p +NbaCVpikcK9zxVxXDyh+kNwBlaYv9lHV3JZOheXsEcM/0b3D3UQGopGCHkEmwiIn +J7jDEPbO6pPqrs7kb7nI326QHPGfEY5XZf6oqdLZwOW1BkHYyo7MpaD/pL/4poTc +fKVKB1cACbqYbjivFKBWIaOIoBcBQNO7LNOXXovyoCknCtj1WFSYdlFmAIocrZrD +3gP/yyouEvDJpTqq2KadGalAtc+35SxNZPor6hEjE0Ch0IyFENTxymY0Qx6nGd6y +mzfk4ZkpV3eQjR/BqkrmyDegapNic9djEsls3f4gHFffFACnFyzNfstVsIMsvQmJ +CsRKdvCRG2GS+b3XK+RO6rMsB+TUMWtapzF2c6duNEca7TDJnQkw+u0VwFuHCXRX +W5AR4cSdV4fn8G230//bQ7N0v3pfmvMH32GgG7qUrcA1uhcwx7qWRuJ5XohCtehV +zqhkXz5P5A7bn4RawQhCM+AIwqQfHBpCimytxZQk9jtsWiezedfDaFzBnZ8Ichr5 +2i3gheIcRIDPrzmn9vgW833SsQHhfUAQJWgFu/GHlX7FKFA38+1CbX3qhMe2H21Q +9E5rdWre/ZGqXsNXE7zw7JdzgmChescBTVwj0k/QFBfLYdW6vm5seV4So6r4jm6p +ypM5qJZ5WYGLLMi62wkuO4ZQo/v186ltu0T5pNHj7LKzMC05GB8is2tjl4mqhUqu +PZ58bYC2m9lQvpf8/W9rAG3A94GuZfsQ0ROw7In1Idpaa7rQxVqMUvJDlHGf/05F +sMDQhYzj4JMISxsfWzTSU1P0EFXQbLS0P9uQVy4+8pI+Tnhu4nXW7ShZq7RGYOVr +72RrmgSXZtL4+UIiv7YidEaPCuoU4olBfV6BynG4t4Urnejtqp2zuVgag8/xnGPg +siYjTsOnXPG04x3LRIeFQZHwu3n1UqALVpHuynRcaZ6kP67lWzsnJyw6dD+wEu9a +oh7HMZGHibfiSCJrwn5anbsZdZq0mhX6UBzI/xbYGLSXNpyKLtsL0MJApm86HiRd +oOEIe3lbzD+xQOyAbAfANm99lrP//uIKIjvfnMSKVhcIiEB8yoYlezF5COV9CmHE +A5IumZdQNyUuSFw5S4ohVGc3CTqUWbkrrjUgKHm4KX2idIQX8KP7V7Cbk8n72Z7k +fvIGlP4hEahj3/SMWzBfMkcxID0hJWS8DunouNNeq7UzwATqVASM8uYOvHl9KyFt +/BRUVMrLMpDOtT3eV3rxkUpFPuU5kwfbm3ai0uf3/q86Sq0d4UFG/jRklyI8kuBk +LWpvmjxeahIj/U0EdqiRBeICUNr/qN3oaJwKqFvD9porFc3XTq2bMCU+Ul85cBrj +DkreHo/eAtxoKv/gOiVa2hZEuedlNrLNyyrStEdFHgC7dN8lbGbgpTHsxk/1oGHh +cebROgSsVlFOecw+0jtPCmBrCXEDQWcsTqNisSEN8tcF8H9dZKHIS2qZxNfqGvBE +5+GxRD732qhNNMCvClp8wYo4he9PCzTqqOEc496xFEf8te8f0RbIKzKWPv13+akT +AVSYZ97366iuom0MYcaPWsneGZ6t4OYAsO2NdmpCphI8c945MU3HQ9QqFfkfmCQk +HTnBCr9lt0QAJsdCAxssy0NXVNCO8Kb08PYtkmvb2NozYj9aPD5bUZbyBZxNNG/s ++UU7hKc/IGrEfBoyj+nATm+qyG8764wkie//uwbRwpHe9XE+ada5TU4NxMAj7bWF +WIujACB9siW2mRGvJNgI6DE5uysk2QTXgp0J4HSB8tct0W/RgCsoCMAWXhwo9tKh +EWfSeJYDGvxezRpJeNa8nuNr0KJTStHZUDs9Ntlb0dfsaGsSqmkbD8uXR4XKsgXV +2wyfhyNjtj1z2rvr9LHiDnVMzSKEmB/7wuFZf0tXKKiHITA+z/wljRhebQmnFK6K +0BndugI0a2zDplVhZjJ0cXYYRLeOxjbDfbbcGDR8pOAd3VphU/ylGzwm7tnDvI9X +sWWWxKRQlQkZtWS4s8zWlJUvFdw4am0l0xTfoZVRvZJoYHIDS6/+ja8zobe9swvY +rHe/JbzeV3BuhiKq0kUyD9QYxJslN8JU4kvVscn5mV45y8+ZEn4NZaabMy083HOE +bwME2s0tgdIs9UBXP7xLz+hjvrtYKNJ3dAejLAuaXx+aoSDexVLOsNJQgXqdioQh +x7e4uzQRREKEpma+tiMb+v8Hd2LfkiO8vYo2Ilr1j5GVjzi6HLjgoVrzz3aVioi5 +ubDOQMriswnnvFZ7i4bDSir8ZC7aQH8RWuo2Eokv5csZJz6vmMR38p3xbaaZWxuU +YtrmSuIplqeogHmi5VzduIKAobW8Lb093O9Yk/oRRZBgY6HyU7nQEhmuMDSY3XcQ +OUTITE8JzNFztnF7OCoimn7blJWCw+nHh+X+dyF0vusjUf3mk/Esu1snQevWqqxZ +rlIBs2NB4WT/aLv3E4AE2/q9bKSbeWBF/r51YO4rTvN9VD8AeZTIkgwjgvngeqxo ++TZbVyqbkAdPyutkbdA2jyGuXBrInjH2828ZNG4EmGPf2+8/YHNzTyG1+jjHQgNU +z+QcxsyrzVT5Ahv6iYLmuxqBZFecbR+Xb4QDB2wF6P4AgzzuvJNOzMAh38jCFVEC +mnVi4s34HJ82qS3cPAgkpmi30+Mut9TEafU6BoSLSE6ZFV6ErD+Shpb3ej298nvf +zj+b/DNikaGxw1HnzF2dV4wst5iJOe+evq/Y7OLRWAZCPO9eZJkFmcQAkFSI5a5Y +htvf+QmIVhGnOvEJ6bj3NJZW8aJmgJwoGGGOo+3yqloL86bs2KlGQJBUeFgVRyEG +qn2RfrhjiN+uAdxlG/oa3vUNuSNZ3pfzWsUl5ROKZPKbodv/LJNYvGh5wJABlPQ9 +q1tHpbbziqb6Ex3WZQH7DiayfnfhZWd+pn+7TP8cAU9skVnaHwVWSfVDDXonH2+c +5yM800WAa+GROqgeABmtAw+S0lbBdQYTd9oEFseo1Biarr4TJCDt2aN22Ct/Ghy2 +qsHj7zUECEyEjvG5j+57UBivH2DHCMFx/FGwbWM/3bar8Yt9UtfWCzVIxfkcNAHF +4pzUr2v/kewaCI2WxNfIpdCwOBJBCiht3c6OhE9cP/4NunOtILRLS3lf2p9L/UZa +aC0PhJAf9qY2xwS4xnpx2nEAQ+u7FCasUldeZq4eoSmQt4nJfOoMco7/NQVlwEIf +iUOtvjJOWr9zDqkeA7lLkAwcC1epve461PNx9GzpF07iw2TqbJ47j9KIddiQsXX1 +qHF4fbTFapF5KoNGDdkTmqDtNtI0nUU5o6VVos61p5KwPQ5blSEKpVL/yPlE7gZX +SDOnie/cVqY1xwO4vqubLPyraLjlyWiUHQTqA3qUhDUaNo5Q8SVySxXyyJaZmn1M +hnuTAosgmawy1+2Xl6C03SLtb51IfWAzCKxizmMmbB/hZk6SgtXgd4Z2aYEK41Py +8Rn1WiKfUpNUoVXoe0V65uLiXmHgB7TITQBHbkvwI8wTVrI3HIO4ey9h9I3ajM4I +zqys8PP6beNr10mEx8j3Hscxe/7pATJOn+Uui+yUieD0XX8oBzDBp1xJuSn9jjr4 +nBDwehqvTdXLSpGtCwYeZ0RZkhXvFKL45WAIQ8vE7bwAQIG5YBWQ/voqKKrzgaiD +YdsJuBHYXkZZgTpSqTXielDRBmV4oeYQvMKbl6KVskIwR0ZLb9J6R0GOls/RLnD1 +Fgrkve8ZaXsomhdFzpS3MEEMlfS2DYCdwq7MEQjr2/Fa6ohjwGSlzQbE/crOaWTB +9faVaXKnSSF6RPAJ7tYvO3HETpU65Qi7ahQhE4Wfk6x7vVJtwGWbwwiS7Hdpytps +nAhlcCPHDI9LPUqramzryQrtEe5Ld8uyj3lqStPVWLEXI9xSdSwOuHOgvIPLiU5Z +Ol5iO+M7tVz2jXXnfY+ijfGc+ioB8j/rXn+wnaS8WjluU0ELkLTdgXUA11vi9z02 +vgAr+7L7P6YTySarZO81C5e891fiGDcmr/AafisRPw193fOTtyhCuV7qGs+5lQyc +XVkXgFSMtOhdgtO1NrdbHi9Dsw8gtQ0eguDiHgfmojDfcemagXsa6awmEpk/Mpjz +XRc/rWsA6hTHBJP7G8Xrq79HISkv0AoaIpWZgyuN500K0XY4y0FvmumRcA7B4AeE +iwAkHOEOFA3xF7FqYfnMYPtqiv21vVoF5QivD/+pJOXv0Z9kDuXqzdD0KTWEmAQz +mOQfWJRhTmUH/gIX7ieWOw8FSNcCEAh/0HvSVnFvRGS0oezaiNj+aV0f+Lsg+Tt0 +NbJJIc77GxbUYAjngylCk1c28rBucJ1Cm2r940sPX9fA1/iZLrlkxv5VAEDaOB5z +vA3JDj/4hqBiHdZ0QJ2CHpIMOR75a5XheHgSn7U+eXTnWTZsIfjMcPcUtBkyayXj +VcnBG8eDNejP7NROp5H6D6CvdMcOASRyFHZfDbTugHe8w8pf9gLS9CFVHb/V6bZ9 +qqhOGJ037Y4Tjj6S2l4qBxPcVaWM6/XvqAneS24rO/I6DzBIQ7tn0A5eYZNj28X7 +zgyfNSXHBLGEboMCn6wbxfpsqc6PLU4t2raf8RKRFmNHC4qQPTAD7BK3GVCHzXZn +bV9NA5vDBQ73K9R6gzR015lbHVBMU1rXrpTsB8fqCj86Tk41ckd5UcdNQngXrYcI +r8MdzgSnde0W/S0UjGkAack9+pGPkUFydqFHP8T/M77qyCPTKb4Uf1nPXqzViGns +NZ9gcVs/1m9M2ysEpbmThRykSABt6L1ZZFc0YlC0/P8gZzYWf3dJmh6sR5u5iIsR +tDQgNBm2gyX8et9sw+5eiTN00FM+3nMp/StStyk5tZz46N8RrQ4Y9zZeG27X5vKM +5V9o4rldgsb2NxkRIXZ9V6RdM10xVYPQwpqD8xYDvUkvQoTsPx5FJe8Ov0Tg+Lpo +BGdF+++IcCi17r7T9RCLNI/WK4rr99a1KIqUHdvsQifDVeXJtwyqL0ClSbfV2W2T +/9PhWHMDAFsE8gBho9x8ZHq8TEtBs/EQw8x5Eo3G9frKbBoLzXCwCNYXoKhHBVgO +UbVksmLJw5hxOK0Pmsf6aQHwrGZDTEPEGAuSuxr2o5a6u6TuEUL4km+wbHQC4Gmv +UnxsXe0zZqEcclOfH99FYg0iQKRk7URPhTSWGDPRMLwUa/TFz4iDsC3L0OwTkvnu +DSJosM2N3SC24oTdH3sUNkxN4z4bNnVBO1MemopuMguubZzHMLQuwhsWRDy9d4mF +XWeBus+FgJnXkBsff+w6ZjLxRiWt591jeAcqZ+7PDAcC94fJAinnkBR687tFFLgt +zL1Ch4VpQlith8VVN+UvWfheh9vbCUV7IeMuFQJIy6bVyNu/aRDnLTQ+r+wwiuet +PeQZuDgPZzrPWUFEtT3BBN9ViA5AwdwmlqJMaEAoq+TD0MrN7cqFWrhNTx0H1+0U +OzLhEFH9jowLBpV/YlLbWsCfBltRvFXsvlzPQeRm9nS9Jb29RUaoMHu+ID6kctW6 +/D24H1OitJBa8yo15gjLWyXK5haUZAmuMhcRHeCF7Jhsa80dxRaBeE2nFolngNjh +Cd7gKCOf03BMvr6dCX3ntSm7ZK4pIHFsAH7WUDwDFjmqY4oK4CjBtREy/oa8JoIR +urbgFm/1DGgC3UDntANBVhJeMa405MUaHHYRyjF24vZk1xwZnFaYFAXlRVGBHq3U +sWvxNZ9CFiINM06e1vMqC4iiUTLDYK3Q8BgHyR3yHYaz7DkS0AQeKgkxd3MLBJvw +lgO7vHne4o6dTZ+jzLb4Z2hLdagShDduyqUOty3ZuGLPlidMm0pDYX683Xs0AvRr +/juI4RKc1cme7WcUgvBZKFZQTQf0TU6w3UQaOn+hyQqUtG3GstEANeD8vKLlH0ae +2jMX25M7kL0VE018RkVITCKWEDGWIP0ccqtouZWBPXnYtxEcGqdLsaRbBVXi+OOu +JsWOofP1yCxgjg2sfqlrZf6xFXShEi8ho4OT0fPIRvoJEUrUZrZIa5LOjNyyFLV8 +grBUFmoS1YxTOXhbHo9THW0CylDEbU3XhQnBlpHLne2nMW4huAPwEdD4PAaGz2WN +hgZaYZOVoNpifLAvQanRLY3HZmShIn1cctujEcoiUzShikmgdjUN8W6Nu+o98/gm +l5fZaykzTxZBDXCj1SLrDKqqwPOJDEzqYFRDvQ1+vBzh+Gljhov0J+OnxFoSOGTM +6Jnu7d8oXppRMybNGW3JzpNXXmY3uVf4DnGUQ9E6AMlbEKcYPIDX3tt9ekQ/waYA +dpi13Sd9Z1VAuIOdpXb0qLebVE36TKI50O10MAeHh8L+XNOphzjNIORRxSM8aQCp +fYCc81sAWz7elgwV1ifaUvfwXs79ORWaHsW/jy7PCwxWOqEbAnQM7WB1I5eIEPHk +t3y+ocuRRN1A1hGxafLohwed60XHBZKJnoOvcDwqDBTMhUEU4MQ3lVvU79awWBMz +EaWqkh3H1L8yugPklyCsus7AzuHprC3EFBLzIEZmljpDPHWw18R4Yodd8B7iYykn +jWy/2BsRcFt2WARCRjYix5yfwhPQVwxiANVzA2dhRpylcJ0R8Wc9xjoWyO37t8h/ +lztQqg7lEEXfhufc30V3XsBtpYTV37kmnalsYxQCxoiamo0lLlSTyH2C1vCSA1IA +x80ZXf0EZlvANzKcq1O2kdL/jp/LKQO/IMFBleA67zN3TBJV6xzCmzS4CoQfuke3 +21hclLWUsLH48Iqb7FXW2OyzJ2AwR/XnOyCnidIguHMPwapmpjj+AVYrsWPKoJxh +GrPVFimVVEv6O+ml4wDeRZVXxSHax7Es1GdtLNfj5zQduMVIIGUyO6RxPCCPXb3D +0xqb7dWcPpQihYAl3XA+DC1Xc8WdctwdVerJgHtCThC4nGBIyuuD2hulZRcch7xR +AihWrc2PQpPyUmC91q0y8OtA/VPsW7ghOP63AEyqCUZ7J6c7NUzx5wq18VjBW4u0 +epvudMviYPWbescoJ6P8EDvqaK8Rr5XW8P2sRGGBXmYJJ2WdyhUTqKUMCCs49UKE +Jntjk0FGMUcTaDeuGB5EQg1WH8Azf6mLSA+7xe1LCNgZb4+X5THn9R+YpGbgw0Pr +/Pya9wwwhfblGqPnQmA6Y63QXQAiOneEolb77ks+hw5RVyIAgL73Xktgoy7GOfjd +rh8vSGCXnT2U+WeWpZB/bZfavdo9SLx/jT0UYJmH3s8K6opiPTMG3v3Pg1rnAIxJ +ouRzp8ugwuaZSaLa/dX83SXR1xJ1kR6zbQLUSEnVakl0WZPKRaoC1tqo595IFOpJ +caHGA6CQlM8nSWfGye9VnzlYO4EHdns72COWadwJHCv8wMkIOQdZxZ0/AzYP9x9Y +YtZc1cJGeDd1BDX8gjIuztKprmEi2gy6996OvE6xd8bLpo4+JO/GRu7B4S1Qx6j/ +Y9YCuSiOmoc1PTws2N2nPk5+ksZZsM6cHycinxqbuCYa/FTnXaELPU7nvGbmx5Qs +dYS+8y31rLc2fzHGoesDiO47oMsSx6CUmaFxr4IcTvXNeGVRQFhhkYa5nS7XwtK3 +U5IyuaxIMzcsptyXejQKTG6Ss0Q6uq4Q60oVn5cqKZu4reVwXcLW6hodBSyyUZl0 +7/9IgHVnQbpwvXd11oqq6IP19HCqyk90QXiq9BJhbT6S3n9dLAHQlY8DEiG3IfLw +JgvoAO7HlDLJ8RUWAIwumfq2pmi3e6NBOs3H/Q4XQGBDPfnGWaCppoTMftEfTM1h +KH7pgGjwnj6KF3fV35FXQvvEFglpMD8U5/zYm3ZEcmzqWhM+w2fYda9HiWgmKfv1 +ojJKVJVhyl8CD1j64VA3DsSC5CV3W2bSm3kJe4VEzbhnniPZrN9umQ4a7kU72zw+ +sLE9TRn9RY6LUWMUT+2It35JyfHIj3CyHN+/T4ihVyWB0EI3Tl/i1eeNjgHs+K1J +6G0p95j+3i53Ea8ZrK3zU+ok1G1ucCwwonik79nX/XxSFod/tlx7CGEBxOOUVjER +8R54TzjoLJM+SyRkKW3vVQoEcNDlksYPHW3itlOqyU1UzUx9FvqQOvwCFFKykCK2 +eanUPkKAvj3eooTsAAJF3OUo5aptCdX+KGPLAKPngUqZ/wYCgDowZ7Y06RyYjmrI +HWUAAieKpvhk0m45j8t7snhIRWxA4aJLIbLvo1WRbp2Lj33eKKgb7G/gEsUX5UCt +tBvj75xUskeIkfs83O1sPCskd2vVoIMRDxk6/spiRrVcu+Roj2TpXxZrvfzaj/dl +oaLyrKyfHnBAvt/xOXsc62iNyZCf8I++oxbSu0pzDAm5WAraM6grqf2+87D1XpoJ +PphMVjlidRqqHUHpfx2jodAj1edWiDbmU49swn89eYF/RHDv+/EkScJUp5j37Sdf +uGUqzKfOakM3rq6zJ/oRFSjoF8Ud4QImEbsX5i0hcpBLaK0FFg9eejwvvSbvGply +tUmTUjjLftzESDQWPsZktLYqR8krLkaH1wWjkUNZRoyCIsV8sCUpScJaOLfgfRWY +KoJBnTCDr+MuIjhtQcMg/de80XKZglKjOMtvMrDRuQ2EnI+udbHnFUvzCwUSx9W4 +BVs7w+1HmarHBOJc4ykXvyQo/EMAz6ArnM0gKUXJPLWRQEu4f+PZbvmgIHcwFVzo +JEJRkiBnIRqZ0LbWvkp3/pKQ/KoSdjVe4rnNQ4bn7mHT47TUxNY8f5lVaf/oZJAb +2KK0UA0Y08DNKyYJ34j1kWXSpu+uJOh/Ir479rocVOQbqGmjFyZuhGm1yY2/RTP7 +OGjUlRQrfEB7T6UON05MwZp66DCoROxS+QBzdwxExzq2+PMD0LLKNc9AFaYxmBrt +ptdopLuDJI+HI3Y/EXfIO8nlRCFSwhwARq/DAtGIP9cY5J0omH+tPzkuniGQTX32 +pi8lusrCjzwuYwIlf4g146liyTcc1AcLAK20Jg/fuhF/xUXyKkOlnN4VIcApGXA9 +rDqmWf8IAAgfTAU0ic+mVfDX1g7whTZ+Frw9wo68PXc1tJc5QSNudghD7Ii9DxQj +kwgSDSY/4wp1YTR+90LRsiJGhnpUNe3sPRo5ik9AUewN6mEizACfMK0t+y5TMs01 +1BGAyqOVxRhNhiCxTvz/+wtKjJXL/YvTvzkBM1RphhCI3WwMnjdt7tjoF0fHBD+w +dgywMqKi/N7gII/6p+oTPf+LnG411ylKGkloVIKLIqKUHfaFnQrNll3criGqtZZX +rfVm7LGnKTB+jp+5ytOEeO0QOu2yc7oFbEToEfPR69ak1VuQ/pTgApjdoddcd5lw +hGUxU8OPSoss3B2xHKeh9aqxjgmOVp91gQLfCmWI7yrQHYDvTlSM70uphgQ/9WkI +iY34OfPPSmviZO6rX5GtzM2U9rPGKvXh+DpGPWylLoN9Ijy1iJ/uMqFc6oezeJ7Q +CX0FsiLcSKWV55CMrUpQ7nxzK0bDmAVZH3cx1N+QQw3RmhhG63Rrrm5ykGJzjlXu +x8svKMcc6HRA1PL9NY6wZjtROoPTciJRa12IGyneU4ikLLA1rnhtwfzrkFU/TpnW +nQPq1hXvV8lfETNG+89e6R9xrWwRUruwF2KJO3hAlgUCJ3bi1+FKdFkGVz2/pgVO +HS4VlJGa0uVfThSIdP2Rs4E/ZvBqL4MeRWPldMxGy79o3+UCxUsjcjsXXOPFwuw4 +QszkojGQQEPgGE37O+a9eG0XZ777kW5ledv2wnOIK77/2Fd/e6Ju88ndQd3KNJfG +l0H0y77gZZPTx8fyKAozzOFlICJ917vF0Lsu2QrDoippKouiKDLK5QSXCL1g70v5 +LCXZbQb0337O0DroxQ+my/LZO/UpjpxlW9yhgrKZd38VaCRN3vazkQ60FLQJgf4Y +PUcavxfHua8y3sUpZjrkbnrL3tfjfNwMbkgv4jm2ztDTvBDq50eaw/zcRACNEcPv +8eQN6WHEd0yLCg3ZHhBJ0SwipBz+1KTu5GuOwqhxUKwHozN4cU+qhYR3yFOZ8llg +bo8ag2dmfgPmgq/MSzuMJ8kEakQdWHB1Gv7MYbu0qHq0uQTT8jebs8376tABYTk0 +OSP7HVdJkEOeJ9GznTmQVFH0Q7h1PLPA3SIWyaNxD9kbptuOlIh7Yy9opiioBzIY +3ABD9A2CUqFSoKJB0Ns8t4aRew9/+BiwGCJ8NZhtChAN05KlE8FcMRBFnNbc03ey +/V91Cj4fyiFasuq0msLAjjsP/on3SYl05HGO3Y8dKH3P6ElvXqsNFMiTi1tZOpwt +ecrAyOpTA/N9vcrFCoLTDJxWKq1+RwndqK5XegLMdnCVzVmtqQqy4OCKTacuJWc7 +RO9subYbc3lUdOoD2m0x5MQW0mKna2mkAeamNLyi3asZbh2L1E0j+/k0QYzgQPjL +FQGat5ZiR48W267E7Ha3C0xiYiVtmUxlvbpKhHNZGIeWpGO9wKaZqZ3651GmS4YH +axYo/W6zlGwB5UPrxSAgMg4aa9BOulMZbrtznUpVVVe2/YLozRMawggrJ292FtjI +PQ7n8Cp7d7T9zO0SvNSon0+yIjbfMsDrkaEF4RXn/gkKtzMqM1baDbvcyL7XBOwJ +YosAO6uglRih64XB2EiD4QdBRKbUqY/cAofsh9626eCdbQv/MY5y6Wvn5UzKQxoh +IdBW2sNsZ5rBw2MEuKSkcjote334jgjAb1keo0ApU/uMwQYxWY5Wt+aj4bHi+kw7 +XVje/Fy4aZcRYsi26zNZmEBuwNuC57MCPi2Z9oPi+jbcf+CSr+XRFgt4uW0pYaIR +dxQS5TJRppakq+VgGmwBXqp+HRkKVaSNORYJyPlSQV4uwvuyDPX8VWbejXBlxS4b +TZdWBNmMHo2ohsprW5h4bPYZZNuCTN8GjQk9As9wfzhceD5WBjq+NnfYlH0CFkXQ +4SxV39UOipbcOO4IQJzpABsWDul7xJkkqIdT5uiGX6uRkiWHlsYbEPkz7NUaM09g +eUY0K7CnYzTZuHPA1gK/xCdApnGxMF9vu23hjNCYieIlYVxe0Q2qn9Khbw9nScIl +rJ+rqIrpa6rKIoijRnE4jpGIV1DYJf9FT/3D1WBo2qiZZIlwWkVpqWPga7g7YryR +R9mmyPsZSlyS6sTzUiZjuh4vttULE2SClQkAqVmbQlwWhoF06dvG6sF7GE+wVFhI +/Z/kipU10F4dM5bnM/UNCV5KfVOD6Ay/L9mZtHm10oseevO230MnGELxwoHb94XN +xZE6z1qgg05QXRUs7xB6KACqI4g0CMVwRnF+aF9c1dN6yuFLGcnMmuLDwZ3+vIni +vItx9R93tgUg2HCmvoxbcw0uOEtyBL+E43HOIhqpQM3E3N2rB9s060M55MMVMlbe +Q3L+pQqkrOFexUi8M1/5xyGEpt1KK1OKm20eJ6h0nM++wPLmZOvrypedmCiyzQ4H +23IPQM3iJ4XdfnPmMBgHItAKxJkXCfH1sHHMojT8zma9X/UOEZVhBGrj/yxX5PAw +HYdPo9lxL9qat4/41emksLqFP1wnilrUeSnwiSv5LeLPunIZZOWeURpgGl9xMmtE +FlHZxlt8MgOar5omaMhP9EN1O2XNRWo3PEsVpUQCw7PJGLIQB+QoToOXNU/m6BwA +/U3/t5ftHqZPJV7tfAMfw5feWAyozz7srvCm5KGD6VSDmnLk6zHUBg7LIVaZAhLr +ENbtqdWTaI8uzgdBgU0P/otwp5wBk31Svo5L4Vi+9DQplJyzJNdN4HcMQXdjBwpt +Vh8FU4+akqCPQ2F5S4oFFKHpeaRsjcFAb1F1/Yu2pziVVoUNP5iI/z37hKYQhW1P +3ML9eR7EVdJ4E2aeYRBa128pH4RDsGoSP+a7kfV4/C2SwhjzxIjyIWu5xCvfcmiN +dy4eTT0g6Etj01gf8f9FLuX4mSe5nSk/Sjtij3ksU38YgPDguvhl4ylkrPT8JOEw +XcM7+c892eCsTgmzhUjvKDkPmBfrC1jnH/nqHJEn/0Xv6UkVYxoo42hTl8Mn48oE +2oopvAS/fA00vdc+Yv7ds3rfv4br8GOdwPQ1enrq0H0Yv2Mebx6VgBRV3KJplu/2 +mkapLDJg6ZBqzEMAeFdfrk8qgthPmsQE/L1IkDRCPzr5jANIZy1fN6gfd+rhW+W2 +WWIY05Owr29kar5Ww7jSk+AfIS8X4aGkXVKgc43QnPI5H47Slbmb33H189ahodgq +X/astvR8h0cQk3bxgm0qT6HgeKTHjadYgAFkbljeCINKlPBeZjjO/RYyW2byzJWH +6vWvGUxIiLWWUD5uhLkqFnrk2d86UrRl1uGcTumufgczEHn3kfRJ6iqIrNGiMqJD +opIvkMiXfZosTwzVZkw9XMbHtEOMX/Pct0GJka0D8J9Dk/qzG5k1W0xbwH4TCNrm +4VBgi+Ab5VmBcmPRL5EEbYrmCWvUzn+nvdxTCAbSPPQBxDvjEgplTtCl7Fs/uqwd +nSD14kVrfQw/CDp7ossWNRur/jI06TvqX1OAagxrASs4lD77Eeh3UY5nkxfK0dOx +oBo7B4TBK3qZOY9vykBTyXHbRwHmuqFQdNSKZZvrfhIDRret5f+AbP4/5NWd9EbH +JmDV5qdCFeDGbxFFnF5G0n/nS5/xhnQTkm04nGezrXSDd4RD61xoxwLulTxldt/E +Q9dRjjZas4aUWHHzfSq0AXbl68WS3NTR7npywXkpsgZGYuNU6R/697dpWzt6kADL +1ByXUsQxFKjFfK/onPCasaq65LcW42QqNnB749ivm2BFK6KOuC2StFlaBAMze6m4 +djF6g11uq67Le1mZHlEEEl6Ok4rZO+b5yU9r1tKs1uuuxPaC71silg/ssFR1IDo0 +uU6jDzEzoJrrJ10IECxBxT92bQyUVkR2p1xwScysrSsE2tTVIAngzmuDKHqVhBd5 +/XEDpnp+mnVw85KYHtqKiBNejJ6yR1AiVHUy+aXXoNF6cYBzcvuIMPVZu0vg/GB/ +ztHN5uNBIpZOBmGS216rkbEyGHMt6E/832P53+YWdgPO6c0sllhB+Qa8Rqqe/uOT +vZYLuHKyFZFiTmygciiAeKAuwipTWl17mBa+/K9CH1bYwc3HOm6tE2SICndAwWDe +W27woV6pXcRWi2cU9YSWAF6dU025oUGW+cB2odCPY+sdU68v+FwaUmx4KOPEvDNv +905flocGEDUOn8gQFv7wM5w9VZxionLKnUo65jYHi5jLaSc36TwyJL8Kt4E3shIj +xjAhoq3sheaStDjdB1cHetEk0QbgMBD5e8eqrRARJlRIg+DGsN38+GkGDeGhR502 +PslB34FHgNvkoj0/6/nx2kaokE9REYguhxGQcQHBEtdoS6q+UoXy5eilq2OYo0VB +3D1kXXWq/6XYlXAtLBZbsr8RsYPliEs/pfoAq7nSpfBb+LeSDujVkXyL5N2zbd5n +5VDvS83LYAMcJZLmfK153OzLQATTb3EpwhwrlVD99TJVM9lsYynX3rc3GLPrPBy5 +nZIQ3SyGE2gkBZovpOjshIyQiPZp42gvuW8VHwuYvukF3tgxDdYv780DlF9bbLhb +XC+daaDqIj9ojPkILueg1UdKTp02zINlkl3vUrTZVyImgsIo87TQvYxf8lBD9Erc +Esk8VEMnO3/PtQ+P8Doh7kAdzFy2MXoEBDuJQpxdJ4WCuB0gB7qdDEIxHeMqUxYh +TneQ9R7UfGKnCAOK0Jrla8+uLQRgs32QA1ke0bX5W2ksyrAn4qhUxB2UcHmti9UE +FXD3rbSICZoHZb5BCnooL/O3mPbYHkeolqUju21uK8gtBOGj/9VTaZmgyJ1yqH+y +7RdIKgp4Sx5gSbLycFpbEEPZoz/EfF/+UpqK6hHZ1nrVEfwglOD2WT8on17RsPsq +PB1VKNphLDk3stDHYM+xn8G+sbQJ1P/3b5dJQqc/7QAVtSo4KB3dNnCFmSz5Yvh4 +QTuJxp1IoZkdTLdGn5k9VPV0sf2AdTzc5QfsY49cVp4AADQwl0j14kuy/ZK0m4OL +hBXKXY8NKmhtWRkv0LACekfXfosPVdx/v9IT/zV3L/wW8woC87BlUvb/V5kQGL8Y +y4mAviroLbN+cRfbGFShhZDwlkSpJdYv+5nsddPr+/1429dvIORVGGnsJ9RiiRob +qDHpnY7c8hV1QaL5r3Hr2SEgZZkJpvXBVFuu94EfWcLhBAmINVc7gX00J+1NMX4R +pxMmme3XWmCsM/in9VJLPhky8cJWbbim2hs4MXeqbZhiVtMZknXXMKyU1TsjPm0/ +YXvd4cqkoS0jUV7DMdAHt15gDEQiP+sMSAD5KZyQDHq9chCi8KqXX84UlZC1eLhi +QBw20FvRLWlh9FpxxhR37viySzaoOnGmsvlgi+wYzai2VshJ29oIa7dwP+Ngkl3y +w3863lfHimZOQlOuWO4UY3ZLttbh6uvnpyksDIxiG1QxkGhP1LhZoZOfdzivU+qj +WwkOyKItbdVtOIVcaokXfXjZodySCYGcPSsnPR/+8bpBxhpVF1cFf5/KVe8HkYc1 +ePhvckwWroGxVV4uJHe2GX4PV4rJppGB0vyVaxBWqcPmYdUcVOMCaFAMwLHWs6xF +pbP7I+21iTXKymlcZaQHRmVf9ok0m0+EfKq1o5dUwkW4+bEaCRwr5CCkJzFUCIPr +xmZo9GgKRGDtim5lS2o/xjIobeh4iXdq6dfc0KRJrNIIIBNYGtvtaGjy/lWtUFWU +jvsMRz9abqMsJEQwGiQHedBPJwDYO1cqT7xWWy7Oe3e60FLDQ03tf5MbIRrvXArw +YuVEgbs8cmIlArOWjSCLsfUFyHPGAT0xluoy31XtCogsfFaw7yPV9554qTFRT+2W +5AWZ4sjQdpEZ78Mt+b3hoAgvxLPrj5MWrMkWTKioc19WAJr8kEb4dnuI0WRhzOUY +OX5Gn91cosDDQ1BukrlfMpMKVGDKPKP8nq+zCL0BwJ8bPkuw9ZHJFOKa9hQD0vMl +71oZy8naKXWoYB1QcoQEvKwXOOjtr8G//GZ+MT1PxN5qQvIHsfFz1xEmbCLQzeax +MJEurD6m8fRTPMWA0fo+SRjdhmAcOzA7ZNHCqhs0duEVeWn1wW3EKN+ewTuT4EUH +hXcERo4JhX4/nwqcv+sNgD/lgKmRdcu0QXAB3W4olaf+apz0znrGudCDeV1UuHlz +Nf1nAGk3qqYc2WXKORpV8bOmPl7HrlyldCbLhlbBsgr2m5cPOUEB2CTrKFjXrsVy +lzkCPRlP4EYIM8ArVLQk4KyXSzyMERLcJdf172dsEjRfotAC+C4T0y4qmD/j++yx +2mYi+YgW2swKogvwCxznYpDTWc792P5f2MnIBdIuW5RlCa5mJbUq1fGA+EBmsct7 +Sd/xF+AM08yyLMMeEdU4wETHbt9OEWoL1wEGSvlIBsx0voIiASJwc1HJtsreOgse +9N77SEahau2+eFkqhO8C1zMog3E3lhwR56Y8UXKdihqqW4E3baTs+1Lp2kp5tAnP +EyGhjTuioxlXntN24bSYRLclsAIya/lg7k9ShUdNesyRzMkBOlQrPfeJLVHr9RcP +joMO+KXOcmtXb0gBvH9am54Up7AL/MwQhJdOmfEaU3FpQHz1V1380pEghb5E8Bpy +1WXuwvjiorSkru3pAABItctFz0Fn3AGFJmSmOeSfLRlsMKlBDGhrPpnS0MPbfwcC +8loF/j88H1muBDWz6NotsCAFiHZtzFoumCRkfSnzzhAMqkcCCxvhZ9mDkYhroRUe +pyMwZZ2EkVTGmgbQIm5Ion4IL5F9xoBxhfngyJiChIbFAcG8wGbafzDjUwrCbmOq +ksdgBLt0xU6vq8k5O9qPcMXFBDWsVivdLm6UlxBclPSgzSwT/RWtXhuRlwRQZAv4 +K0FWhcyLBrebfcXJvWSJhOvXEuoOrEjePtXW7o8+uniztw6Eu2/K61JBTfYIYO5Y +oOuCZziPiDJLojZ8EC2tQB3CYxiVfcx05I6BAgzfJuewkkTp8yKU5Ndn5zxf6KzG +5PEi6ktqy7em01vmXiY+xPJPQoS4LJnH07qf7HcTw+6l9A18p4JAJDuEW/kk9+Te +EqFrPaaK6rLChTJkxQ6GI6l0HNNxMcFa0WAfa+aCGC/8sJfx6h3GxnhVf6HwbygO +nXtrHx8lm9u10TXnayxVJYSoCUMrvUQpSNkhp9/FwZI17m75/Hn39eZIZiFrhiks +i4n1DmsQ4pzcuMYojvvc48ZY8XygCqVTD/FF2iBVFlM+RdWwBn1LLOInPsUc1SAt +NYPwEuUmi7b2mzF+SkVWaMZ2J3YZsJdD0uoMhQNJPFI7f+d5KpoRXrP49uqu+hu7 +zcGDYngPfAkgseVPRFaNznG41+Q6e7fc3T+8wppcGY4/PKubfk/ofL5s6v/+NReG +Cuq3iHR1zuz88UR859n87W9gIHyO42jd5ZqQ1j8GEVWyS5pNjL/UpMhpYU8mR0Bm +E8w/e7dJ3yKtsjH5CwgOKOJ91gtDFOwnMQUr4iSTaTs00A1xolcjRmG3ovX1xOtC +fOaA7b4IbSmjMKqYNWr1ZE1f2KeruaG9IB9JbcTjE21C/w9d5Xw/BbcZjlt6zabL +Qrdk54V4aVuYD3XVvwP7ppsP7NyfjLku4kOXNHDJ84FEgvqXpYAIBjvTWUtw3tFK +Crbsu1Ao7Eex0rsuhNiMc/DSyvakKVSbVy/13Z2pk+NIQ53A2A8L+e+j7GvgKy3t +0FDG0DKGHjJNamd0FweWnbuwW4o7yX1yrydJvN5JeOlkavuO2weiWKFFSKDHO+Xl +40biuUndgzntirz/3Bf9STb8l2WEM6YWToSQjc+6H/t+EvRnzq6Vg+fth0FgtMJl +adoEdusyT7Ih2vDQowAqQyaruv0pORr7HNCgnOGqZwLOYPl3CQ/ZDhydDJnNCmDY +rCXSsYalUuAch/FInVt7UIW8Nn/O19XTsT2tm1RRh6Htf8ibEdGnXEHtElIsTDDN +kH2sek0KhkohyKNRJgz/LfmvS2JF+m9yvTPzK7expGtbVcCiK6u4t9N/PNabxmAt +bKoE3BgbMSUcnHkzi0UsGflbgn8yaGwhy5167+fYcUNgw6xRcrlnxjO8tfgVuhVC +YITKi+GcvgcDPSn9UKMrkfLCecPTKpujK48Eiw9JBBTOSyVpcf3N3bKUt4jmhDHd +IBb4D1FzoE7hV2EdKmmpiOoeMOpJLYHthDRz0ZZdcNzVShWImbbrxqahs9cI++Hg +Kehu0EzM1tYTbTZtPy2rCr4ZLAyVJmUCSniZtDRQ+E25lzGe3raZL8Is3hMoW9wC +s9GRQqWT+JYWfBMrwjVByUpeKIxxMIKd5MU9UYwXHEszqfJ/CfSsdk0d5Mq9xVAq +uGQdrakYRzX6Fc7PjW3/+pfqJPQLgikFXvQeND5FMTnUPlUUHfeRdQUu3XRYB8Bs +X60O3mZ/fU47DQF7xkSvhR1R44aGRosPLIn2art3Bu8czHW9N1P/7eeVZsAoK6T+ +EroN3pc2G/8og29MfRnal4Nq+Gf6n1lWgHp2WmDJpl07n1ThTGkBXKKmJIQ5Qosp +ysZtkeyN67FBaRwQx5eTfFE7CuDdG9xhWvDFDUGJxV+sDW5ETb6a94lvXVgAqG2l +jnV3CmkavaS2v2sk52W57H5RUL6P27X2GwO1FmdIGreb1ueiU0OWPEUsMQqgVJAr +gejakd44ts+wcr5XzCvWYzDg6DOoxdrv7jXqmhbEJ6HyNSuybWVw0+1qetjgJHww +xrAKBJgS8ZajiPWOxlmMmIsolTzGCdF9f1s/ouDLt5uUs2TmKhC6kWjCQFE4PaBA +2qZw+DDBeml6HfKOKGjUE5p0jQHGrBpcSzBR9VbL6ebDjJVKxXMXmG2M/oNeVwh8 +ObNQR7TViTH83i33cj4uYagHDevGr4pSxu6kyCH2XnRsUvkVyyU+Ljrx5ye3WyXR +6nApye0gwRCcHMjQAdQEc0gM4Dtt7AGr7OAY2FuXvuLQGFwSYyyiFztnlexaQt3a +biONaA55btIEEUG2omlCLdlhMcOJ0V/e7iATE9DD0S7pPiVbn1sxJMQcvq5bNaKI +r1ds/g5T33SfLtty//dffFDc09wYLwwuQAeKq6ozBjemvdpHjrz7e5+ziJpClFth +UQ9xviJbUIHfbqrkS6oBzxbQYDoNQBOQEsjZjfyL1dfEmx4JvfvSts20UjKr6KQ3 +rGCKy947vAc4C4TCca6dRVpA1LIEZxe6GW/KJNisUztsppwDlZJpboCo86aGXr8D +NakwGf2yRDqtAnVTSziuLZMAuvUzYJhVBp/ingPI09fvil9Wc/CW3aZP7ljC0WQf +a0rRTqzjbyvdUSoIzlh+NY4KzDbsuQKnPVfb59S1s3iaT3lRc4Vp89RPVVE7RVOF +8qJ7iXuXPNGctDz+Fsnet2mB7De+L/z7DjpB84wgIEcoO9V/uJKC6wr3thFjK0Nq ++rybLHbdmEafVgR6QQjqJS4yDblkBDY2Tlt1gerDT/FnB9kaLySz+LAA3rAA17kC +VpqsTneCc97bE51oB2J/tF44+41JNtUXDG5Pcj7vchYGkW4VCoIMMXhYqLVuB/FZ +zAPrmhs6TIJ2kcCmyMjil5STZqwy24C4weJlR8zZhsvfTANiSuqX4Q883ue7+4aS +oxW/YRlVNv97R6v5Isy7K9QJXIZq637Pol5drXDaSVGr5LebVUVb9JrrG3ghaBZL +ds5OJNMo093qeABFdn7yXWH1SyW32KnmdtfXuyN6cWIM4C6CB2E3DzYJ/pk7wMaK +MCxuZ+MkR7c+3kRTcz3kzgRCmbDaIJjba7cZicsfy7jOuiiS1qWCu1Jrsh2hfTXQ +3rUUqo2A1VzANphK3PTlKukbDsGXDxp3gUn5YtQQgHc1L+qq5XzG5qCfGDInZbcl +d4J+CUnQqPMTEDERm7xC2/hnrSnT2vBOZ2NGy3oaokrMfSDn/dx7pm/ZxatK82TU +UcvOtlkijA0Sj1VwbZJAiD5Bl/RyivZJ6Z9brmm/CtuZFwLaytK4ec11PI1mZWJ+ +h2/R0Ft06jCD3Z4RTgD74ybZpqEsxU0ZeGditsB+KXtRHhxvafJWtD+/Mgvv0SbJ +Racq5Z8WO4wCCYMh8gDeNxTPi4PHeRphdhF8RSkS8XblFMT9KYIElNLKqSg690JE +5O+sFMOjq2u2R9hS/Id8sS1g0ijD1v+BUAv7xy9TzAKDTKktuHdKufuAdSm+84oO +APXOcKQZTMo9HAGT+a6xBlmxz+Y2Qj02ksH7kVy1ew78/hXwKqy9bJid2j0RGiRH +TKxlW+D1fZ+FImZ0uZnv3rAKDWEKsqVez1/JzyC6aoTtxoKfhZENEKMDNixYAB73 +Xef5IjMPJGi2kJnY608oyWWVNmFuFndeFq1J/JYt/U3R0cau3auIPCYI92LSkP15 ++4cXUYzKlQzNSPg1uv6Wj2+JLuXrST8krXnG8icKZ8A4LaGQBxEvf5BTtO1Q5Krf +s7XNfSo73yFJyjuuXRMUi15/gBSHH11OH9kD+4MnPptyDY0BLeHtat3dIrLepArA +Awu0nVXV01JGe6i4pNuISkiw2+xdO3eXmr/KvHo03z1fktBcjQP7hAneIagQIfjz +75leMOUNndFBUV7Pqr42sxY8NxcLge9X4RSuYVRNcRa9DjQlKjs83WNVhnqOIz5/ +FXTQGxjjNUWjwm2EgvUpOBEpuOH9p2A7+FjcSzz1Yfol6Zgdg6USlj7+dTbvBDs1 +NCNZU7lwRqMPVhjO9V13g6aqxhuRBPVUGH0mb7m02XPWArtz8l8B6zvN8njQ4LsN +g5HqrS+dmhvElbm6Ahg/L5POIhffzeFtRz2EaApjQSpmBnr1VQtoXRFZno2sgmKi +bbzyQAUQqsuQHv+svWUrMNI6xn6valWt7SSgSVkpHEwJ8MfEfFuB2fW7SMt/eqHz +2Len1uLVciqDN/BYZsVg9ikaL6FvS6srXiPzS4b/crIt5HdxR9YkEvmbz4/PC81Z +y7rtJZiVWatKZCmf2RyKcbXZLNe8TuULaiVkknl9OQW46m1LccuOgv6T8Fey4l/a +rCgwoo4eYstAFPm7QXgNm9v+ZXqa661nh+DM10/43qG4Gx8Q2tZF1RAKCA5o4NX7 +zkygmEsd964+YYjNb5u5tE4iPRoFhOU+wok3Y9I5CAoyBj557+zPMhPRA/z79h8s +9d4arpPs3VskTmiRlZf+MUR/zx1HMYPeESXQEkRvXFbnWJgFLhfF+MV5ODTvLVAB +vXPjU7jz8JRZd7jAaAjzAaslaHIZeTsr2N0N5Ipn1n2KHlAAaL5uAoFDjYa7ISuY +JxE+B2EtY4YXxFRsy9i2UomUnhP5LudIZe7YUvkyhzFoKaX32HuOUtnj4yxmV8qY +dc8+offD70EyD1Nc0KMAAzAjjijL1524c/PugerhtiQpR+DOqD0sEvAaWmnli6/g +o5YWbSuRi9SipG7TSLHQelujeIuGQ2fO7ny18H6Nww3bM0XDYbLubQzk6EJDCEfV +8bMSsdBLJl9BzO2aN1Vq+t9gHUf7rgwS7NAE/XruSfliOeBLGjqQEh6ySNCFHBsR +iWsk4zvXQmZviyzXHLx74riwAYWFxSHBvewi/zODTfnRJW3h1qc2I5+JciAxFzgy +3spcJojksX2Qzckafva1fvAhhC0Xzh+eMi2OFTj+IODx7yOooh1QTT48ANEoNgXj +zA/phUMe572SIx1jzH3kqZ7FKe//xZT2YKzbWD0+AGwfS9fOp6OaahnAK/F+dj/8 +BmCVF90q4r7V0x/b0aYtoAWlh/aL3D+YBHTSiJLQAVrcNrFy8m4o15xKOy0nJDgi +TVDBty33dNlcr7pSa73WuBRcooC5Via66bLQbPrZmhzV2Vb1bYlwLYO+UqAdVwDU +cp9+VfLcRjq10l/CA8ubFtuNosOmU9AW1Wp9OkaMWem13C8g81ZH4MmkIwH+mFX3 +A0XqU6BajrQdh81d4vmgPH3xPmsk4u543LlFpWjFETJTpLofTEovANTxtyEOlupY +VxFNcz2/RbDLQgpl5bZpqSNJNzYSSODugSdQt/2q54igMlq2S4wbBR+AZeDgueNT +tdXAU7iX6sOYQyLdtrPozXAzOk+pX/Z/1zUkLQNgIk4loNj7aXkrqp3Mn6TZGPrC +6Jicx4mh105rqPSngrXXY2jWNJyQNA6QjEWt9rH7Zj8gdhRD6rQuorEjtBzT46b1 +4QbdujI2olhLUbTA6F7R7XaSTYY/nCMtSJkvcOkOhRT6e1QQhIHd0iYOfZojc6Ny +jus9tejscKZZcqgLxSvMqReEwpJH9v3C9iEUB4xIBLK+4s09VkBeT4CBGiq+oZ2p +hZcHzNppAVDqLXEtECnLnkJ/MyiiLCi3czxKt/3bQKEhDYN/1sc2UW7quIlilm13 +ZEdgNfd36V6PIk4o+qD7ldfwwGY6EgOn9z8KkHMHLoeG4O0c1vpUEJ7G5CunFiaL +6sXDLm4sgqMRcvLtCGB7K46HnnijJNl5kJSnZxkvSn5eOJjmvNUNf0oFhkxnw/qa +Pa5AFqeNbrXI19Xi2DndZd5oeOELumt8zqny1wyY1vT1QHtKykCNKuH26292BPnP ++IL96B3EKoOdbDoep6mAyFDbfc1PDs6v3tSh4F4XVCBBaq7m2r5hasmBF1LS0F20 +HJnuWmThfhfkj9o/vK9bvOC+JTKXa6DQj3vvHv8hverI9bKD8nQdoPj4mVNwlL2D +UBPmN2cOxPuuTQS4bnqW49KnXCiiCmGUiW4k/tYVnCi3rv4j5zM5JJNajP6AV0Mp +bvAi3yM80vS76tNUYAJMVtLcn9IL9T4trK/1D/HzGx0IuffS3EgVL6XcHq9HJMf2 +WQJUlkd4S/1wM1YhS8mw8K9OeJajFoveUfSBLOtppl5hEeZwjVIQs9jXMgGYhXVW +ycdvFWWf+fgK7Zr77t9NJc1xdq2gX90202CbdLGy75+QRWPfyi+uIrIPiGIjM9p1 +rVeNowIsgyOognGVLrVGjT7Kg/Rc04ruyTGucug857PY7ww4pM7WYgmJuI7Cl+y7 +4XiOVbHlR6YSshk2R3W1kVmFNZ8sU7d0+iwxBw1geaUKHfGhTn7LcoT75q4/HraU +weipTIGOwNvubS1LsHtc7evBn2hUvJfD60chGzvB1hxcyvTGM7o7jNg8mih6nmpJ +OEwjWTPEeS6KBX11MJpWmcOdDUGNDvGxM2U+PLAl141Z/o1DNwCSwCo4KcBdtC7x +AJPP8KJq4cOgIsisZfchFieQKq/+l8ityC5Gdlj7mJbdGtRjJ/q6kA1ohLJGTtcK +AWct+S1KJIVRLLIYXidZRjw6JVr+B5PmKmk9OSTKMvqVeR4xzbhtqXyuZKdmvrVa +o0EP5sTEQ+4B4Mk3aDoebK5vpUsGEgakpB1ZmUuMvoA6GEnGYD1b01t9gOl8GNvT +csRstZJLgKOMjZo6KVf4hQpKSvvK/L54gDiVpxvCLTAUylKLztnfDIYByfK3ivYs +fId3NlM7j9An1XiRSDu/pcs3aVXC5qtyH+rC2e891+XFFm50GvZszeIxGX5TMIlV +If7xtOMayH+IH+y1j9uZI0drynQR8156KyTaYieftJv723GkfAV7n84oRG6Cc2Mp +xDmfnYhYBsd9OVnOfT4Fon1pd+gq7E/ggoy+u7b9t4e61bVsHgJ0Ww3yAvzlTTaS +LI0XLzgmLW0DkQTI6elP5v26PppUJ4s9CmpBT8pY3bBXE4VH/P40TMiEBxUb5y37 +3rM53jmzQgtbhZHxxeIHOxl37zNtW5rK0JhVZsESZUunT9yXk8CriGYwQQaCxT93 +5WEfqbGY0AolNfXJHoccRTPCzMwsYGA4KOhEAGaOTvDjJkhG80VOS0vNqSQ3t4VJ +HHLQ8NgSb33cKI7ksrSnSUXb8LdHD4N/AyC1xNkuh4xbkA2hqckM7hHADfWBTuol +isrIWTjJHTNyNz5A2pfFVGTDDFrh4+hMNAptT84g3nkGTeWN+0vcCHNCPN2RsRlb +GsSGhnnTz9rvryUYPe6RhAg9GIHthyGJ0Sn5dXJ097JDJscfcs6RDwxstTf/jDfz +70J6uSQnFwRrYiSCQRd7sx7GEkMnWNc/5Iv7eHBv6/9UCT1pHz4dsyv0szhqpxgE +NEIg0R0Z3orcS0bxi2s3PqfsM7XorqINoP8yOq0Zqi2P4adWCz8R2/iINb6L/lbV +Xrh5oddZAXP0d26xQYPcPfb1tsGOEqEpZD4IlMZ2YuTCNnLr+NYnNybU1KpCGjS6 +q/3F2fCZOXq2ZeLJXO0g8YJuh42btq//YLBGF32W5oYCJDC3/SrysdP3EBYBJOSF +8ZY/J2pgIy0ygRih30o/g2ArD2P0NcUh+MbcfEBSp5XFtqcKiuZZR81K654RXyj6 +3QKH+oOS00fgEGMIaojQLkYCNCqvNQHN/hyDZy4+xxDaQK6ckSJvT4bn8doUh2Zc +XmkGYnm3axdIjJBxl0K7Rk3jMSx5UMgR31CBa9lXHgyo/0KX6ds6yd239cyrcVSJ +4lbqbhw9nGBhsD/Gfw0VyfuEwQMlrq6bZUGDonbCCrApXW4Ifl9vIJ8FVxFKSt5U +mUXlBYYJuIZc3ef88p/qY7M2MNmD70E0m+6AqNULcqK/TkAwV8BFEBPsLzMpLh2X +/4TA0nnUOgr92KfkddmC3mhbVGrtxMrQpWd2AIMxd8jg+7F0NPnrOaKn9UWda8Me +CGQ926NHFtqeJuGKOiByilg6cqelp0RhQ3CW/gh/o8TBkL4DYzWfViq3a/KaRrdQ +oYqF7RKe4aL5LoVS8bVgYpEm5TJoahI7kl1YDEHdIXCSdICyfCtoV1dFuUQv9TK2 +CSN36aa3qso0JT/BHA6tqI2WVyqB1DbOZK6BLUXPUE/xmfCEGum+XgCl4S4IemNL +WQpTvZ4QXhsGDrgEHolc0dKToOxuFe9e8ZtufttOZ7wu0CByDZ0aaiyMwzGsa3kY +KY4ferh7OojV/Hdjwq3V/ry/AsH5MxEPw9+bg3mCnmfgirY/gPChaWNjNdLtQPfv +eCu35PeEuefgUtH9WLoTfHt+bkm40eqPze3cumojYV6d7ej43v7AgV5Y3JKjGhKd +LI3HerQOkLvf8NCXJopgxtDpnLFcV/pS5Bru1yV3QkoceZYo0bVPqGARogrc1vkU +l6ICGYcV1uwe+Pn49qFplVOUeRyd3/qKQJkImf/EDpNC6IdNG0/WZ8IHXn2uqD2B +QU20Z8ZRbgyGGkALsHHSnzl8o3JMPmYCklOATThGIVRzf18VybGacjQ8rqFyLOUf +OjzAA+eZKQEyW93FEOhA8FBLXX49rxwFrp+vNqysaGO8lBeRKlfclZA74ZoaE9EZ +P0vJFNsGEjXuAJXEV/6omyHoFlWLZv8m7iDFIuBaJbHkRnkIHKVQUVBx8eCX8IMW +xXeOGlKEiWJUQEZlS+1yilJFJ9mzbCmbD6JfyyJvfncdHubx/n7cQsLtX/05hBfv +QQh4XSrxDfHW42B22USZMj8WqrNNGoYJUfbIEmJMWrx+PGV9SqXRidCKdhgUbjY3 +/O6cB1b9E/nsyZJvzmk3rCv6MNbCJn4+l46V9rHqoqMLmjh+afyzSnDsxzCWQd9a +OkF2Fhd61cIJUkVhZj8SU8aCbBDK7YIjebBT4ZERng3ItFv+RTVIs0E95i5BcBuD +88sgiS4uQQfXOsVZ5KeKBTew9BEPQhJ8XkIGq7g0gdJE3/kwqQzS1ZpBZZ4B1qFH +OCzE4EfLYLuzhVUCEM16LcDIGaL+namZqhG89pNteP/AL+xEalDEo181DX5T68+9 +k51DxYCzuJd1Cqj4PV8oDWDEYO+qA84178PNYTwF9TWORQmUPvwc0Wv5+1TpJHwF +3wkJEcyI67D0reZGDdS13nLr8XmDCJzEDcxh9a9/i3DCKUpCrqY3P9WIWp7nX1yu +6atXddrXLRtXtLN+x9+YGplpF4HE+T5yORSkfGL5ohfQhHe8oB+aVLXwdfiTKL17 +5C15Oloou3Q0kDHYeZxyrjU1Ei4uNhK8tMmMeh9sfNZvXe55LHz+UGybg0ZSqjFC +fvMsI6OtM40zvPuCY4Uw1izKC2TYrssK57ICgW3puBhZdeQ6Mx7dPs/rUr2oWQ0c +LqFKZLWZxo9jUGENq+514pVJ15qki7VKtkxOeMHYNk0xYI0LJI7EnGTpfXHRATBO +69ZlK0few1J6QWMQZgBp8r834ig0btuSzpJTkZfTzKcDYiN3zVIWojsoZJ7mB67b +zxScLyLm77YYf7sJHXO0unG2/A71E5V7hQawHiywTeWVtnp17KqhIOdQxecGk4Tr +i21IIQplU0wg7vMz83u8ahNVHO+e1AbXSCuS/erjtwjmvb6r32gw80i5NdamKe9x +s1CcvOSjcrCB1wvU7nsCvCyaf78a2uFpGtux88R2uECTmwEYNdf1U6kBRuNMIhOH +l2WXRCeBMqIli7XFIJtSXbCi15HiToz9bZDfIeMXBEwzr4T+oqAUQWTbMBRkp+L4 +J80lqevkKmWKbTl88R0JvOVfy995By8WlamkErfquZQsAB4QYDmF/zrHC7yjLIBG +O3BgrNkaitdswieWvo/6CwMIRGIM37KFot4xU341MhHeV/r79Tzs6vQ/dH2f8/kD +iH9qg8YJ1C+bCSyEdqptXo99jip4WqRJOMH3JzkaygMRA7tC3z219m4D9H2iYGlW +dfwd/upaiVcg16peTdR5NlFZOyKsSVURfQAZ5Gjbc3TsFFiUs02jLOjcMMX4oi4E +A434p9V9hWbACLAYa3/v0Vl2yiG1j11wpx5iN8XNZ01VDnhHiR2AuEqTm3c04eIf +DFdi7JBG7tDSuenRujLPHnkszMiGVOJw4mGqdtcMA5FTztv/OV2ZoACbzyPP4to1 +AsYPupcbuYOAoeKd8w74qomXJ396Nn231XhLhq7lpA2MiFvVGK+m8w/2r5TEOX8x +Lp8zi//wjADBnzQKFgm1AjFJLxi0ibLZ9Ys54eP6QWt2RzjR7LBneQqUDq9SHA/V +aakiO8mTEngpQlj9NR9o+sqyjfG2IQMD04GhXk+GCZ3x6v3wcbIcT4yreqgc1Zgq +OJRcnf5WhsPGZnGBAMU4ijJt7F3wkkd2kfwvvv1dNPrmnf5s15Px6CqvIuzgl9x5 +ZAf1GMzLE8cRrV5pcoXf7Y7Uk8EfFuuaRCxWgXw9oOtKgAv9heLKArupG95DPEx0 +Y+mpc2YZjtYq8Kj3oSoHSkknj2f5XNuDKpRkq/5+nr//WxwN5As2ULv3+c+GsAyn +oBv/KYYDAsLxEYh9AQ+7TTedl93bpzwu1p4zTgdB50v5STRRkwAAJf7/jEkb1BQr +nvSkC/33bsRvhp758p+XEErwz8fqKQdt2o5F5TxoUxH9QYIfOaAvVja6mbOnzQC3 +LZgFcDCBBmwVw148u5KZ5+kZdFvIDS4Q6xxFo8clKn2ZRbpkQYVYbIBdusGbtfHT +3YdM9x9b+M2rF4yqYVK+MFtjxWJXdZBvWO8ySbJiVatnf7BHokwqYVFelwTvcESE +owZ11eOkxmoxwpXV8GiK434ndjgY0vTu3T81t2CbCxF2L2fkA6WARConbrbb72OM +OsZa6byPXOWLIYAn6u0Ulixfng1ZfgfI1dUsunlF9dV+wNHvOp6R8zzZ2Pdrf/DM +H2VkceKfr3ZrwxjmJZoveqMjS41wR2zHb2NjiP1VsYXVnqRoUrSk4w+uhDdHD4Sv +FGg9QKMsNxtkUH+qte6PuKt+EggEoa/wqnH7WGe1uI4thVWKI6S/0Y3YIfxb/7BL +SeQsTdkUIzIc6n1kOPyMQpLOTwgDRrPICllvKeL44FI= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew.v new file mode 100644 index 0000000000000000000000000000000000000000..a93c9bb8978664ae35f6e5a0f5213a9fe0690932 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew.v @@ -0,0 +1,409 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +czho4hvtlRM+sLOH06EART8F96Nwt9FUE9Z9X/yYMPgOhnHnBf24O9fhShlP3QzN +4kujL3qPt9c7RTLhShcCvgJbtjUNLMOjWMCc1L+vmNBrx90IVYkQJcQu1H9yvE94 +a32oIAD9+EGIY1tbXOm7YcDItL4w97zMQ9FnJ4Ls6+Q= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 17888) +t68g1cCi2IRzmXxG27HexXlxJGaHr8vjaWHF94AibMFid3TQPdSTsTjiYb6mHYZ/ +5l469Yd/ZpPUwRsnNnMTknV23cNKYYpigVUJz4u9EOGRDeYsjV60VYpWTqze3wT9 +Ag6vVc0/vcMWd80g6NVauE284OiK9Qz7vlqEHFDJZU0yJfhG9+HSdpyjZLwTVD2g +7Ri0S2SzQcz444pH/816BpYqiIA+W+59NC5tArmFD+lT58r+OBNo3skNLG5xPNS4 +sz1KsXAVn4sgXAAefaXLEquxcTrPt9oCQa51p0mMxqk5UdA5TLq/6dgQyqAGIsH6 +m3fo62Aa8NshWDVD1RPr8OUTqH6pKBZzIehF60Enoz0XdDk9l/W22Np7PnkRMPMl +Ja09j/rO8dZ75yTmR/7z6av+eMUkOxWK6eDkGIll7WI6rCVnjCfANQJQpG0+jlLg +Nb5pSobEf+mNLSJy7y9Tx6rgNVwW8LP1AzfblcRuhHg96Rqr19Rfbr0YmF3deS4e +HHszsS5fayNsyTYDrv3fubACsROd08EQPMVDPsu1osvDHppLwA47J7uaXrA7C2X4 +IAQbrhNDBJWtch7K+5vncOnxO/qzyirVbRLlO+8Pjx35bqAblihmETRNmGobt1mj +vxIaMLsiQ+KzxLHqyp65VdegCOlGRqTYJBC5Pa0O75GpYRYD/DtOrdzOrUs+Hk8Q +iOWuOfgNv4MazNBlaXNezfW/HQJWUksUoS0Yl3n7b8zF2NMqcrmynixY84Xe2Rxz +LHYefb4kwQRAc4aOp0OmTKXD4HU01gG2JXehI8Uy7FoyLQdXYmuiEaKoy4isT+xI +Wvq8EVcx07MenUIa/Lvwo30Vkh2ULTOt/d3+w8PcFNdlL1KM54ytbhItiZXWeTMP +AEoU6N0InZK893HyiT0/89c96HpfKKx1NxbvOxwinYkgE+p1GD1CzcES2tBxKWgO +p8TOt34QiVMBW/1JzFNWuR642dcit28aAWlyOE1iKzspFsJ4ZPmkWTNoaFk5XVoP +ET/lS50ul5qnBnvVR+zeDo06XNwtEhM1JbvdfG8sJFlOSYXet4SsppBxBfUk4uk4 +QC1rsk4QjNaEu2NEcLfH21uAgX/I47MzbY9ItNe6QP0COU0waxjWC/ydFFuKgZXb +2SW5EQkr/ZzGOUL5q3o0VTQ+fIK00UQIJxS0xk9wGagopgS6I2+IoYJ8JMOGwvOZ +H52YMzBgQUjTNz5bmGe7ZUAav1xmkC5Tct3veFbPMiWLoDGG9UFvD/9j5xW8aT+v +tbHTxyrilLk85FpyNrc2RHndRgpnRRlqpd541l40Jr+Tf2vartlnu8W1dTbsznMJ +wIvPqSOdjqeCfLX4e6ShUYYMMKTKqsSa2wQA78HSfa3Ld/Q9D/WOlDDPSwPTwwEs +fJnvQozjamR++cF2sdsrZ9oKKJEq63OBRdIjF2UKfdZeZeJiZ2HF8GavX6DS+GZ8 +tjqSzfxHYrloJPZ8YyNXQ+IodIXfjMRYzVEWK3JotiyFzjGjOtU5S4/6kwt9sw9l +cxcbo0aQbXax+R8BuD1vHPLpDz5iPZSJ/Fs06sW321Gi0IvuZabzpu8xo1VfKnJR +AtV0RpvlZuJUtFUIUB+Po+TjaGUSyrdeeZRyJieExTU40dcViEtwSlJtbSMVTU6u +Ux0XdpVIZuCBU5rOTC90ftrmLxeeLtqeuga2spymDcu5Qtb+veoLBB71q7WiWW6o +mVAHPTPNRiMIFMbcFnvpa1nnrukJiMi2aH7yXi4oMLBQMvuUzvhw7qpRYn0yoKVJ +79WpzPafcww5eH/wRQkqW96p9meXFu/sHsIVYcoEE/QofodgB07tBE8ctNysQLaV +A7sCMOuoaZLplHgbPEEqFMbGHl6kEYBStP/d+sVUPa+gh+jWUbXruiFyuQQA4uFf +91MmqOMZHuS60RYtHq5LSpyCCwIo36Q9P1CSleolQdBRy7kPhTvEaTVJtVArNhSz +PecAOlW9Gx3jOD98SrBT40giRprube0bOKogXYWpurt9lw2k6lKASCfWBiWaGgO4 +rQal+JzAUhpHcrYMrPymXgvmd0Em6Fgm1OSEFIb2waMGw6vkdB8imURGKV7RT9Ur +vLdb9FRwj1PhY01iMvs+gzlKXNzIYDVhY0C1FtaAU5fuDkc3bIxW7qJp9g9xhLqO +XqiOG06S0Iyc883Kv3yrax5GXyG4zQjUxmzCUDcB51NgMq5Y4zo0LrcGK0PGXQv6 +zJvpdvgGFTzFGo6/as/VaFi6z14Lj6MxNB9LlAlH67+S/hUB6RzRVcY7NSMdRt4i +sXUN0bUEJZatOwj0GVvkHJzFyqcdXQFr6cYsyKjc3FJaaciOnF0YI9ZVaqfPWhIP +o1EJkJ+/gC4aB5zDiZVNr50+1gImliGI0M4tMzq6gHfQDkrdVlYvx7SK1Ln7E5dk +27nlTPNAjotmknSyvCOzh1WYpJsmxUmsZA/dLBd+To6ZFQp1yphSD2e2QCvL1Ldh +J8GOetMHFWpPRpGtoLD3D/VPLNoUUneVNkW5HTz2IfGbMkfSBy0GElJFRNzazyXj +nHNqRaDBf+pwjqD8LQNdMjXjAvZTvZvZn2x6kOwXA1azzKgfV7+p3fKKuMYqc2Vz +gF8mdnXjaoBofxFVnS1lsfz9aMqM6xkqF+YBIdf7ra+31XEODL1U9N+nJuGz1cTn +Tzg+0u/CGEqPoorMfWWJDzef36RWPQbJY1I9Z7wjyDZMMyMXOYbVq1AtIbD0/6fe +7D7DfGX/HI6sY13yUsRzmeP0g6m5FBalfNFf++FSKHFMTQu8gwonigaW2WR9so+p +cRvBtMw0gOUxSnZf6qTCG8AyO3jOPHY0tpXvHfBCbQfeCg06+iL3g0vpfqkXy/J+ +A1FCKEIBtOh+kzOelLMMAkoDvgilivd2hEqZL17Cc2AG4PlAvthJtzO4x5vfk6h3 +285o5/YH1+58QKEh2Lby8dYsPR2p/msQFQ5Jb65ZK4qkPAes3BMQivMbjCMdkLMp +Q0R7uXadHOYBsyr5RaLCVqLKpQ/qYoRMB4D03xI7mOHm1FqUDkkgnDMGtaMEp2O8 +33cfkxM0JXvQb1U4DsukrYIgJA7cOh1T7D+HGrx7aCnAKqVrp7ArxVGDZjtikzcd +LlxS5JWh3XHFRO6k0pudr5BSjlHu0mXKNewNYhMTMmq1pX1dUd5435prAV/jlvkx +CzwnPFwbtSv+1gEIYo+Pyfzvtk1FyfkqMzeDouwP3fPxoau4qhLcHC6NSmTN8Uoh +KMtuR7smCw707ITuc1fWKlbn373d5MAJmHcuXMAxL5HLaRdlsg5Vyw6SxsvBeBS0 +gezk2vmuyukFcskZZLxB39K2LHjh/QzwcykfYuyfDArdmgoAl5zaPXQ9vTc3jrgH +tk9MlBnn3Y0t0jR5APxf5wO6EIh2I5Q95074ECNSrB2yeKZb6BSyRoYC82SLzjTn +S9eyvGb+/jN0oakXOEAP0ChixJ+aBehMM2NvI4ETGdRH2NTrn8jjyjv4Int7dy0a +YBl17fsw75iCfZN7NB8CsxU2i/FN5Dd3cvvnei5FFyhhBJpsv83NqM5E/xsGp912 +hcEy6tBZnB3dNvcPPNNf4/Nykpcc1domqIazOtATvOBRc3lQQ0jRqj1Qn8XXzzo0 ++B9774m4ESwoVvgZ9ckGqyK5P2g8e1upk7GGiYNeoSkIrUWTFDEDJml2xzD7MucA +7TL4tdBvwhlOAS07j9NV1Wu+CWthOi3UkSUVOLIIETyBSnj6fEd1sNar3k6qagu/ +N/htF6GiSBm0xZrUzQvjZh7eSyCWppILtG1hD0ylUZ1fHSU84lbWVdhMwWyqYLtA +wcOWJhwN9Nl31G5N0zMvQNcNvNIq5K3BYk+VKeSpjM4ybhvkkoYb3lpaV8vCHQhi +5JLqnmXnAapRuv5dMi5dZAwqKmxPQ2IC4artrpAPYNFM29LK9UQ91Yalhbv/Nr30 +byoIOtxpyuVoi0ChFpGckxS/pq9KMBdy924UwwoL+ZpIkQomv5R5CXVbS0FRIyaJ +KtIpQmU5IaNiDUsdGsuAPQpULafx1EqTY57wCPOMBjObq4lNZRgT/AnTeZ9S7pZ6 +fXbnm2QG+jHqAb7UM4HKoPqhaEXhvuDB0fNiSt/Xr6fEnUb7bheu8FujW8NU0uE8 +d4YCVhI4TyyIb+N+jnig1bu8IaiMaiL52IOfuPqLf0rXZDjJrNvD/upDz8a/r0CP +ebS7qpIi4Gr0PpglD59VEs83FB+pEKLG8jPkR74NMnfc4Q0RCCqBpUIAxb0zaV1+ +yfFk7/A5B4fwHxvvJffDtZm5JCIrz48CqcsLE/nLDRgXHah9C0fA7MdUSmTwSllR +6B3kPZ5p3RiLAn7R/cXi5HOScsBnpExY+Oa4+DMjijePfNDeyzSayJe1cM5Epc7H +SUBqRMggZMA1WTC+bEbsH4Rt9qAswrvG1/Q0lH0Uxc+iNaEhmzYsy/i6cMWdR2vj +mhlH1LTryTGek7vT99O868og0zjw1i2d9h6ef//S8WwcJwXBUeH3Wi/bBcZe99ac +u3ZtZwA4TsdJfT8/4VZWpOBdkpGUVS6HtVLa7CaoqDXy8pa+w7pE30Pb9ULybKgz +cb0apGHarRdU3ssl9MHvOg5MEFxzhcuYWbb0+75FSB4FCR/Oeida8Dcekz9bzsAy +el6J1C5XmhnxZJBBTSClXiE1TgSRLaRJL6mv5dZR4AKi8VlVPySlvOeETa+NVHB+ +aoD5KJx546Pi+d1Ih8WPf1SWfIXcsw1gcNTEnKaKDg9ZUHzSwhzRHlDZtA1MauFR +AB+qLx92JEfO1OokdGqQjyUxmkb0iYr4CdIpqvv1c4jf19l9ipikYwZukOS/hpNY +MkzI+4SAfz89h66NXZWOqnSwRUmbTk7TrZ9ijfCtUw/J4573ghg9mToLG5PeTykw +pOW7DxvbSjUhSBsBYtSWpBlKTAXPXfTgW4aCS446gBUdCwnKtaEyrv/GMr7997dB +mduTEUOoh3wyRaGHJYBg6U6Qm46NtoRslCYPlNGphBeeims7bqeEXSh8kLN8sLQw +J6REDtXnwsUkYGF+vDQrzN31eh8VpkYyAfzgkAzUa9gH1BoI45MCIIDnKLaLBPsh +S4Q6g8k6FKMg98SMSPHnI4jibSOPQCe4JraJqSvDjh7MHCMGz9etnkJZwZjXn+gV +hRG1S9IapyYWIaBHvgg6/wA/uhKE6vE8Nk2SloJrIHg2yr6cwl+ccdKdnSI1r4CV +e+4tCXnXu0TffiALGSwkID2Cl6E3iR5/1XTJqMhlBUg3zc8P/raH9ciWhSqQUTFn +LaLR+/CVMwar1mKv1EIXfFao76C3TyLbEV2HYSWWfjoVfqeNBbyfsU0pnF+W9rzb +ZFFOws1cFaBArBadHhpBeXeKpQfOF1+xb9A7/LJ/xFpdBNYW7x5EyLYMYBJUl4jX +Wg8mG5ZKn78ScDyVFSPDzDBylL89ao00Nj8CzcsgXqATd26xHLsc3T8tHglwh3c4 +hPkOMffPQUD1YHK88Ac2n+MG5EnbM8t4wGP4oP9I5KtLlB5xGqrqtpCo801LsS0c +X5d7/n4cHr6HDASiI9ZSy+LgxFIk8diyWuY8yxw1voMj8fBL7QXvhB5zUol8dLxw +VqKN94WKcPVMKocZlAz6wB9VKPpwNyPXcbsIGJlmv9ZxLiGDr+mzvuxYv9EMZaQj +tYKk6Yp3Uffjc/Hlf80U5GJ9vGcJfxAM+icln039qt5HPRNHXhYppNfub475KbG1 +BcCCO0El6x6MRfvjWrLXkFu0RGj4friCcMngGiYRPjvx4Ois73F8dcYOMRW24ouj +EvyavcLxb+7pMrlOC7gkFIRwjyq6Gj0p4aAtyvBXnv5RaC179ZdX/zBxvC8KCZK3 +pGsWtDr0gvMu3+4ROP6rKbBNYVBujthXboV+zvXTqQ5Y52YIcqyCUm5hmBMuuzya +iZLq5dXNuRvDS/DV/p7ERJwlBNHtig+uwVRk3UM1kmnJZOnPA2hiZ2OJ/eKnSZ1J +xD8j7do1FxQOPgfavQiaGyarA/E9sYdqjCcdMzV5GpO/ZvGniJAxnekUUkTj25/u +uj5f0slzPfLQ0cU3a0IVmOEi75gSpsGwIsLGLacejBRTuG+te/32nyOWxXsw7SHf +zOmlu/+WdPnBKMAOx29JmKJ4EkBdJNrMo3axEOI49rb6S4fHjSV42QyuZQUfydNh +81lZ1uT69lkErRcCyOKK2viqyAKw1u/1Nmani9V4Fwdf+zVx2u6bIl907AiX//6m +N5hYDIrDN+E6B76inDMdI2CUcNXAFsP9X9j85Kd+i/GAkT2sPrKoNgIGfieeKmFM +QLh1CKEBOeiivHL4RrIKcjnpC9R4rdiW5Nt4ZSGwTLJFKYkwKHkR5jBniWBEbCUZ +yb6z/XPb7Z3jBj7wIqZK88fKmlx9Oqaqp+oYCkP+g33vgVb9tmUpYBzx6PO1Ltvb +FeksUpvETwUnk+xM//iFO1EfySeW7+8oV1Xrb1NnsImaVfWz0nlKfXmtQ5siiLR0 +51wBEMnjs2+CzuXktXC2n1WgcSYjaK7SrNLu2lf1Pv/xRC0vqDMwc3sLPtIFlIAR +zMZEdERDgV8HjKjBvjdSdxRP7Chl06+dmehFBRibQs6e6mUzYYJhoHD5Zc2oLyqr +bILsWObWIv84p1Rm8oTMlT+nU5rh86jJpWeMQAfrb5tXiXfmfxZ0sC82aYY3by6B +bj3n/tk/vu17dN2f4yuGoci8RitI6eiJodBQcc54IOc/hXncmy0lT6JM01b6CNO6 +bDehwNKrI4Sz4eXssTo7F5HwT/OS/7UlkSxFHAqqVo+jPn5dy+pzMgDxg84RoEB6 +nWtNhxzW90lUmTmCXpypxek1WvdCDnayjtkmNaVJFOMkn8GH9tSkPfnXRBXrRGCZ +E53HHndSxHyOaYDXRmNGDvBnj7GQACKQqUCL50ntK2V+jdl9YkT9AiHMAdZQcC05 +LYYVp/4T/XT45zQPEtkHnASKAUHmdJjz44ub/lNC3xUjDESxkgxpmTXDroN3TDe5 +jdDHTT5H3D7hCGVHcbfmRo3sWyIbkRlBO+B6N/c+hhKCKCOZvuH2Sy/J6MCeK+mg +uEQFNp2FbTjBzcCm3bhX++B33lx1X6G8u7F7Y5Je3Tq0hhhmUJxsjdS1n7vpVNva +EE0gZeuiWCdxZ2ZaN5oDpmIiWeu1ADCHmC9HsRkUMLxnSXR4QaHFpb3/Wi2vxnXv +WhXmcn3WW2OD/8suqovIgCEVdBweQziaSvwblcQlSAJUga+SRJq6RwWRexnzfz1q +DV0QGL+PCVgU+KdkJFpVxeC2YLVLIobtSoQq1bTVdr8MtfzBBFS9pj9nEepXfwHg +HSc40YZrJ0LHPFKfqUj4a8wMu21jxZf6YeTgs12d2zsRdXN+fZKY8GPOwkpiicOK +gfElgQzQ9KKMXl6QLzdAppUIyytapUC+Nx4E3F9D7QOlG+MD48bvwsk/bvWw3OBr +rQ51IStHvqJycqy6DQ74hHAG5XpI5nW5topk4qyTGJXNaD8aIohLbqSOA+iTUUhu +vj91xNZPxBfwGw0e1gp6xdhkrQTd+U78Lzsf1b5MEdAMtkRVEBoSnIi+iDWPMFZA +EcMsYIc3G1JHHD14Ty+S343nosaCMlE9XwvhjiYYFEoZrnsuBt0PTWwq1upnDwQh +9Vn92Lah3W5MktRIwsb/ApELZnRIRsHiibMubF+lGYXo009HnYQqOPzoJfTDid9N +DPzw1HPJk1Qe7CuTbRd0zPZaKLxqp6I4Tslw9iYX/3VMshi5MNvhxJDVKgmWvyc9 +eX/PIZkJSQHAhHxBZS1j2z3hCCMD2QL95SkDWrS+4wsHsiBJ1dw09ZO1SYdO1khi +uTVyojTNjXvjzHfDPbveqy0VG7uCTkfAfRkOUBy4fkTOlUF75qfMdQIlpVH3dSc2 +/K11tnD9f40rF9WC6imHpMWvrV/zAhtiIOlKYfJTS7cXmlfLtYnVAFrdNXNw//+L +JnScJjIgtPem2ZdHQ5MVzFpOB+DYf/J4Pmau7qXkod0gmEY3p/VGETCnNBefY048 +6VIek6heeJiQ/gOP8f7DNZQSqL9FnnrFnjh3UPsRaaXCkcN9XhucQ05NGFmXaiH/ +QHvVzhy0s+DuIJ8XgxFavjqSvQNK429ocNsHhe/wHMXGYfP6eCzOMuRubI0OtpXn +bs8upofadMIvMxYAXE8hFNz99OXE7fyPw3llVZuaPjkSi7ThT7Pp33dMv6N8pqXM +PoJFQGnC5ssyI53ESYF/3x90zEMmpwNqDtDu46+jlsmZgBoecCrYdMJ9RJ8U5Dar +iPmVGLxKsl6VaBZdHdTXvCEcKSfmWkugMpQn3qqWZ2/cCoKS8FHxZzOS0j9uINRW +g7RTevqFrdogQRfrhmm1yiJ+qouobhfo30tGydCoXovXjfxj/pcOQ1q2nl0R12Os +ZRZhfiRn39xgG0KYxVAnS1rvxCcxU83NpQrIxV8Nf0GBn3s42w8H+orUfL2L3vSt +ErIBVFRKJXmvxFGOlJUZdz7l2ZFtf5MQiCtA1sJ8sPdBvD24eFaYGnLyZr+GmVn7 +wxEDXv0a5u7Mgckyzpj2xtIS61EsnY0uBcXYhTpQEY38xSaJxIfX+A3dMxqMuSku +3DQAa0j0rgZ5ZxHODpqvT5M1XVEH+WmgTCLQ93ztQTrMhDhyZfXvcqhHRIxkRXI5 +T1LnGIdi/xl0fDY1eF3sS2eghb4E+AEnf2hXKsMaHKHA83IUdcN3EQ5jXtvIotlu +4cSFx3Z1Hgy7krJoUuFh0eP2IQHVElD5rUNKi1w/4TCmMCNAhtjgfPesr2S/wZsP +fRLOJoS1Z+5z08jYBeOHrTR6dO8eMIxEjk3kE9g3niNn5nivAU7GZ490gj21siUp +yJD7xCH7Ume3vVC4ijpsHSPPsAX1kSh5Tzkx7Ef4EINRXbSIRuBOTNmQ4BWSlrKW +/YDo6mPNzWht/ukmaiNFnKzWuntBQl6L/HBuV+dq4RyxZpVtFoEgDoMHCD5zOIqu +lnbNOqDwfi02haBaP4h2UlfLMCbpXA4AQcUUwHXC874Rpxx32aR0e3g6SyoSH15Z +lK7N4IzBgH/kYZE3qvxgCZpW94sXa9PnpN6miML+lmDwIwsniaO0u8QQjl7k2S17 +YmKdAebHe7euurCTvmUYn44CXjd3bYV5+Z5RdP5FYWjuOumcqSf6WuxCV8PRqBd/ +D6ITH7cYB4UqkWZNdugcoClHdyIXRXfPY5x98RouVVo3MOTH7XB6jEr9bVK38IhM +MpoTIDUx5tVIBULg4gubhSAQR5jpuem6qpTxhs19yznNZgkeHJs4SbQ+esXn3+Jl +tcmY0dUlicWXM9TL25rWP1+OseSqS2D36UHTAojMkQ0yb1Qt0Ij4kH9WumjD3UE9 +xX0IxTiSJ3IsgjEam9CPku5e0ckGUBg6UyZY1wiBMlgw8bvWkOi1+ENWbbWnAtbi +aR2EqjOyWgoxCduv0wJ5H9I82IofXNX0b8mVIgywPDYHIAoHs65h+Y+yXOsrMbET +vqkB5kYlT/UYLJaHdUZ4TN2WcrTNL+l1I/BKydLXjwfUhoCsaY7OfCsaBFFWy0HO +nDxlb9QjEfXOgTGGUqzLC50hhC6HjrcNrn2ZVa868fAMJe1z1VuJmF0fZbl94hg/ +RIk5UMt/MVCgpKx8qDNFvb2cM/70lOfFbcpbCQLYDItQy5ggLXkpzZJkSTPxkmcK +/kKS4SuJw//MU65Yk5VsRjoHO/4WF1Q2lRnnk2Er5Xs7TRoY9Wz5f+tGAtQT4ndZ +3UNoaHh7bqkWSkVxbw57sfszAHNjOqjX3cRyVrew9o0oy2T6zqdJW19DW0gJKznZ +9/7N09huahyrUa0f5BIdxO+K7c3K1fFf/ad2qB+QhpvU2MjEYHr7nWFwNnC8gSuj +7aV0tMdIDVHs2jwEPvZ6eAprgrdN9c6e9c08fJpjoVkBK+ci9+I4aOGFf16lnZGW +WTqtYxt4hTDLk8L6xZ4wJkX/MCZJqziMPHD5pNfUrrnWeoZCDFqdfkAzwpuKTpKS +L4Ncm8BOuCml2MaAnVihcTYWXFl6dg6r+vtG8Nrc/jEM1rDJ4iT+xIAGWpPAuJLh +pKmXltksrvO/cSWIWAWQtvKqCgY88MYh/j6DGnxDSGUvdCWLRaViZ8fzIWUuRgZ/ +1ZgXXPpPbwqdGlLWK2s2VRwixJwg7+B2z4cCGacT9P21tiXbdI/GWZiH9KyvvE6u +Lo01Kk5bck67IC0QajyWrsrH5RzaNGPYDRDa9m2sekrrQVzWTrneCEgTsWpSVdnW +TKldL2YF5jyTcD2Z8vmcLszNateyOJHFl+daW6yra3IneU6R7s0soZETtcMcKCWw +f9oIlpqLqST4xxGEcnOTuq81UKFJKF7oeLooQYmTzQAQcIbBlJ7UJwtfxcwKhiTb +O88elpnyULuUhrZZDWm18Uv9h+s3hAXWzcdiFSaxD+dEQjyUjgTWoXrzS1cbzuZW +GpXLvD5U1JXNO7y0dI9Rm4oGeTDxtrjSo3hY+fhBYW+Lxoel/xLfD5NAksYJ69dA +97kxMiezNyGwb7+Y0+xd/ONyuCMg3B3kztXmKLbsYAXGGfvMl8YP/uuReqhTHCnW +hHf/aGCGhtkODzMEHhukUS0Lb2VEGGkyDPI5+Mecr2BEQYqXInQ3PqVQulyrZAOW +R6rJK4UyatNWpdtTg8pk38ClNzqRFdLL4WGXJqjmnKb/tSfHNr8w3rdihLc6cshB +vBflBnFaODWUlbl0WVnxVjs1AUMsHncJvCP+R0UMHf1pEOorH2OzQq3GaU+968V4 +11/qPL3O4gfVWzNdkXY2l3bVUXSbcyuQ+zP+758K2HY2V2yJdbO4C2tzLPn1DzqW +dW/LKis3tJqTTssJCxuuL2pFvnjRa4IjFOckoSNnXpI2bnjsA3rYFnVNBYlTTyAe +QvA2fCu0t6Jb2CCFCARc1xunUg2tyMouWbct5dONj5/eZW1EJ+kuS6pvelaFZBCy +VIG2w4fRFWM+JiKE2eJIgoLAqEqO2ut1uNWhq65R3oID33Z3U+zrk8zL05yccwtZ +w32vU6Zv8ktMBe9HEEVwu5frmY0+vplGjkPQQ4UklSaMBRnmRp0jkp+R9PDF0yfH +BNOcVNLBc0S2BpJzOUyyQqEMkI1IRrVn0wk5PJNFIc9YRDI5acZ8xt1ztJwsbAYE +yzAbLyG8Rgg9l37UriamfKdmljGSC5RfOVCTjmqqM82+YM1rGWBgFIoYeW7OQMNg +Z/5Y58tDwP/UyXsrO48UTIA702X8/X1l4eYX2gUpdfJqRCS7WNURuAYtKWvZ/V3i +NFRLwFz3wFNpILC75wOTz8v+IlzBmVoKBmNQf/MOWupfOfMBwitn9tiDnsHEQod3 +7ydKcTc7ojFJ8ADqdM2Iasw4l/tgKzlNv1cVkFAEBR2rV6R0TpYxEuBao/Lel3Tx ++fdol1EHxk7Ghbyt9dHbJBUt058SKLhZN52Hegy6vnRjYIx8xoIWbOdUdAosR0t4 +FoQXrIaNm+fKz0OtRdbzQxEisj5PI6ank+rkOx9AT09qszSqhSv1tq1ybgF47tC6 +f+fCxLeghj56hiETMxOcYfggqlIP0yywdrQWGaAEnUTU/FFxa1j5/M38SCGbDE4J +zbJlLzutvcWrPJE5ddvf+iQGCLA2XAZl6d+yOHvd4wJ8UzQC2Gs5kefEUlnuv9XH +fiY/O1JWaeKk74FVQToYsoptPo5xUSH7suIzO6vEbvOL5DEigVBX+wRLfnbMZKMv +7i2o4lADf6eJS9nSPL7cqCg0j09N3GuKaD8zA2WOrgd90tWTiiHA/Lg9JHHI0goo +BFjXbHNuqLF5+gd0b64yVWLSfhtptdxr5j4Bbr7lAfmw7EO4LTxAemn8x52xhFwV +Oset+VE9jfF9Dnfp83+X9/f45HgMhL90RNBvQWTNllhlWuPVZ8M6iEKJR8OrBIx7 ++kreJUfEDz4Ja2Z35zQ+yObNLJ4RiIKcLc7KsRX8pq8keiG+GLdT5Xr8HQzQG9q6 +Jqq9nIuYBpx4msjrMpLjakr7MCwQoPSyR7qCOKFBmau1aUw8tvVQeCyCIy4rVg/n +I03nBqIImb/bdvjkOSqFDiujtMreMwe2G1/ZHsr1NyuYqVKIvZBRhDuH9gSdNFSR +cKL170cSoftTbtuOHteRZ+5BrJrrpKsp8qnoEtc6Tevw6NOVMIpsU33Ht6QZDgRr +kD/RIOYhjbi8q1qPxC0V11ueh/01yEH9Hcq/fJPVJS50RDKS8F5EUbR4s75MSlRa +50QJK73WeMZOQNWcCZiyaQwqTv4GIuptHCvu3uNtn9bap2TnIuXJIROYGDSEWqxz +7tHitJBSQzO3IvcLUxQZ9ERsOT1po2pQBPkd4vPtWZghQpDmedUkk0WXCNYfxNM3 +8bdoeOLnddGlMcjBJgnAkpuL67rTjAm5tLmw34YqimySDzzRm1aWRY86IfI1W4KZ +3udtmfQMahBSWrW4Quk5UQmO83QFwylBDoOtxmfrGM9uyNX3RfLB/3eptCwC1Frb +8TUnHExQuMOqQTHD/OYuzdoOHowxxx8YFOGVBoPDvXITJCmQlwg3VOlGGWpJXFdL +b8AGRli/W/5dlqj1Dav1940aZMpyY3jf5ZbweC1hzgcH+ohcen8vU71LUfOtQO9H ++wC4akyR4B0mOC5i6uir6uSfJmyYanjvObPXUZrLD4nUE4wYVZdfunCrzmSVg5ti +z070gQpVSoaVJDtXW1vbSSPeFhpzEaHY3EzdfkaCMb/O1PYylaCyJ188wKdgtXg2 +hRaAMVkxul2/VTaiiZ8iQvt0jEDLNKiSi+zwmI1teM9j9203fJyAo9WjXlZMrbOg +lxtldTnzvd2fPTKkMG6LkPrqMavG8VtvfJxPpTKzusuAUYuJ+uLqhdLERR5o8KiQ +0hCUKcbXHrkbMDPM/6JUPuqbcLXlMoJ0GXXX69yonJN0X71EEuH2oAZ+nKZcD84/ +wkbqYrs+AVuClRnJfCA2dqKYeOO8ivwR6MtJG7/2BQn7w3iXpZmHMZlurF9ZWIvF +LJkS9WjAJ5Lb7D6phEingjdwL2kbih5QQANw6Thge8O9jwJTH+Eu66voCF7AkaPJ +dZq8lMmED+oMHErTlLaYn2htwMCY4I27uKe7XsS5+7rbTuUndbb2rcvNeGzGgeYU +Cpt0DbBBv248Gjp1dyBCk1+eGmqjKi6gvzgX3OiXM9M34HXVuJV9i2OeY0tGOEo0 +QUouBrJZ/QfKde0d4L4jYHxAwiZqTmwvttOogxzrephpzlHFR8Kevq21HqzCVfwQ +1JnCn09j7ofpo9UWh0trrnVtan0aAMrYqv0jArBIWf34d+Ou8IquIirirw5eM6OR +8aS5TEHPqRgLPyT7sUsmTZN2wH+V1xvMlpNoCrxvGYQEOvkHWTtU83oYnBUrijdM +ZMGSXlqageLsi8xIXXoI9oKtLYbzkMwiZiTMhzkCTkTKoHwXtbjxUe+UMXPWlJtX +LpWHJzBORkd8S0FNoirotwTMbcPiEqscT3fUQenzENRJ+66nBLMS9AYhBwH5UkaC +nhXROlwZVRPRgqZDjednJ93PFgQlC5O6qfCNCCe1bbdiXS5tBpsInSNCgYnXC8UQ +G6oDZ2dtbkbicjTyb4Vz+1UebvoPlDpNJlNBhpTbp0o3YwnB1oq3B5YiCdmiRLcs +pZkeGJyg1gm9h7pjBL6RX7X8uUR05UOvBF+E6cgCJKZjp5dlIPpx4e2JLS25Ouny +D7vjsfxmBJ7Nq7NFUnWzzwTCObEuoZRRNK6OUKzjAopD3cLg7eULx16UfQkOLteG +WzEKjUhhkGX6UTOmz16yJLSz7vYHO6Km1u7JlObJiHgnLtNojKXESDTOBAAXrCKi +8Cc9Q3yAdotCHoRTOnBLtF5gkF6C3nDOsNQvfEqyaaY9cwNR7pdlCEByW+1u9bAY +gFs7vcGbi/UzIu2vBtPCk9vDSrlntzcKrTYGx1aQwwfDN3Eg4zURX4UMT/sl3FJT +jN72+7vvEaQekxVcR5bpyg4iBFSBOFGss6ePtLvTdGzFv6CJGFoT4LIx5z0Chmcy +mLV7rJu368Ka4VEiKXPmplu9JZOhdrarHWg2SwIf+c1y5U+G1qNv73IvaMV8NDg1 +RMcuO4JcPNaXMjqTEk5AuljBYDOP3OOE25eOAICgBKqz+ZJmRYZeFNdSS3d8vP6N +GrYRRSbbfX4D/3D7gsqGNPmIqVQW3sOnyCVotFBxVRr9uBUn+KKdsI69p5zJQil2 +DtzBG7bYbH6Y3nOoSQaErAo3wAmnpy26yE8mcaNfh8lI3XMxKbHaccVb29gV92Zi +vw+k1tuqUq8H4vcVAwa3bnfUfNDsO98ZlgjZCxAilbYqSVN3pq4dXb/NxylAjzeS +b65PXJO8EzAmPKs9eQs3JP5yLGGrGSYrWfDa/hOpC5b8C5WfWDxDpy8SdLj0bCnq +PHiiQfwzjRyKkTQj52GsVXqo8zihdOOX8xzCDvl5/7kOdq2bfGfD2JWuGyJgpwAR +Whr6c1DBfaKMdTHp2YRDkC0haNE9fOm6XraxGcIqY5jf7m+O8n9r6SY/l3znCE3z +K30caj9oKsCIC4PzVWU6l5EpMWejn8g7pCA83tpmIqwb22gohAamWPBdd4PCOI5O +4WQy36+RdBVz1Qe8Xj9awzIsVbMutdPyZryFhJ9XB5JEt08K1BmwFoNSlJNOB7Gc ++HdIzjC6JjxG2t44SDXlg+Y1r0Y24OxSlxcWvjHt/XdpFrC1H4p6n8xicvfWz+7w +xpzaeV3Y/4JjN9j/aCnCJUObZJrwxRjfhGICZfcnm2HY8KKeLTdsg9GoCLo7zDI/ +yS5SKqctzJlSsbBvU/bzn71uuybqgkc8i4dUR5AXrlLC8gBfmXuEU/NZ+pXqufNz +KgctcBCVnOi9lfK6Cy8zP1DOHZmaVo2uVrjn+ho+whxHBhQFmC/jmmZJPnvTTRAZ +fJGxD42SgyLewLBySjL8H/7vB0b/2zfMc30OrsqeIWp4Odr5q7DVaLIu1joJT03k +sZCHSe4L+EiDozMa6etYvZARyMo/9Tahq7W9HXR4toPgspR7rWlPg/zBd7RI8I3m +TvNaunM6rcVyJ91dkPkbypd8omBoBCGD3ofPArYjAGOv88DY4YoM4RVQC522xR7D +u2yxxRjFzq7EQ6++/wYIw1/0u71ubPFjR2DgDztqRyWEkgM5pZL+TrDs2bHm6yKi +b9ZgdiAjsxrvXuhDtMAOGaNdnLOoaIvA14rl+dKUj9ajI8H85HailjL+Pqrw0Ewr +V8MLjvUpfGxmkeE3xq/95BVtsTCeILFAz6yvgfPLNJ8HCJcye9D6JIHa+ECJf8JM +kNAI+d0psaMiU6MhqMKAbl/i8K2hxZFyXpoLp4TK1MGygWOTvdTp5d21Th1hv+yE +z4fN50BPBdEmN54uc/y1GkEqUqGsukmXZ1/GxRotBhdLDx/BqBr+MpgpUwSkRCZI +NjJsz0GJt53DPWOGQ4/WojPnmGiLV4fajZrVA/TQM4KhXpkOAgx1sxiCr6s6OvhM +7Z9riHn8fjDUQP0I/86SG59Zx858C/fMMOl3bIqrOhXSuHXFMAW7nKVf0Vtd8oZ0 +mWziJFfxSAVwLuPZwvq2SuxqHThJIMZThMzsnjXVH+a006BOor7RO17NLZILpjwb +OUEFsnxd8mgqYRI0/AHLmFB91nZIdo4fW7aRTvxWoykizSRjAQO7vg0CQaIIXw1x +iLMOFiSC/GOW99TXkMGZU8rbNtQfmQw66X0ONreNe48se2zsuXqhMQTPvv/DL1Uq +wlq+Y6lmHbPbktz9bw18jys96WWMywfP5nJ0NGkRkZmHKRp2zKAKoEfXgwGIXZoJ +zrNHpqla/+LbRShOUN1S2NGysYhF7cvrKspKRiGIF0XKeHPH3kB8m/AIAWqVSnHK +aKiWjQhq3MV7H7Ir/mwecfLeQsntCp65rDBsRXNWdZuMG73tVD96HEIilQt+vw02 +xzrZoWOTYn5gl4v7RycBHA0Shu70i9XOAZZSKR+RkIwkpMu6yNIL5g8dsCpL+mOn +JgI+KvmIkHc/bmBDEFlmIeo98JgxGtTpbcvb8VdVGgxmT+UEuDu/wnlZi4x+SKan +DjwN2kJGQmvVMaLrvwTDYlee3+hoZl7erHJ3QnFiKYJ+gy/KGYwlO9mCHbC1gZq/ +g8t5WZBgGrx/csYMu+N2U3/ub23G2EOgU+BxeGfp+KbzIACZ3Z7+I2t1gSasJ8CF +sNENH+7EYrSFiYDW6m+Pk7ir1qGbaeJH2QB3NG5hwTokzE7pj5KXzkNR+r7ufKuB +Tiwbxe8nnYhM5TIwOoJ4sgyhWMjIcI2kTCTOwawT/u4gZR4V1t/9aoAIOml5FaQ3 +kL7IAFhrHocrMNRDuVsZYDUvg57aEGmo4jUtMaOn26jgWjKcazdZslKUwJIbgEXj +W9/eSBEyuLtkU/RNDCo8nFwf8FAf2fHAbrOdlKC5eHEPOXp8XHVC4cvkxBqREo8h ++ytJj75C9xhRpNh1uOBLIP8jcfp0m1EUL47ZT2Ve2YcL4g1WwEoFTdnPRGpoa2Jj +tmWVl6L2fZphnjdyNuFBw1lkLLYMJHjQWywhflLUQtU/TdLeeXltK7gOMoqCU3+N +5+DRrvl5qohFy3BatGxWkQuBL2oUG0QY2Q3OFYR653fkGQ93hVCLnLXoA+yGKXuI +Irpr0NRNnGzO7HnJM5i8tfEMWZ7HjUJJQW0BToz92UZLbJ5khDn++IQeyZFNjY+D +mLDLtYp6cEs0ltypn0jzhUokQkwOnSBvDogyyIs9ZOTKCvjMOj2LHZoTLKVT2udL +mmvw7zYz2uBor7YrsRyrYzMbihRq0UK9snJt/5FUHsghp62hdh7oAEXKCHKbVPma +735xzdUqrMEUyMVsGAyS4C7S3Fy3YJqTZy98iCcKC2zB59v85cJAzJZ7hd9oTbrq +9WrWQbvMQ32BnGbWrIiiFib9A5qHIs+O5WlDyS1LoNRQWFMlcD49GKjqVgmMi/Wf +ZyvQ/E67LWp1EPQ98R1/5Yp08fSZKYpXBRm6yYeRV8i9WQvMyUFbV6ViF8lTA2g2 +UJ7wmWr1cva8rcx85QUeMvfFoMTjyguReFTtgPdP9BiQ1iV8bjZNFHhtJ1xvM6F9 +JM1GCDYvAAH00YDGrzyUKp0zOI22cZU1wbHjjGA6wFqKecwfAbA4AQR0bqyTdiu4 +S769efFZckqg+GbEYbuj805DhWQwDsAMswQRpaiYweReS18dd5d44fGuIGICni4+ +uH1onxwFdC2A3e9zovntgiW5t9cCaoVAt0TtgF2j+PuwL5Fv/ZJuNQeE8REh4DUf +GdBwqOfNk2ENib0UwKCq6aqTMer7w10+OOTYDcOC50HnZFB+KgKsYlIQwPRi2B95 +xCknbNdkN+87xM5ZLXy+/KO93hB1ocWMflGaF0m8EAGRV1NjQhMMkS3xAF2l5Z9B +SRvFTH8AJte34t0ngpuh7SsnR6qWejpsoZdl7SYV+hQub/S3EeNz7pW7lcr1KYvB +car3tC0gx/bS5uPyjYZOF8HQDbFqK7ELfFy0yZWGeXdQXcl6JKtWsB00uZzB86rz +YnBYoGrz3C5wcVVVBNRah7JXzwduGjSceibRqqMkdxXdVtcdGb5Vk/oEVQzFP5NX +nNO1tHmXEoY5fdc2cESttRW/DDIpyEv/EFGNeuk4zcttISUwjWyAMrMyqZkw9iIC +rQ1x419lo0USr0jC9Ap7GoaRSLvbY9lJTESNsoT60zrQrDNwxy/yAE28gcROTrpa +0QlOw2k42bYRmrydXw2S7qdCKxa6B+i6UoT7GFbPvFya9VPcJyxt2Hi+QzwV2b4T +8s1u2p5iWYcZgxjf1c+PoViuoqUAqL6ZcXlF2vKIuwe8eWuMLHPaxGEZMse5XktL +pZlaGR3+IS8k6xKih14BMp8zeXjyxyPrVJ+OszqLsh/dXtKFe5GONzW0hctq5UkP +/sSNImiFhg2iPkU5oDr9+w4dYp9hmZodAFEywsTr/OIjlh38MUL6Z861j3dkUhQ+ +SkQbHBCvLQcRxoCHuKTNYNqTUCTp9rJA84fiueHkiU42wDgPOnWG1GJsW4PMrkTu +xBDeV5sVofsGpPr8EoTX5qROplE7WmZEXNCnFcOAlJ2L3QnhJEuIxxAkH2GonEM6 +QLKAPjmiXOV489GZYgAh7nMjl3pdYxdBLFb8ot8DHAnBGpD+s+25d9BysMBxkVSH +WqqS7w4KKXWOTVc8vrZzUuM2EmCXkYy2FBM/N6bVGsQeWApH9+H3b4sWvhPQi2Oc +ONHVPoJZH/JwpgKEF/cmp1aTrlqVRJ/OK7UzCarEiJEnulfe2O8GjMnRTEMTzRbi +3J+0JxOzU09IqWDcZ15KMZSHIXxpuyakmECiZNZ5C1OJc8INfQxhGJIvBocZcrrd +Ew51pFuFB5CbiTQfAW8IiYLu5Z9P8CFW8ZIR+g0zJAHsEytRI6Kty99o1/7pYZPm +Hn1iBNfM9ZxzwLtsVzJWi00Hd5mXgDWrTQyE7PYQ8VdKL34mpkwOWGjHsPHBI1Zs +X5dEFIPompxyqDrxO5x1UPqKkdpRGFaeoKCA4OR1gFeX7KP/Tg/gANm5t5fNVvlw +/mIWuzpilE4GEimuSiNT3y+BoAfQ+BuYSv3M1blScJaNAy6DvhYLxzDq66UZq8BX +AjZKShIdel4pA8L37LEOdHl2MEhbQz1EkGnzjZx49BFldTUgTJ5HyxcqrNEtK90U +p2DLhqUL21+G6MK7Kmaf/nGub/l+SJP78McyuKX79iJUGf9PV6e5TJgrTfogT5YR +TIZGIpWVBt0cTSEO70/CZ68BfEFn8LiMycKTVaKsQqYEAXUxTuaCaAbboNOrWDa9 +aIcQEQF+g2gODSJcPFXQ+oiDLupDPcfhIK1LkMRUurzWkcPWoKzMbY8syzxig1Fr +ysLQXkoUjkQmk+lOdmUSdI+bvnkwFY9KaIk4Dn1oJfREaZkBhaM9L0WUZ8Tm0deC +I9tglGDFA4dF3PIvxkG6SjuQW5QUM7DQSvxPZE42idk5fDrzuRmTqBr9aCtoYLxr +gLqnJBREGbnWWaJ5X9qxK5A2GbuqZiAjyWXyshWQkjsFs1TPeMtVpyvheg7nbpNN +nfJDVZSnRDraPToO5HSVVurVshXESTj0m0Ca6u+8J08LkqqfJvTnveq13nsPPtbT +9qEnoPm4n/uF7USxYcM6xcGLFbdMLJc/wipGtwCyqu85LUyaZV9+vUB5pAV/a3GD +pEsU9TtdZq0V79/0zlOUBHrcni5YKRU9SIO3oLqDev/eulg+mm17TTEBC5mg3Q9r +mJEJhs7j6wxa9TUXtXRBxCC1BZRAzQjyWXqSre8oQH5C5rqCGe0nvhyc+f9HTOXz +VZw90EeGJOHFVnP2+nI5yutBdGLSbNkkGEuyOdi6wcRb2YtYAPJ56/8WSE8uZsmu +JANqYvg/y759XVwNLCyUrOZ0GCxFyI2Xygn7+ww9JYuxsRgKN5QVMJpoG3imU5rs +QczCYETglWwQNvVVw6Q+N5E3poYXR702VGlCdj+6JhCv+RCXuhORzZQhmrBpNbsp +0ZAdwcZT79mii/pftAkoPChJtujYWWa4n7PJnjM9NqcBPrD2rJzLPqXwRrbWW5+S +OBXHqOCl+BJVGFn51zGo3gD15gxOXRn+pYQWcS0Uo6d8PG0NdFkQ4sSLSI6RCA+Y +Soi3j4sI4DdI47fXEDdwPDmoc9HTdi+Zg8qFXO8Spi0uu6SN4Ursfim0ttxCGDVY +nmey0GekF/mvrl0cTUiup7NhhiGaEnUytg74AazecYoAn9if/YukZbKwgLq28fNJ +Ntee0X2cilcSLNH2B8G2vrYPDsMtyLuKvKE4Y8q4TKIqWGXsy2pRgvmlsADoSu66 +PPbLoaxu1OEGWu02HSA2Or+mJiph3coA+MLeA5bgz9+Wb1fIkB7LDjR4QZ4A0/c9 +AgBrFMV0qsUnlhGqhmMQf2cpnXkiev2lbBEwkU/DIbVm0sddVG1A4+QdDRE2W+MK +3MtjYwb/ECrYegjtAH7CN9nSq/76DnBA/h+M/IxGiF9THt1LAYaKPvUACEhCRVhT +LVmYdu+zhUjtzHcAIS7UnrkX27WRzSjsetufSD84QJeUkdEkc9DpDjbVrTamnpNr +S1jhGmZoCU038ghSm1tsvaUzxoKRue4xVcFWr4QdMxE4U51asnT/zqnLawMaw2Ku +9GZ9fRStGmFyh7u/CqwDQio63JWBMYCyUJ1FSqrSpv5JV0igaHBTOw59aM295lYq +uqZ6iWaD51iWEAwGZMHoW2g5gWHPWKopCR2yrwd/6It9rDwdDty06qXxsdBzXxDW +FWU3KtN0VDZVnc/nz6Gpb9o0aj1FsdT6b/ztjtQtwG001EslnsCjWc+Sf0wOzJgy +QHLmjlIOtu8oFGE2aG/NHEeE2rpfyWbFdDKuCUNvvlUxcKaCftoDkICfBSe+4Ebn +RfcafpiYXw9pn0RNhwho8C8bjE4Z5MU8bCvb2LzDlJ8aU7eGfOcok8TE+1+okr+1 +ViFfABMmnvM18qWwXkQEHj0vJkqClWK9DqIkCgpcaFilQKBuCCS3oCSVCjen3uEV +/sgimintK48t3XZXK/yxm90TpV8TYqTh+M13+DcgVeLMit8MCe2e5Lk3Fm6iSpHO +HYrzWhY8IFyn2beEfHInnBEy1r9WKZjp5FGTmkqaKNUXRV1Fiwn/dCCJCD+8xSXt +DQhErPOjDNvEoDpbbK+s7hV2ItiAdbXwjp7nBFdZ5juOm8HIe1tb4paG6EVrJGW+ +FATmAlP3BydGLDmqM3W+/LbyZXWC6zV98gN8uU5Ck0BFng/4wFZzSXJYKn9sOjKg +X0VNBAEScwCNdqncAjT+wBhko9LiFYf+ej8yZRgfWSNJSkoF+N+caCfD+Syp9oaQ +U1a6p4AMrG311XAzmma2aHiqe/BwfCgyg0NwujzaWK9OoX8Kb2AGN1AFVCasxJcZ +MN+VOshBHJL5ZEiXyBb41MdSC7d/tE5F4nxG040y6Crm7oDJd3axu+f8BYtoCZBD +WPOuliIBWt7FtkHJQKuNCpT0gMJQvdxhp3blgHvNHPKCPrvAVAw/H6z5TZKp4tp0 +cHCzCMuE6DeHwKdDZalNMQJQoRdgOPR28iclmaKZrL0MPnSZ7v3lNR/U3UBEeoTn +A4972eX1kuin1H3NbXDqf3JfSk7N5dLhjwI5PWyEHgzviK/H6MikThU5BpsGeehY +O2ALOSKkD/UgPonxMiTjFRcl3nQjwvMupE3QsSolNsHo78j8h+ZWJDO7nlT0jqgc +OwUc4NBErD16TzZP4qGFDwHMbR7xsUGIVyKSsb6klekr/NbbvcP/1s3cycJw8K+W +aeEuRJSYgq52nq2mO+VIH54ATWdxyADnQtSqPCuZtH4XDKpWC9T4LlbwuY1+ruLk +CMAn9rAn+b5iOxPb1WD8vJQsyqMfCIGdYXddOapFikH/fAPBfL2BmmrndYXgw82b +zBxieW8U3FtT5H6Ycc7Y0s0CEGsi+UZcT3s3gXMZhC18jrDCPgu1+AGmJgtuZsIu +RopwBbE9uM0r+52c5VRhFijGVVC+YtMfZaOFMQoDitkC+p/5YGJohnDQXwUav4Fz +SnKUSsQ8HVWAD3+L/s7iRYXlmqkHX+sTrPpcFYKMuWlQdqyNVW07ro62wz7HFsgW +86wnlWZ9mpyGP7iA45otakyfANkEDDVSA4zrLJgh+5XB3aqpzxdDXhXgeY4Jd1gk +BHZEJKqe9FoMFAuUh9V8jkStG9Lr+yGk0p12D6kCJhYCYEM+MpLTLXv6bQ+E1pSj +hdjcpZqCidYc3EYJGl68fSrKw78LNULMYQ5EcYyJlR9imK+DbeV+U72bpzW79IDU +YaZxxtum/z1ibIC2ltR0yU3YYdZJGah/C4iLRJ2gioBnOpdDo/Vnx17WifSkm9G+ +PcUvOytxV1b3qDBC8qFcYN88M25DSv3oGrSkJLq5sZrWEVOVKt21DfYEPMFhR3T2 +ZzKMtQlPS9N5bEmw3LzZ4kJ6plaCix7QZPcajBrqeUcQUbrKAHi4I2wUaFzpsYEt +/TkjFU1iGDZvHsaezl7JlnkDuQG/eV/a2gdc0JuHX7bDL7o6+Y79qxGHxasRdHt+ +xuNQs6sOz0eyc1zPJz24La/gB20dXOR7zM4SbW96nQY2xlXBtSF4rRciMnSQtStV +oEy81HeYgNvFJi+otr4HaC2hO9vn0b/2OnEnfKnOP2Cdk3Kmy45AiQDQfFVOOU0M +e0Z66l02193m8xMx8LVtAx6js5UyIepistj0CF7+47e1BVN63ECQs9gRWAYXh1OA +a+oBErGrV3civMxBqRM1tZnm1CPdjanOQmw+DgCvvdaDNKWadPGhD7jpOEeNVXxW +K9h4AI5bHStji4Ob5xU3na1BAEU/W8t8lfQp3K7UgnDhI5bico9MZyqKTBmHW7nI +8G2JHmFjhcYG71rUCHxE+FOKF35GNS2lUljubjIYrouMeMVJLnWYgKOsKvqn5YrL +glU1f8rvQu0mkvkKyTziAIde3R7OD3Vdw5z8/QQAar0BbZkDlTq+q7WESSfhBtd6 +xldM9+72T70MyHjRxvoTgYA1VSEcSQzEISHi2lif3V3l8eaxI9apExm+Lhkd/57m +BAQS0Hn5cFCj+bCV17jhHbSlroS9Xt+J9kODdkDs/c4gjkiJkxMBfIs/ihVByG9r +hTHIj5+gddcsH6LYKS4xTdjgBR4zgnr6nh9xdaIU0Qn04/hStcO8QhqQ98hdaD4o +yxVH0OlfKfztPJW0mvrktOhEQ0MPrgcdR2AU/cMdVCx7ba1UJKNzbKwkfHo7rd1u +UHO+8hVDUY1Knlel/fYdMYGKcyfcuOqBY1BxDFFNkD/Sc25Fg15DT9Dq+pnnQWtR +fDkjoTUnoNyPLfoSEM2jib8RvaHCMhajRBhpuy+0PClixT/HPIBzu9XvrKOv5Aa6 +HA1/TSFVrETwC2j3O7yFHFM9GxEsxvWnbZYlGgkiimyHpjH95P6d42zuDuTSycNB ++ccRJZPudXMNL1D+WNJj0ZmQxjRN6WyWWZsZsVM0haseVLNJ5ZFErzdnX7SCcAt4 +O3eGSo6L3mMiDDz3ZUy+YpQaP6Zqkb80/56qstgtrKuALx4aIg/fm1ESefH5CUJ0 +o6xyIE76E9WqJlMOtYYPgJfpInyKgs8+yTNSn/KwKP21z9XLc/yOJAFcoX1IEm7H +RMcKlgveFc6gNYVW5t/P82bco3T4YcFp8IGpi7liIV6LA8+uMdWg7v2zx0/Xu1ag +O7f6jRhQcFoK0ZDbKTh3hvqoQ8dl7na3O251gwt6rNkC4La1rj9mshE1rxZcHv2l +swo8dzU+OU78Zto+V2h/pHXtCs//Uv8S18OlXZTnYL8A24Z5GM+GOU6H49IvAsZd +Lt9wuNgzMEJR2hbLQRq6s6VKnXSq9bPDWBrQukVj+reoYk7+8exYtRgtYhuU2bBt +4N5RCHLjaspsMryH3pd1WwnOzVA4hcW6eb2IBHN4sxm81tOggS9SWMwpxMXq0xxa +DQ9HrQ+9LOGX4ZMD1ibrh1YJwMdz2W0rqrGuhSM6QDCKYLz+d+fU431y//YP8R2f ++qE4QgT/xqc+nk8zyaYsOi29JKv21wvnf3WEU0NJwSQ6DeWv7oTaFnO5eDTRqUaL +MLGJgCDW3CCTgC10qd/Ww8uD+8IW8+IffMXotvyGpO9Fwpzx8NkFSAb/5VsNyAcU +DLhERMDMtM6BNOCDutPjiuCNVeln7wA7tHecI0jo3pL6rjdTCmEYWNkVo3ssr5ft +/nyw4hBwi/tgsdwIgsTJ+hmUgDdZea9Sn4fPmTGc8qw= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew_channel.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew_channel.v new file mode 100644 index 0000000000000000000000000000000000000000..8ab72c41061c1a8e39c8046e66637bd48af869f7 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew_channel.v @@ -0,0 +1,170 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +QGVVg7qyk+8jPny62xAiU7ExceaqHef2+R4xwD8ndIwVqfWUkiSFVNYxum/Iz3If +l99PK8Hw5jfKTpWZHgLl5sprrlzc2EE1e6CdzwS+PesWehv7qBzQuAiRzHPOAbHg +v76PKvGTVEdEpX0Pib/vUjtXleGRNx49A0kzHsCfBgI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 6400) +7Uiywz2gMZTTQRU2REQ4RxU8+yw5rerYUw1qwJ4fr6eO3Tw13TMt/ZMweZeU/H9y +4crGORiS1m465ogeygDJOQoJQBy4dCjUa4xSHDCQxTRPh1vYJM5kQH5IF1gPRiKo +MEBkjajEL/UKXh4Zzow3VCA5BXLsUrvBs36eyWVLJxx7sJlHhZnwdepOv1aajsHw +po8BCizei2vEdiHH1NbiJxIVDr6dB81tG6J8Wljw3/prPXJRk6fdC+TVnaXaqGZ/ +er5WU10WW/2ugN54fh56MBowrwPEH1CfAYhGy3VmwV8X97xYAWYV30pP6BjftyJa +iVF1HEosXD0Fs7/tIS6KmTjw1fYzkN5QXz3M28U8fl4jruJom/Z2j/rAFnxwVqpq +muD2SC9knlPsmOnRqUGUa8RNPcVYKkBLuAOD2EgdODeEs+XMQN+HxSFxXOdOngMk +3HIys41SlDtaaYYBi4G4YKo3a2rqpiof8fCQHO5q1uXbLNbR6dZLsZtpf5OGFYlx +Vg9qutEiQOQ8vu8n1E9JcGXBOdfS3X9uZwzm1gOvoX6RzTbRpZ5BW9HP1TjIzbCf +ZOO5htYP390uL6b/rYar9l7bKeasrRBKo18e0nd/wxtUdwMSIJtZwcL4xpi6D5ez +ljye9fJbqvYdn8kPijttX8/7rC2PFawZYr63mZoI1mEEt2lRezib6GnV/M1c9Lth +wYG1ID2SsXNw+ZkUWl2F+l/SpRIUDxhiuIdxDPuySs10ixdjPhNa9Plzka5TpmPv +2GyKXQJ0BWuYg0gwcStAc6nFpOV94AA/GDULlNkKuvg3SXvo07p7nNkGbCbfNOUP +S86+IhcEU1I/AHXE2qakx9SJG1iD05LekHAjCC8tu9SeNoGrybHKkhwO0VgprApT +F/o8WY0ZULiurznCVoA2IQGpNCpC9LKFjogoprMXhnCEc9HhAbndDOBgKxJk8csk +Agx96Yrzqrh/9YCBDwj+mSGy1dP7SeczZN+hWFXZJ/LtfcJfhmXSeYF9i9J7X59h +5rHO22mlQz3f17WionDntiYYt0jXuffQY2OlyzZ98VA6LF8daaFXXBBtRhzGgg31 +ASIAT/kkp35KReZuYLRBw+yNhdNzx3Ruj54/ED/x5GrpcHIk/7u4YfgO+kI1jML2 +RtG4FedKeLhSvMFDm8C6F6QhhfaiKCrjjUFcXMfnPJ+94FCyeYxz+ctDajUq6suk +abD+t/H1vwjMPqzVC31BEqN18GqfoR5hxMcwhX6h5B/++5f5FPi0Qx7VSnGWs5Zm +bqcQR/GUu3kRUbPXKsmrlG1nz+T+3VOudm71HpdJafTcjhICc6YVgCnqVZ+Ur81/ +bgsHAF5+18rvHuTMH5uhbAQFDN5mZq/BQUhz6DVOOEyRa4jrDryDW2H1XZk6LUSd +g/P6ePOtO3T5ZYK1S3Okii2k9FARDYSE3994x7A+ANTDipGiuIBJ8umCebyNmJn8 +1skfW2uGI4dWikr3lKNwaVFaQMcMkHio4Pc5cWUywSG7ZQbkVyjF7WH9i24SS7BX +KOd5WBgZiUYsGOyhjT9L5vouGQUvCxCxsP6d1h3s//aYi6BLKJ5/5QM+7+On2Xny +PtHxHldKS0Lu21de7AXzPRLRbcRXTDmu+v6Ek8uI/ofBnUYOV3jshlj77V7gOvX8 +tIBurLYbDauO+4MlT0H2m7pf+WS5CSUviXKWwS0sSoTItVD66mWL8TN7Z69pMuDW +Sg++9/4lqhTz5ioAyw2BIWyPmc4YrT9bBpmeF90kOJOJtZQI1AoBtN43EPoacZj0 +ZwpYMIs5EpEU46yWpk29vhf909xhPLzuVJPpl+X/rXiWoXm7v7Fr3XPmWrYSq99q +nCiu/DyFQWD5j6JIIjdOCRpiOZe6dF7uH4SC4Z1/7qib24rUYOFPwUGDWre0NDAv +OdR/HM8ZT5SJBvD2ZhsATufr95I06OiW3sZuimLjKLjk/7ed0tLQRUZv4TWGT6lC +LtC42StPabBniZxJFsRLm5b5pwbmGRx/CwKav0BGRmnINel7BxjQOiwDkioCbC4k +wZ3/RnKtb9wCekK2s5DU/EEVimmCxEt7ASKZr72m4m2eNvfJPES5gaYTuGsXelF4 +eC6jZFicYg3C8rVFCy675TJjaHlwNoyFtd2CWSs/kenk08cuUmbfDSMclDswwzat +zctOyz9WphJBAyJlPZrLAWmeQ4w+YAO19wObv2xi15/gakVfb7IGVvi/GjUzOmcS +SqDkbsQMoMXZ/1VhE9mnqhN84qSMpoDquwl/kuOUUXIhpha3/KO/8NI88KUFuoZ7 +Cjou8LTAyvYOXNzSHqVefd3ZbuUIr1yjJHpMgk7d08a33l+N4Fp5IiHX8vYo1BNG +Ukav61MD4e4ue6oa4QBicLV7JBSXhOBwycmWM0peJ+EATbqP4dhR7OQgLxDWECN+ +PTcj3XoDOdvjSKZRRBE8inmP1n8J7qxq74GVKoGwDMSJg1CWgbYQDo+ezxtz1+1k +CubIJ4GhvrFzPiQf/CiK9wv+lAJ+Jwk/2VZTGKHOfDg0IdmpBWFnOUU3SWkDz9Qw +AGj4kzzoAApo28vd3Ww7mDqar6tJWoumUPJLOyJARNIk9jSWYIcJF95Z30nTflkh +wO1m/w07GHKa5nIR3+DO9dhrZHOcfEuLzERipYpfcxK7z8C8u61QbH87rEKTPOFC +fI8Y9BLlOWWgZ3iTyLb23lT6LK1mT8X7X+8eoatRhAzyJijm3k6D3GAvAwshxm2v +ll2JGLla7q7wSErbHPhFH9Hdvvh9T6xNA+isKhI9EWIita81xJVjEV/71lpHKFEf +ZLyJstDl1kxWJ6y5MWLO/OUjdz6GO3J0pbL9lBvaGG/4eWibkdx2fNrHj3ZZl8iP +Nm/Ib4upyxVx+mO7lkCGzXZNcxnL6KB7+eMp6FghshT71prk90IR2/wOFzDJQwte +vj31DTxAfdFENViVc4f/uaVrrtNyMxhGg0XFzEC4G/PzFk+mcAlijqFTOef14OXB +oxew5sPwTRxiNU2EOFD/zPqgIwqzQ0t99fiKKho+TGOrLpyPBBWUXEDOh1whVTnW +9tGrUu2fSVU54HgtRWYKTpnG2lbaPYFTdxMb9bCW5RscHqwZx7K8mcXjzWhMgmSS +SXp3elb2woSVVEo0Mevfnj6qADoxMLlmoN6NDtA8KSaS+RITsDiJWtpE5YcNhAfr +YJg5W3Rd8H82Di2B8qP/s6QgGiPeOfCgw76gPefEOB3bvWTksBrJrDEqRLgadqOF +Bb5TOqcV7R4I0I5OyAFyWNcHWNIWq8FMPgWitJLyhVs0iKvQNkX9RCX56J4gpR8V +lD8G4xizF5Zjm89BA+ojfhskVMu3J/gUVSwJJmElnadfLYJ5Cck3AjWe0ERHx7KE +4DV72E3LLUSZjEkzZB3rnjq5fpD66QMAmhsv7YpJEFrjQL/kHDmReTIvTPSXAMeh +Tv9iviLORr5VBAWQNSwoaucdqTBYrUJmoJlLqkgjD+XzVyVOPgiaowTkkbxqxKtb +VZL9EQjbqpLURHYjail9aPdkjsGV/xF4DQPHcaBKwir1hNCIfrTmrhmcHSv/yLt8 +n1kxeI7SjSO+ocM/gR91NjCFOADk3YKxCugZhOHzkmXswwTbFVe+nWsOcCkOFLZP +FQWGiCvhk0zqziFrRjfa6DbOg5T2qtbRxBf6cefwst1jfH/X9A/2/6wEHV2wT5Ss +ghPJ+5WtfwSxn4m1o9JetZi/NTBk4/I5gJRPlVqIZuK83EbKNYAPwwyNl8a+RN2d +kE4SCBzCLpjXemFhjv4sLKnbJDxAovZVeacOvLVrHy+hTXgwxc46swZU8tmKLlGG +wSZh82rWa9FzoVemyd6d9kpSYwRJG7mkG3doGWo/CgJJIaP5zY3VTm+gMT432qdu ++zek1o+ednYrroRvavdrd6HktNSmPoNHb0z3khywYFZHYA2QZAnCXBCJz5m/HnZ9 +W6oi4NumLDtJ78sdMwJVvlWPVuNONaxCdNr4bOrNN4BzB//WFERWev9HbKjjo1cn +J669y2/rM4Ae1ETKmsDOIXds7nVajSUWsMld68RIZvT5K4pdbWP10z350QdXi03m +2waCPoTv5iGtHcEKoMEK3L4i0dXY3YCW9og7cpAl3DxzdK84wGP/M7puaHEu/BJd +j16UDo2ROciaIWsceKKPkHnOm7YSGhQw/XgtYAvfgrhITSruMRDrhrHi46b8DwG5 +1FJcs0P7k3oFBYHbuhQEnxsPwGYSr5X5wVMIqM9TS5ClRun2hSAOl8VjxU/8Je95 +dd0o/NJZ4US+kGF6WyiRHCRCW9ZnJFv2GrodL0RyS2Fy32BuTbm+f68nB+3me4uT +MJ16qO1muZ6IeQC6ZhWjYCDGLej1gyyZa5500/Nw0wBsqejkLX85tqmxLtYPg1rn +a2PWAozL8oC8XXq5bXyOn7RVEfr1qDucsJHtuyUuLinqUt+DQWwA7OirJq1yEGFF +gxkhVBmjODhqp5L0JLOGKL8Q+Nu8zp37BnuvsFLZT+bldJBM9uEoV0trWj8nAmK0 +Qh8bNdePevxPYpyjxgNK0KWAymhzQVkEdRWQeLVaFaX32OpMSJW90vytP4yPTST0 +UImfhbkj2rbO8J7CcV8+2tVKF6mTVnk5EuAmS66aOO6Ai+UkovVQ2d2HNuCX4lmM +cevcS7cpxx3DqIqWmfTba8KuhPxDvAlty07AmXA12MGkVD8lOTb/swX4bXAZVrB7 +D1C2cdp1zaRFO4vshRbIg+tR/NQ/eMBFDH6XxXRCFumWWZHzukPjk9SRZTpWUY8z +9/Kxdd7dUHaE+EqkCMuUyqU792xrtTaSDB87pW6pC6AQqw/R/DA4Q0dcCwRlEd2d +PMMiho8LDxGyhmoXoVxsyhq41CoqwnhiEIiYInabz/OB5yp5vUvmc98kKjKkCpc5 +cJ1NaCMtwuWOnXq4DJ1DMgCMi0bCpXmxrglOLUlTo4JFG7vugeahIeTgS33UJsSs +3lVvGx10rUmGbPXSFNkiqLLjlISBZvIh15Ue+tlC2aIGhIzz50CtWBc5TNNG8vOd +8oKr+K4hN1b5WTVTrT25fnXse7xs7WztsrUxuZ59u0NbK7nNc20JGziCrZHEyt6p +TQ0tMoIWfdmREajujTGxaGU9B6g9i+qOVcsTceDDIhCvhGOkPIa+mDN7L0pEGz6T +cmEN7usaa0mvWmoEAgX8v+IstKRe1V6k5aMIY7tgIONh2bo9bdqFqlyG8hBxvNQd +1evYaEps7+qT1DQBB3GhxQgZUvka5WNaDAuVKcHa9YMPDWr1L+/GwRi2YeH6iGQr +nWk14rPKVwjDOtuDfibTO8tEU0JMbWGkOJ9y8S0q97zej9QqCiZKxGUAYd39tGfC +csLCSjJ9x3KfyB9nnj7ejjZRBwRij5L54iWQnrAtKZGV9PzrAHp1zrESCWmfpVTq +rwppDGWCPg42xxhJ2AEv8I1P8MmB9QLftCBtb78P0ZPz72jaQlKLX+g1FJhRRz92 +6UNRxjUkN1tHHpfQabqW7occ3p05isbsgARja+2c4Kck7sr0iFRJxnYfdxhE/lxM +Nc88rh7acb0zY930lpzTBFIxq7Ml4IfNQZOUd5WYfLiZ45OLjaGFNq8WjZZSFWSq +aVG4ur6vI0DOlky1djvGOCuTYjjCI7QXo6YPWP0wA2JW15KH8iflt3duMnlK7VBG ++LJLXRybZdKAv+wIvEVzhs4OWn3WgZnT29loPJrlgALViYOvp2QAddBtDYWzUGP7 +HFd5NEn/IN0LDSBWt7l2iz8UsJqNE4Tmi7qM5t+56G5lopxzdbB8Bf0QmJrT2SYp +Q6UGEFVEW3YCKtWkfF4taoeUlYROWkeATu9r3GgVw5lzAqIy6azGiaBsAL8aQlQi +tmI5wx0sccQw8QtYv/DjYmzp58pxDpXJEurUn2EZQ/V1LHI4qyRAH8UdGmbuXDAC +qwMiDUpi/fw41cekLsIDnXtZCUPuQH604hnje/7IhroGViHXaiOs+nycVITEIq+8 +ZPehbtqd7gnz7vjqyC8je/CvsRREJiKAYYq8jqF+ZeJJ0w5mQchJe0WMViFp/eiy +gGedPAewIbz+Em+tkQulsbmMdVRcZ4dZPYSR4EQf+T6jL+1VbXvPKsUkdFLO+IQm +VmmFF6g6r4YyT7ftmViFnQYD1LvEFyOa32F8JOH3CEzPeovgbWWi+hOC3tS6024Z +LTL8VRWB5LQME8OhmPUxBHK2PD4iddik8JhHZak4HXceQTfAwqtK7gG8T5blKLZQ +vy+Do+O9KZkZh4p0s5XF+QgmzbgrD9ZR5SP8olU0mSFmumcSVI7pgOg5l3lVFx29 +hGl9praqfE4HfwnlY5niIoZ3AxpTdDeH3aTkL6LWcXsQ1a3ZXLsrWzuzV56WLUIf +tDkWewaYU2U4BT9ouNMw31oXRNfkhNxwAzqS00+y63i1/S7UOiGLHaSwD3A/8xI2 +pdCk/g4CSYf4pkFiEgaRb4JlKrhZTCw28PRBun+fHlr+YzXdhGjD3A8AU7qIU0qp +RiL+Yp1MxOCQaANU/r8lTkS9CUSrEWnRkbjiWWTgU73z1juX68fpj6tOnIhW3Ehy +9KwIX6KmTA4Lk+Knm4mOrjKTj0ZIp6tgkDWYEZJEwl2NnBDRDUWQghIc8QQ1pUuj +s3KvirMuSwERvj6WSqqUfz4sCt+qUAU5Psd6ewVqbyItrVXpETNZ7zzoeq0GFaV0 +VbR8F9QiniV/rtg1ExgXwU8gZ+ij8JFP3p/z3aDi16Obldqn9fE2ggcvy4gOAilg +//z99fefrUOD4mv+fYba7Mjy4xDLiL1azOLJkdt627/maxkF7oLdfKIVP+CeZnQL +vUWAfCKnpjCvJFtVvlZ2GbNpG3OGXjcFHj0Z80oarODxkWoKNNz8bHXIy0X37DEf +dQT+dY2BH1E066BSLz/GNkqiMnPx06jCZq0VxynJLoTGCqXAKEx7tA7RPnxyQEzs +N52XiEsPgZYjRQ7YraK3j44xvORNnYcAOuhaWrmsoWotEvVZS3A/3KN8bWtLFf2L +WesGzKZk+gfbhSvqkYeRyVDi/a6fzkkmPCBsuVKPtjN/Yvl64t8L80Yj1nYBynFa +lZgsECEh5QEUvyMNaXZHUIMExx47vdcs62RBdr8l28IkYuHHY9x2mjRnnWA2BABg +OY2xs21M740F3sdG7tsCg/H1M7KtfEL3Lkx6vRihquklU/mbVQAYVHjtD7sIXnsH +7B0W4DN6AKMN5AJnqeCwTw3a6INtuc1M4t0aXKmWqb85sZsIwvmeV5mOYxc5teNx +cYHaXq8JRvfTJuvGb6UUPxEfhzX4PCEU6LxQsHFmTIR7l8UCZkol/Y6p2T706JKc +vpWArhtEVwP6gthaBQe5hKhPmQm2t/wueXWefTw4jEHgDl5HNnPzIzL1fAgjc4l6 +SxruYXc7r2vi5OorKaHYdNCheiDdRoQrGqXcSXf8E7Yvw3ev4JLFLBwVIkIe8eVB +X3XJwWyp9Bj1Yi34yGHXqR/vC0zT5CAA7Fx5xvXB19uY21wqf6ZYm2xqiB3I2yQP +VdRkA0v0aSOeE7uEpiDCI/NjLRSvCT/Zn4gCd+1WKxGcfkcRdwmvJtuyKb7rC5q1 +X03b5zvC2Gkqgtsg9T6qS5PMGpV4XdlJLS2gigccq1KXsrtT2d/W/A5E0j4qIjxo +1NxfUzknsKWeaqaZAlOPTtD2u/+iR2Ly/B3r8slDFB9gu8alVulm3j4Srz0c2YFp +kgAPZRZ53Y4qipYP3FmVlQ2674lrfuCIE+dgr7JFnS9ZwEZtO1r7EXCY6jya/R4a +L69fmdbrqsWqe1Nj6QE+7xFs73OvlT/hdbd4FlCgzNf9vy92YDhtz5QFkd4i+EnT +p7A3RGjsvzVmov4rtryjMY8ZuMiHkWN7rPvsEVdd/NL2NCAfiak4zaJKklZo32N9 +UPpTyI97+AYzhXCecLcrGc7ZqO+QArUK+jT5maHrPNo+bcmxO8dD+7pu41djbGrZ +voqtK5XiiijF8RVdEH5F1rjl+5NVgdFq7S7rHHhWkzJrO8sWSTxhb4ZgevY8T8G5 +DQuWFIFe9una59zKL3CLEvrHQQEjYm1ucTVUbWVmhgjH4l1DaYRnHaA3MS5TTWTe +3DUWro8Sn1m2iCF46QeS7sX04FTvkxT4jaNuf+0pIV5plTKDHHqhGdjYT7JSJMgC +sqQZJaSTMnsbDHWHlS07qqDf1sHRfuuNdEqLpEQqlLOEAcOaeWpIf/OWlATLpHE8 +E94oqmwfCjXQEx5QvYqLjEGJk765ybrcuAUeWlyIt/tBQt1qu8e6br28WGZtrZ6S +gtB2oVeT8lgHKtyvNjiU4kT0EIXKltvkAT8GIiexVMGPfyZDNL35M1NLZsFL5X8U +PsOE5bHDlk7oIYxpDXGy3EJNT437aRVRhNG1lbYpFj7jOUHCLNnRHv76WO/u0qZT +5CWX0qRV+MmEVtKtA39ex8ZnkN1eWFaTEs+M9mIvnjKAzJr3JcczFvHegMW+bN6r +TtVnF5GECYta6wFxU2GXvA== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew_ram.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew_ram.v new file mode 100644 index 0000000000000000000000000000000000000000..a4e9fa1d0821754e8089ef993e11ab1c75fb5be9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew_ram.v @@ -0,0 +1,257 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +tdBWfy9pwOtVUZ5fNgi17LBOjZjg8IXGa7SLoSjSzc3YA1F6yKogjP2yZayWuuO+ +6VWqL8C7KSTnknFyU9Th528f8Ta92tBlTYycuD1OtoIICiJtFxF2uPM5mIZ9jqnX +99dBDcxMFgfe67UyMaPWm/MjjzT3xRXbcgYr/9hcz6I= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 10608) +irabc7jLkRANfdG/qMEtZHEmLMuFnQt8c+IyUVVHIiB70HyNxcJemxUIsHQ1qpRF +Gdmt+TH9jb8wgPjZxTlALZ8sVwKlyeJrC1kAUi77KpmW+jCEav8wG1+H5l2TGz4s +VpBkOOqt7XYja3MrA9BPFjMk0tN0Lx+EieWZU0SbJ5XP+DKZesU6f8ji60D+qKhf +beNAU54LA+3QV//jgXupVWkNd77CUpyL2MHETLXCidnkDOu28Sury168CLhOnuIL +6birijOw4gTHfzNtUsMiSbb2OZwaQQOzb5HP6cqqC+QIzSSM7FtVp9PWgQ28fJcU +1MYxraT8OecM/BYyb2Ezki0Cb/8FKFffi1XDO+RMwkanvY0l5XBcT9HmaoLWBO1o +m7/OT3AYBGBff7tzpHlc+WBB+FNzuTiXvlMRqb6I1bbHTL1yB/IaXX35EHJYmt1H +tJzs1jgSYxrFGiEKPgumMK105xwshzyzg2kl50s6/btfdiPdjrZbAkeDtDWbzO3z +y8YPhr95NEtXEd2Za+w/KfiTRy0VXtrCALydehuF61VPj4P16e8FnBdysgDeVj8Q +yciP1/FvQ5MCFE//5vasBYHZVVaBbKdMTuW33AW+YH9LGj+GopnpOdFmgrhWCLZp +Ydg01H6iYCw2nN/x4ScaT+ZKmP3Zm8GcZwCYIdCYRF3obWMZO7ynnlK4yFPmbHpb +FSJ0DmBAeidq8A3iRF6Ue3FHkGq4GQpJ4eaorlPayuIE00UyoezZV4DQCzeI7k4j +923DP5yfJaomdJyimPk5AWQj1Om3Ziwte19nRVkyUetEamuyLpyKDdvCiJ44n9zG +oskIN2sEiy/5sfcVxo1VHx6FjRS56Y+iP0ZTOzOGfoFaCU/3AtLxaZk0jo3Dj9a/ +ph80DUClDEUGuhO2Ad8vDSFGEv7+iX/1YmWoA4mFeDzUUlnF/EfICN//PRlOu+IK +g7hsdOiO/D6l634/l05tg3kU/Ej5K8Hw4HKkZn6wNhSxz33QpETxhWbgO7VZ4rEk +9tCsV91KFe/xKI4ENvB305FlRJhOQQSt802zpw7Vfo27enbb2JlkLvf/c2ZmVdQp +lUydrsWPFXdOYsTxDSAn2yXj/onffxTQoeqs2a6fnakMF82SEAH7618z3s10oCis +KKwwkyyIbwnC+uU3zinth84urvjpmRH4S3ao9tOqHVSAfi6MZmyegQw0vykznlH8 +wCnn1FeL6tn9BdbG59imkoTevdEKAwm+njSQ4h9fdWwYaO6xCuIAVGIJ3aQARwBi +ed4Z6icyCFxOjwQ+UCpxhpUmc9V7vYrmjXEXebFEiCqg07wubdPKC80JkpZT82JS ++A8DsM/shsE3vrzPDINm7YRwg/t+xmZFxiDky8nunrUrgbuam23EIOQv5mjHRrMi +CHSfhYK1l98cWjaBp7rJi1k8VsR5EoMfyfcwcr8rZRRXB5KAkalDiqcOEEasOqSV +lP1K/RJgSoJehw7O8n5lYrhTDJPurbYFJDTPrDzY2hAaHrYmmtYZ6nNZm+ajhkjW +d59BM5U+gedA+7Ovr8/heqUEWAN4Crhv/fK4d5EQqURLo8nvba6OjvIsjfXRdihe +ua9ZMtkmVRqFfrTisznGTnbrOM6zr7WJ/tibe5WYxwiOgpJHZ/QdZXUG4f5FZtUO +RIWR86SD3tUIRieOsgvUc/5lAL6L/MRH8GnNrxUGLZ9vkZkPqMVf3CtVGSs1Z3Ny +wMpowHEw0513gceZ6Bmk0BWBiWCks5Yly4yVkMmcsU0/tD7RqaCYvw2ykLkWB2Je +WfTDYKXMLUT3+Aw4nCmmRECi/Ra7B4QYkleXC8hyRFxggzKJAgg1qeKJbBcUk6/Q +G+BXIvpOXp55KhXAUmmRD9e6hKkO2DZSkFQKr/0JpBKwWJwMtVbzRQBr+HU/vMkr +UeC04fUwTejQrSrH1yduN5hMCIGsbvcpD2vDsi0KBffFuEKhqamo9Xv6/0DY3uqt +Z9TkfWqITwEr28ViamSAvloPxkSFTNsyW6D/MZAE6yNzzegfQLkjNGHqqc2UlNJs +LhNlyebftFKETX2k5BB6vMGe5EwhAftR3xaSj/+6TrG6fadDbWZWSocAKRAsB5yN +rK+aCKqTAXtLmYMx5S/Os90RbrYxuobbuHZzQoQ2HV+n5pzB14yP65fnSfRiLMtr +k3e3SfN0r7hnawi596ihdvKmLtvHRL4tQZ7oOefJlfC6xoGLBwukXJepWywhGrp/ +8baH9QTQEkQgzZVzs/i9j7UfepbCeD2T6zcpJeOtz7XxjaPW8WY49aWSsTUC6s9I +4zX5llebhc846RdvqAPWUabnHMHCw2cjnN/kI1pq1fo9dA3+mfBuNUM8C37vJPTv +81hItUxEjcY87uJXtdC2cqzFjhfWi4I/+kOj1T9wN5uLWLMVw2hex/Gtg9oF701y +8qKNzJsYokIHxBlFWfFHvrFv+oYlPqg8gCCCLMmPrFCpUgmbooPIYQxMT3NgkZyN +jffR2roVcLkIKXtHBFirEjcLs8a2rIQb/LXXDV7T0oNP2tPOhJqU0yQnVjNuGv7a +BMM2C0MJDPwW5Eyfk8org8ENbSN4cOdf6X8f+Wo41hVLqCGtJL2Dl3RkCcZcAe53 +z19eZ867i0HMhgsrCg6YUKYUl1b0ir50IvGK8Mzfv4i30VlgIkQf6QFxh1ZIkxy3 +ieR3qsYusf/SdKv9/GxzGBiuGVVpi5Ts03xvV2RPwpzOkQjBRAZZ5FN09JncebYw +wwiXuSimrr41BcSTYynKU3LtVj+2vN2a5HjlZhFctZ0452cw/S9QYZdytksPzAR4 +zHePW9EfMEIy4q91molz6+F2ak7ybMxewCPFzkX+WGHYlWB9ZJz/8y1FrL06xZnz +GoEQB0qWSpnS6MymZu9uuG5VR5okaPiiUh868UKHqKpesnV/HOdhrssdB70ht47G +0rRWxy2qdk92NyOsMB4dxmN9i91pq+/8h3KML6pPp/c6fx7+bLIxxwVFYn+c6YdM +s0/N5a46p3boGwE3ugAq/5vM0zanC9O0AiB1oPLlR1KhvTg/Q2R5pZzcCBGI3Lv1 +r/P487fLUjqZJANSX7EM/NYTGB4LdVTri4M52Qu/BvAnHz1e/NViUZft9S5RwhMp +BZxoJg02iLu9tVXA45I8H8HuPIxuM9WYvVqQaLOvVmIWuQwzSp8oNBbcXyagTu5y +86GR5gTmiTqD9o/b/HayJX4i+GJXbk+oBubs8IrbN7lTAJaRr4pztWNjL108lme+ +GXjcT1139RhjWg2+T3ewvuXm/pC1swkViWIOlzsqLJbQ4L0biZzMlEtC/W9p7ePr +HZ/LeLikRglhjnd3ATjII1x4zxZpnLFpDJG8SoSpQXdd0t3IonTMK5VtXBBF0CaD +iBrhib4E94xpCkhN7550pP6syWI8p1yO1Ner93JELuMo7jZVwxjPDILV62ts/HnQ +WB0ZGuVidzvqli/FF0fyMRjZc7AiyIEwE7InaSfOhcxNhFkaJ/rYy0gYFYutysDb +VaZSWiJNerqicSPBZcJvB56LOe4/udDH4h2jr/COXqIJjitPEuUhlQsm1KXy8QCP +4YntZlcEN+1w1SIG1UWur1wyrwAJJ3aoom6DR2TiCj9QjZW1DEp8qnTUyBor69k/ +YO93uWIKYZnnUBijAlHUlEHq6WiX2UO8d04O9r55juDW+djjzWh8QSz+y/IQnXCK +yd45PCO9S/hIyCKYibgd81zBLycQ1aved+QFfCtOYjz2KLUnz3Z4WaeDPt0deCGU +AzC3gDx0Iv12Y9K+ChcEEohTx/esAQAYbXxvs2TP/gIS8ILOT+QRuGI5ZSxpy33p +Yasti2WfZ6Dnt4o2ohX3IyHX+FYksjS4p0a67h3KpAX5lfq6UsobEbjYZDBadUI8 ++9cSUV5ZWsSVDNJWvW1vqI5MAhdddjnfqWqDBlQuyJN6f12r5B2tGCtpx+Rcg0pQ +zfArrceuAsxgFk4HNdJyPMMrq/fiYF8uKnPnP3TwNjIHuTxf+CA4D9A1PWyNazsy +/NStBXRq6w/TkCz7T8uK/Q0FTGr2FDnre3zbk2mIWHkM2sc3cRqOvsOKQ+d/4SeJ +P1L1ri/qlTnHJ83+pYUWprymER/xtYN3d1qqfWcTTJ+5F6X8TPMMsJaTVGkxvWE3 +JhfFH/iScGY0bd4DjXxqiyGWvO5YBJL3zIS8fj+C9e1n3lxo/gFgpJmoBoIYOKw6 +bDHqvCFsm8QVLHizRtxk/92A/5VA0jT7h2E8O3/cEQ3NwLKIbdpjJWxhizO084qG +dAfQK4Xt/tBRnSleYd+EmldYU+udXl7enPbVihh0bpobP9ljvmmUKo+GHQOftBh3 +Z4KK8I7ydmrhy1n7pIf4AabPp0wtmRLakK2XNNZLBZRupuH1mzdO3xyQ5Zf1k8rP +GjFNQnXlr9dtEzGrBRGE01OgTdZmzfIKwN9caD268KRkewEkx6Zw0twIvY8jVeby +VaWcIb53eLCiSdLulOlRGZg4IL02spMAXQDYopOahkKrwYH79np0cPbwESRMfCmq +JNJ5xxTjcCcCmTNXhoKNhpCdpexUjn19DT58W82t3q2cMaZ3rpTs/Esqh4T3OYtH +dM0IEm1KLXx9fF40fr//AHKXHCyaVq3jmAWWKmwrVe7GHA5ghNjCwfOC/iiUR9iD +td5+o+BfXpb92MRoj76pGRDQkDENaQ9W+XqBqnsTOeuriVZaDiLHJxtFo5fYnuWq +N0IKKOQLGQ83O2ZXOf/gaNQE/EDCO+g/TmdFcFB41Z14q/A3HgFt/I/G5/hX7AMf +p2h6z0E77qiOHRsn4iLMFP5EnZTfDnXz7zfxdl1ykiu8u0xUCulNnwRSInGxhoKW +7GkOuWBU2ZjnL5UMZIPqGwov5t2E62AZIwDb1ODd3dwCtjiYLPWURZVaTV6rH5eb +YgTW6Y9L39Iwb6t7pOB6obnSAhwHrGLL60tjNL7DfEXWgZ2MnBotq4+AiLA6Cp0o +psnwKGF8Ibtj3b6KXjsriUBODF4zpEAzJOr7R3cjMaGHPybZ1Xm4dHQfKCikUnaS +9pgyhsMXdh5lJBY99lkFnZvPCLmRCJDf8LgFsu80TwDvYEZTB5PIHjcaiAnhP3mV +TNhcCSpBLTxhlMUveQm/oOkODpKtub9WSws9F7GDlIrCds/iYWi8KB9h7mHQLWb2 +fevNnqf8BjrSqVq2qPT5snpFj4Bx1XVzYdH7YNuCsK1ScWVI1oP/6bP6TwTIyLIA +Ynerm/JLRxdW+gKyE/Fw8ofAt+1rwF23Thd/StyA9e0yNmnC2TP6TLZCEyMRj5ld +mt1uBTHzTxzq/MR1s5BMbdKk8E2uRmfOrcQbZoPNItNDXL/rhoyEV8y+FOyLv0eq +oug/xTAlrltzu/UIvOO90PWyhSCxu28/CKk2M5wPkTnBF4bYH0ARc12sZw5C4slG +aj9EEC+30hD9485VsaKKdAiYem3HUq6NnB8JKW3L9TzBfQWAfiWhQ5B7MP83tHUu +flyKF4lSPjJeGooOHqDTlXnYVHSs3IrUo1nA65bue4Cd2Ok810oZpT/EhKsmS0dI +TyqxrVLHfEkdRo64bYHW+7v73uLj6EPJgl010No0/nSl5I0HGndryzQk0lf+53IP +gld2S3fbkgqCpPFowxBEPLELoMEk2k5PewSo4MEPBEgIGRaufG/nSBsFYZCrufL7 +f1Qe20mK36n9sBIa8C3E87tE2yTbYszFvmNZyKm3L0M8SEw6oMCNzS8t1YLizrNz +fkUFZqpEHJ8LSLrue85IRP+xsmfsn3L6FPo4ZgDgrW02pcK1hzhhmdYEO1b/g18q +ScXoAXqbSWL/ihYG+AA9PqcjPcih9g5APw6g+FFMgGoHaT5K3SPvnK6Jk6+xhkMM +aZLfP971wv6HSOPorRXctGjEdz2qWLG5ugcnEr2rMEH+4vNbqYmd8Kwh0Wpn1btv +gI6H8css73ioCcf1WfVhbP4nBxoTIyh727ZSo3CjIkzudF0wfNUj+7pTIkJ4RFEk +qOrIKqEIr2KfPi+8M5VHeAsSMpKI/X9JLj5jb6pufkSuk6or2jVUaLijTO+mGzbP +xv50khiZYdYUFsaxDmlAaJHCKVJVFb6p2PulsqzJXLFGlH7rgRNO1aupJXKMQ4fb +iVZPJThvtAFuP1QU9Z2+1ha1U0thh9ClhpYAMiItDOsMQ6rK4ekOIjD79i72rXNa +GaEm8vlxtMCh2iA5BY/GmDadF7z0Bu367c4dQQcwlrZ1vOeREgnmnfv5o2nk4RyY +qP0X0+Lg//QVGqA4sUHc0MEkPKUuQ0/HUB/mwmrcug9a43V77W3uzz4kO+w+cPZU +DxTg3Ew0VvspTUGoS7L3+Cw3qCkluEXfwzrZimmZk2dk4mHXx6ninjOZ+idvCG8m +UbdBX1k28Smy5E/Dc2DPmaWcFJKrfbh37IC5eyqlbCPUg0+pmf4sDz7aXP5sH8DG +kM8ciRc9JkfDEhhu4s8WX4nW5n3pNh6HGi01BAQBCWQmfrxwjP8jsm2uuVNqKRzO +9Wp2RUjdagWd3gWona76FQshSy5DZ835ZmKhOpYtAZIK4gYlKZKQ/avfPeSSKlQ+ +gfEIBQl/STXbxdMTLP4VKk9cqHh6twhznVZHslPXetv4PfRPbfyN4BWUQGt3L4zv +R/MVSHZzgHq+1f4WIBMCZzBChvD2EBxcms6G0vIpTJIEIlrkVFSK0BPHY/WTgDX0 +xkJ0PVPXJ8G8q0e768ykwiv3S4bts50JyDqeLriOeOVPXjuLU7E0hoghNyQS7baN +OGNTDkx07FDqFZoCfDvuO5gGgmN5I3uCy/TPSS4XkS5f5Jq7qyxBNYswyQnvqmZZ +bWGO7KbXF3wOzvJfAQnzxkhm5nBebdb9saqbPaSFprfASo+E01xrC2vxA0iayhbT +dScbgEUrqI0mzqGtEcljEEIrYrJa5VJ2Hg7qUMqK/OJrECCSGd9WxaRWLocwXdP2 +yUbhY2jZjm1TquopEoGDQv7Ta5mDeRDH2Mb2S7ZZKuVnJOhe1fXv9LzVfOpRtsBM +EAb8Ljj6rWkEqzPkGqnMF4qVI6lX9H2Z13bHw+6G/QwF/SRZcNTn0s2pr8X19dAu +0w4clhEWL8EV7md7njZtOeDiZC/rUITeFuEvLXbAhE+MCAXVbt6kilMMP7yoXnpM +B/uxKa5HMNYgiO3Thq6/lcnZbuc8QQq5FJwB2IZ8+05XWFUELEYaZECL1YnMPxhq +fuqgRCulcT0+xrQncKhXYk51CqSh4ZX5ginMeDDk76QuGemLp+lTkiC++tr6gnae +hL/9PnRslqE7j7suwhviym+t6czNF/Y0zZKDCukZm43CKKdj5FFUOMCalxyI5aAb +ad/XP8SpaPhmpmtwTkpubclyfYNOpXZrOhMoNRrt9YVkUQqzguK1UyOoyvcZg/ji +KrjjpcmhjzRC4UHRRwf46Bg5PCPOAk+2F/A8Y2gESYd6Swhli0A3o8Yturh8cCHa +3gil6sw7I/Y9QouFx6oT0TzbSXdkkZK3bYgk17hdej2CZWRn4aSYxVOHWuADmH0q +LLztmk4ZXEkDQu3wkzJJpJ2HkK8A3PvHy7nQ4xaSPcZd2pukb/1EcKYBfiOLUyuE +6dkdpJIcyJD1SXed/lSOoBQFX8DBr0pGYoB3ADDbZXmmyUHzvw57tfVPnulTrOIg +NkvXHOAIHlwjiFLup6WOzcnQSR/OjymN1nXjIJ4OPRQoQN3fA+VZ+dYvWeV7eBzg +QSFXWxFf0yPvE46NtJP9TRgtP8NaMNZO3ReAop0hjQVql5p/zG99C9Ol/F1i7Yze +gdcmp45th2TKvHs8UwwMyAKXjYDSmav25VpZrLbFHFTGvp2XhEV7wcJpyYLh/sUl +e3mWa7XqtyFUgC58FgQt44xj90u12cSNzboSEUITHEKA3DnXIYj6/te3/ZoU/qGK +vvSeTq6S3xyveY/1wjT7lwqsY+Ds4uKF4lQEImEzJUFKW+EWoGMX/0CGyfk/Sr56 +kqtXlc4V+/nwXvfHg8hU9EvSmeK+jAsOfc6HFgZT34KReECE8lOhT0+d+Z3S/Bld +HGhgNGM5jz1sC6k/6fV2njYd+qhRc7NWGrAHL6MOM1x7Br/hmT2rIf0q0jF/DCgT +yMAvZ6UPDX9/8YSie2DU5fc7t2LMDAKWobF4Q6WmDFMCLPBb8wcSNvSbliaeN08Z +xeWwsTblmC92J4BMEXnDSr746Wy0Mg/MPEvG7fl0ukZk4IERnDqf0Sm27RNYXajA +dwj0+EMiW5380AKcbsht2QuNAkxE5vTe2DbFkrLTsMR2jWIe8JmYNWfN+qhoM9uS +IS1vyJyXeE6f6GYKSLtkxuvgK9mmzWJAT1tW7G0AoE48Lk2NQmzIrzIFig7N3QFF +eYZSoCPb3/4mkWJe4gaNMZVZpoPLl7eKxC41Lmx/RXkIpz9wQqTYy5DowG1co1xG +ggZHnsPS96Udg8eHzw7UFjOF6OfhRa4apoCfCLrFFCi1++KZfnK4bC5TTW1b9741 +ebUHPTyHrhCRI4zimH1hbXIyi5ISdTxSUG71DXBt+YzKmTG1iOClGSSqJmPAjRLw +/TwQ/Ti3IgdsQnZz6aHoExLjcwuzL0Gi7NFLPhms250EL03q8ImxYW2d126iLJmp +dHqokmdzyUpXWNC81/J8oO+4tSsS+DuTTwISm3D5oT7hh0nelkYx4mrc1SNjY10g +OFlhH/Ip342qvOrFtjbcIUUiwpvLhlP6YXhw2dhn42LNdbbN3RSc0zGBwItCuIhd +2dvxqTmwXdSKEtW5/vzKuZNDdDe65Wj96ciyxZrG2RzzHlLeIDlS465N8lIVo48O +9FLRZ3uA6Xvi/rwMaJLwyVeTdpbUfKqL8Amf1qubHtXlH9I6rux80SQuCYnpJBr/ +IZ1epkBLwVXif7OKnDKdQ34P/CctI4h9IPmTEUkM4jUM7ohhMfXfq7KUMfTW5GME +yyd/uK6s4Rky6HM3HZNCGaiu2gddw7YyOLOuIQwifm5MxrlLkTbYlmU9jKrGWD+M +5RWNER2ps+1dyX2RPxTERm0vq3Ma1dzj4CFQlRVoJXs/RjQu//Om/CtkvPyg9rKv +Qd4m5v/4OjmsEJl/BQ6FiBLMANuCiDlw89yqi9qPjJEpije7YIlkttG/q58C70rw +LhbB/XQXjm87MIAfJJBb57RVcJM6+YRlobcAhkDNNJyHdeZO+j3WvEVQ+gE+CnAX +L6m29eeykzU/yHM/mh4+ixPtA3gnmp+P5kfjqdWChUONNakzW5+sM+r/U2krAbvc +9ln+MfE62DFh3XcZ0QdTJelh6E7U/VfCov8+QokExS/cK7pK4q8lc2wB63ssNTZA ++IHHCte+rah68e4BVK9pNVrISOIfSfnKrv8828SqOHgETJwYG/Y3gW0ozOJ7RP/t +gWHphY1BlIRDwZgmO1CzPaXfgF+zau0RdocOlRdbkZ/+JOSbrjZbV7gqpeIyXcrU +NqjFc49Sf6a84M+ZSMM+wkinx7KgbsdPvQ0PM5sNsr6MkBqYsv2W5oJ280lU6KVN +X+O5a5dOBzNptKkG0gxZBje8P1Yhs6IqdjfH9fdKEquKDm4HyMQOYj1AHu1LD2Rg +gq9xlHoMnkoHE92WIsmWdxWmxBlQHjvMpr2EFp5Gn9WjBwww6h1vcSh9tZV3d1+j +EdQuMGiSvgNoPLoRpvC2un7yq6Jx73Lpwz+t9WK091cjCqMSQ1YErc2rhaaBs7sz +96tRb9nZ5IZzEB5/q89L3i6VtUwGGHtbgruSbCew/PPstIgnDezS9YGVGkdaca9O +HpDiR7QGm96vvpjNZDYQrn6mT5FrKcmYsYCW3YTZGGY8JLnPOVImvYrus/2DhtdF +zb+9VWJSZZ68v4bRG5/kpeJr3rxJq1fTJ8USc5cuqWX4mT7lc46yzAq4psaSm04b +nKDyesiFehk+s0kTUZemRmbl8dxN9XoRLmNJXvnBHsJ9CYq3cZeqSuNd3a0xgvua +bZrTldhS8ZFlRSxsxgxzXBv6RZ4IjuDH2yEz7BrEPHZZAdDRhXAbQI2JLGK1xjz8 +nuwdXyQUCzYXBg+HSanMr4/tCZa9/jinbjoerzEhERJkC0IDBOu89ajmW+nLrbkJ +r1Iviq3NQELs4U5gF40T90dMBTjxzUuSvfd9kJVYXZ/AVwfqI8iTxc9Z26RuJ3yC +8Qi+S39aexLbfF7iIE5GJFJMYEyTOAkqdHCl17DiO+U4mjU92nB0B64RQAC2F5jR +SqHN2k4hE3Y2jGxD8NcvmLSyDzVFv43FlOtHhHPZaR3KvxQfhLmugfcV7rEb6VC4 +9L/P1hI9F+fG+qytlD/8OtnkEmVF+o8XQ7o0iD/zOuNLjqllnZv0SfQu5qqjEW91 +Xc5n3NOPUYQWSY14zJ0R7w6j6+/SgV78uBmLk+iRhbFaeHCUfKVyKuKd/s6cFWL+ +psfGP+l1CRU8I6GhBVhg15p4nEptAe+v2FMmKzvNJzeIjec//aqi3LGpGCjNMP3z +3mqzq4DvTXkELt51nthmZuk7VqXEmi7iwZ5jtuzfM2W5ZB7nlUWZMuyQmwZ8qRFH +FacHghglKGtWoavc0UPd09ZZTRm/+TFjz8d0OT21gga2gOZTSFCVocz1BEQDLKpj +ysMjG1AaMRmypTVdJogGCtaHvDSuxlRTCjxEAABtFZ+w+hrHOOvVr0Pbt9ZzDKnf +XznMiwKtkImpPifHRXkU/3uW640FLfA09iqB5w4PJhmgJRRCULPHciU9qZdihOgQ +70+yssjjoF000dBNWT9qlDIroUqmTP17gpeXXdj42INqOyKfF3dBy+qQnQdg2yuw +Ie4qns0pTzzYesoDPXyE7QEKUdmW1sMdDkjheEOtqYHCySL+PNy4Nd/8SNMIbAfw +2gymmJwxKVFXh3hn3Td0RJoHUYBfoAYz9Iy22DmYPfVpnoGQYzOdBfcXl/iGz37d +1Vy+NdCdURPXqVoNKWnzEBo9BnzdBv9YdaJ6foPNzcGRVVumzXsvbn4akPDsNsP8 +ObKeG1njdNLtGOqPijm/AxO08dJGb4W3UwQoEbpTHnyZFXQJ34L7t9+I1DXViDu4 +vyJRT4akWC3FxxP08F9GiYAdDWR59hRe/nxp3KFKl3+VxkZEyas+SR8jjxelUa7d +fUz/boEHLv6nrnV4i9J6R3KjbVo4D5fNGMdnvJnF7yuB20texiW76p6zPq36WkuX +LbpvPf9u26hxZ+XwkVy1ERpWWgiGCEWNcey8Rg2Rd8BgfZ8qWTSVpwLECu7xqZEa +Wa7UORKV0wtVOzli4UI3rwBR41me+ED+p5grLO4jkSgMMSi7P5K59q4JDQWZgWl/ +xUAaqtyDPYojRzqE3NgARRoEVRHuC3K8T2duG2jkH3V8MXFY1ghSMU9EH6puoVFt +9hwJ21A+KldXj924rzovfVkeH7CHHmmnXhlSb7qRkQPEuKJ5vJG2cHCbvrS0cI52 +h8lkUEF0xJUn0eWjvDY9GaTBKumNM1P0i7s29ebyOIlL6+9zOhnAMnZWfqHSFWur +Z2TmK6HiAriPRO29sAf4WVItVnpwhRgPGrakD9BjOAsUW6fMJEteUAeXIAMZ66DX +WOZZKzipoNgREBg18594myxG256/Pn0m4SA4pmTsdcp6c4h9MhfZ0AX2ZbCj09h8 +Q4+VJJyYt5UCqB1gLqTjHsw3FmJFrzrdlK5zBH0mCMw/Uy3/MlGR2zJUySr6agqq +4svLvZgMyoH1wWyi/xSj+lqUVEfZXePzOHzW/hYMvGbGHZPUnjqMkjyNvgocwRBM +/eg4cY6TtpBPqRqOiSJbsT4sD5HfUVCyamrJyVrhOp2InUSuDFv8g5GbBu/1Ekcx +GpJwMRy1c/afY2XKnT6fNDWNE8I1eiN6L6B+MErEVpZ1IAKmx9zpX/DpCUAexm+e +kYKQp60qpKvH7YYCJvZz5K15Q/GLLih4BFklG4TzGNdVUwOgi+PTacUI826huVjg +AUkuqLRU//pgxdMYAJygSJSgm+k1hBZBvCQUULoHDCwbfvn9JiZcBMEh4vqPYaak +z47zAQPvmHk4H7YYsb3pr/NHXHBnFMALw0YjROz1x+xDOlz7E+rDpBXUalCNlr6m +7jJ5y6SRXrHE5EbrAffAOrKZFmpFl8R+v54W2xmuwj97ghFoySWoYkAp+dURnQAI +fp0fFJIQbsHOagIbLR4J+dtPnl/zl7OHYN/L57XiGyTZwansKjvD+bj0g++rFcG0 +nmFEJKKFydXN3yBYSEZXDEfS/MK5ToarsbHZWHAhMGk0k1ksaoK3Qw25/RGR0+sk +2w4GAxbczhyLC2/FYgcYwETvHfwvwWQ1unkR4oR7PPIwp7ZPEufODexpBBIFu6Yw +5VLlkUMc4MT7/zgtbCILv7XhbbNXVpk54UZX6MQeH4d2mc7RzVRq0SiGci1YnsPS +zO5BCRO5y2AZHCaFDxEyAv3uebRbFJ0o6lotqZ0x1NpKJ1bXX386/afHNnnI6ZLV +XQczCr0dXROUVtLA/lC6MCzMWXU7Srmc4vMN3Ihi+tYTsPl/CtjoBeQJyrqiZLdH +YGJOthHwS/PsMEucQm0xCkIHYuMMDg7Fed0Ri1v7OVNxyp5zJuZC2zZrsRCC2NOl +jkCz55KRZ3497Iy748vu+LwYly7J/wGFC5hykNimqQQBAAS3s4z4DXYxgLI1q1Hp +4Gg0jmzaKVLOqdmIT7vNBWR0MYAfMx1j2G/KfI1HX7w5SiUa8fl+yE3Tu5jQWEBn +/LhbAsbuIZ+0LUzBY/6G8cKfq/OhlHwF6Sd8/fYJ/JhqEH67OekLbM6z4bplWHSj +HfcfE9vWLp+k1apYOFUdrNcNpYoOyJ6kNn4XIICXq1DLQ26kE7RgUzTxpSsfhtrE +5o15iwRJateEpWdsDSdp6allfLpGc2+NHxXRh3OYytF11hyFYofm22wjaYwa8Wuu +TA6cFhWHCmucyjV7dPTXlT7uf4Q4+qz7nxE5S0S0BttSArWPGVyRDMzsDmJFDrFK +38PP8uU0KQnzjO2/dT5IMM8wuYPFNCnzoKQ74XVqUSXnJ1xGpknOJTIQZbm6Sd9L +UjeEUt2q99+dagKlrCtLAvbKY4mRkbmhB+owuIBBt8OcoqCFbeIwKeCsyutR8u23 +n1z4lGw7j+DkDAw3Y9KKYELdpYUcYQAgGHAX5HDVSO1rQlMVzop4pyaBpLk3A8nY +ydXHlp+YDxzz3ftI89GS2dQWbI1Xgjj/gdP4/rZkFq7+HS+vZLgfkFrEMa+LcNNr +MOf8cN+WDZA44Lx1gW3uQK3jq7yVO/luNwQ7Gyb/qLpbTYnAMnVOoKj1m5V5Tx7g +PjNZxH/ISxb4DXwtXEB6qShNvOC6pMEF471vVZVVwv22WXexhtWZVWdDiVm1HFMz +BY6rq7eLpsymA3hh0U7tifzqX/6swUHeanUpkEwtUZ4eY3A1HXhPOYQI7WuNIlPv +vShLBcNJCq91/Xp1k6chhjnsa7YTJNNjBqTPmXS3ja8MojmB0Ne+35jRC+CgOk0x +6MiHUy6B9lFwYN2JXS2fWlX/08jrbJlxPN1WRLRQTuaSGIYjOQRCl63ON7hQzoj0 +xKC4bS/8meCNChVKqDOqHT4oY08sbwnSGlPqyf9xjsvYIuV4lK3rbPfXGEdVNR4d +W/UZrK2359Fw3jA4FGydCiIrYPil4P8DUQ4ctEt+nZZYuAcGXg02dQbIiC6lsV0q +h+eLMjCQ7ST0xDUjb2l2vbXCK4gctowRxC+zf+hsSu6Gc/qzY3g2/Xve/5wh9rwa +qMhg/voutPslcXXrdpomr1TxWoDu3iU8+NP7Pi+LweJgt2OZDIQlGsSQVNJv5dIO +pec13Ki9oKQ+6ES3YbjBMus2CGODNdUVpY9XmjkKeLnvPbpXh6+X9D8fXkAwbRvJ +gPIdbIHMrYRPyz/FlywNYaxcxmLVTjBSgoxvCl+CCw0dtDVwcyhGg+tvI8alPhFd +6edgW4sVYJvh7UIwGct5nSBSuENfl4xePo2SSMzynsbksbi/KGxmCkOlx25zu7Kp +mQkGrYkUKtM12P14Ta3b/61unMxTjIheyw3xgfs07eR+wqjpilKgMXPfddNp+aFz +03AGFixN+EXi5rPwiIMFPO3vQDwjVHJSiWe+1Bf+PfHsAe0Wm4U7fL7UEnfiTht2 +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_invalid_code_det.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_invalid_code_det.v new file mode 100644 index 0000000000000000000000000000000000000000..56f809c3cf9f68a6c824ae8e4aec6c0892c6bfc7 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_invalid_code_det.v @@ -0,0 +1,131 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +ir/NNvWJeOh8O8Z108YmAlX2PGH8nnjLTeywPpjQZWYvTznhetSJ0MaSvXNHBSPF +iuLvwJdVkwxic6DxE+faTe7ecZigJ6JliQ8NZjUO/XLoCn9SUTfGpsqXXRKRmEue +Y4C/8+ljuJKkn2OwCzCMrBGOXb63VXw0QHullQ92rxw= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4544) +nMUnn53rS+5QSwWzL2Ho3Gckl9/UVyoFJZLvpLgoNflRZ6vgPL2oF7Ce3yJ3q+xw +zLYlcfHF5i6P67kmmxxKPV1HHfpnkW7pZm9zwTbmzWTUYIo4EENzXcMdM6mCzipY +DxbwgkEk4bGuycbHyYfojc7jTSrZDzHlxy3Wi+w1aW0k5iBmpzo2NKal6aIPiaN1 +ltMEhf8SiMmcUQQeWifGoLUHr4I8u1Da5LyaMpv4swEXYX73Tt2VQCVe67rFEmZ1 +3sWV5RTLd8fKog7XHbtxEL5AiD2VrRFawjroXAdqmHTxe0JF4nbr4zl+v61v0m36 +nfIyTTEGJp1jijHohPIukCp9TaF9b1BltznL6hVGm+gybQLkK3xVLeBs+Gja8E/D +X0C0CWp0rSJE2DzrK0PfczsPUWD15JZ3Y7bRv8172YG/doV1c7MzMnpZBXrKacx+ +UC045erKbo1pED/zLR5+iXRHL2iDYvQy+hCH+IGYtLoghkXzNE1KhtG/jJGtNef6 +DK9mKXc7X78dQvAlXSCx14bkivPVW3Lvw+GNpOu46tduz7y1ZAmdkGGQbf8bEsID +MGULNCfj9hOsMBc3I+Qgis5pxzyBNnKzTjcxON2Y9Kvr1OlliJywxQRU0vRgwrx5 +8A/87tvZzk0o2gNWBshf9UOER603TwJLH0qGaKuJsjWiTvgzeeA9psKUKtexYQCg ++f9nD7xkLkFrM/eGUYMCZ8jAfxmgFDcmyALNx50J+WYW4LVrF7u6h8uu1cjEJqHA +Mr5S/CnKsovbJtHEiwT1HMseMoMnKozniZ86VrCENRz2lM5zk3nUjKYtacw++hyy +RngAYOOgaP6vvG5U6yS7nVBx4jIChjp9OC2BdrcYMIbLVedzXIzKEHzhlCu0sb4C +Nf45iLt53LnEVjpC76eudZHXdFeqRglTlufenRtVuNqV5d0OoJlQ6D1M6/N6HxrZ +zBeFSfIxjK0lhXNBvHsUk8fZtb4Sl9HDFPUKDpKE3Y3pSfgxM77fXBcin6wVbd6I +JfoT17gzukoWtGKoQ0oKxB54/GCHohToPLbcbx6HU2jhiLQUxE6WbKmR9gtQv2HS +esnKCFvgMaHOTDNUUtSPVYAkCvOctNhZpt0e5/iaUz/9w/2LKUyogGBJpBCIwMXs +NdvnnzH1SXHVOtB/S4iqqvVpa3e30Frtdq2PtfJpyaqJW1Kw5VCPjsureIl8w6Is +g61KKFSIf6kCiJuZbajnAbFx375aSuveoko07XEuROniDXGmcPrkicN1QNZhDgrz +c5MwMLwOJHSu12b5pVWwHex95RDGSMYgEU/ofrZdCSjEM0FLEmLqljC7Q+I1ZT2I +fpQL12rAZx3PpnzAswNyc3F7182bJOEvbFEfVBOaykzPbAPKxkWPSudns24SXcbW +2bxHwKL1EeylYg8EBfyozxZd8XJFKAZAz61j9R3YSCxcGlMLFL0w1Ih7+FWSwgXk +b4Ip2TF03BPNLwpUj+768imJFKXJvdCF/sTN4BqfbjyQvEWhVKexYBZjzLm91BDn +T79gMFpbHp5DOb/9xrFEpvJC6wAs5bxa+Sfim0eco7aqAmODTv9ugwW/Lukol7zy +9vtMvNA8MZh8VTRM3sLXY4wLCdpKrcw/AvcpqGbfeLhCkqlUZUVuH8tFwBRzJDBw +TQksCsWTkS9kqqXxqcQuu/ftAIK9eJXElwzogPvCSMepDZt1nHeDfXlzo2uKjq4H +miam1vKAScDzWWKsYR6KMhOoRHyfIGjIKiIKfh4xqFl6zIP0h3UyOlvKyOgscl0U +310Ll0naitKP3nnNc+o7P6lt7X7nUJ+v0mN0LnyNcWIhBRreIZyS3X914pI55jM+ +FK0h2kLa83erN/+IHZsqblgSwKg/l1wSHj2UVV9uI/OpLpZtGoFuegIJ8/qRknor +mXvSK9x+m07rRLgNs6zFqfhc40zrzY/Ho1UFquEpVVnuD7Kn4rCLmbTdVBal6Eot +v15R5UfhYQo2b9utI/chOefwG6AuuCGVzqa47nyk46Pgopr551vYjX68Up0hirZR +8ahp3nkRkCKZ3NcGokEgks9A1yGm7QfnzaWMpe3oL6MMt/z1W9eCS9VO/buUN0qj +ut7R0P756SwoK9ZjI6xT9Fh62yOrLjDlwIWRkRSluNHc5SFaS/d64B+s29mi5411 +ZyblI5f+DJYkTodUfNsN6HxI3YVzHePLGkHkZJrCXCehTcGkc4hXvT4P0B9TJlgm +k29ZRkXZTx8YXUiN/5cxZOmqphQYwuCYVQGuAb98F212JSKSZno30nq+1spF8MB8 ++bEWCU82NCqbayv/x3a/6LrMabef+GjWNcWGvUf7R/mtLy7/6NLQNz3Y5snRubmW +l6lJePuy/J8h//3m6T3WyP1XvPMRF/quYN+wGsQAJ1a5D/88w18wJKE9LSE8ft5M +IPiEueUsbJKsD7j/GF43HfYN9zudZkJbJnMVmsruCMpK+l+w+dygArpbmx1Ju+29 +eLbIGkWNHHGDK0/T72R7fgSQKwoWFM6JQCrbSWbSMd7Nc4mKEhYJDr5jnb4iON6K +EKtcX2WsbGETmLKA++/5VsFXr8xwmtjWqJVHK+KIzjYohq1kK+gO6k25oMn+VRL9 +rMPRhoQoA96zchdulCnmBDoTy/ZJFvDTt/BoGXXpPTFCHUq3m7egUMXV5BilQoYY +R+B123TSb+DvL3DjrnYyvMHadtBhaHU0LkH+blXjsRQXzE974X2R614KXG7Kr39X +cnFS727fMPGHYew5bnJMnsOmiJxv+O02YqkPYj2iY5kLAeFueooLr2FDB4RksFoX +NxIRkJCfmon8H8jdWsb2tcG4NMSmolO7v2HW0McmtoLQrspEy1aGtXUJbG0JKKyK +I1pHU1iV0CHr+e51bnioe8X1VNru2QR2iko45CeXRLqPb9wmMcy+2gmv19RSfN34 +IccWoht3JE38mTogeLCl0CfJfuW9l/LMuB+hj5MzTrdLKJmndzC/eK8z73+gCIkH +h1S6UE0rr6D5zMZBgAaXfFtgjUGrtC4QLm5dWcoYscrk7JYpvu8X/kkN88TBKhRG +zIAfrUmiCsC4ZyikfnyUPvJrPdvklluzsY9tJ5nx5b2t7OfdZas5QxCnQmfXuLXp +AuZnoZSpNqh+YK81wq0HYbRJs4bnxsit/DgM+fB4vAq4On4JMGt48B0gX/qv4BDd +MoDGIOtyDeEV7+KN+4cPS96A8yFLukBlymQWc5NYgXKgXeL0hvqrF1pjk9VOAmXW +NCxBmoF8adeEjQopl0n32fXA2Y5J61yidueJk/mCdRmG3PrytQ8FqqR7CzBmZzQO +LlGSn/3SywDIshuaJjKDIyL0EgVY2z2IkyDXoBPBwuwLj27dqVeP/e4IbNL8RNNC +dSs6fWY0WzQIdudIEJtjwXHXM3e771SQVJ4M800sgHywTuF7gWVctqnGgEOxfWBG +x7rNlLBayV69UJX4SdP/3F4tQIv6hqC7S4EQ8XqSkFJz/eaSBuDUamKI77LR7Sq4 +Jl61ZE1MHOKFGb4bsPVuDuPicF4SvT+XqfyN/aahnXEyGEQXy8BaMGXpgeP0w/uJ +2FKkcZBSja++/mVcGV84GCPSAw2zfz58mVLkfA74trhgEWAoeGh9APQILnOMpIc3 +o6kPiXTmr/SfPQd3S5IZjC8vskrWIoTen/ggKLlMDwPU4Cle0+/kJ7F2ZzJbF0bD +YwXtBYbvYOzst7u15xG+oASC0XUCYtzH79Dh+qoiKKhgej7VYtc0it+07VkdiII8 +A8iRFuMY5k1UziCPiv/rd+pTWI2TE/Fmj+sjz2uVvqz/HkQ5WhpcytnfCA7RBiHc +av6FXcQXS7dIv96yH3MmLo1jPWy3j/fyB/tn3ysxL9QbbPBNs6omnJLI6lTHD90m +/JH65+WDF+eDM1VktcDZbJ8eLSoUxzRT0kJvUKhEYq7DClUzmx43uWU02fWUeyyD +MdhRKM0DTt+yf6XMk5+8NaR9skhzxwZacir6XF0H4VkkU7XioqVoCcH+gXLbW5Ap +19e8OES27qA9Im5Y1gulKbVlmP92WdDwLr9NsVwC+UZiWh5vWcuFJJVO7GkYqOK0 +O+INqiFk1LuLntBFfg3cICY6HmbQb0c/Efqlug4NxZWM6qgEgXt7NylYSUa7hmNY +NvNdB+3O+kZSS2Vy4skJPCy+ISU+MTcXLM8MLfcMoj32gId8X+0dqpjwJzXBXqQc +7wV6lY3AewSl0IEVcd1JCSNtur1XQ2bIVF3eK/V+0k4HGGF3svx3smSyIgRSHGqu +O2GGKM4FdrrpWMoYS/ocY+Ta3t9mjO24Xsq4OCO++YkSnhRnrHe6W/VGGvLjvwyB +OGkH6capvBwxIiwSW6Sbd5PMw5EpTwexidAnjkLKj06FqG4C1HEhL+eyo4DTtQzN +RM1BF86LRzbh3Clr9AEAZwP69UB+TvxmMRBiHJuKEIGEXF4PNF+uaB1DZFJZXCCw ++PSXaql7f6O7mglfTdqo6zXiYrJHsgbqfSVvd17+sGnstAPf5YsDnAtIsXZ5R/Mx +1cFSAgPR8spDFhUMir5pIsFhzF4H3UMtg+Qjllf0EnwFWFpcWeO7LBg9+a86bKRp +5VQrg7Y27cTL4HWglVEQtrjyiXczI5T2qBeQieZYsuCM2hMDandbMHPgWeZUGaJt +QuHMHbdOcK9e2gu0RUujPMCsSQy5LR9RBYXUf82A3xPbSGAUAvkdJ2qLDmGTe0yQ +01NUsHwrjnaD7wD/zODcEeSfyljLiiealZuS3iTFkdStj7ceezQtu2r5KnHc7FyK +lQSn597/2ybANH6akUmIpv1a0WwGGqdKFqXTOhOto1MQOwDrsZPHIwxf5aEKPO/p +dRhA7T0Xxu1jtl8z3E1cjXm4ZRGMVkJRLZBQ1AByLFRnyp4jQY2c1vOCCQIiixvP +BEVlPC29VCT1GmcN+puIMT6M0Hc1VOJU9N0NDdFo/SMdTVB4fZNKDmQKtAttOzVY +k/HGDE+Df7KvzZF53wlxoL0QZeVtMKF4hCdhcv5QpLw25NVSYAFNI9Rn1Y4tw8HV ++fTG7GP6Q1rx3uwdf4jVK5J0YNOyvt+pXZ1ssK9/LEOYs9qfrWvJhPdGZAWBJiCU +VMnkHL+JDXvC6V+gZSrXill0v6WKjWeM62OTTr6ozAYJX7jJOftV17lGPr9jUiJf +AostVh23ExeoZeNejy4n3zxJjvJmABELF7P/1ieJmgYIxGXaAYIOh2VmdAyYPPgf ++zcw6hBIZNTRApSbaW92HbN6aV0egp2llSzfTXv0YzOFFSHEXef+ap9xdi81hed0 +q9DjjGghAhIk3SSXIOEn/xVVBTWmEStzylIH5WGRu6TJuxYF3/K0xvrBjgQf5mVz +BSKlVos1CUcoy2tc/qVWKSYj1Z9DZtAgmV4k3gbAbRydygLWQslLHPrkiAZzyI+J +qHWVdiCcf4TMi1stE1vxy0erzJBpmhQipfXT9pqKaGS92Xx8PtItrZGek7hwLPxl +jASucJOOm7NDIz4jckCzpE5IxSIfyeUX8/n3mtiiDUSncv4d+xe3yErwMVP9BrFA +nSFJJpdQH7esTqV1+cG4g5ykkZZUw2/m+FPoilOb6tJnqDL8xltI0y7igjCWyqGy +eh6YXJy87qRv/WBQRBmM64y5Tzv+OldFbpLfeIagy8xm9ODQmFK2zT6TpOUgASph +aTgVh81rbyDaVtCknbW5Bafjpz4waLJADICEBL/t5duQGV1IJk+rUHAElkPYlfgP +GEnEEvheKS3HatYhw8Wn5faIG6o4LbfHL73st+mzg6C2UKwgnwg7eNS3ODnYBTly +l61rMySfCMd5/rWTe1t2TGJjHSlT70lN6wHvfiTYH0tiWv5gnqy+C12hVV9316Wz +KmsNL3xex8YtO+pyWJIlCiFULTXCzmBmTthl64rnOnZF3jnxx80lENWx5fLg/L0g +8okpaI2luhWy+Qoc+SNRmLU5CnA0PQRDz5Om2IWvKM/gIl3VvxT4iXCZJdKhmieY +dwVlSPOKHm8AE3YpXpiTPdil6e7QZ+NrVC+wQYcBgQs= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity.v new file mode 100644 index 0000000000000000000000000000000000000000..284ea956003488f2f279ebba8913f4d1c2745a6b --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity.v @@ -0,0 +1,145 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +XSSAyMA5ZueQ1DwTYH4CkByKzR86EXDnXhr/6TDBw8z5fLXvotW1zDExarlYzK3i +9EAAGsoJyiF7zkgAtI0W+oQijIzrPhcGydG48iyAiJRE89klB8wIwwFfeCxtFPm/ +W95L46Xe2Yfj23OF/Ssxqe6XQRn08hjWNEbptkV7dFI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 5200) +TnXPjN0joUhnb2pAC9CLR1XkzhmnTFWp7ORJANMgQcbAIzUVkKkB97rYk1wT/dqs +LluW0qQiwB5b9FZHBOWyPiwjvGpnYtk+SJko8TircPkIsrf/brNdDWxKLvMQb1HZ +NSsIilMJrTKgzp5AkQD6k03vcToHP2Bfm8OvcT5ulAg4F19EqjRMJb/CfvtVtJhB +oJJYaqB64mwwvmk/tWM4gHGb6kv9WTxVaZn2HKkR4rmACbK27U+dK02YGntd4Dxo ++lSgax65HNR6l/kDh/Pn7oN++Glzm1G00VitU6Cp0UEPHMFrBHg6/iN/55eISRGe +6sVAMCQjMf7q5KzeJxuzyJny7i8MD9xaLHY6+brAuAmTQMFGX40yubsrLv3kqKvw +lhu1jEeAJBjESgc5uUGE5GGxCB76gEU4iwpll+F9l9Ri9qDpDYcqtUMv/T6i1vPY +0Ny1SzSMq3rLPb2M959tL/JrbcX/OFjJHdu18tBgdKVigZoF/CWJ9PP8nHaEpSGk +C2HUuwYAKt/L1deivkHtIVRGp8jLVhw6Z20TrPkRg5rOKw3RSVQJkC6Xl7j647Wo +5uM40Vl0ZmV4FXxgg6IN4bcugjLW3zOhwj20G8ZYB/Ts+l6BqEgCAca+766EiTt9 +XRP7y3ojqPo/HBHvQKTBOqi/Pi7DEQnRrITwebPxRJlnqKdqACRfGojXFbyebeQa +YABuqQn8B0Z6bdlUcNAXYbB70o6Ve7k/WLmxpyDPCc4NIs9CbFIltoJxp89+sMk+ +m35aOZwcieSVoTOraYW/h7tXwUAjs+cq1BpMtiEfJ8/ie3lCeWUHnEFK/B73m5uH +bdLYremknJUzGJO4XrjI2JR0smNlPn6TphHSUQWpdQZFGVTkn/inxsvUwOipaXU4 +WKav9yAEOB4s0tYahxJeT0bsDEJ5urYrbzQFFQai+wZnyne2b+8vJ8v+hH7oXVgw +W1ks5btGPxYdhs0tooIP0joyTw46bTOXMz6IKmjtz5bDyeU7MTg1MDCaNqN9zONs +iivesVRFtPBetUEXrAJSQv60Aiemd6FbyE7qImhegtaXANMqwPzEZhTIUW8gLsSg +xY/Urkgc5WefN3pgVGW6+qzyUCKezJ0up6R30EDCeMyx/+jafFMSAEuPlunTlE3U +6WUJDaMXTF8NrwJzZGR+pToTWMnAhhJw/c4kqJ/SjPTV9A4P4o9kIRGRyPfwCSce +JLN/ImcnHSWnZ4P3ujrUGABVd9zzrbFgZQ6SheHdGxel6TJXNZ2JxFo8/78hoXpP +VqAusHLbswjNkfoSckDRyC8CBFa6iEx/n2uQGTZ7EUkCS+WuiXQdXbG3II03/4Jw +99+nFsWvbqzhDAO50w4tK1ryTFweSuKmd28pLypo3034Up9TTU6ZxKd5qV7ZJKyf +jFR+JozmfpoQrQKZmY3tdoqfzgjAfwZbboXuwHrxLWaOSoCKSPVxhlbt8gveYRAF +WPoosBGh5/b3YHKzWS+7xCGYjHtCEfULoHVauBE3TSnQYd3lb/OH0K8VgWrte+qs +/ibXeyAsj2M16zMcFXMaOFBt8MMyI82Oa1VBrZO7Zy45gzhMJnHxyVRhDwXyZ0lO +UCVK17YpU+y4Y7PYpkzzC3lQ2juT8227cyoza/bMvUpANKXuhJrsK5QjfLQ9M0B6 +D+Awui1xMpvZHfj+y9tu+eCw58XgpczlOYDAzmjCECBiRlKHScF9J6dj7arI8VqT +LcuBCwNMnK4Gl9av+w4cn6temnyzQhXUXRC5mzC+Ob9USuRJVolVbbP4YUWiToTL +sbDIl0XTGSjvp8jXcaig8GmBce0/71MRuJdMCt7vebUFKIgyacDvjZXlZOmtS6wc +TmnUSLlHwZ1WSqfi6vWiyNsukGJM+x8u6TNUWLCCfAP7xzQId/AfOghQ4Zoep4s/ +GmvmxLKdbp13rYjBCTILkE21ItM2GrdLLgbucv/jq67OluCKFvjDBIweKMDjwt0M +5lFzKJie8U/9DqAuKfQvM4Lo7F7tnfkgxmp2Ha0OP77sx20QLwDnJcjOrx00XXGQ +zXJgtoKsrPKYtrt1AozebsSjsXaLgt/Du9d2GKuHJrAGm68cgBlQW/eUSLMK5nzr +gKUVZAt06MyZEpY5ilZs+zcav+w4DVU5vTXH4p60LggtxmMTe5TMhBiGtBTuTicj +0lj3hPmPN7lyDxYCMnG3M86XTvsghXhyVe2A2HA36GIfjkNDx/wt+vwf4++DcKpu +yH34QD9fvCXnh+y3Wqoi6NPXGsefpgUwk62tmAVmItCjpCYcnLRiSZXNzcfPmGkv +ihkCM6Qh5LIBHlmGOJOzuzb2HAMVII39ZUF9mDojOdJlv/+LTCCrMav0jVc3rHuC +RZs+/gyNM27cBamJjy6hO186F2nBQjsbnpWofkFkE9JQNsi8PahjOSN9iTYgNSDN +A7wn7ljEwlRmy9krlqYF9prNx3G8tpEt+oG2nHeGnBXUuktXVE39NTF5MgdLUzE1 +Q/1XjgKRUqYNDdP3Bpn4CtTnZubzK1KkcrHsdESXXofIZZIWN45Vcyj2trH8twj4 +iFXJdE+jT9DyvN8cs/8maXEEkxezc5d4KM/NllWWz40IBgrLMnZhXvjOoFtPwfNq +b+1zDvQrQw/W9dxYFmIF+UeT8SftirjMiQLlMvgGRtBAH8ebwtPPHZyD5lTIkwH9 +s2Nx7eRdXMiVJRJOZgCIVQrW83ESMw+Co9DyzA/UcFsguE3btpggNOEQjK0xUxgt +KXOh2YdARXWnFqMSFT6+ZaklL35JpMUbbiyvNvYVwtMg3rZu26ItEvJvkBM8q1Mj +T3hVw4vnzsuxObZMiLyDi5WtrIWa3gTbQB17gr3fEfRcBM1PDWuMEqZtn67WdfaW +0McdQaQ51I/1dCxwF5XshQBEcY/DQ/4nkLKsJalaPSKRf/2Z1OC74R9TAdFCCQs3 +Jz9Q9gYbGwnoZlHp/zGLNZZ8dBfJmN7lJehUH0vxiNrLsShdN6h+mEGZTlCyYfBp +AHymzTIexeYq9Z/ivpn8ZCl3OVdwsZY6i9lQubg1lgOKclPiEigBiwuorh0NT2wn +lGRhBn1KgB2AP3klNQa5STBWdUriYGNxbAbgPzzmWLpIG9ndEvRDwQ+BGbOxT/FE +nixOlkXpG7TSOD3t77EHLiMRhbga6+DlNow+35yEdsOgM3UVcqE7hsJnLskt4Ypc +4dqVZBKbScZSm9Somraj9oWNo2qSlk2JQsoVS8PeifZN11g1pDuco2rmWS1AK9dl +A7CtAbZY3TOO+k8Ng0m0SIggmcs7mY3RHQBeL8GBKiO924HqobofjMfM6ZR7IAhI +IkSYNanr335h3mHpdtOyFqd3J0Bdmt2srNAiGhnJauGkVUtzgsDXSHrP4FYqoo5Y +rSHKth4aNJw4kfgrgH2mSPp2pEVdLuGHUM4p56dHUR6JBwDGVHuJ+NCAvUKCze99 +ptMRZQgKyrCfjx23i8X1MTeb3FwCyrdcqCFsy/b07ILKNU1+UjJ884TUQVHuzhoP +DO3MTWxSZnDBkCHm93XQJIyg/U+LI3Vw0CLE96dvmB/cicjXG+d3lPe9AAGWIX/1 +W0xXVRX8vcsMHYjhl9j6ssn2RuYz53qLKeYvIAwUXBzcD4Pa8ahcMfzXAd9IVm13 +F7XZ1LTL7r18WkHE2DQ70tz4jb37B8nnbBagmdwj+MdQI6MSopEVbtsZ7asxpz0b +s2fto2/09fQM8gXz8Lj9yX507ML2f7ydWupGhDooBtl0EnjhDHHmK065cC7Zsde6 +kBUiTF7aErl/vYNsrwDgesiT/kdU3JjmwOSxs2lfNdHHDRYwcc6VwXieuHgvk9q3 ++hi8K+6FSJEsD2ZUnLhI5ur9qklahegF4eaFvH+f0iABjKW0nxICdmthAZqG/mDA +eqxppreeWYdfSi1lV1p7Wb3JqbEa4A/rBvxJqsNMdjlpQ8Nh3tI0CnQrWDBsgsBX +VtE/8pPA4UBK0I37GK7wMIjK9YfS+0fraIGipDS/XWHCAOY1B+lCXck0S1CFGf4E +3KgIx69dBTZzbR1tzFR6dPh1kn8wAMArrLaZ6i4pskVn0wfewtzeMYodaBxKhPN0 +prahHQPvLGU40E4Mn5PjNziL7rEA5oyqUMyJ12orCOpRZLcAh+auZFiFWmOc02RO +v1VESrRCt/jg9o/jPfyM9DzJ7oGIXfrKAP4PdmoiPK225DOkxb6hIPdgOcK6mKXZ +fu5IrocrlqTe1KHISybuZZUHDNHBuBjGJHfkAowH/iFdXZwa1HIuRvwZRxFFvALZ +ijOR6I9+gwTgl6oxRMP2Eir/dFS23U24YUG1Evc/mR+NQwhOQ9bdRNml2UhUQISB +mXhc09Ey2ZxwNaNFg9mqY77TYed7SIjak5tpdiGr8hXdtswllnNxZJNtFrOXWMbP +8ZjLLO4htYC2t1eF9Vr68Eu9475PfAVD1QD6sEI9v8yQvSSmhuSk6QOL4P+y5auo +QnR6P0pKKwuOff0jH6m4g+ZK8l3aQbm2BsxsRtv2E/bS55hW58Qn0wbyy+b2Wbqn +43iR8vp+P5IiokCoV55G6mC+Hc/aq5MgD0N73qZI8b6XMNSH1wUQKR3ugdUNEvMz +fuJMOtZqo3nlqujMlOo6F3pUbFbzRfhEgGGTq1A8pamr8I6HSzQiF1gkmRTNNSxu +MoI3rgsX8ubFcI3VnaGNRBGO/JkUo6MuN8Qf/LydGuh5DjOvK4EbvTPUf73Oldz8 +P1qsfhyeVCPz8wODoAfPJiVPunJ6xpgoJirQCLbH6I9RnZ4Izq+N2CjxnHZq0KD6 +qJYJdtNdYizi+uuvbfAYZpNnUlnn3jCty6nK9RBnxY5BmQRUn4WNFQ9uevyAg7Dv +ISlWoSFbz3usC+S9xkMsm9sG7xBH2Gm2ClLHblfzJHmy/2+iC/6nh86uaRgSzSxR +qHohAR98vjytxICRdPpXA6evdAtrcXr8E/HjRIsGVqea3Xv73nCFOw+V9MS4S8Cx +afbtvd0/+d1lXEX+q/dVjYZDEe4y4Ih2wVIPrqKrp7dST48txes15B6mC5YdNoMb +cAV8H2a9nOdtdXFeMfdchGW+/ZzPANUlgWSdP5KKO5YdCowPZCQFBBBd+yBoM9Wp +aWu3fP0RGfHltYNrXFejSKaBhg4AN9SyzdDweXSO+MjR3YUllOGoMkH60fAt7dof +M1Wo/5Hyab0fU0HBoiDjTEMcYH+HxCmMzgF06fZIwSLoWNBfkFe/AF9VcJv7v5c6 +an2kTZaz1BgFlgCv9Wi7xc5Ct5TYewcd+gITgGhXoQL7MoPFkSvU/58R/fIXvac3 +qkbDo5eTtaE7MUz4iijgua/4Lfn8Iu/PKr/1GS20prDDJSyxJzhqYmketsU1EY0h +lZ2xQLDdgdWMiE/4YSfPKAOO1MU24v6Hsr3nX2lxz0Arbk1lk27rVYQDsiRTc1s2 +UTaHgXdBp3fzf6TDES8JSny69chTypnpm4GmlsAbFgUXildcAwKhzw6bf3m9JeAo +ne9pvC5TCfEnypxABYviSXCNEyhpRRh+tTAivO7GkDt+QmlGa90Nz2VJ2TxHAdoP +7sZUezuk8ExN2UnMpkaq86PKIeOh0uLa7969p/lKsK4SeeUt6LBbszqdsDAcf2X2 +p08nCJ8ad+1AyfMwMlovniGiuuT1vgwBqM5s26VKi0UI9I3DqAx19IYRtWJEtQDC +qfZIJGoVwqeCpZf4mqH0nGfo3zfxDE0MeSENsvVnTRsjWsjcE8Ai+RYUpJG2wtWt +WEDkpYyx37uluQdJs+k542n8nXa4Gwpi4hj/clYr8Z05176NCa/V05j2bsSkRXB5 +cMmIGEENe+Tse1YS9YDtb2n2Mf2B8YSY9Zg5nrNKRAb+6vIIH+P6Nr6/RBOcfz8n +xtSHy2em1OEKXOc9YkXLQDNZAD9NWVHfo27rAE+yRow2PnL0I223bKEAAE0mMzFP +D0gSz9zeqZlwNgI4i3LS1pXOL56D+IocrWBKq1i6D2uLj1+LzjrkDl7Jp+jGZerO +7EgQGPW/xed/A+EgyZcCOmTQLQfrkxHOl1wCSmUqceW6XeaMZ2Gemkt+FnAno+1q +0/c9Q3m88Guhga+Vwaxje25/6ovo3UGPftzg7ra6hPFpFZ5h46T6liGok/Jx4rc8 +VeV/x6Y7oAV56FHgV+PCqVEtl/c6dR+GusXmp557oD5gpYtISpFhePaWyhs0HoXa +IEij6YsUgpNbHQDAmLjK3cqfebkMOEW1/AJEOWQgBGERZujsoF7mdRt7Fk2VZvXn +77SS5sL6PkfQSW53oqYtY/FmzMEFiMI+zT0RJcZaqRyeGzS9fHUSbsbnndcKwt5z +YUjg+hHb15nMbgsQpvEsFhI2Fav1/kvZtXmOnUTjro/lSTzqCklqAODdpYoUUDZf +XuRxheyB3iFylzPUTx/A5Gltc4LPfyYG2+LAxTPdvok3iZfnTM62ioe9Li8Vhs9H +aRGZjGm3AtKNcR81Rpz8P7j2QpO/qtLQaxBSFbh0qfDJy+TrFZgB+2LtvJqUvChK +gYaDfADwTX72A0j8GozcEYw22lugIdGWJAGrhxry1jsE6VIOtO6w64vJI1UswcHJ +2cZQoJyWQd4Q/koiRBdGAuxBKd5mwF6w11K4xX8AYhy55m5SJ22B/dMH27/rVh1S +1qSNH9lwiisa11UwvjsTncoN07KnT+aeixbXC3RuNOTO0HQOGlXbPP3PGfFAK7xW +ppNWxnIbRqaYZ08A3kElaKGeK/fT5AzJINkQBwew2hlEmO3FiYWPgetCVKBR3Ydl +23XQV0LPhy+Pa9VMNK1ffgFcEB7+Nvvj/nS7BbIfLbL/b97Ieouuvh3cJqVGW0ss +OzISHnx/F0Wh7IO2SlcTxeBLuDHzRNchpeislJgXHGy6K9o/bi43Vm2PTBu0PC+J +kDwR+LSiXUtUmiS7wgHXmw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity_4b.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity_4b.v new file mode 100644 index 0000000000000000000000000000000000000000..e885d6f34b785090df6b220de5ab9b55f00d9b2f --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity_4b.v @@ -0,0 +1,123 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +NZ7e0ieUI6mC0WQniwlFcy1zBYMLZ23q/ZErokNVi7ITcktA0MnbkXzUQebp6MFb +6hN/tFMoWHUa3PqN7JQxEcJWiy1sCVUVo/93frUvDJbXEMMb11ILeFJ5vHVRL+SN +AexvDWgo0oytQURdKkl3C/4LWqVnv2oQEKdYLvZ6qWg= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4144) +CqupFuH/zR4DQv6OuVtYgxJ053R17CkySQqE9bM2VsYscI0xpJ5KOxlY1mQOSI3v +ucfk4l8D50PTqIgtlUR7T5JqHND+BzNzRSZKrNBi1BeghWku4xpkqjVTRdfkYCm5 +jlgxndyRr5BcCqKq/X6s0tmG1tbDsZQJ90n//nlQ9Mh0tALvJ58RvpdbIYRiHlE0 +826eM96lbpNGl4rfJl2LsSVLE9EceS0Dhgd9Y25cVOQYXKjPDln+ng3KKpQIOOSR +H4hL4uW9I1QM5Kf6OPHGHuuUuK45n9JnKOyyjT+m7JmdoPhP7HnjIh8/HZKAHFJ9 +ueVw64ySi/Nm1R0LVU1Gkp7o8noSC6f8aTkULBL7yiLxyofLAOJin0IT5zYjX80S +DVXleGtsqYdCON6Q958nheZngDhnlFNKPOPRrJ9ELUdr67Wy/oVTbA1hk80xYA4f +HdKPUsoSNSJ3iIBqBen4CGo9EpP0BlL25dXvUtYMfFF2+KwRopNTHr0hfSbQx/ZO +LoGfzQNwzYhQ447tfpvOBO3kcEdGHUT8qiKENachmjW6jJw2h2bJak6zf/aEAdBK +wc5c009/KNiQ0V8aZSEZThEyANv8cr9/NCHZRAnJgp67TMZELDPpiVH7AZ+cLSfL +02Ab6m5CIxMlWzVcmgDExWTC2WnW2sue1roGUk8uFMA6U4dnRH/6fMANlQ+oGE4l +ju+PSHElV8Z7bffQE24paqMilvSktUdOEbucrnmrA3IVPtxX7qjEKLJKhy+oHH0T +HLH8FtoyKwC1PG1zFlyH7O6ojgbpZR/x0z8dNgCdG002bHXD0/8e0TKm6jCeWcIH +cWLwLQv4/rtlkMCIcCJJknd7OnWuBNFh1Zg6TpfGYEJxqFc2c4ImoihyCptO16yD +wvdfXKKoZIDjtB/CSVMxvKwNMmhShqadBj/U+ESLPeYtqymgnyJYeQ9g/cPzREBX +VgHLJTUTLSZFf2hevKdNKVU5y7mDNklwwiVr6NDlDFeHZYTCAoiABjglz+Fjr+kV +cGr7kfcwPszcGYvNn6CsEgqF7QN+JxAIZQvtfMgH52pQCTzf5e0ot424k+IsqDj0 +nQn+yfQXA0KM6Xtea84Vwlx6PZbCWUe2Rd+SIRe8uHSJPJ6L41T3ptbKWBFnhRp7 +WH0GJeYFwUGU7QwKpKclbflKTWSFKLbSw+zejUgPrRKPNuW4MzGLrJNKx7TUbu5A +LVso+he1T+z4oqDXgfZhsFRZr7sSFGKl5gZgnNoNVB7aAz3+4Cp1etXTdgw2vOSd +XVF8hyvaYPxg7mm7qFMmo1VHHvPAuaWYb2glqujuc+223U5hDMFmgqy36HxarseS +X0lL3kmcSwrKiES3/JOPLu8+STWUpI7D9olP4+pNsGt9gNsz1Q2rEQnmZaHXdDZA +zGZSxNk6zopnnrej4nUPCz8BKK76ed7FyGXLCLyIlIrLWuyXG4yOM5DZpQyQfh9O +VPiCjhrrosgN9zfEe/ZwyDvrzxlawH82YleWhxobonxAJQw3b16LjMlgdPk7sJx6 +62XXcr0YdSQ1ZcM8LazWMLejT+m5wBE1HQrj/IvIy/r6+qPZp/NYDh47bPcGzp25 +8UCDXP5x4qnnva5LWIwejip0bJj6EuHKBqEcRucW1s0TgThF2XB5QwPhRjT4SUTK +NRU6XbOvYBgGvsU71UnsfyNC2DsWHX/FH17G4MTWAsqN0xCgwOxCZa2ZVFThCbvS +yZN5Jhof9lDgeSuj8y9V82Af/ayKqF/yYsRMfkK3pASib/mRRNg8XnwawyRLazla +SYSI9h2BqB7v4Wjz1xcViixjVU+5c64yAhjLT6WCVzYc4qknflNfWmXM+Q62/9dx +Pl1M5NkGEsxsh1zVggkzwlEkKnXgAihUqueX/YxJXS910uDcpzXiriMmXG76Y9nE +kbbR6OcLvvLampn1WKnejjSu2k7ba5hR+mk8dEDwPz54AwwmzQyakvbv6uNirugO +8bvZ6LfCzTO8q+MgZFiJQBfvnAuQARZZ4Q1HnqJ1lPHk2UFSjB1zrzUnQAT7VTL8 +kidTSQJvGc1w33bbsViDCR8Nlrc99uycOFmt0zo5SB+h/pE00BTPRbx39C9aNJug +f0pCM4eJgGWThicVHUZNxkGq+r6YUHCIeaO9knrrW99k9Zyz706fdj74wt7LL6Bv +pOxEp3vbx4MKWW9xDkN9a+roTyoXbEZT056smnimZslGXCRG+45EiDFroF5Xcf7t +Wee3AgZAhGxCZTYAulgYAvS61oYdB+BEMXNfPrtpPxNO/KRBPUK4OBcAMvyFCqBw +/mYR9CADDekbRnXcnVSts++IPoi3KswvmDNwW9yGxdncNiLb7JXQFhe3TBagbuG7 +GLABUbDVNZi4ZLjADJVRwiuZRXt4Cuh7WHG/PhGDKC3+V2QmHgRHDalwJv8efgBk +CuzkEUJMtN88RXSLrl041Mb3ifFMwKfx6V3oENbrlsVBPGU6hdKQlmLYwwMh1DZ+ +xuFO8DqCwYuTO5LcQKEH2FTae8XBUqo2ilEByxZVqhnCfOZLbVeatH/VnnsX4fOr +DXswxGIox6YEcHW8fssidv541pF3Tud8ca9oxRhdoll9cCie/joNyA7X2RWxBRs8 +XVHbuJo7p/CUZFktkySTeCb8oJ7ATdL+FqzSXY1fXQWQH7oMwn15Er/74aC6vdVK +vmLknZNuX6qjvH9+v/FzTLP6wSHT1AP3saVk3vj928EiTzFJT+H0zfzNlde5q8kS +EdR9+0YadH8c0nmFbPiMgQy8lBMf4widvyQ1gXFgGsZemRYa835gF2teLUyEaLH+ +torxUJMbGfG3nT1Hr+hktiNmMFF1zRdWntC76mg93AMO3zPaIYyNMQZLHBiRqQ4R +rHxE2YwPTKKRajAQer8ACgg0u8fUe0abq55so4jz0ULcOj1IrhiDM7fhWkAhFhB5 +y5WTrYWXPYDX8VOpQokhzqYQhI+rzqr0rVZzV2NY9CYjQ0JrJ5CVRhUrxYajRcbc +ZTObH6uTi6kJI9ssr2OVZOpYtoUJJ3rN/LUvYfKsaufEeXPG6Enqh9NkMXPtB+6z +8B22bzo8JKGVGYUHL4Lk9REEJLe0bhCrKHXvJiDqk4ihGuxREFuCw/hF/KVEarVL +0wiQDycoo9R/uyA3KWW/SdhGjSNNvTJj324oYBpQhSWcptCz3VU77Fqx/Z6P5P2i +qZ7GwqD2gGwa0ZIc5iJsb1Y0+1CnL+speWiGIydYbtbO6UnSPx1ynuEuTzS1cVrH +OaUf2B2eUS750s2B+f8FkrJE7hzrHHxQ6eBWVnImU+vapu1kfC4unj3GlT2/P8j3 +/Ef6y7/UF7XvMQRlBAUmMIg9T3ANRceKcVa76qFCHUgtjtkr35JVoiIL6Jkm7Sgz +si+wzVpDuwMtHRG+ak4M5aXCq8WAelAIiSl40FC5nLXKRg4Z/qdmfrbCaJEegN+N +JbY+rreXWOmzJZiI2M0Repjm1C+peJmrc+1asU5h1V9ia1aLV9ajLAKPzrXktgQx +9bLcekMxCvYGd0Z4WvVpXCChN3DBFd8aHbqJv81FKnEuySkknrdRUNyyBFmwuGUs +/am3T8+tZPqF13vDEEBDQyx4OI6/zv4M+7ZupcOCohWbiDtMgHWz2048eGXPO4QO +SPfazNQ6opB5RCVaQO+t+Yk40w1Y6NmkjmLl76/hNk2CdNaSdUMwaWSHSPu1is1A +bKhCj8JBXL5MDezrAlqcPWUo75uG8og/a5D6gXOMW7WHMt5FZC2bdX3qNMkotbpS +Qwjw3dCudk9oGPLgaDS6GTYcLCmgb3ldNvXN80nj9+qdW5AbtvE51LvcB49s2sx2 +hA8r350rn77qafLY9pTEyQzTaoZ7bnXHZbrH3B0pQWs7IZXqzWp+LrCeoQILmO+u +DeLu9vUTeH9uteEOpRttzkXmGGavq/EPwcjOC53QSW/VwPlN5Q/cnVoPTR2n0b4H +7cO+OiggUc6S72R0tqK+yrwdeykw7LJOMsKo+mFPS1SaC0do06oNtDeivSiyl7I/ +CEgsH3BJGQ1956enpSD/ekwQA1Hv/XUKyOsH/eg1QA1dSlF78L160dgTZBCVISU1 +lityaHwrSfiHj5ZApUvfS/S+Cf/ZH0aFM5+Q6ueOhpuwj5GpVDTg+cjJ/95p3SSK +C0RaWjs1VpT5mkchcnZCyfK5DUAnLR79YvUQ77y+7mn5oUo3KUZc5XNLmMahTmlP +YtIXunbt+O80dVQh9XeVELR5OHJxFURa2C/aZEyTO7KULYe/KcJ9REemgqDGxMwA +CWQrw9t6ZUDTUXwKXppj3ek40xCGnnKevXPkjtmSff8CrzcXBjbxdSBPe6iHlqGw +dy4VSYE6YtSPyP4XAm9wq9leIreaqEsTSaNx0gUh/lqzbqJ7vcgQ2XQohpC/1aSX +olOMqfy0kM+ZJDq51n7LW62LxQYqIPIQcrNtEf3Z5pz1GM/BfriwlDZs5tUjdFhc +TiQ043vs6eTTlnmC2DvFUAkAEh/egBJtEHehKLsPogREvvPwPwj34xYtRDNknL0A +1iW1sZu0NRK168vkHhuXtBStTADCElYop98ZiBhaLE02arXHwNwD0NmiE5Ugg7q1 +OPYfKaueXthsNQP0fwDU18k3orfUxgLmkC2y/V1xDD8Sj5BCXnzAD/jA40HfVWzE +Np68ynlrxmTRHQ536QkncnPvNDOZjig3x0MbOMcQhs0w6YN/Jkm8IRvl72mT18Am +9IaZSDseFFepJ05vyk4JyKaTBVUzkWuQxrNAGaGe6nYjZWOt3Sg3GAJQhHVGIGZj +wBY/PMVu2+E1PlIJXNCU0GC2QBQHByxF/VDUODaaEqIrAnOs4YnclFZsJ1pPKpCG +hiPPFFcFidNAh6YP702yMrvrDdQkEMjFJeblkc6jF9GaUnuX5yQfyrfXJO2+9yzg +s13+GSJaLlOQdtwcsANHwlwsDA5zQU4Jfguu+Cf5qNhXsfFL5+9hYpDwicaIsNN+ +UFHeinbGXikpp7giCeSUzB0kpOsiuE7rVmPMNBK78anDguXiL1Hz9xCD8DMylhss +mDYKF3c+umP6CEMpoyyIg8kVFjlN8fEJdVj59hJwdBh4KftBxDQ02tJ4074v68px +MBPA9KunUw76vxR30EBt8DGOOLUGtogL4qVr+ZIUMTlSUH+iHL8Npl7KVbclvh4l +OKVdaJAST7aUxWJ1eccj9RWRNRvXICA2x+bpGTL6q6DR68s1P/zRpKRbLGlerRm6 +7AfI2lgLZrL7MIIrGpu+w176sbCuHVYskl3A45Fyr72jwJRlHEoFsv1trKxHsxPi +rOV8U94q1rTJUaHltswuoCG5Q4dj8/QxFXn9q8beNOoUYQZi4PW6rkwMqQkAEBvD +mbdyV1o72F9L2JZWd4JcTNeNG98wjT/Zflm/OuybnEPCmWzZggLuCUkbWuHE+Ov4 +vQwhC1F5zyYZsdhxzS0Mdg90AO+S96rMfnAyyUlnAZ0yDdjDkz4PNBAS0hbbJaTv +VHLswe70nIyRrQl/xWyMEw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity_6b.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity_6b.v new file mode 100644 index 0000000000000000000000000000000000000000..563d2afdadf3351bee4ea8ae03d77b6034548550 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity_6b.v @@ -0,0 +1,129 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +JySPgTKPZ/Suw8fXaCglmPHwdmtff4hx80G7hpUJBvGxKsYVWvcU53QMNlI3q2Ld +dnVUWEJQCYgg/Y036tKGHXCVO7y5mvlKfMfOUZVqRYGCxx/StnCbBUhETiFhG+Dd +JE8T/OKo+BQXsSYMaDiVGRsU/cT3IW+Bv3k7tIZdHzo= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4464) +Kv55A8j89AQAfQ1ovHKyrBN5aNebR8mI5CWSXbkNpAtyuOrg3dtJ8W8vnNQMcnHn +5qS8T1C2F2ILFwHyAJOlLNCDrxToGZmji15FATM+oHNmDm82SZIko9Q1HQnZMSik +/bW/ax+v8Sg05hNo9tBMlQ+wflCsCm7fTRMEj8dXNhi4MVHqaEaqTaCjGANi0Sb8 +X/nVNvBqCKj6PJKRH93ZRffe9H+VkP79m9+5AHR8tDFE1xOQDrseQFXzOj+fVwy1 +9INUjtdGf+mTWWwxmNZHeDc4CgrMoW71sgt8qPNp3+XoI2ESbeEpmOXTswZv8E55 +mvHhjbwvn5KZwJ6VO8iQerehqQM+DQyRPHJUh2YkkqFXsGgqpcOrPPvkawKMOWfA +ddvQwgd2FIBJLWcTuiDxY3DPJenrccmPQnMFUigSZtYYkIDiCs0wC3hcpsuAyTRA +dYfniqsF2FyViAyeZSAl98bwCANZNcbNwiSP5x95FrPnzrPuuWGFJ21p781CVml2 +UKrqS0E1QTWTej+ZuhohfGUFEQuT6ixk2+NO5T8xjvRdu1k3ZtU6/0WT+iR4PU/a +6V1CHudaWqTDk5ovdwsdFoLvMV//C+0/Je+yxKAVCMQRQJWkELSvNmrkwSXneVr9 +erfSFRxw9+K2hWVrAaphCqp9Xyts86QR5AhkHHRcNAFEOpPAQF8wvwsyH+FiULva +FAzflhQIYTsaCbYaL+s5a7PmfOI3IQmQCVroVihdnzBxzRzXObB5/YyZtQ0AoLa4 +hIhE5gpjDcbobhyOAfyNvKvnuPbkYg7AfFZbCWIz4Fjb3Vil4wSo2x8VSD6TtUDR +PrHBCsNUph4iaWyJtEPadROQmApvJDbi6ZY+JT8mulNORO4SiNmt2V0eeaKgdpqf +KF0uq/jScnUCOOZzGVqtwqymliCwEwZVyzDaOqJz2bSCaNdykql4PpC6Nfmzej+0 +CcZgivwFXkfEESGgpdeQCVJXglBwPq3vYiCIl4a0CBOL1uWKbJOQeRHQW3wTn+D7 +O4pjF0kD05pr4N97sNtRIVH67rr1rdF3Tp1vrHlNcjLSSrciESqDWhZBwrvxcCK3 +Uck9K5LzTeT0tr8XoqR5hXSD4RVI2hyIoH/VcalcVnAQXiroAoBtNP1qkXzJiqys +Exvkur/V+7f/Dg4bfvJYyAjhiwEjoFr0v+jdTPa9rtzvKwFAkz6D5a6haxtcceOB +Ap4d/BPlMPOkUzARt0tY4kyaR2gdi39KCqRbYcxI57SlG2dzRv7DG5KoEw+3AiNf +pzU5pR/5auNtBYCMy6xL4SYJZ5i1GyI8kvYo1QElRaGIJp+FHnzYR4CgKzpPjT97 +MxaH4ZUAzaMipo7oS+nLjHA15Zf/K48kPJOh0dBuQfKuVc+KzZuOwx07x5EAAVKS +mV++O3dYTlynzMuAvLffILMTCopQCgKlJ84F4RYNvm+UXId3TSF67rUWuAkz154r +PswUgzpbem3i8G3kMj/pAM780DtjxSf6Y88NUujWO1udJ1Cv5fCo3Cwl9IIqknIE +o7zx1NM8Xw7KZ67PX6jI1tNNT2M5rwosb1+pUXhnvnAA1kOrOlNkHTJtC1kWV7ms +x4BsRkvWnKPWEgcMu2XNHkU2TvWRL1Tw+OhpPq6xZRMcphFkH12CmIfRwsEcV95W +8HI9a8EzXnb6Wylb9OAm5vjUo7noe6FS91RiOTZgQYdgYU5elAb5Gf4OMVSJpcCx +Rn9UxD4U64/neVf3IVXoLt8CtMiePqrd0jx553/LG8iF5H9h/TVdNtLNTLHkNVnn +/oX5oFqNoj13jqkprT/YabbTxN4XrAPEVvWUmwt+LsBw0TPj6lWoRYW0NJ/RXppO +6/vW2aqfFyVN4WaSIFjUKY+KEV8PLvJy2aleEoCazOKIVJI+PC+u7McGb6w/jXfD +FmwV/njvLuUTpAuQEFDLgP6lvZn+r8cg3YwGxB/MVjvFCnJ5cQa7tpeSH+BSwwEu +uVUXomh5NiLT2MgcEgu0htcL11BJrggKMtj4CoKA9Z7j4zj0B8u32eIXcDXCtgvF +6daGpIq/9NK6hIgNwo6Xv85WaIDWH1+vlXb2NUnh5fuMw/PNemdqsf+e2ZXQid6Z +0U4SxNKL6DFIQIXfjUKkoHd+ju4c66lypsfleR2bZiLJ1rZN5gs9mju8GZPHROTu +fFcSkD48QPLLGYyN6pUctjUFrThizHSgNiU6gh6rJ5CM/ShWjcjX2ndwBKL1+vq1 +jhMP7sd/T9dMEVCfX3be+VwIJjZAAv1x6FJn1CAOJRkx/OGzqDLSIJDO7f0pfyJp +0uIUJcM3cvExDX4sNrBe+KNolyd6mieuXa5iOuNnIjPqr4H6JLYw+plh6MJXbgAy +PKLVJ8AxwZ7hP4M8D9uQTbXmRaBHPdsPR2t7p8G2Dq28zurhsOTxNPlVTWeLx4hs +jrmym5ehs3fNNlVwyzmtodeSVvOE9pmd811SyY3/m/Dr0k1loX6AIiV699tiAbX3 +Xl7ceWVZjw6E7eLdqG+y7dp4kX1EDPuxEilCte6wV0n6EgApJepiITUpvOZVoCIJ +uqqgpyKjLivViMB6F1Cbi2kNFq7L5lM6eCZqbJzSRxuoWmuaFq+NmQTK4mWFR+Vg +3Iw1sPze+6OS+GLd+Yq9eVTUTN+1lDb5Sc/xadFb7AlDjuJ6K7CbDci92+lL2VIK +v7ygJwY0ZhTTcs+DBvNiSWYb0oRJSDzI8WYxyuZq8H+kWSEy08Dc7TDQBkdk7iXg +7bUMtDLQx8hmFjqXcxrr8bxLDtevHEtQiuRMApkq0YSq1XPMq8bzj+DbIOPmkFV1 +nh0lkAUDG4Sw7ZbfSBrTF/Fp8H9d0Adnzqs2JnyY6xODp3f2gxm9Wd6Ih2OhFROz +BePFqRNyc13NwBO1Oj7T0YDHl2J+lkSrJhfIErM2tPRZnL4n5cvdaPyJBKmGqQMX +Tpa++0EA3dqwaV15ia/InafqZVjYrHMxKAoQ0Nuklt4yud26e3tCi+HfL+5dOUVj +1JLuqSnBiAC6cjvkvSqD2rXXbGBXR2JjluoTK9PZLP0yG8ccPneXFlf+i/cLS1oC +PKEpluFRAPbXAW9GBSk7she5khxY331bIai3opouEKb7WaYAB6P34H3Y3lecVJo4 +mwnyUDGqLYh7ksXKWGEPnoMQVOtrZkdAv+KWlPJXOb/HzRjctGmtINqLx5JN4dGz +VCXNjSQIKf52axfXa3QtlXpfFke6/E/VkIPVb8REbXHghHEMgMg5Z02VCci+KHKG +1Nc1lDz306pusPUywZG6F/lxT5pD7GX/8At+FVRQDez/6cDXM2JqPb2nbbaoyyQ+ +VXcb63Y71k5JPAwhidJrO3WNr61+7jm1rWu0tQ3PCCaR6cLgldjjnQjZG0zPdRyS +D9NvHAiQoNeouj0afI/2E4otG4Bs28LTUFF1wG6F22WouNp3CNch0yEno2P0izlf +p5pTWgG2eixwB215Tc8cVssp12BxcE5Qin9jezscAy9BuGZHnYIQh5/HPnOIVqra +XPhrHs4vkUVZaZiwSBLMTMSaWJTlYg+nv5h/HAooDSSrYu/JPF+wURPpJ+izGGOu +bFX2lsR0IQ+bpOEHo89K8pnEZP0ozyQAikEJhbmuEqSt2tTie+W2SxhmRKgtCOSJ +59Yq7Ajrq5JCcC+NfNLiHd8Osft/Wk5zyJ42/OjtPdZl0ow5TqR3t42WsRIElYST +EKuUtfdaS41KG3VGTDJBjf72ED1GnI5HzK6ACewDe++Je6j/O/e7+d/17PHcCMp0 +Niq/fRV6qOsT+z7lAQ+kzYfaIrq0FH5Z+unM9H8V4Xi0suFt9YnCcHW5ksp/5vNF +UunVc4a9uY5CX3M+/yByQpwzPpx6JYZ4Q6VFJrxraT26nwEfrBUhX0rRwbaCumI6 +GZe5ibkoshbpsHM5b8zieu5FboSgssJxa/26TmWoNqFr2PJHNvJUGAGtZuKrUIR3 +vcMQTwlEU6kD6+YvqiZWJtV6xZZH9p12nyLNa6rF2IKdPlyLtOAJ+9n6c2eTDtGC +eaqvqSNlaq/Rey0RGH9Lbs+GpuC+fVrQU5mjEQfdBC20IltDG9ACn8DeDbRhVDjk +q0EXzZxX0zYOWbPnNEfK/8kHLejIM10ywg775Cetg2ACeUrwui9vQUtEvU78Jb6Q +iIySjSbggmMb02MbVLPnST3UP0ADXCYYcwnfqsL8JzpBaj31xg5w1/SIyDL4UZRN +3eDtF/KZSOlQUr8irVT2sXh7Mzb+Zf6hxwZdRGfalcWY6R5dpbcM58f4kUaclScD +t+R8tCqcw+eVVn7Va+AyHYS++6KZ6z7ENZb8T5qa0g6C4Mzpf6kicjvKcbaD0+K8 +Iy07azm8Ft+DMuI1CE9YAT/r0Dw5qToZflAw3HsbTpwQH3HxSotUiMwlwgOwYKYX +vBiYLuiD4q4lM4HWvf6By1q5n2ieUqnzv87o5ycPLoqJYsES7BLUWjeHLMH471Oi +OdaWGTAAhN7avL/aU8eegNt+jUIiPgjZK2iMJBMmXalsrvgHMjhaPYoft25tQ4h1 +UqXqhovQV4CCOp9MtiNdB9X50e9lhOYsPKdQtz1vEqzWbhABpEE9zIsPgO3vY0cb +6DdvHlsJyTpI4xg5BJvtB8TiYlODM/slyMJsm0ZmIQv+C4XW53VBzpDmAsUgASQ9 +sXwabvPIywz72eyAjMQdqidAnJGxEDfwalIzYGERN0Oq5MYcKxk+ID0g94ktY1DL +9y7WvPReuOAl0rEesTBsoILFEpX1nrqrUPwvU7TlfvD6x4KMsfCoARWOwfF509Up +/1G9vrj4nGCSjADPE5jv6TxzEwj5eSMjRd+lXAS81wa8U53UunbwgFXiYr3TVJC0 +niMBPEr34iFFNnnKJFRNZPyc5YqLNU99bYbnqO0Rd7djNbJXrTqN6FWwiej0pisz +DxWVKinKmjYMsPCDh9aBTX003bf0VqIaAlrWaeFQsAxlfOYghkWJJJX8omUpP31z +fBi36cihbrAu6eAQBlejKBwwZ8AeNDqpJ8kaeFaeHmucZXNA8xeTuvxtNFENQmjV +/xga9ITEG8CGPjzAMTTUgO/HwbH5xVngZjyc6pWjStzHKVQ7XhcDLb8LUX1lNITP +vS23B9GV5QI3oeUEifINN6FTVCze5FtZM0QvW5yBPLMjQbQd90BtrULuc6Lrcbaf +WIXgZdshPCHgP+e7FShQRjcIHEsZpEdBy1uhZFFngTc0Cu2+Z1BtNuNJk6f0+yF+ +OU7/TVDekAO8Sbib2Fc5Z5/ETmoi80/Ck5eO0cR/ynATa5SXnrggsuNuncVNAO0C +2wzu+EXwmIxP36t5CtyNqcXEFbunk8OvZiExXckrCdZeMOPibS5dUPUpzTRtgHpS +XZ5itpiQjg5plDDHpLQndMzhRPqIT+IeV1dyaCZVrLdhucnmF5VZ3PMEgOcaFILo +0KTv0D9EUH6PCAg5iIP7BJu8Xpb5ewMjxTqouHucL+ApyfSrsGaGF3OmQ1B4GnpB +9YF+LIrlexd4rIFKf0SX1IlJmF0zQdF5cdwOSD/pjdErIYAoX1wsDi98S/H8LTAP +C1klT2DD6kXS67YN3Go0dRlml8Htp65CiPCKGCCkeE1FNch7hnFmhfd43TMlvP/K +3DWKpEsyv/SC6ryNgjacyjgmIP9BMYrvaXVAmZctQQZdFzlM55zcyh5xpKwIJg5E +unMqWYqAPBZkP5cz5+8exAjwK+A7jxqMNWanjNekuYNIWHx2ZBootHams9rmpJzf +gX9WWeZv8LaPLuzMAZUGL0bPB2W/65TTPrabfL+9FskOwrzwH0Kd6M9XljtowJ3Q +m3P98cYgNkH9ezD0KP+kuCVQejsn5C6gtp7sPoo/wi6tEIC8oLGLFfgRZbEsJ1jH +n31cdCteb6A9lQqZkWoj/i6/QMcuOyVsFboBAhusHPv/wPimIbmx1fWKKl9GB8/I +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rate_match.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rate_match.v new file mode 100644 index 0000000000000000000000000000000000000000..9adbd094189249fd9da5f0565ea03128943e8645 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rate_match.v @@ -0,0 +1,511 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +LintaE/kldzRaeNDhN2iaz8ofg/N99QJQfCpnSxjo11M7T2UBcuZLYdfddB1Wf2x +yCRPz/wqGcgDWuuA9LBmaoA5b7z4/crTq91yBrGsrRgJq6bSufbfxH+d03z0ls5d +XS1329PGoYVKpzjo3pgrlznOuD2V3rzKnYprSqx4Zn4= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 22768) +JDRCjNvnXdNKvmLQg82HO3ictEqJmH1cXYedgTAI2A9JQZ/MghIkbzBfbCw5Pgov ++smFWt0+Lrg+jcLiv2P1Mu3iFcggRAfJb3E3fsSUcIHBjZYeOgjBMrWCOr/KpcSQ +n28Wo6znao3CxBqhEItwJ354I1PooTTbU2101RY+Lp3ULsrpft1k3fVKNWyPflcd +xEcjfAPLE6vkeTG2gl+2/vEgtFYGMTEMYf8FWsREF5Zn3lTIVglFMgAOUDNxiEiN +/apEyllpkYpFUG2DgCP4rmDjrYOiFkpREMqItCf+rtgfvjX4a80RZUgDf2fwisvo +EOejAW2ycb3co8vJKRp4lmxVA/C52ki4DPd6yYfg207+/ChUa1yfQGYIXec13iR4 +A2ifbtr2rsGIUa81VAhx5zQBKmaoSChf8jUyvmOhm24Y0sVPECSLKJP+D9CEb7G3 +BdavGI29ZPfGJK+rR/UG71dwm1uEMN7PxUFvDrz1lsPfdgDS7F4E0LiF74OMywxf +VKtXzFK7YkTOkrkpiEJ+giFYxR8L+5nNIYCITy2H7XiONSQj7znqKcuXRW/Tf2et +2+qMPS7Whmqzx+UoglTP/Lx0r///G/NSdcIFf/hBSzZYtzx1WEMlG9FSjjT6BL/v +JrvceSTfmvOpHcsfuJb+SNMNoUEzZSqqezJTlpFE2xv5wDta7irh4WddrUs0F8aZ +WCUxyNxs90nPo7SV3qmawUBkwybDppDNlEmOHKJN9dYvU5cxyLXRYzEQ4RTbFVUe +rL175PViItAZ5D536RRRlOeuSwm7Lw1BnBXQdbprfmWnMPxCIENqyi07wVuxoNyg +l7fll0Hju2n/5kGuU+OX0K1zeJ3kp5H4GZEZhvE58WVMd6K9C4GrePDn8BzgnStW +wUKoPw6ydKoCYzsfKhniLHGKeEpAqxqwFUytgqGxwyglgppHkfCI8T5DTdgaRiOM +b/5CGclNpuveG9F7WeMoWy69u+RX8i7kvoiE3wAYXCePwHjZNnoAotvRTI74Bbt3 +RuxnLTGZxwNN+ev5qjRq8qCmnd4eQhLuKza/EuhKacdhbgWKPHHkzvSQCQVB3GI+ +ccT2J1++fLFaqaH8K4eAP7W7aysk3KJctkv7FoATeQtwv+OcEiEwE3mmyujqyZaP +xX9fvjzm/7rhyRtqy1MQ/Q26F0bkN4jbDBa6xA4a1A+zfsju84FuCsc+jomyl3d1 +EeqQmu4SrCIiQ+PVeXrvNqxqpUj0iDnVXbj6KLLSnIgtu+JMTXrQyuCxzbzo9xGq +NQDosUT9ktUX6uOa726yP/GvVEqPQ1WBfU+2xMxlagqr4hCvX7r7XW8dv+VOdysW +oDsBDcoC5d2sAYtSJvAZarVBy1rrCZD6IOf1u3+mF8MgtliGvkSs8giJtUjck06e ++9fI2iPxDfI3qTO8am8pJ/TWSou/F3UkNtFWcNOezTzvhImeGcEGpgPFNyhor3Hf +2PyiXUNayYEHdYmbDSQd2h/tW738zRkOY0KTJ+qR2H0FytuqWrX9S/ZdbzYeTt0A +X8GhLZxaZnUh2oezWWYPmF93YqOLGnNNzjbEMbL8BeV/jH/aicb9RNcn5gChkphA +HiJwaL8rX8TU9qf06583nFTFMiGkOYVJ4C6En0J1Ovr5QUEUGuZl+r4mxK5io6yB +2XbZg8Fk6ZgjSlx+mLeRjPZ5yHC4c1yFPt8XjVV9duayY9X/j4ITKriCooLhZLsd +Qx9GEwNQT18DEboOWDv9m2bR2pCoB8qeBTnv6a0ZMbyV0pAPU8Re6+XM6ZicojaK +3LrnG4lqZTo8Q5NrtXUL89o5LeQ7Y8PICh9FCEjWd3ffYr6B8UX9Z29wmw+QKeiX +4igoZs5racAgIRTHAQvyMgO0jUflFJEMsERV6h0PLMX/vasolyovAQ0OGpxQATgT +oMQneeYOclr3MXjovN52leTXnD5xyK1OOu+b+VBTs5BKWYK6lxPHaq5yBA4TQSBo +ghlccZ9VUH2bqzXu+t+sEQRCfk7QXyyrHvmEiUm2Mj7V6pf5/5d4x0swRT0UZ7Qh +U3Dvf74MSWMXTljIV0Dnp8riQ9Xy5H3ult7XDiADoMEAFpRQAkCPtFpeV2Ey2hUr +lhk2ME1pmjK/kDwP0wHrYriTrlmQcDqsCQiKsv+9lClA7EJNIKID3URPujRvOV67 +Fv6wZG8jyAgeb9fMgDgbmq40FnMvdOB9ps/WZosXGzl+sTfwVy8FBVSLlJdwfy5P +8BNAsXViaifBeZZS7lSvSTBxj9hZNhQ0wNAJ6QBnCtNVr+d7iYmFprpXa5Pin1qx +1sSHx6sP47/5vBZRr++7WQ8sF9fW28OJywXwdl0EFmEbudb8c7ChHy3mkVgZmH4g +fIDg1AHXE96m3GqUH1iVPBavkbXtiYoaiWDEc5Dv5XaDg5x9TCV5Msxg2PxlhIzr +3KdRTRblsqNGae3F73Dfx+Sv0qgULWPqA6DEKrKRKGXKpqmxi4aKDSDD0hZIwov6 +9G8I0RbeNUns/pOw3D9OlhYuI7qsLnvlLSYjeOp3F2cyEKf3Mhnw8M1F6fI3acuv +eU7lec/SIVxqAoPqK3RuHkuoeIe7otxppEutYjaI7bfP1kcX+uJ1GJ7xfSqpkO2O +yaR5BUAx91wiCkZscuULbPFRvanrHWDcYFZ04TbYqHcXbfpKeT4RdM6z8Z/y0AO0 +rjwU+hmbICUsAnncS5l+3k0D3zsJKKa9oM9dIvkyKQDLx0v4XaoBfXaAFBt/W/7L +VLsIOBNBJE29d56f2dpOSw2vkCXz6/F3I7jJhXcp9uwJLhQ++wGRQ2xB01b9OMf0 +zhaSAgFW40Cw/f/Mp6V9S0zO4ZAs3r02cnz+cEP5YuCIjSZkMvxztVdxIrA9Bh0k +QHR1p7zK7Iot/D7sQvruIXlHFhvuboux3fwqmiw1+Qm4kPYvQTYLQ5JORFmoOL4Z +0J0s9JdMtQM/6FY66vUjqzaWXNKPwd0BKeQ4FrX7sjd43uZKp430NBnAjO3O9VFk +G6i9n5zWJU9DNaX/KxgD0gcvO9pohfn6KxJRHri0uFgUkHYDaMu5pmWeUZMf4fzL +TrIZEilGcFLG13K55BVRf7FVcfa/9eQT7oRXWqbWGhcXzaSo4Z+KLIFl8wR8G+u2 +HMTQ4Gi/8US0IOQg7lBfDGv+zJQ6FlPvkz05vvdZXAXcnkaVfGcAmyuZ73Spnu6d +KukJleNTmW6x/4/EZ/rX78u8GZ4Dbkaivxl3URIa+2mdWOXd6InpZL+Wq2KWM9ZD +VanW0uhezwLFBIugsDZnJGM6mUtz+b5LtVtACTGWHnx/1z/u13MaRiS/PVPWpPfw +n8dNM+iNu3UOxxDFzUPxQV2ZXuDO2vhVuXWX8SHxQQyoVhL78ixkASzVVT8pTRc4 +U6+5+oOrQKDG9xGp581r02XDiwrf0gstAO6KgYmwmXzI78pCfNxV9sC7vHQiccRR +1n0QTg+cZhKwrnmFdUt3OXAEXS14TgQblJ7WZiDRzd3z9FjnfwzZdLwXPMGLnXaw +L5L7KrPcQWmMNgPl2Mq1i8Xk1cu0iondub3BhSS+VEakBbkB+X6OwPizJmR+rLgx +UKmxX5p8u0Y8ZY42OrGMS8Z1QG09dl5P+B4tUGS1zdKCw2FwukojAYo63X4V2daA +VIAjTpBrIRjXx7FD9JKlzKTNzfnzo3pNjxXkL0fVkymYLel7Hybcze9zKXjo8dsC +q/Nax39TMcctPpCpJKyGRr5HTcK8YrAthNJixkM+4EBO2Wsehp/QSRHxgFwxEFau +5zUV6At3ZJxjMcOlxTkHgGcM/r29OAwKQz9COOw88CQQfjjMSVvxwKWU2fViheD0 ++V4F8+Z1Gy7iklvgX3xgFKTmxS5ZEOlRHz6/DwKAPolTVyXH8FE30Vg7GgoBVQcg +hUDUV8OH80Kahdo3TKV/g1neeJsOASx4dt4xJza2nkc937VRxq6KEt1EJPlQunxT ++wq+2w6WmmRULI+hXs9lazHavFBLT0jSS04LwIA9LI8EPEm0oJyBtuZZ/ppuFvkP ++r78w2kbaEovMCXVvjU6KkbphvGkilSBC/4s4B7tza//oXu+RXkehYz7O+YTwAJ0 +39ON0TPPbqL6u3mJHFhfCfB1uiIdVOA2IhpxJdiszN0H9dH8ks3DcMSne6qpjtOf +jZM6PtvDcESE2myL2mYQ6C38ViLoXlYMfwV2DiLbmthFmkCbQ7c8SxIWyjPbfa7b +hrvD5p8JFzGVT5BIKIDxdHYBP1ERkfNkPBufO5FpaSSnwyDxCOdCa6jV8dwJ7lIM +24MkittjixN1vGUL3SDsYrSM9QlyhVpYCVqg5yXhfGIYcQHFCguuD5FuKjdFlfQ7 +litpG7N5VeRrfcHZbsBYxaFm0WO2y5cChhIWs3I3itHFkklyeS9g2xuu8VZ8vbPo +uhjE3f7SRS/0YovomjCfMhmKSw9UaXHXaEkqd5kxEw03DXSZeKb2ZxSVbN0h+Frf +kbcOsSXLF/rnjaycwl7515Lj+E45oNtAAnsSR/vXGVqf2HrgN2e+S5zyL1SDFsm/ +8C2CN7E+kLld3egnVYL8nG+e9KcfvYVjvOyA+oE/Wmgnwtx+O9sY1yJ8XmiZj8/V +TztTVHKz54QfFGS3UOnBmPjx3BUpmQ1aS4eEfBFBPWEytCBidNoDyB2ka8iB4M70 +0R6cgArQWJjhRcxJICLeNhbOYiIdryUXqTwvZ9qZ7neD01WLDwnRKlrYpz0WLSyE +iVfVle0RGyJNLnhMwT3JSPzMTqiTftFW9m3Tz4BaI1iZF+SpI/PTl1VNHS5AughF +NI73+j4Cmwt7tBzrMr3fI8jOKRBEgf+9GTZy4FJDoRhV5m0PD+Hddcer+jS8oQ1h +RAs0KIV/4a4CrN3BxnGabgTvQYT/Qo8kn6qM9J6ZEFBO0sNUP7EMTPUzSYWhBnHD +TCA+RiPGZtrYMSd21NLx1We1Gx85IHLk2kqbEzicVJ98dg1UDs2gD57GRPSWq0Kd +rBLOdtcvSrBWuYjmVcQHBB3okqwMZPpqn8KVknL+7omhI31qx0kKvz/YyK2gJxkW +VNCsWoOBooSftnYmfEJz59VftHaZ75lKFFcmeO9dHpJcuJnGB8PcVt3Mm4JgXwwe +4AkR0YHcyJelfnqg/lh/T6tGXCYwlGCosXkt7/Qn/3OZMaeKDO6ccw1zX9UQ2rrS +MI4SDFFuwv1NE6poYcDxY0f49QnRis28jEc+0v/8A1bqoQchXwVHAPLFy7r9XU+d +TFEldgpqfI4EYdLn+H0hWyRiYzqydeh/oAlcmG/QG6Oc2eKJLKEuBJLu6lNEZdyl +YlD072Y6H7w20aOIm+zHGhWl7xnKPqzXv0/QcE1JwNhyUWqQ1SMJBMHreCgBBhe/ +vKCjgxdxeq0sz3stjPnFvfn/vfJSoOKXNFG9tUBk/EP4wfEKykpNTSjqXB2cVq/c +uF+Sx9BMSqfLE/e8tRNaQy6tzDMA47kQ++zjxlMWn0fe0tlN45a3cYjh8s/ETRT7 +kGODA8yMqF69YA7tTCXhFTjMQWs92EEPCimu78LjO+tL/THzSBDsdN3NaFgNSljT ++BJZFcvOdzqNBKPB1MzoMiLzEWLhHw0imxZ2wDeF2l9QtU4DmoZXziCVjadKKRR3 +Phi0FJH3LOJQw+WBF/HKNkyRm5DKWZaLWEaqaT/G/Gqg8EmVnIPAqH45cEgI2cjy +LQx+ZwMIMVamtmYtJoabMMcvoj1ueIajKAP1DNh6FNh3nSw0uoHq2pQJVtSxjl+z +T805224si+kuchHOEZr8dCM2oIq1br4GysR3FkvmOXm/daazYgKjH4KjcGOY6zlz +lmYq3Yon51BIA8ptiNtAO9ZOKOMum2t6qXosJ/7uchtzgUHrOFmbrPQNkVqAitUt +QBdtnvMnBUbK1+yV/veAEgH5hZdwPva4UQju35ioF/EpXwJMO9wWP1yH/I7w/JFu +gQFIGujh8Rnv9T6wF6ePBsP1IMrEVXLkWtq4vL62Hgjand+/G1jucARqqreeQTuk +qax0dLazvDhyytHhG5Y7SYtYPaNWjXDMhN68EXo0Zkzk1O6IG6XWQw7M0TZ/Blza +odXkH1rXs5nZSS8LmRDooZzwKn+5E1sD5VVNqCLYbgpQNts9D1pq4sSqa1r7hGdz +Ipv3fxZW/JdMFQwiP8qSpSjL/LENoC3fVMy0Gzod3JUNeeLixeplz6tWak8tQKwS +SBXDn/NvjJqFE2XVuF/ApsLBhnSfxZ8FEQ28+01Xh0hNOQs/PoOtf/f3QGR4j4mw +tmtyNkxU4wVSYN2hfmPXe/Zpd8QfGjSJNKhO6iKRjQ35IpFE0IUj33/bdvQzERsJ +yX52x5dpmibeG1qGHZ4+h5pWuoXRxrXKJV7FVGDyq/3+kzczOxRBjlcyYnxJgI/+ +E6FBRRrkMFgBCZ46uml4hGbETJ7W+M+ifTbyr0zBmjJBdyEW9D1ZRwwM8eYfHhmv +nlCxEgZHJ0akF2rlY6VwnTeA4YV8BhOlfftQ6H6R+UHf5h92mA76r86Pc/vBrG9x +OB1kPGyi4qLfzUmrukyY/es6uENAOUE3b+bmXmuL0/CmYdsH55tChFX3PK0QvDoy +XNSZl9W30Uy7KB0PYvYblteh4S1W9VkvM/Z95I2zcingHocp7t9HFntQZ/2sgluu +cdlGXNhrjdpd4rDE9eIBoH3s1DwF8nEFO+N6mv60FdiZa72tQPKs44OSsEj1GL6e +Gng6L4Ve1d1Lhs4KOlSxsYSnIImlDwqia6gadKSuzaJ4wYKj61y2KNqhi2Vbr0u5 +RBhKL+2MStI9QjcYxWT8lgKXwtMnDXTWq7PlMgAdfNdvJeHIaLE0YR1pN0V0RmOg +WcB10SRVmm5VL7dT2+jeaaDh77heZaxyrEaHb5MqS6zV6LuI8GYtYnCjIsp0Lh+q +Job8hc+FjW+ptdf8dwe4Y9e8F1bRD1/d+Y4kGC6yMRsFzqAuDpLrAyCJhh/iPbZZ +PaUYj/olyeZbDD+AbN98nQ3mot+2gfBVn5qtDxgySMVAX0OeSH/L8Grdo1hUYQu5 +RC1oX9Phj6OaX3LT2E+J9ah8g+jeKPkcSfiGwPQsoDPr8oEt5iUsKlic9OXFYVpz +tbGlk5IyxYCHKimnxUn1y9bQu/8ctCH4eLYL/i1d81TqDf1dIlXlQwzcc/Ujl7om +3Q6Ie+R6OwH1Mw7OZHLiVjtbgc6BK4c3k7kGY1EP6sDkRkJ4uJct4kQJaL8I0kNA +llsQTEK5r4/O+Gh5WR+1Pocx+nU9zjodWL4WUJ65lJJOxA5uZ9dLMZG8W0XLkfV+ +gZBQVCFh49oeCz104XvzeDEH9cYPnGCdPsf6oh06fHuyYNLZF4PAs1n5036mdvqx +/dBlk+yz3iHZYlxI3tIgLCVoYHs49UaMD2Alc/oILp+BZC6kIMk5UvaHxYDLs+hl +LTRcadY8kmUAt0uQSCHLSRuPzZ6fRqkh6xKHGn+5HQGKB5WMGLbZx4Ri2XrBTnq3 +Tf+GjesdcMGL6YmYzrjHzEbVZAGAmfKpH5EZoWO4tqkar0yl0sZNNtYEa0HjK9NA +FR0eKTUD0SBYENmxAmGGK4Yp8ZHS+bihOIsCq7cR0yogiTS8m2sDZ7t7pZbZR3ef +fzES46drQoPkmckXUpnBCC/4YCaPkkmRBTBO2uuVLidhfh1G4ZdGGQO1ybEVq4mp +b7JRL6Q2hXs8gdo63X1SvUV21JDngUbXmMx9I/1w0wZ/9zS1QDBuGdh90zAXCeF4 +Wk3leGdM94BraOhGhT9MMG2j8qiYyXbY3rnZiXRWT2z4YzV3QFj++5SYIP3tzKXZ +T6uK35BXwklPc1leVRRMCoO0CasBmV5hgQRRYSUBTPzVxp1l3Li6DApkpz63Z6vD +n4QVWacjhPK3QhGiBtda+xHOPtrlyc/TsDLIjtu1LHFhSiiYf4bRryhxJZpYmQc9 +NvY5FkiGCl1vP3LHmKwsP3gds/OdzBRj9uZ6XURgrmbvg0Rt4roLF+j/XU1B/gjJ +YTkJKIMRMf6gYpkTpqzj5INrFJXyxAcdKOtNjDbH82VXRBlGvPDVDKGIOvi+vmss +6nSfWDDKML4pKA79wIFBrNPgEBrmmvTXnGAviY7an5jG2JHwb6ED9hxTZfvTjGXb +02GoKQVkqrir18bU+a8buDnbSNTgieEbqyeGU6KVkIsrdUeBrELCzg3sGQ24sCnu +FNbhVarS70fMG43jjUL5ieNaV/f9B5r7ADfTX1SBW057AcnmwtIOf0Lx8jCryZyM +QqowEghumDpPM5uqn3l76ax85uAaI2CYyjhsNdQKia1ZWgEiZJ94cqZztztZw3Uf +hWQrit6lzJScSg5a/WrKDFgmzYI4uk9z4On62x8gcWt+4wUMrnLgY/DSikT4oNJp +RTrx56+z2//sanTXMexG4ajPEkQVh2EHBrZA6ITDnDg51WZUiu3ql2vkoXoiB0zB +BvjWzA+P6evWf0GUhlRjMpS+E5AlQ9g/A8HGv4uwlPxJuxx8IyLskYg07cPKHgIe +a4rJqn4YvoZjPCrhReFNqpRyQGyK0npbwr+z7ya2xRP/jAXhG90Iy4uhFAPgufA/ +DjX2YhN6p2pkKmaQ/GZkhuwzPXd4SFQHMHBGoydv2Ggf9+rDHIEzoujXsvD0lLWn +ywG8nLwVwbxM3WztpSZFgg34hNTDfzSF4UTWCUsfFbYVCITIq0CAw/IIm49lxAF0 +897Xxt2y4oEdvWQjrn3LLHxxtgmTOoMb4vi8z3FPyEPJLYo6E51dpMdZO/8T5oKx +xZmBCnjuwW40EzTLMaS1YexR4rIYbEIpBXY9/mTb7DxkAKZBjhgoSQACkqfRbSfn +1DvLv7kX0XmXYyRJ7L8TTmHKEaRIHA9BZg0wzNfq5hPQmoehXrb/VvkomCB41V2o +V+dfxxLa9bnFkuZY+yf1vZRO+qiy/qY9s2H90oRa+Oyc+k+fB9WJdP+TcxqKJiRk +LVHTrm7frK8zgx1xllVznXkiBL1pkHS3/hw8EV/978rKgTzDd2Sfqyv7p+MaByPH +yAQTrvimPOF/8Rf+sr3FXdVcDNaNIoFXEZSNRGVyhFs5s4zWqPirYqGOcdfRnFz5 +2gC8HaUFhzJNSuspHkobJPpeCWhs7GkOD0r/o7bz4IbtqKdM+SBpGJUH6Lzhu0pj +fe2oYtB6kyAWKEGIo5yX9AnDUlCrF59kNT85kttUgrFrKJjrtJBeY7qUoaD8yOi1 +OkZoN6K/nL5Wz3uqiH7RsELp9v5Q+brmdmsqszqrgjY3ZGy/kmEqMhkZPRUuW6ZW +bj4AtYQj5y3n2LovNaqVLe9s0zvIQxfWxNr4JfwKEzQ8OejT3bPxkvUcYeNGvlOB +6bpn7KtbLtRg8Yu89dsVnTEGN4/ONSZfAECehtGDLlykOJJj2O6PM+4d/yAIHTCJ +BKuZnOvoBor2ls/ktnzcBHOCFkmAFAL4j2b/edYR8DDyK+H6ezMHj9ii/BkfgUJj +5dbBpP52EcBxhsIng9rPQX24lUh+h8chl38NwlCX5QeaDX85jBTLMw/kb8q97NaG +6GuQlVm1Np53p+cH9Qco0g7Oqa/05h6afSWZwTpoJmHafS/Hx+Bq6GPyFSImsx6j +FyPUyHihNNb3C8DMSIp0DwEbWdFnKpfdmSzn2mKMzm0zDeKrGItBSuHn08cix1Fp +VF5YAHGFyiwjMPhiEwYdwvlqfj6hF0WO3vbLLA3WB5zP+UACjy7+xUpO3DGgsWX6 +rrq3j+sF2C+OlTDDO4qkyyLIQo/okbeAEq/sfCzfvsEC88wOhzebIS3sTRX+cETp +SxmFPdWMlWYb2LrCikH9JGkCPPtV0HHdrAK95mIkUkNVNMsTClMkPS6ZaIxwx97j +3BsoA/UwD5V/LpPcqYh8NhDP4TXNN8lY058DlF3eGnPELqiiE5CY8h7/FL42OEBj +o88tburmmWrHofjaSmouEvSYA9wqP9EwH2h8ES+RjwvMNs0zWpD6okhE5Ed7arf4 +jphZduiqgTk6iZzocFOoIuSd0++Q8ccGlsw8HsvGtk74L0dA1GV3n3Cc/7MrpgRZ +RflvIDvP8VkHwBFzGNaqehE9EfPq+rqn41OhBXMCvNBxfV+QQP5PLkxEOpG+AeIG +x7EAJQSoH+APYQMlIj9z2W7vXUtwiYpXm72OtM5T7E1YKM5TWP2lHc0GhXoqJriC +yNKO+4f2QT8zwaoYVEa7aHj8mpZBJdqswhY0SwE+AILsTuAyx55wPJvgeys0/OYr +BXAsssL4T2KJUV8rLxPkYJjBjS+45yNl4KnZ0On9TrDcHuzDkZA+wCba2Jz0rsrm +sQADwTeJDjOCAyFd/qizMs7f+ryNj4hWekcHXy23QQeyk0hztfS9ZOO26XhH/hbB +ytxPXR5SIOEfHev8oMZlmcP3IU6fC0N3nUlib+bOwWV0SXc1pfskacwt/41Pjf6u +kY93ck0x3Sy/Dy4MdWLQCtd6EboQTxHgRmwGJdoNs51CIuKH/OY6/ghleu0Yla+s +vKi+eeeUQxkkW71MYaLoEhFKQVXXAgJd3a/hZblATWMrVPkfrlUMrpm+NFXxho/d +YSz0PpFX9T9+mafHfeNAzFpUCNmQOBQkBGKCkw6pxseJQSFgzoTmQHlFv7cd9PJq +c5qtp9TAYJj+JM2pyHHDftuNiBHw1f3whDCAzNdQCJd5Xy0rwQsZSRtVS48FxepS +Ihm75eKNliuMdupXyw8uPEHYlQ6Z9+hVilufu30PnoptQpIkXaSPeJxPjEic6rwg +tLUXUhKALPpiBJar2zY16G4BLt5wPFnKuBaHQuU4fVBfNlI61HJ4JHSSwg6SngGQ +alP4g67HiOdmkevyVKNz2z94WTUx5EzdMnYznh+jgeLl0L+CmYwm0SrfR5K+Ahtr +ruEvzOKQqLVtUDcXYfbdD57uwuWQA0rgcqEc0pfRhlHHR2nP0cPe+CzXx1y6NYg8 +VrqENbYBN/u/Vok2zghVovFtaNLTnJN+LWe5z5WXbkGFkM7ruQasWldgOlc2tLJ0 +rtZozEElfZzdoee8t8J5OpJdLtX9ibZbeWnh/6tP59bJGhtYh+NecmOoWUC2xXB2 +Z1+17rFh3J2KDVV7OFqfsxdamzGwxisHMUQk0hvZgp2GL6RqB8nhud7mmuUH4GA6 +IdZVHEllWAP84suyUNF67Udx8j+Wes9AWwTiBw9vHbIKHdT74J3LUDJ+gpq4ko+N +gliyqHmvfyMyyrA3N7EAG2p6oqwMr5o2pq2ekC2jz4L+UqE/Z18eSRw7LqSla9yF +xEpGGpQZNrn31OSIk3IEsl6fK7JOwADBgRdsMXGVoTVNQg9TY4i4uwHbpkmt4DPv +ftp6AR3G/PQ3qrhVu/2rYO0fNlE4pCR019BJKuSd4AFgUNgrFfpP4XoeSXUQV2PM +9rdX+8/kk3dpCQ4pi1w8xvWSvhOh3bAGUTPHG+YIFepwdgzDp/uPARC65PS5wizA +1WEPY5P5l8WLNR9f/CUPBZPFKdYF2QDsND2Y/gn23EJJg0oPVb4PMB3fDAPhwV1o +LvzGvqho4TkSrgRusAQWhSVp6zXTBb8x5c51IBEb6lz95XGQEyUNu9vSPwwq67qm +X4EzE/fSsL6iw/FM6dSL+z5rj9eIqUmbQlUqVRLBG1s6KDHhe4JNL7L2naX5hw2X +nG6ZeVxIssPBSAnRTmdlD4ehD9WavHM0vaCP2RifzRXMIHlg7K0cvXBqUluOSD2B +JwzH6Fsv5dm7SfW6frdmeRGxksHMHnywiho0yER7zW/RnYAXa6fLNnBuS/yQxXN2 +EpT1euXJ9RRELfgkshpP7Zv7oZZFz+SVc3a4vh+ufohwyN12zQCpsC5Fjy8jt6k0 +U0nWPGQLmY1GQKFmAQQFW2Bdmi0ttx53EOXPEoYlMmOJEr+ANzv58aZIR/MuqplK +Jfq32hmgF3OgHbIaAypy2qjhZf3N04P7xUzTtsXBjcD1tonZtDCETzdReBW1moLj +q8MIW1rx1xGBecuRvKxIzcNlAmqK/UHdCFWKXsHozkmeAhO57JFeClZbZVL9+uk0 +74+lGlNoDcZfj5ITXL/KDgLsxZSA+hHQZikdMOdKuRDvCeJcs6/rrNt77H9EOqcd +iwWoO21Ll01f1x7IIYSMLDugnfi8N07GcJTUECMAMoknwGnODNT9qXMTHic1aZsi +6X//XxshbSlsD7Opf1nX1tANbXrG9zkR6M6IQoQC0I8x3Cmmq0b6XhyCLjSh0HUz +IhH8psFdwiul1Sbj3gKe5qeMBGg00RpLPc5tWYE0JlayKbu52VVW77mG4gUtrk3c +Nhn4iM1XQNNlPHKup0qh3QOxBLH6QFbsIjYBIPTO4/du8N6auZE7lXqA3K6kVnQd +Yc924my+4fVn+6SqzrburzlY2E+5h/F/DE88V8fGEmMftMzpAnWu/zZFt0TI7Ycu +cwDXE8H6/TR0TzRWaTIjDdfzAQLkbmyvyYX1PviWUyGk5TtQk/ifAIENDGEIVR8g +KgmaPDYxm3RI5gqq6ctqU1nBkjrWX5kTZnfl2hrUOIHqnissXmSpA9NPh//PQNrU +vkr6tcShRs998TUONPXFNZszpgx7vNSbkBR4BCN6RCj6xE3hO94M8QCnaVXLuycU +64Mlf5w1dgF26thTBZ1XdiCi0raUVapywwYRWZQsTOEIBriEhm5czZabjxHPvc+g +lSd70Ss3HAoddygBIXAF0fSGI3MOHO0KyzoGPkqoAmhaC8yLuleMb/VpodJQNqm1 +2Yu0jDe0v/3BUT+UMlq2Y1s5ySeNcDxZLp4XEriH+hAfcUtEbNJNERwpXbsBysAp +UMv53LjXL4Zptz1RTM/raN24uWr29Qe45MHSiH+zHpaGFcoE/Py8flKvqpZuDKup +zIBMWfWagp7mZj8yNvCymOp5/E4V5bHaqW8gXBm/Vin7dDOfRqvcjsr/3DzbqsnU +7jhECA3E5GcyyddXStrCZFRxdXYXlUkzdUFNK/+bmH18GzielR6ymOh8VQZ1YXq3 +TE2ziHME5obgEQ6Dar9WPb+Jy7JdM7TuN281gW3dKkWREO5yKL6q58pdpmvoBkaL +jPO6a4lynH4DkyvuEupa/YVvoewolawI02Qm3IfSDhC8IfYXCeJJ27Jq8Tcaclht +daC7CSvSFz/AoSrTns6cVzrJIAz2GJq7MOvxWy9mKOCutMyN5MCPswDbazMat8YA +PHBB5Nm/xUiMinTiX5NEh/SyEmP1u7H2Q4F/3aRsbZO3BW9hrQ5WUhc92Yj20ZX3 +MrCoTN4A3xKfSJWgRT1iduN2P5yDUfdVUn5/M2/ZRnQtPWrCm9q7NlkBHdwDJIYk +uFjBtx4OYH6UHTMSg3rf2A06kdWNywxDwOAB/ljX4JG2zvDqxDl5nU7YmhM83ezO +Tc4xhDoH9IfqEHJzeDCwHxn56pa1i6WmdCvv3et7d7ifv49CKGl265m8ayAdXbSR +dDyRQOKaXCg5kDZj2p+/b9xrIOGJPRcIC2inM6rNP/GVrPTmhRixALBMhJCucv7P +YWU1EReCNGc4ATT+5ucpWo0TiQS+7eVxiwFG0nrDOetYSn1AMY9ZoPq50IjHs1zP +y7tg8aK+Te+R619OZDL04kATA5punUVt9VWWgc5IBse25oBjM8MP6uQAROkqofWA +IQokOKLPYirXJDQvWA+MDaKiJDJiWbsXbzo1ehv1zbdQnOc3XcouuDGkRHuXsCy5 +WjBaYuvqpcwQ7NysWOpP9Uk9bFE59m5gNSqJyQQPqvT4BaPbx3Elam0AD0lmUcfd +5FuShv7+dQGjItpsdTPj7cFKl2zuCKK9saDM1UkvDXqd+I75IpXeAVGyI9gScJEy +c569JRtWt6g98cbpeKcdoLvSroWpZ4V2oUYZ8e9y1nQD2TL5/7TFnO191181IQ+E +D1EovZMmIDBdMtlBU1OU6d19kXw7JC9ei3NuW64HeCs13McTdtfWjz06ylhf+T/r +znzp3wHNx8tOFPcD0FzYGcZbPJZqylYCuE3M3N+3qhlxyFa0LYaPMGtnLpm7qemh +5w5/B5k+zyyY8ay6F64uPyBD0DThYOSCybArLzkIhbmJiNm9wg3Q4PJemClUs7Tv +tcE6mitbA5IvkVjYfKX/D8S/A0NhLPVE6W5pS4Yzk0F3GDI9o+4LotUH4IU5fLxj +dEuSnfNKAO3X4DQE+V1CBRn1Ya35DxkW8zRybSi2B5GnsRsvvrpxEGqfUxSrYd2p +rysIETYCsBWYUGF03sfE5otP4ZOMTIZKz4xXdm3EiiyRclGFhjSdSDIXhHzBcSc2 +PW27+JDpYW53FCDRezLBSXk3T8rBlhfgNNJomeopSA8JdJ/jnB7vidN1Mg0UiSCm +XygnXnXsgy8HHp+Ry4TgYML7iS/uf2K3dGAcMXFaRdjXH+Qhw0CclBVheTVSxVs1 +z3WldnXBus+r1LfEmNbcDYDr7OfzQmdlsvmOAJbmz83LEmG8tX/CoOiQrZkI3G/f +VF/H+A8R4M9MEWotlAnRo1BUpRs0IUDHHyILslINzFlR/Heqr+RJSiMKmx/FiGYa +r2l3UrXai8ebS5jIbWFwlO9EsYUonU8FrohbV8Zr9H6Wv8nWfafgLzsmcW6mVS5R +shhjYp4Dk/xT6ZgJaYwrVH8P1FA/kudLZAXjQkEOZYpzetHB5/CxThukwBmwX6Fg +NKF5IO9XcyOIeLacAbkn2EFsG8Hx/6k5ucXzmTD6puaF+uGkFJ0WxJ90CYck37zi +B28OXNlHZMIrKw+LSoLNdix1Pg6GwUHE5OUAKvJD7/jPJ9A5J37ekfUP9ZsrmrG7 +dTlQLXZvdlEF6f1+/rXtJpmslow0LhcepE5+mIy5+6AjH0/kNtINEYRvbwxQoab+ +ZxCps1LMNpiOJLPF39q/XQRAq6R98faoTH8n2WSyrdDKeFmvm2fJvZl7c5CtE/18 +R0Wv1X1Z9i0thlYHT8gCfTOBs3QiVfIlQ8pgySWtaXvOoMDS+pvpr/KlRjt2WIVa +urrzGzj+zSPjmNThK7LP6MLX3KSksZN4xPdl8pFC5crwWGfBepOltqjtIPrWr2uT +FCa2wRgSto1FrRek4jtkJ4KLQMExwq24m0EmAkNcC5zcj6xonPJF0SHtSDsoKPhf +BpVv6ljeHmmZLBNOj4du6uwpaky/xsGO6qCsJbz4Ea3LNBafc32617WQw+B+r+lT +CfZ2Q6KfyFWnqJKyTzVtZBCXQpmIL8u7ghfhENZRFjp+vPPjKmZPxvRSVXo3WaH2 +LJXlzCKi6nTHbyOAIfyH2wmfHn6RCXQEaAiMkZ0IKDVW2IoZ5TPnf3EDESKWSLYe +429uTUvUWpb73ecYjDwxlhuhjCu52ZzbXVWUmqOlrHCRjLQDrVSz91prffDp+ABW +a7s6nKwWJ/qykyvCb/ECdUv7fHbo+r990291bgx1Sm/hdqI0s8/07rUBN8FitzYx +ilcW/OcS4dFD2WiIr2B25CcRZuFPRJMrAOoUa4k+jLgZ9rQ50UXkk0mCC03zf2Zh +2SnZpJXm4k29Tnxe2gpgSLZ/1Me96b2shwj71p88TjvPPat+XhXeaxut7nAU8/7g +6doG1/tsw1807XmnnXL5JjwLqeX/SqvM3zBrhOrKljWYS8zmV85RBB3Qo0kSljnT +2Jb6l6qm2wSmSdexe0y1O1CYd/yO8cWCsEHNx/NlX+iADXLk759MAbb1T20taeca +4LBJJWCVDeKzu1HB9DotajnA5E8t9XkaCFv0hN9ckrU34LZLZl45JP5Dp74eU0VM +1FAL2wm/XCFQWHaIWILjikDwve2Wi2Z/uPEWVW8YnDpMAbSzOFK0mS0sgfsAdxA5 +ucedliBJdlPuMEWqX4Tkst2STROv0enxnzLUVt0+a5pMo9ENwf/9KAOCMX8moUmh +bTcRQsS1OwP1+OmhUtOvFA6U9SJ/QZVzgUVQYk1uODaLKPfjJAkytF9BZ5U+L0eL +xfHVA9PisvZQgSAqDCpNxL7EfwZ5MexsYT75ai39Hw77FW0FtZXaWcpY/VUCH6qZ +/nCYfKCUcAKvPB+T68laJxm0Hk7mQSyKWsHoBrZ+dgX4dvdM9PNY3TL1YJYZArop +7aJPErilN4XcwvnBW7+LuQ/EKnt593E0BOcBAOzdPGYbPmX5W2saKdw0VTRq+xQT +4B9IW8LchGHxBzEPpvsuRgfkAYpYRRi4JMAcAvWtzVtXE6mP0v+1PhwYTDHJ6lMo +wKCP48WP6CDqyC+HyfqvHNJjJLoOhyZeW9LQeyTOgAjvvgyzG+KufJM/bTKLQ9GG +MRIiWZwVEQj2Nhlxzb9LAiilYbSxwcnBKFNU+aor8jnd3LdiIkzMZo/vSTqq02nN +b+w1GFU0u0uGT9UD8r/rLw76MZQEf9mAQlfO9QKhOTMjWY8jrgUFZeQ5iZkvJozF +dQJDRyka25npGoXrcQmCbKA9WrC29eCvzKL8z3KyRiohtBXEFM/PO1HQir//g0nt +O1oFqGQxHznxlbrpJbwlEJPGh65csktnuP+ius60DKEpsb3XduCxOqeP5GvKDBOf +XIcsyGNjPqbZUdywugjw9Fk9Z+B8OiCnrSrT9QiI3xC74Bs4LjhZil2EQf8hrUUF +fB8gRP3udu+nsNnenWN58n4QiJbLzxfTqK5tijC+Fli2SyHgl+5TSIR1vF5zCJnq +B2v+OwBDWEt5CEXjg6k3igOqkVbDeu6166o9q2f5vZwlXu+49uGoJUTrqu9ywT2I +fDDsPf5djh7zQrf8gxmhQo/Fnm5ksA/FbzeNtYajiKzqjkGTwoxY4sF8Sv87LBHA +0TEFnOUHL2XgTsEtM6MNaZ6+jbmvlROCHbxjFcKaNd/R/F/hMyVrpmKdMxISbizq +Q2Y8avksuoVUybiDd1xpH5OijfUpfOYulpoUFc2Af8q9C7xbmP/7oPuWryyJDg0a +nLXfr+Dpt8I0mAYKhchFZt2ZvlsYmsycVGgky5z7DTzMO/j21CoCUphoS3Gkz8hx +0W6g+SWTj9tn21oEfe98wWYu7PLSH6yhaHbuyUIImSqtiZlwoMW0PwmBFJJCrx7L +0g0jwRvJm2TwkLnmH6pB+NlAsKNYIaUcMwJfQXDVKaoVfJajeFYJMI0NhCi9AHoz +OG+QZTicvxJRDb8YUoTDtoEsUdrvHqfFvsTTLdo8egCto2nekt+Qw88I+ABO7igf +Ie7l8Z1fpFqxYqKYAu9GOT2//wvfwCL/5Nc+zNafKPr7+LCsZulMuIY78IHLhA0y +caFzoqZqbCHqKuK490uQ4jsIs3yiLkl2UK4HJLlZnvHIXyeZmge4obHeFRGv1b8/ +rYY99Ac1KW2HoOWIqlSiTK9YN85EIki4G8//lmBOWnZrFgJZuMkE1/Ox4ZD5KzLd +4pR5EQx4OnQLfTGpJdYtl2dEbyeB0bXyj3bBHODTm0pdJXFgfvXWBE755lXnZpBb +IPZLYQvlngcI8h+yt7YQ/JqNDZDNZ1cZODDQXB97Ewg60Y7YadFYdccvvENivBne +LRa3UwhcN8h/xuDy/Gfn3wP7CaO3r0C6X1+z6XOVrfBwHwg5ubZ0aztVMRTdohtB +aYJxVjyIVaT+Rr/leh4PEt9veTehDfMXS+b+WAc5zjYtodi065PDrj/LH/trZ1fC +U1u98LHa6nDRMoSiG1AgR3Q9vFC5W5tggwpMxrWtI39o7CSt7dKczC4hCI9Eusc/ +5u3UaH4Iq9MZOMnWXo23C49KoL23Bb9pkt83enp/lXEguOjxioT41UdWtWuy/cGP +KvF3iROVK5RyADdoWU3QmN6xTliGzgL4akWzLq3Y2xaR+1/Gjtub1d0i0PV3ZjGu +z8yA5dai5YJy3011PYRr6xiz8USqv6c1TWHehJQCKnXKVc+d6buKXxLOMhaoHDWw +N7Hjgm7sQEN5jknAnpaNtLHfu7mlp2p1TVa+Wnz8eUL9q3hbvUhey382fL90TWcA +lhymRYJpngolAZkk5AIzovyyfhKAFnd2CTDciZSXOg7Zucr4MhgiJ/4FfFcSSE4h +F0Oc4wP+i8H4fPx7bQ8PEvFRu9hwXZrTJRUatSvL4HnqmTRkzaQ/t1FKcj/PQf0H +5albRp2/ENujsO7+/SNZk4k56J/m+XYndHyHgzlrDvQXct1gkNC/xFJPxqSLgx97 +pO0KQn/8IsJ7FGoXvU8gITAWqDnP/bQmevtzXFWyupszRH+qfjf10TDJ6jBaFDqR +W7xLDnZm3lk30UHGuB+mOOGU5IJm0E8Svo9VVxnbmqbBq61ybZRM/dydO8Nc/8ZP +oklRxziDZ/zX4cAQJVqcukEGPJqDVudXkO8itOS3xrW7gxkhP38Fv03s8tPuzg0U +HU9T54c7fTz7c7X+G3FbtjcZ2uMOB9c5I9/YYBelKM6fhYC5cKkJlhEo7SD8Dse6 +OJZTmvOmQgL7PRRYIX5pO8h3XZp1adP65NHdVK6I8i6SfEgPSOKn2PgqnPNlenVm +og9Xma2nBXur69YHSQrvepx5EUMmcnh/4JepGyYm5DpAkDByn3klLxunEfNvpGvi +M2azwIx3WFVUK4HXnGHkd4EyXNLrP1P5I02jd8GT5GYK7ACOHhB+GO9qEnadv4sp +ttCTNlt+CpHDesTE3W3avlbAAr+H94VPaoS9fnKY9aGyG1O+DUfOIK6JOh0xS4gI +BgtcBoLc/CUj0vo3HQm3lrrEVy7qml8qJFaZrX//xa00i1TuOY4aQgXez3l3Xp2m +FimFt7zlnPEuSnNXoILb0w75YqsVFYOHhEKcfkS17wuayQNL5eLvTR4skHgE+TYk +HL7iR/u4I8v7tSFzStswYBzZSQ/KelBPMuSrZRT8gv3n5fZnquFRp/aOq3HIry25 +wBulY2KT8ZzbpJ0ql+p9D7DkvXYA4zHisC4EkYh9cq30opATqy2gxbPERnZPmSLX +pM8liWHj6L5/322eFYrmvz6M1WtieVn4gupCvVECICF+7+XbO3QtrmJNHVwE+S52 +eSrnMuoLB0cXKxWWvwLC+VITfTLwcluQiQLxgKzBfwgYiio3X7cFPEAjHrpv0REC +CJ7qEEeS9NORKuSmvULyezqa9MHCm+rw3zvZepqt25twlLuYRYMtxg9VCOudHUqo +/zr9ZsNc5RsKmYjcu/5xlkPzA2Z/arcogHMXcppGZpp1ykAm7B3sU93Z5jDLQa91 +f6DBeinC9fIE4Bkn0486xsgJkE1IZ/NFMty7hJzt1QsBAoGKKoFoaeGYRguIijBs +aAxjlURlIM43eMyjiphwjw4qxI6cuNVNJ52DbXLzTfkHG+DPfwlZOmkF32ssZdIB +qXDYu4FjjMxX8IPNaGUTggwFfrL7MJn5V0SVqbZ33hNsT7BSa9ooYCaheTg6wqNV +yfHUmSCi2cLnPM8fAdM1fhh2ceGV0MqRF8fHRGjJdXUicqINWXbfR9wP0S1Drv0E +nncJejwFeZ+5BhMwuRjUn0KlwPFIx1o6WNnWf0s7rEz1N5aQc6R6SdovHaPiZr03 +o/xeDEqKjEK5duh8kshiS1BUOdKn0LLQAE6Ur7Hkh/M+mkHyc+m9XXrN6QiWvi7E +r+Ou67nGTAzgaUp754f9Ss0BoXLzf8PUPIqcJK8CpjhQDUJ+uzS3SBRfadIoDbym +runHrCIy0/dQa66HjXBDlAn5JvspTilkFUVhxtpOmqjUzDeEDzQbYnnxtLYwjHVS +9oKVEP768eIqUxcbfDXUlojSfTb3FV+KWwaNOVVQhUyZ7UYVOubHWnauxE0D23MW +QR0VuqNQqROweRi/J61Gj8y8IfqV8UA8KPTg0EQ8XzhS6/LooRMGn80nZ+Bt+3hc +6Z68S3HIgsfa2ehmh7kTtS3wAe+0wDIpta7snCZ9oEymo4bAnvIys80X+L/f0YUe +yrpPJPnGQfDg92Bq40C+A9l1h1kuYFUOCDzK0fX5Rq167rFUNjsoj9PNEc72aYt5 +6IufHIdVMyAlzNenK4UeppOFFALNFxpaI6e5NPCq1TKS8KHQRusk1CcsJv1aGcJ2 +mwqeOM5oq+3NKDMwDagL+Kn0sp9Z553u0xTdKGNcAdUrZiKCHYFkw82RnP3RUH/7 +gTXHUt0hHq6FviqCikY7W2UjkGvpi2ppBjHkcXM06DGh7ZAU1XSpcR0f/FrgRDqt +o2OvzvllcPforw+2S0l7O94LjNpDefUfMftg1UPpr8TATyc9qnB/nW5Qz/LjgGnV +6huT+VLS/eXFdr75m9cIaG/ODC6clu8u64mmE0hDzS9mxoiuYFdtOjvJCptxMpW5 +4sexxQFftQN+HWtjfs0iPVbGRY5jWa13vgaf1Xbce5hWbiBzs3CBfTX7/tOO2mEj +AoHwYl6eLDY8LRmHyVXCtGMkHiDkKAJwW1AQdKD4r4/sJdtquWousiEbYONJjRAJ +UG/pnxuc1Y02oma8FllDihTfhbT8A1P6cnL6uG+9VFRDRA63wlYcvasaI9b7vLoG +jTL3cnVIn7VGIVOrA/gEx4oBe2gCA4w23DD1qzQ1v09yPoAa4CwaG/oZk1kOpCyi +m8m/yvOiKsYJpGYXb6x3EbT5/+P9TaNx3AiVljN2djlwObYTqH8el0Xz5tZYKD+f +XhSqSL8e0oriGQYQs9VM00IYCyU3ebeTuu3aP9kCSgp0S9E4ykRTQI6MTE8CF7Wu +U9ulLaiFsS+Fk23ys2jHU5Co5GX8Ou2Yrx9fWa/t+96X1F5TRG51H2rx1XLeRvss +z6TU+wLJa518AWIVF6JUuIVNFBXH5QpO7ME1rWGgmADNXX+/6wKi9fY71HEJ4T2f +tIb97GVmxHfC5zKbf2rFy3AdQ/Rqj1MAVlJ8MT72L8qGBu+trobciVDcC8/LtjRW +rcTeOedZFZvZ/6pPUf1eGuFHdPzhwr8WC7Y86YZno9CCYNyIYAIYvMyBrehaF0cy +TFLg3s4cZnwa251jN5NY+1iFMddOffMG5knzIYraumlSvGD+IKrcVVbtuxGGFl4H +XyzAf9u25aAygl6QKWOaanEQTyXWX3bxlSM4yYPgwsnjCVvMo3bWuqwufxeQQtxR +sKSU7/9DZRcbOHBeU4YOm1qSevGb6xLL8atWBN5LthaI4Bzk4nO+OoQjHoPm0yD6 +l15AwsKeEA0fVO22BTsTJ75fUACBkMkAzUYFPB2CQPTQCqlN4M4qRZq/UCLfFKGR +x62gTy6CSBF6gUES+u5TlTuClYilI6vA7RHbfaDeopC8WKszI/V1f9+hwbusTzbT +yBbbI3p64Z2/uJGWwvUR467tWBqeA96thh8DaKSATg7siRTHq3gz1SamqkBp+J/h +nYn2txNm1gFvIazpMVQ8PB5CV+FBj4OVgjCAWTE4OGagNI1Q0I88y7CEFF+WSCb0 +du3TtuXI/SX8xkYpXQPyvOGaIfv3iNqwOJROwVF+oeLbyv7+PuRabxOq0v2SXexx +baoK9+7M7OUjZha1QNWANzJKLlKVv+krm89KzQVd8ypBS9czTmJkCBDBTTH9pJ4O +G8+iv2+IannJKkLetuZphJCD+G8OaJ2O0AMlmiT4WWMZzFwj62f1EqdMlQ4F6Y4o +/1puPk6ioku8xn3qgxFU7OHKmldLhTgo/jSSA36hiXW87XQ66AqdZtda0DuxonXa +5kv8buf/7JF2UJa5ckG0nxRC296B75D/VwXFSgejTrrZZsX7U+Gb870ugI2xGJ3m +0vW9PFtbMJVhojQvtYTz8xHOdTDVy6FmWJYcKWuILEHf8SRCIOjMr0Sn8oVJHO1i +xUfe0Xdwhk48Bz+pMG4BFC7zARjmgrFtIwaLWBYCuGt7IuHW9sEiDRN3mSem5cDO +e/zkzudb083ZFekHFNOETe7Mk+1jclLzxjLg8WHBKGEREcJJZq/y+hefpThoN6Kt +jwy/cjshpe6uT+9eSSsrmpKWH4X3HH180L+ESegcz7CQUvfi7Vi7HefGGQliA3Dk +nHeIC+6x06dqD+WTSTMIhI8f8t3qYykXCPqlCuG/7bGefaRR3JqMzOwwAzx56TTn +XrhCWQqo+XXqsgTdCZeMBYpYpOkD8OSjuGFD3iqipuw+Zpwroqd1H2tPaU6H1x27 +NA8xFDDBGNrwu5bHrjaFkp8otP9BeCE+y07MGUPnLPb4k45H59/oZnZ3hHEhdk0v +OKcEyQvtDCuJv6o+dJYpApTF0Wy+hP24vYFKozXWeK5HYC32ozxoJ8M6wrG5/uql +5/LDwUcFUtKFjK/uqwepYIHAXuYwtYusbqMxij/XRyx8CeFVOjUMOIPrz56tvTyS +etOY/TmvAtRIsuI2nuy4Re2JEG/AkdyTdri4y+voDxh7Aoa453Max3BBFs0h7Nzh +v4eWadXEL7xr1SkxOZ7IkOHPZJRFuJqjvKgcgGWhFnaIysErduCcZNgQ4zsWoC90 +PkaXp0JHLEWdTnttsCTLS4gTW+hUgAlWpxez8RQHrz1I6XUHk9HGBB8v+EdHouqv +hgDosHu0fLrP3/bJF+jZAAoZVQsKfrIQg1O49d9+jVSFNna+u0kn/LaZ+GMB8ca+ +gP/RKVVKIGVk+nEfv4uxJmvXagt3Lo2itIKIP3T4JEI/51AUkJoJzAyAejfWN/pP +TdWUx7z4NH0M+tQWAqU3ncN95u1mqWYeaS2JsOEsaLSaebpUXq/vjNJhIKorIbtl +XVefgmR465NEMrFNlXlKR+pf+HU2nFiD3J7JduxDDN3lqavHoLCchqr3YSLCaPQv +jAgbLCOeJF8NDayoPJ8hJRm71p4ACjhl2aPxrsOBgaFsn34x4w3M5jcgYoyw+jqi +LbQyd7mz4y6huKJIXzBibRwvEJRJU4XYLsAFJ4498ssblqO037twD9vwAjysQyM9 +T+/7S9W+cDoUap0tUkxldV2SAh5Pdb593vMATTLbr+BE0zuxzJq7OwdZ5zo5YFyG +/J2yhDAG7uG5HwryXXQe3eMEpTF+RlkhQEQqiOiswMk3ArMZ57uDlk3WxVyDNToS +bjswhxYSrY6zlATxVdCEODWi1Tuef6uzQpCA+Xmx8NjQFKN8ScuopalPjrymxo+m +S3mQthnrdQFSPdpIcJc7C0G7Y5IhnkCKidN2So2oqEcPmIJQgucWjBh7rZFQNEBP +dCzU4Hye5uVcz53JPMiylO9va5YW3fK69kfpgGeIkyTQrL+CE7B/fCoqHMKzDylE +ERFSBRoSluR4Jds+P4LzP1rHet5v5Lxz2KAzbd79xcc9jyH+s5Q3y8FKvjvaDeBO +wM75+Ahv5p0LOXCuZigZm0+ynIs2WxCSOf5WH/LcI1S4amqUQpCstC1F2MpgoM5K +WDGC8i0ksTc9CdJb7MmkH38/aUHjkSKIg0uSIJX1He8HPwKDs/FZRFJJenzIFzcg +USdaEsWNo/wLmKE0wvEJ5kEM7KFDnjq9P43U79zJI+EET2cyitMtNljHEvKkA/ec ++x1LXuUc/4qJnqRZpgvGcqt1SSG4tp57tRxeH5i25knRneHuqE5L4SUw5ktvoJbP +jSJS28yHZs5LnNqkcNmmmDljgfjBpzodvmy15Z9mqzTp4PBR7Q8QcTEXcAHxZYd6 +/mq2YK2L+CJCrFiGbl/nEAqkN4JTIYyleqcj4hRG/DRvSy1tIl+MWYNsu91TQtbR +hj3UQ67zfZvvSxqq6C2WFhGDkO+tFBozeSLFA0Q4HIKl/cUC9DSi0F565mJjpEeb +iLoNInyzQ0a750UABUanRwFZbEwoFn7jOInqlEP8oZ/TyjbFI8uOjwUNnuuu1bo7 +iecl0tR1MLsoAQwE9n052EknhzMXE9z7NMsknzNUyzSKH718YZtd9HNQxsTWozUi +dvzUYLoDn+RbW4MqWYv7HcbDTYw4QSLIsMTBMSfVkb9YnavXA+OTCfNBjQyY36l5 +m5M39WVrlfPWEXrt9SJvXyPHJ/7EIzJ+JMKszyRWvsEtReH/Ltfmd796fGbyg1OV +ERVlcfcMKGS+18lwn4FJXklPunOMllfdgvyP+yqgPgqesisj87vYw9uN3dQWqgzq +bVQaQZN9+Ze/1Js5BzTz5NtstLM9Ll9Hz8knu/7XtTk2fhE2i/J1CnMvUkzE9lBU +Ok81lY7qLF9Mr9P/rNw/gIsdjC7MTvHpYlTjmjvYoG68y9X1OWJasSBnHKkE4aNZ +y+yCrAZ/QBqsrq3QLrMQAdlRy/Diltwtk/ipuU9IwYbGwx4QDttY+HEi52qcaMQe +1qthgd5IgY51HIlGOqZc++5WCFG+7bbL7qKgfnyIMK8c5x9H+I0ZmqocNe/J1FH2 +ssuwVa8m1lVaOT/iqX18qop6U6KkwV3/9Z93YvLS96XK6+V9KKdpdj1ON8wgkGo5 +id32oTLHqCPduV2m+mlOD7/Y3WlVL9Fdz5FxCAFfmTdbKZ1teE/WJf2dk1AzUQ/2 +tdYFXRTrkWqoDVeV6OnqDJw96eh0w9Vp34X/zzbYN+GcNA9EOxs2oyIYu+aQQQFP +JnzAqYNihMnkso5jZH3kf434FUcRvjOI91rxABzQTpT6wK+IuIrNhDAfzZ8m1vEA +COYfEklTFT5+m8CDzKMk9uMbbdpzqkvn3QrYxiYPmrdfTWikdf8dPJIAKCDflZI6 +NYg2pbQxtsH1CMJZC+X1a4P1EJFhyVAAeKYsboJe6CV6szZwckvxb3S2X/hfNSUD +lw+GxWTSOpEhB4oinwUqZ04OM7rM8TUXFDgKgjbgSF6bTovoSzttZc65qU5RzkIJ +qq0PZqhg3jwlZ39TMnnJvbEvFOb+1rsLqFeLWtcfcTLRfIoy/mZvlelS2DEvB1z/ +MIS/nBkYTWdtho0ZlPnaqYplOALba1/3AQjQjq0qdECLqCd29DpzCY88ygoEsr++ +pBK1I8eCFdoiOAeur9h0sZqNQOrgM7JaeRVzny1vHpuSHM3P1Aq/A19YXyaFVXVK +9hr1ZxdylZR6xdMzYvgxIoyg/9nUN+46jdPBwUcjysY1YETfBFJEWJ/ECDfwATCc +ItVgIBwl+2+eTBqzrGjIrz/N0HwbCBYil/rv2B0vOYQk7letJ8KprpaODAnYolPl +UIX07Gb90UqQBkJv5eSIpfW8YrT0sJMXCIgP+I93aVq5PLDYKgpyVptFDkxi5zjG +zJYMA3YuQV0ikV3Rz/J5XMJq6aVjodbU6uC6k7l2Vfe9rsQdQluhCC9uMjZPm0Kk +wbxY8UtSnPneWTlmPXAK0KZivRuDQ1X/N+JAmV+8vvYtMBbYDFJYOcP5Fyuk1Qwf +p+LEIuEyhMmbpowehLgJ8IeVymmt5UvIEbdmQC3mCdV6gqlC7omU2f66A56ZABw1 ++NyVEsxDDAY/JInvx2fALaP0YAEugzXq3Kq00UBfHoIDU+9iA4IWw9yOgKyyIZ3R +BedYYzK/oSD/VpSf3RBk2O7pRzQG/UtkQGsekThYWyy0phNZscG+aoi9ERP2V4me +Mf4JoYe0Al+XYa2SlFj/dXKt1KL8+emB+bZGGK//SjvsM1suwo22mvNimR1ar9G4 +eCHKw08wLuM+EOVZIUt6krhk9uCm2QQ7QqWjsnlb/ZtLfApjFogFCbrNvUB2jt+2 +KGojTqgyXsLzooaca+iC1GN85IY3rx0pMypCwLQFkB+xTglqp4fgps8gvVlfH+gO +XlXwzYRTZKxUFWVn2FAK3jxRb6htpv7DZn+pyCXTR0UeKjeaCxWyke3orZGQ6UqT +e+P0AUyaJyDw/Zoz+hNNuPXtFa3CB2dJqnBY4Mcb70/zCSgqbmf/c3DY0Oo0IZZT +sIRCPj7PYSZK98QOA2XG1Rvx8hX30rHYINXcAKGCL4vtY71TGq+ZAV8Tm9CHTQ7s +9MBnkhkGOFg1IolLcXndp3VoyDbXU3GfLXscu3Vt3zi0y7teXjk/w/yblgfCIuOU +OYnyWaq5IiR18ykEpWa6XxZpA9FFBZo3fTkUn+fBEimcP0vJBdO/wlXaLH1BNV4N +oTQhsFHzDKZGihLJHTcKUs60gGO3y+IFAnnxJgGO/NxCNeaV4lLT+HlCr+qqwB/+ +waUSesKSj+/+hwdtDTFB4dgSMbWP3sbOAz8anfGjjcPAr/dASCX9opfTxWKXt2Fx +S9X4oE0BSVzWcVUhCDzQ7+XfXL2wg/Ikri8Zl90c8429EqFc5JUXvAelmhmPSdCY +AMsvQEW9qslDVrohmC84h4jqnJcftGqdAsoONLsGU+FDPP4trnqLU3G2BAkxxAX5 ++UErCtWIVO33zCvh/At8iXaYrRykatSX8LSXJmASol3sNy9Y6j7HUsrjXXd0qdP+ +TL41FOPi5BwmvNFmWiYoRjPF+UxnzAih35tPqcAi9IVzVPAFcjqcc66ZaqahXY2A +7QPeHfsYFepYDBX5Gb5DGW79PGf5CfqgUt2s8YgdMFfUAqNf1DkjaKIzHVSgv6SJ +4yzWORCTH7O/hs91d/cjG5QUSWMAOHm2h4PwUtcI49o04gTAstT82F4iKCUJkue6 +UJspssy13lNOizQyTmQ8qPaxnfeRWdIcuJ6wkLSjemcg4i8EYN8v7bjJ1KyzFJUT +cINAMUViCT0QwRxwFiEKJZgbyIJHYWntMweHg0SDGmKDvEX9YpCM1KVCapnRd/3N +TM1P4O38zaidGmmCYTXtuKR3XBEZ6TSnEekonpCuRtFyjE9qU4Rqi7X/sAzTlLGX +3chEuTc8mnXj0AtWTpMaBDHk1Tmux/koFSld+sDFLaaqNYriHk9yfHdju4roJsgx +DkPGaN3O1jZzGZ4bcBLJIgkWr9u+Y4Gi0KDjlJgh8ZT6ppAPS66PmDUiIG4zB3hK +jQEXGvqliuOIYcSCBHyow+CrWSFBzCvnc60bYx4yLOkgOQpDtmlpcp7KEAwNtcEj +zKa8rPw8fPhd1/ZJ8CG5OOtHrsPOlK845jJ8NTmTU5Faag1jUa5BdezI3E0ZD1iC +VzRHWWvwNqCHhr5i+euYYAePfjOSOCZ4TJ3wHBZpSvVzAqHhGgolNmWSMOerdmv9 +zjF6EkZKBS9cXUEJcNtaopjzP9wgfixxPCbqERUgjCmeAwlKpGk7yNSGLu1J5SOP +tmyd+0AHAIr/gHZtJkgYWJnQjpHipAqLQ8UBNK5Go9Jyc7i3j0Wll9/wh4AXf3Lg +dRIbcGZEfpvJ0/um1UGTR+Mtql4kB0Ya+bjblQ72977Jk7JjUJVif7AotB2D5nG0 +sLvk5q7RiRHUcpIZ5eDsdDa4aOTOv4YGFTDvPc6jLj/Rtbxbx+A2c654pwhAftUg +c5Qi1TaspnFPncS2Y5cmss3zNh4rReC1s6nzm1jOhUjiVpcqnsNFXg2hYkXHYl+i +cSOBJ6hBMbWycVrkETHmi6uQgCz7B1OpCFcpfX6qiYkgL2LaMBZ8cSl+vMpa0KYi +lPZfv+o+tCe/Bi3aZY7HzBlix9TywuGunSUh7eBC0QrdMgG0bujdkGXrbCdSrYiZ +pWjN70jm0uigdEVV4o5eaQYZUB04XbiJnNDLSnQ3HX6z5bqXjPMHXX3zbrzJYmPe +yDRXA7ek3K9UcE/D5qtLGngicO9wFoN10KP6YCRxNM3bEyMzPhUIPl+POViNH6d1 +/7tA9ccCmogmEoNn0/CD7bV7rQY7qvA0su39QIhKrXc9KJfF7JUbiTDKzLrFOasv +WYib2fk2s4tNb2Zd/GhCeGWU8J4MkoUV3blGHBLag3tzhDJafbpNuuqssG9IvC/Y +lOjMTYXKnLlwUiZOUXqHz3/Cs8HbPzUNWMwng13dbrZHHHeaUp8JoXIHmvw2MLgL +sooeyWWEgIcywzce1JgKI3NjEA2ng4KAysmpl+oZJ9IvUnuBX9A9cCPytGLB9E5z +DnmDm88Ay0jlDAm7TYxg6kpDC64a8/l/qpLbXp4viYwTh90lejE15vv5jqcS67/+ +UYlBSHlsr0/lIGYXA1WZaMtYWiCEDT0PFC8R+yjf+QJE3F4Y/lY6ZLXXgeocHRmr +8gLEUANU/PrkRKeR2TZxBcD9iuKPUEMF3CYKv7SKQCgnMzkxU0kC4SKnICobWfCO +9kcwwz1J3gEp1CrJ3dMP4eJ7/gP7x17aJYodeRSkpgYiK3X2ZzKfiOcjWXdILmNa +wIfefHjUbZVV8pUNzP4f+08Gui8G2zbIIBz/dqYFOYH/RaH/ZCGwOusQMDZmobp8 +4imegL6vNkkCZsLLccZfHlJiGYu1ozsFeqrQTYJjdBhEwHT2WSNFnXhIe5a3B1mx +B+Sf/1wLV2ocEvICIHtRS8Irdn/aHNlBfRs8COSWdpdXQByydooYeNQju03h3m5X +xQVlloZAaRzoah4UkQYJ3GITRRCqEALoCkGIYy5Ox9q4I/gbQPna7VcuCHTZbFF6 +EGyaAH0ISr252NhrZThYkn78EmWrWCSDbiYy+V820vOd0QQWEC8Gb/dI9Eu21+N+ +tDz86SeaRH14ocUndsKnx7vdaXIsHC2FddVsk0XgA60bKxpI5xK/qTZUYBP1Yhny +iykNBOs4emrDhsWlv+PbKJ5M8Cd/iB9xWxd7hz8ePIVYPLdHQFyzZQFu2d1v4pvC +7cY+aUQAiV3ZKRf1C6zlMirDiIxFO6OURqnbtp4bFN5nE9eTRCoXM0HXKtkue0bb +IRgZZKcW5rt3M5RKmZ+7gWN7ZE4TdyCjkNEmDU0Jknwr/Pj0gzcbjI6tJc6lJAlm +Bq8rGgOBmCFI8Xm6DLVIDo/MLES6KgXZEr0IXk5dGd1D5EaYyzU3gp6FmXWcY0eJ +JBAhdiy77aSvnRbWid04ptl9OizG1XDhYddaF/rq7Y0IW46sv4DPkxDB/vllnQii +nYa9UQa+LJGnHO4KgQ6Lk04m/Cj4oRQ3YSrBoEDaSokFy5cATvzNzfck87E7uC45 +rV85GZLYZuanBw5dLNHqQ+IQnVJzHGxdycdqcvgYCKC7E3oJqvzfDZ4GY7pjlEIx +zOoPNLa07PCpw1NUvVR1yrbdigZLlU3cYVjWwGhM9LsAhL5szjAqTI5fUTSeJvVX +Dv7TxPv0f0gUDnWCT67YRxBg2EnaR5vBuR1oI2GpR69EA/9EGq/CfHtksZIoPw+6 +jzGgSfRwkxHEg5q1y7ojcuxm9XylzfGOM3A26Y+iRu8IksKmI0ZdsFD4QrD96Kzm +YzJ5BqFANJfru15gs25LKuppERlKdXLN0yJWsSNfzQz5tO3PvpYdjwDuqRQS/XOh +Vh4jCZEcStWTtvANekzjNfAF7faHI8s1Kl14BBz8xUJ7adOiF5JCUjh/EdNfivTt +nlsXdOixpQap2pf3wGBRY0mjrxnpVj+LIUUgfgFcfgjRm14Eba0k5ZKbjIkjuOew +qRRhMCDdJzkgC3YMg/4qoxXkDOjsdA/hLosl0o0Knswjysr0sq597XkxtMxZUNj9 +8pWGZ2/u0rEqa+AoEVFReFgPsX4G6P01ASIcGXXzMU7mC9UlBQQ7SXImBJf0MUAX +kxwL9aAh7TCSUKtEwNzXpFixgBlML+a0U/VrdsUiTpNcVko/Ebliij1t6YI7W1g/ +gRIouQDnQpvntbdevJWVfluOmB3q+D7UXlit9zFOCD3okhmAlfcb5B8DnDbqkkAX +nzOUkWFnDewzX0LaNd5mpiLPYMOzYezc5Z/SHUdZqUym04jvFVPiU8/vqok5YR6j +MmdiST652SQ633nZxntq+GeA+g5aAW5LhMwdLJ4x/7aAgjM0zVTQw02EtdJXr1Gi +tZoUiVj4/GPHY7y1KOKs6Pj7I+KMVlFlbpzGLTmwfnQsjoDjOM7a/9yn1WXHWjm0 +tD6f55RxzjqNrdjXURay9dgudaFhTuHmK8hWPB7lzjp/Pz1xu6JGHA52B2BLOmJN +gtzeL7KAb9emFsDprjxgYF6x+6VepdwW+5GXB6hccOmcS3SdgDk4zSf/6wcDN5ef +H9Y5bnnMYXK830kyrTaLasM5cpWhs+/0NgFsFJKwxqwGoZgH8jcIoC4oc9xli2Fs +xtFF0C5zkTOEV93zVGfBVPLYpR74Fa3QWarjVPCSAXVKLCDMVXSpqNlP9nTr4wHJ +gWq1u8bdKjE2ak44x2NS8kbNGjx9xwmb8NdUwk6bYrjZhe0EiFTVBGAEpBkt+x+s +ce3OFfxO6UyFRLQhxJsbahixqnwNA3D4dAlf1c5GUMBjhj6tCsvw+jJ6sMsRljKi +HpgkhlryA6NlRqooouK12feLHmOhsKWxPZ4K2TBTw7vGBokaPWqs7pLtAiw4upT1 +EsQd020P4FAinKyRk9cILfv2A/QRkSu1lGgq7aZ6u4DrC1SyIIac3JdJvPor+PaC +huVWCkXea+LTkhMZl8sgF0yTC4aaIloLhsPUWgDryE7NmRfuqt+IXXZ96jcd/P/V +TKw9amOowjPJf49HR1nILgA4wCWf1lK1nj9k4CodkCFhBQYxl11ZGkvBCZHeDMn3 +TrOWF8j4Q4pQYIbk7NX+jmAAMAAF0y2nrXc2Sn1pCXms9Rd5wYBhYirw2VgyzL+j +3Dz8BDQLKsSmtGX+x3e1iQ== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rate_match_ram.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rate_match_ram.v new file mode 100644 index 0000000000000000000000000000000000000000..687271d51ae4f364be4a7331fbfdca217810f84d --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rate_match_ram.v @@ -0,0 +1,261 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +Ry/jzhg2oMDe9i9OCwnBm6bJSRyQduYGYwQdiP+AoJK5epheHqrbD6U/mG5Yes9p +CmAIkZZzomxGRxRXLYhhoqlgzwQFiRSHK/ivxAkxxRRTdeNiNlPDrTpFlLig7Wd6 +uX6NOgC4Ou1lBArEeWfWj1ZS7ziXWUPAIZsS82G3/q4= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 10800) +X/7mKySCI1CKtTWVj27j6KnM0JcNzPark7U5Or/tYWkD5gWX9lu2lR3IXHzUDKtU +lXqJ9WwW80r9JFmrnQTsePO77/8WqSEEyUNjhvh3keV1zUo6GX4/VB0gQAUfT2CR +XcpzxBKSmBs7dQHhPLkMSnHFhbEghDc3A3yZIgyXDHVmwunZRchxWVfy9Hs8hNMR +WBEChv0MqH4V4BeSbON3et1pig8TcwTIK5eIxHrjbS+bWvPBtlteh9HnrdK79gxu +BFzkd5xLwPkTTppo86gsLJX2jHgIVBj1KluRWIABkOYosZbfpGYInnBFP/0VPWHc +hNWPlLKtoVgXnRy7r8GIoVGZZKHCDj6czvd2kQqmYA0xv/2Kxug1Gup931kvyFi1 +0R28n00JebS5Bhfdvd9+cMiHwAmgswLxEcrrYWV2UR5kK3e0pAfNddXiP86e64T+ +xOExMGydzcbUnoCPtXi1H+y2Eyaswhh+81A1L3cELVatLYSg451eoF45w5Wzvr7S +aMGCw1JqP6PPnvzpYtQ2qpnWGrxviupUJ/jBMf5zmjJsmkWtteRwpmeNvNm01o/7 +aM1QqWhDJIQeGSREjCjgNxtNOMJgYapIziHlZNP6zbe67woDmn7k/KN1UKO95EAJ +ReI4KUGppzPLaoX5PH6tFCaGu8BUOHXGUgIqsohuxIm6Yc2x8Z7pRiMTEW26jPFk +Wh7VY8pl06JReS/gsc2i8VcDCUm3QqP3/eJfyv2uashaoFPK84ZqI2t1FzB9gL1m +yEZFBzhxYH0ARZMq3TNeWptEhcRcKk2fGjkRBDt6D8YOuC4lL2uQOFxbtyB1Fh+G +H+WEGyylXhcgrvs+awXV9HSDxSyinEcgWLCHsQDnS3NvCSPdbgZs0p5YPtugtbI7 +IehI3xAkU5zpAdDnslRcqZ+geUuiDaBEOC3oMzeThhGpnNfcqRcYNWcRO97jUe7c +p73wU+xCTVCCd9/IOE+Se3VtG3zpfXVsFzIDVWQqmBUcuTUX/Cetdjgm6HhZ5fzV +5AlaioPn8RPDxDtY8dKfgd1LVy3+xuz9wOAdf6q8hciuIREgjOkwlRlpgZdCDc+f +dgLDy/dQgK7C8YViCZljJky8ya8M22I4i61+x5sNXT1zLDP53KctIgrGlRIu9jML +mT7PYiucz8aHzAOTT9qi2AiSLOuAk2Imq4iumoP1x8nrJCfbH4wjfnEWizrBNPEE +FUjXWNFcoeczKFPFQptKwaxSbBk0i9Koh1soFf5DhmSiaqjTIqcezbHMxlhlIwhL +PeD0etANoeQRX7W8HTUDI96deli6dhA7uOcaEqhilEqsMJwCXrgTo0rRilKt42U2 +kHIysuVfxg8LWmmJXXJEXr/V41qZsEwNWSBArMTT0HXKC3EwBnVAsT4JzJY8/qQn +LjnFXcKypUTrqPD5kyQqfieH5uQ2VZZYEkpWr5zkaeMo3XGIyZWrq58nqmkOgWAz +HZJu+ckvr/HRydnJbEpZ7HK5ius1HY5wKGSC+HnPJ6ILcaA7VsHzIOjn9P70Qizs +TcJ2OagEVlwxxLR+nKlH55aAjkDGQ1dYmX6rBTB39KEhhCvqRBGnRXjckVhSIxYv +AyfRNGzBZEw4L6nG+SGLoP3sBHDku+sC1B0Q8S7fq7N2Yz7l4wcYgC+k8pTZXuCo +6PAerMADD8ZeBzF/zDmqieZeTvAdhxaY+CDWU1m+8OMO13mEfI3H9C6+pSR/ZNBH +3uw9NzPtMc5Rf4q5AKeKJF/paGqmjzBAxWViPKuUDFupkQY/xQ53qPvgVz1Y7mZc +8O655udtljytK8+pgmMt+6UuOO3GAf6Vlg+CJi1xDFjVjgwBB2IGQaa6w/QmbloR +ffQ4P9ft+54m0R/IhJsCbcf8KhsDHnPTij9oPWyDUh62Tlje3SkmtOBgdIfqey1M +yUWM3XdNJ9i2/0BNCbqEH9gqyMcmxA9W1QhPxelu5QYZ5//NVMC8FKeLdUMLWlyi +BdDINQ5cfIBcChR8Bq01JHTa2FrcDY+OkDbW3thY+p/ngyxWzAKplEi0nwRSvzp1 +lJ3ERKf4dWRc0kWXfO6Kdi2uk8ofXTYj3V5FXC+IPIGFLsg6Ej06gm28XQhzdX7D +rpYxJmgUdVsn5W6nf5FAyhbfSp0ASuhGFgcrlDoUeoWOnRRSeZl/dzMgMbFEX589 +dCEfFx+rcGXrsdLGzbSHxhcrm34hkjdvBDNsb2pWXFkkYBbDyWSNoNVs5MhjLfsz +mS8BrNaGcrfHWbwf8fiFquzKG/yxMcf2VCx9imcGQEuzeVAE/vBRGauGJbyBhcAk +lHgHPYjv7nn9aMpMQmY/GQVaAP5AAjhJrIp1oq7nQd8CFqmdPwgSlHY72qybRFXO +R61NtwrJnqvxyiKaUcjR6PTrzSD9gHM7kIAavXS4GTOBr3GKs1BoPXLtQ0442V7u +s9sUhgvPxqR5sI/KwLR2bJotZXVQHjQU57rvew4LIO6lDOZCZLiRCr9irJBehdc6 +yNRtaxDfYdOo3sPquxN7pdr7FV+a+xwhXB6kwHXaoGw6GbU8CU/k0mdL6YwUiKMb +Fhi8vwSw4x65+q4w0/ot0RxTHoqQr+4YUc9KEC4ZlbvDa3tJrgyf+gOm5W26vhwu +gN2Juyz6dyOBH2jqIO9nx8umWNYHbw8cw0NLkuUHH5vlFp1fFyQZVYhd/pyPqM7a +WPAong0wzHpr5sNAaRE4Q6hagc1kmraRgGnEvMVXPKj3ygS1yQNfigPnb5fOKy+Z +SnoMSA8zb75if3SZ3HEfgoNRX2t5iIUSZGuNdWD5zlfS2KRALczopvhR2g2cNhLT +J0PbO7/ChuKFPB6tjJbbwAQzHvWBWGhWHW0mT0U/XD7ZMO24/KIqNKKaFQz7f4r+ +drWWf1gRQGjblEbIY0BZic1GwjcX33KswqC5pAyLMeEwxyGgAvtcROwoz8PW6Nog +NUOz5VO5YB2Lh7T3CSST1aC/v3Z2F1wxk+3KrwHrf69Y2Fyl+WnPWFY6+rxWBTra +A+kzgmGbyyWU+j1DBI9242rOzg/jiD/AZTDYwSybjHtJpC9/SoE/vvwiU6IC2wcQ +rw2R0cxrNVAqiqTN5e61lFqHVd1P6+GZrVKSkGkHfLHBRO6fI1rIaC6+Ggad2wWe +7gBoNeI9V4ZoPJx7qOr+A06cQmf4ISRp4wXF0KiC75mRGielFoVzbbGJwxA7tb/f +X4+tDIqyzKhbCnVmFfisHB7yLNUsRgdzCzZPTBvmHuZmuUZVghZmUfrbuhgnEdJ3 +KoSYFY2hpcX99svhhbzoPQgil93a+eVw3v4T82qWCbZAOUaCuWWLDuKY4FAf0j2m +dwc94M1wdO4otUQyKEjCmjopjgpihKL55T8dypyD3pRnMa8G/uAbYX0pmr2SkYEv +7HpRObj4x/lLYhQKJolSFx+YgwEtXTvIwkhc1sweJzmNF1u88nhxordYOK1ySc4x +cJSz2UEcF+B55+PAwVFuXzQXD43wzz77qtcls701j2PzYRMQkkVcjX+THfVZM8Yp +XlF0BPxlgRbmYv1xRPXK+KEqGRSzWlq9rDha1ew0PZ4+q5Ihcf92omcq6sIvALQL +OftkbZ2uhNSXXjR3thVqxFkR+qogRKA4oe3c0v5bE5eXPfFziz/2gxpON7y6nmbD +WxE/KfODsljOI90VNEZHUFruG1grWugB6G4AK1r72ZsPQPbZB+OK0YKS0+J1pnE7 +lLuF9rCt5o18i6Q5K/u3v4Y7wBIk+pVmKkKYTaPTgT8Mf2visEkjPZ6xDygT4Kon +uS47D2JlDZ+Ey6S13cNFBOzUBx0JBRzinAdwJA9lKPLBpk2HTyBvVnDD1za8v/c5 +8WwZN8wWOdLUJseMwGv2YKORTOZi29HnOeeWc6a7y9daQGyc8nlon8QxgPYq7ezo +5FpDb0favMoN10UWYEBb5YwmKT87CuDdgnz681QCHW/xkC9XC0XDqUf0jpbp6RIC +0gMa+1w+kccK6+cICpYPiI5OlakMBsyOQhsvFncVP4KvCPDVLjVyT3N8CnVfJB5M +zf1RN4tXjO6Hub/lYYor7qYqXur71Mxgqifady5mDlPlj++fKXCjdv3katztLa3O +wo42bKiwz0A9Y9QImeM6y64PJjBE26w7CP0O4GyctwmpJB64ScDlAjjusPd4gPtE +LXWFAWkkNkN+XWGDscHnbD/YN2NDuYm4PdZ2vtELBrLkA37vNjQcPp1iFvcKa3Sc +Dhdunf3pgA7o1NDj2IRJb2hc491aU393X52mOxdF/VQOQH24qApF5NXA79oR0bE/ +QEn7PcV5FdL5S1F4mUvsKsnxvYNvr/f+vAGg2809QwBKCIAoc5YMpRIEHAZDKfsW +rPg/mwW5m6RxDBVN/fwdRFdtZS25p0LGp3SgFYD+uOrlzcBH0QWYMuDEYJ6zBaHD +lEfa65//rWyF1VulUx7tND6okteIPCTlBhbhushFxFCTogRRtGzPEx2t3TJfsU58 +Yrus0JVt5xkTq3FPS0BRg2jKotmHaxe59dYVawjKghtwqaGeNnC4uUzg5mnj5gnM +xfgr4NnxznOCJ9YKFXGtpZyvlBfe17OnqzGS4xFa61ImZY8A6DyT+7FdeFtHRrLW +lIZum55clWcUx1IV5FLf0uUITKrI0+Y1yiBLCYNFa/dpMbemrmNuRiPYvlCG8S7T +xpSaz+m0MhcIScRnYT5ukpEdbNJ7rebGY8s+K3OX1mSjKwkb+21vnjo2cDoEbLqf +mhq8qNgp8UMymJKVYOOA58ddpCLyurx9P8rbtD7h9vlTr2ueT9xXm3NbMqVdFoMV +CJCOjzLIQGWM3WCXWtfe9o0kgEuhq4Zxw3Zu+nCUh6kYMfp549PWp+TBUHCvNp73 +wiCjMehYdcI1UHkGcBmInYndEufnB7glJIraVI/t8jkfZjTA5LbQbk/1MnaduozY +fP0IXsXPDBXohjnvXn1o5DhwBY8PHetxjS68XMYyWVzur+GlhcHWKTQ94NGe5U9J +szTZcsIgENeCnZIJYyg9eJXu4+APPcrRrMbQz7BowsLF/QOhRHeVlr7JcM1oEv3J +zsAbxIJF4E0K9OAdDYKHulWe4aMvLhpTlMwx3+LpjjTY/kKTc9HnS+0m73J16qAS +hMmXOfzXjX/dRNW0E5Qr3t0Ax7KaxoBCiFoJwUMw6vZ2ZX28UAILfmFGpbpvpxX/ +k4WYEa4Cl/VG1ZAosfs9fsfxyIaez/PzgFfKEDctRcX0FQNZbm4zfMqDRx604wdf +46R4tpH+tSuv780v48ER5Dnh1MkcHmdC25LsvfmtvZoBhjQaDix8aH4gZhxs/8X5 +Xtp1mHazMg6V0be/onWZf1REfnx2q/1AKizgTu6Z/C3BnKwYU0WDw6MlZovOaV9+ +PFa3ZxA1tb5Z7byaqGthZN9NykURCgMDlbhei/8osuQsDye+QRbvaQyEXSjEg+AZ +lD912DhBQgjTyhA9JkRY7RVtL1gEv3vIYGBkoCpDEmWFk7JK0+ZjIug2N4c09g36 +a5n9b0PGHL6Qn89DIKsbtW5D0bOZXKsjqbpy0SQcJJpDtwxi+9HVlPL8PobN8jLr +D8mP/oV3WiqnABfVH/Bl46WwlQMIFWDy1zVoEZuGGRzb7uUB41a7q7+H8SLRP759 +tNW3sGQOdXlOK8WFNsOKPuhOLmSTuwn2AS0zzFd3LhlvKAb8OJfZhf3cmOelheLT +LU6Qow4xs9W0CGh+bTICiWr97EtkkOdpBAKpqxw19OCyT5bWRGntBOrVamM+SNGu +Cg3ciBQdxzIrEUU89MDnOAOd+ZWVlSiuYIa7cfagne1fr1vCQrr66aMH43xkdtWv +e7wF6+qH5cSbkW/ZNq2zGsYcZFPn/kKHmrDi/P2WKsK6U/zsPJXD0Wr1FMpj65ih +9Ez9wXfkGNpudNS2tkjIbWUATTYh31p5V4X5yAzjB570wMvUMmMFCMeNZwdG6qVJ +gHNZHtRB1fAEtcGdchaTj9DBBffVGbcC5PjertWj8+jLeQA4HmjP/95TvJpY7YiK +yXJQ8UPWwDuZQG8vuWXL0s1jqosSaraG/xgIPDrJdi/DFuzcJ3N8lKHpkz70me3f +LSVmdgAGBAg6zfK1AmqpPJ1nFFnXqifmfQ6PgpprG9+PQPPsOq+y9/wWikIvt8P6 ++GBBjf2Sf+iUc6sB71RTZwa7VokD3qUg+YdGc6s4AsebyN71fSXwNFxqd0c4rllt +DDqIYwcLXsh1rLwTf0xYtOz46c7kDIA+KLsPrLvQ4VzRivMnDQYFSNztWwt2eKpb +1/w5wBIYl12iQ9WOXaLEmGqXP70grxOLKMSS0jVRYRMCsDgHtVOMaFK+wujK0KXR +eKW5c0JrGKMVY5/ItjIHPHy9dyTrVL/aGJDkXKaHghAfDbyMmbjrQpeVrYDW/Unw +qpvxLEOdAWZ+3ySeogtkzqzz4h3SgRvrQA2G8iPWKOI/Pbq9vdzCzokRaSMxbJiW +sMkwSQt0q8tiJ1mpPZROSYetarAub6KOvXJLDYRelp5G8+CKk4SQo10XRFqIB0RU +n44UqrZl9lqqGcPZrldO3MP+yw50drO3mNTI/sxN8MUWuhrzZfxiTBLhQ+SiIX/8 +SvFXtc6UqopXPaWVIUe/ZzlTttyFVucx9jM0TNKAeSRHG1guby7jwPWKYQJjWIod +il0mPMUJ3+wBP/OOVWulWa0Fn1fbmylUOTC+QUDrUXmQCfS/UO3FoISuppX4ERsH +ClurNIV1W8Vpz4S1XLU7HctVv21Cs1PY+bCDxUvZXk+OZoLZsgBWoYDhV9QuILHx +/KINQBZEof5EY43Bs9wSEHxmBgTrjEBTN+3RS4FggMI3AkOwGYH8AqgUIeb4r3f/ +ubWpG3upo4ANbd1y5Lt84rGFWvfl3y/0bhl6hTweck47TxlFflJttazSaJCHKi14 +qxuTANgBn0A5BugVdL5MS3njyxGCc53WLDpsBCSgXW9iaXVD3FrqaIMuIijNuZFa +drWD8hjpk3zflk2mO1ylOJJI2aOtoHi+Y34CYln28Spo1kfNl6Bi32Lt/SkzF1Gp +cb5EeqaDDOu2RyqCeuJ91TPy5qDsrUAk8W4MCLlxMYBGQ+AMBlf8wD5L9H8lQ8V7 +QJmD+XbokabKIPbP/NGOYmgz9cmlcRmUeeh3lkM5bcC1Y5UC1bNqwwM8MJjDniuZ +mI1OTw5sJZAPgV2NFxv4rbjgYfegrnTp6CmjNMg1nEGc9/l5o6RJdeb9sfBgVz67 +CU2Tj3KnYTFWY2hMpfsSCDE5ArbamceY7JpQhyHmrojEAhFhiBV+pCNstW6tYFdJ ++OxRJi6Wt6L6IbK+N1H23uL4/hDNS2TBoNnf9GsJwIbQVf/DvqWOarbAbuQll5Lt +oNjN2JcUko8B8/4YxLNRBnj9hJzIR8KI6lK/h+4D9mu3Q8phcQQi7T+xkwm1WOo4 +ELhzqwVdLsZoxBvepDn2SaczqDo/32RiOHiNCRh2tPvD1h/+bqzjNNvfWr69z6DE +suT+TFwn9jg25Vt2TiU7+6sgI2YB46kDAf7fN4tB0feC9UAx9LXbLDPmQhj6nE31 +gdcSHs+gX1CDy5Sd5SBw7AqzAK/h+AfWKZ9sf13jcC6Lrx86VJ14DlUm5zOTt0/V +OIMUbct8G7DC6ILTB7z9h4xd1RLYlbr4TQw43rm/zThldj4D+ACDiODYj/U8+ePq +0jsKvDDztTGVFhg4wD1UZqy9yBxzDNFNAxFD7J+37DU4F18jnGCQjhp6j1vdWEqX +IiqKAmTkcVBH3ASMjMm/fwvPnDldKY/7BQW2BlmJ3vBMjCsomd95l3jq1897uKl8 +XYZfEGenFatvTNLdlYc6WDl9+oRkrebbDqRGs19cudKY+9Q8/yF32BxhJxjQDBZB +q5VEVF/NErv9cSpf5BZvAUVOyJ17GhT1l49U70XbeYAPjE/v3pEtuAN4CRcd/VlN +D5lopswTCDk9duSsMIcJZjGx2DVojIIqCV9lct8DnOXU78kbtdLsKx1tBkWylzFf +iiL3GYmpmxCbRhI/IxdvHIbKhDCmasBsOmxnEE4glVIBIvDzlPf6zuH3trV2jeOu +TLBKw1BxKBZwvHcOmROu+KEgvePtn7gaOZpjIub2fwiybPwH64DN4K3pSdCPHEWs +Y78/IwCmWxYqJVIwoT6ZwmxF5c55BlWyCWxEsL1vriFwIi77PrKkIr8/33f/NL4e +4HdHZTa4rUixerUxkB4nlznxoPpXEmw5EuqgC5m0vzpiyDAIu9ykVUvqKOLzg47P +czEx3lqInsTZ1wJ3BygjsBiSteuuakmpriLPQJaZjDml7AqPcFAXJjIX9K7D5oCX +SSngwSccYtZ/lNZ9X66xxOLCLifFsZWr4AFspXYVuRJ7LnnxHeSgyl8gZXYcmLYV +io6PDf4mr/HEcYaVunJVn3PXKTp5mNr8m0vqsDu56U6Q0VLX0XYxHaoB4uoJpJpD +9pZs45JfnDtotZmp3paj0k/xFNOQvG48GqioeK1qkJGUwgS4TrNldKhXlDUhoyBL +bz09vW+VVaa3a8QLIiKHsHvk3O+0ZnEWHBjySpYZEhNozW+GFxPI3Mls7/wLWyFJ +PEEJhB3NAG5WFqGc051Nf8Vie2zPC6X1N5NSwryBiHKGZBwkI6jM+siYfhCd7HsK +u82mPA7wGkNPeyEEeqr8IGMbEqDypf7YuzyfF7i4tPzL8wg9IAB93iBQ+xjpnsRn +agD68Lg04lzYihmKcZyIzpBeJRXU56jnC16yPsXPIOOhyZ3kZck6B3juhedaBeUn +Nzr2iI2E4UpiS40k9gx59pGMNa2/Jy1SiSChJUX+cFPXkz9v6boy+MXKjwtz3zNP +mbxAzerJUMw+YGnoLXMPZsMOgQKrGpfsX7bzrxlBodPqFzKl+xBooobLdyYSswe3 +AwtIRYxhDC7ac1o5oJCYSEGfslvGAmWCiPJ5cUs9hqNlauS0ftc9LmRMEEfc8WFn +mBFUVUX7bUX9UXFEjc++41d10UbEmLfKvzscE4ZKQ33uELaJJ3ygPlNEbn8wMwQu +q/GHYqUQ8Lt2AvUox04aoOMhgfjoARFPN1eyOjgju/nncnzml4YKIUU58PMrgKZB +KZcc4bPKvqJdpDmywyw5CGyhGibioj39AwGu31Lab3NO/77Pb7CzAPKXOYO4N36o +wrwnaveeM6s2W0rxyMNMl+mP5vtenr4GUdHcn53fMFkbfFspHmIV5bSCnbGjIi9e +ciJU22iagUJg6OV3kHXDVLXpZQb7GTNqmj6RjCCM+2Z3XhDPUbJ6luBI46xLPjUj +T6ERxjd7I0LGSjCpYRySF0V/Q+vO5q1f9vhe+53tDfdCRwShmU717znpiLe5hNni +mHyspbElYIJKWOeYatrmPBhfQBrqQ3zPDpPha8J8Xchs7bzTykLmsbtJw9Z7vTVn +JinkBDQsxq5DdfTeGpvE+cSi1+0hzlmn/5iHtKdm/vGKGfFLtqrnzDwf1IXNSFFK +id5jLO5ne7L7MvtwAn/chgwBOgACSRVSBIEo1t+WFtR5fwbud2TOiKHBM4V4HVpg +mLUaHIa2NWpo6C9rvQfTeb6x7AvIjoUi0AOchr64kQJWBg9N44L84y4KgVBbp7IZ +mvNZSJ4ISiJei7bDWXDn0lbMoLakw/gkeXnq7qDvtSlitAQapQchkSn2S03HTpYw +D4Ic5J+p4i3DIiR5e+cQ7UzCqFVITJUlA2xp+q2gjE11l+fury+jwCihR1pMUzlV +CGc4fbFpVENZAo7mW3jxroekVNUkRZQ9vaIJxF7CYQK0c2qp7uO67+xLfJ2roaj2 +Knc39rLRDJHFC0kg2Q27zHsIlJ1AnF5h/vL50nvyJzFfhAQyV8oSEMU7rMmLV8zX +pkAY0JGzpS2rB/EbQWbH+dOeZ0Kma4a0B+zQVzvEtzeHD1v+U6HRV8QsSD9euXAb +R03PzAdluXKNNwxDICDFj/vZkJVAIRnN8/jyWcFd9LlFlMTP3xDyAHcmfF4nXHsE +uAxhIdqV2a60enksvzLMKWs3rL9vzKhsX94rTdmD+tz241V+uQxCc83PKboVtnUt +cvqfdlRQZNKuJuJhsu21au3edjfgii+j5/rGBpooaD5eOSMI1UZv/I1lWTuRQZ0G +5BuT1S46ai5ll3Ls2FcIzy8umE5dTGucjnE10UxR0RpXRZrRNdS0QK9CgTeNmKrz +Gtxc/F52t16xK9sUQ/osZ0RgX0LeBGwznMo6HGOz7KGDJwKfJtj0SOdxuhTy0vGN +6sKWt/znjsJ7jWqwsMDbVxMlu5MkAHaCnA4Fq8krl62y344x8F7gUKsHH/AQrEAp +hSsZzdgDkMq/O8kyH8fHFOr8Z3dRcVjEKd6EnBdyiBt9WhoVzGqomS7IY4AuONoa +gz1jndtEZQMNoyi285W+sXvR4Ho60CpjqNDzLNQGjmEr8Y7apSocEMwEsyIkNfjN +r47LoioECeXmiEeYiL0OwNw0MEpvrSTENKruIZsrIl+0Zk2Wv0WXFzH9/F5WoD5F +z6UbqedzASzxAvKQoYESYM6NjGpewG2f/U9XIVAgUZWJflAGzUR0E5v6knUUDxBg +SIf3TXsLXnI0MoL/U2vAYvhn9FHcVwMSVRzXU7vf4VEem+6CCUm6Bz+XondDCNcR +BBGuaU7W4ccqPlIm33ltpxNB7dmHVSgZN6EtaNIi1Kw67wnAptn2Y6vGmHZjVq+T +APcwgHkepVx0c2++ENuiZTNvbuhndE8wctsKTjBng68B+h+1x8H4rrHZB6KYPi9e +7U6N7VOmX6yVtaz23Mk0fKQonpw7Ev03KLmh3Yte8ijcm64zRkPS1BSMp3cwrXDW +FfKApIDBMMlUZZGpE49zXH8PbYEg3ZO+ItJy0GyPbypzurQraBtQTRhoXd2RCH3I +5sh//SToDRVuZWlTNziBRHDWjw8ivxS5xRZ0gT5jQZVZZhnUvQkX1XLz4jx4b5/b +yrAfZOtlNzrJdliDozTJ+2HG9lszpg/wXgQE5scNDnzeR+lscU/LBwLtUMdrDyRC +C5yaAutJGoxQ3X6f2JGHjLZtA4dxtLASHWwXRLkud7o3hRfTFWqoN82WliBobpya +fwzutO2mXg6s776yLsQNHhiA4UBx5TSqjA/OTjQ/2MTObIF486NDfr7/2SuQlaZk +dNnaluSdvFNgmJybxmUcrtivOp+rq91sCr0ZEuCfIxcAQGFECDkNJ/7URP7IL9HC +sHx3TzQp38aSbEQb/oIjdewAniViegXhhBd1XmQBdW1wtLuVdcmaCCJL/SfmmLnV +Yzv6gyLQVEVSjTWNsuqz5MKZ8MiZbvsulxpAzjlHyqzDvDSeAyB8EYy/Yj19W5A+ +oDM+r7jtchG3V/+DOtXGwrmOZQgrtc5WS+0fJBHqyKIJwzEvDs5HAqSVaxkpaICG +5X5dHmIq5c5M/EQPqAfNQb2CIxw+ypQoVUAXLnwhdCB2tQ6rfC55SKu5TtHgKjSF +BZ6XP1TEmyurqbGXFVYoFhGG7Wgsq59TTn6Irc2N+4OM80S/Po4mVEw+yQzq5ZK6 +ql525Mk9JJyRVWBUniX5WwGmG9TfdH1dWWFSS5FrkEJrOtBcwjaK/rUV/rY0NQwN +vbbFJNNWa3n/eDw5AdleIVwmOqNNY0mnGpcdXRs9ZG8cDCVYjxlstgOREj/DRa+W +sBrzvm9uu6zz3jB2sitdWvv0/tWJmvFh9LMQWRb2by2gJKMx+tSxinPY5IKyy9J3 +m1vDyABQOY9PM5pQ6RH/dS20QH/5CblIlAtFtf8kju4K8NqW7vi0AJIc/SO+BVsW +T4fJDFcXkTdcEk5QowUWPUuIxgaf5C7zSU7i5eYr4vfdQMqTJLjw3ummkiQL9EQI +yH2SYWlMV41SN+g9quBZHm9cL5yw3L7PcuNWlXm84a5ZEpzYLtrzzK8K92G9jcKD +kUtVQS+X5V22HePbMddhRgYDmutb5FaZiEOhC1SbpYj9NY+IdE/pu0p+fyBdMFM8 +M8iqGP96h7x0BwlDPhhsjk5xSMHg3k7Fs0acCKny4PtmYDbFu3NLVWshdbHuZaex +G5tRUuY00yJRqfPv0v9HeqwQhmm3EcIqraQo7HgmKDGH1JAUq8kZjwUOTFu3cEp4 +5zClfpVVzjz9rtcgBnQJZJ6oq0WbMQsaAgqshp0o5L0MCLAUrJcg2XDdRsenR6Ci +CvHkD504hKJYDQD4R6s5fj7jD8n42fJ71YO6vcJh3YfVFSlPAzz+Nu/nJuQeD1Su +JGvfrD6PCAIDXDFTej13drYWQBxHuWB0RORKYCGOwIKA9u75GEJPAkByhcAMT6Ix +CUwUpSjNV7yTBCafD/oKl3Iu+Y2vpySngq/C2qTvwCKmdrwOMfVo28FQ7djIjsIw +2N3Tv03J1EnM5T/m7zFj+xn7g3xx62wgxW15UVh6UtuVkPwfB5uvB4sdocjHuZLE +g0l2FiZcRkMiMVLPQ+XqcJSb49beLZ6qZYL/1YP1QDz74b6Yo0+7Fq+mwJ2e03L7 +3VN/LZOJT4PkhGe47bbrVZn6idO/oInfdEwsV/df0WAdxXPuQZ7EcSiidzIIFj3o +KFjLSb4tHb00QEZD7Pw8gTIpzpyZ9asC77yTMNQaelxgnw+zfMbzdroquyL3W8hC +pwmc9LunKIRwp4B8MUhh/cq2tTW2t7vl6xhzZcQEBVcw2gzQXQkoquVZ2hyMKFwH +0QSh3+Uv4lFzoVZ0GiOWXoK1/Ft5Bv0c3jA+sWle+ckzR4dkVZnADs4qveNVyZ4E +smqypL7C5auIN0MAxnyvtCYvx6PyLMVkiRcnWrr39BlCvzQkjAFYqE/j3H91G4j/ +dhuJH7kcRd/OYxSkJsDMyHTUnP7pkOUVNMKhk6H6C8smSjToW6VntrBAGVsj+nZA +UUE+oWIR/6N3vvIji0ZXTnLupR7FCXr0byovXFz9rJrOeAfPTblzbcmVdTOwuIem +N1Ff//jGkIWwBq4MmXXTsyeyasMGrRdWmK6cA33EsQ2ABg5pQCR3NNMr0xfSu8ba +9aLlhT1/r98a9YwgCH1VErGuKOvGQvKlt1FdYuBphwLF1ucKduyOFy4oEuj8AOyr +KbqYCSiVQyblVUo37HuIXU4geSoPkxbUOXdXqwYV1uJfxAM2m34y+qdXn1D1f18S +9msGetoUGI0jRVOHD5pfi9BXAX2TqytBI5cyKY8vHi+0mu9bOcoK0vlV7Rmftc1m +1ZodyDUuFZkKSg2XyjY5le5pnDNk2+8cvqZrNFfqkAtbOPFUiAenzzipr8aY17eV +90/YicFwpXRMdGcPc+U7hby/cKxaIUajxmuRihzs3vI9AmDLlcF120xNCDcGZHoJ +TCB6HkC6BEVFIEOS1i7/Raa6JpZhpPkmF0V/RLHZ6IhVjt6r1tLMOvqRytyxtPZ5 +Yt4+dN0iQ++RyBskQ1Ks1X3XmOpje0pQGGU6nCka5L3/qTRmIDb4z0uTqWeIRFJM +lkM+U3qxjgwLC4uCikcsH0CqA0eAnguX9fqh/Tz+PVgW2xHzygedRsSnhsrl4DlX +4NqppS81vxa9Hu4319vjatEFjsBiywhLQthczBIunb4x4ilFYi+ae4fO6rXw+Bix +v2H9SpoSIetHAEvA9brJDrhpItwL8fXCFW6tAgJK6j5Dc8iYTTCgJ7FwpNGatk8J +46Igrz1/Dh3UjVQrPOtuRXe7cVXIZegcyi/3OEedRi7iijTjDAeemyA3JcPCxTkM +FATAaoPCV9knQjRKc9FKgMpfL2qM/iwxRgeqygo7dU1vMgMpt8AvWMG2aJLL9iPY +ukR+Dxmq2IiVv8knx17Z79hSNYveZUy2MXvBni+YUfz6BpHeBchekhUNGog2Y21j +6HVt6H9aHRtqDaUppw2l+vekqQf4GCe1T2BU8Gkif1Fsi7RGhT5++UVaq9wq0cxd +gT7w4F0KRJjNpXf7/lL20+ccFZu2xmuTp5Cc3YrgGf695eZg6YZzeqxPpOc7cSeW +3NYBA0VZnXqjXBv19c/DvWbmISwYLJhh26CFY1e0dkO1ytBZRMHaGNEEHSyYS0tj +i1SDKQ468eK17UdVqxf8IlK5tpbA5mAF0NnpXVUu3yqzK2ZHdTwrtE7HmBoionm4 +0b/R1EdGaAiuuev0DsVnWO+We0eTYIffW4pd5o6CSY6lPG4dMJQJ+y/tNUMfIeM8 +jlWpFRjL0Hz8q4ZkeIckVmEdxephmqFNU787mF3F4p2FDyr1XTrSpMtZy7iPwEOd +ZCxo0raYojVtX9LliknxwewD8u7FL+cgsgTcurys65ulTE4Hsa1Sr3wbYH6v+gMI +HOrwojfOzSf1oOrWPIje/CknmJugJywmQs7MLcKgaCm8E7B5WXmDJhZXWAcyUYw6 +0BUEicJDeHptxvsrSRYpZ0axJTlpc8QMIjZfSN79VRDR3GCI/SuaxugyhxoKxZgd +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rl_chk_6g.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rl_chk_6g.v new file mode 100644 index 0000000000000000000000000000000000000000..dd903812c31f671525c77feab56e191c4a59bc38 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rl_chk_6g.v @@ -0,0 +1,448 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +AcJDZxs59fiaGgXxQNOUI/lQul6qQPNMduVTbN4FeldxNxfrG6NlddcAT+JZeRAV +sh1txUlYvl+ZUmUbsdlHlm3oL+SiJ381su3hfod9mwcGuS0nVIu+2UKQqjy2+BWJ +jADwgeNuxVYaRnPszw6KUth6E3wfuYDYS1wxc5krAWQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 19760) +WpXkfPAcl28Cm+IftFeq4vX9HtNuoDrxiiCriFgZBLLH4/bJsTcxher31QA+0pu+ +4KUX6Noh5crgbAxBEkVx3nzccmU24u6aiUweD8hdE1ZhEYcQTB/DqUEan2tA29h3 +phx6D/zIiHQulUEGzDLfNeRe04LF69aa5t3UJzl5eg7h2qEg7/1uWNvah9dw5q/w +dTNEtky/D4RWsNB5qSTM5EC872PNrAQFawF0CbP1Ex0q6F9VJmOjjP1Rv04hdU6D +/wNnr0O2Gi+qPdw0Zk24y0fINR1B3DJDnatdUEqxuNjzLo9AUcbKZOkG6bvBiGuY +c9Qodt24icokswZhaCR+MFZsajwHNaLU2yvZY0/AM6jZtGGLN+UhvHNDvxa1C9IX +ROJ2L8g1e27CNOMJb3lIpb36KAYiDjvyq2NtH+ypzpTfpAIX2jH8WRZD5HEkMkjl +/r+nChWjXjMefBTqLmFewc4N2oWRgNW1keYUWENFSCVVip8gCfGqwqVt9LpdOxlE +on0b9sumaOrQLmtKO4UBJeqpcOplEQ6ZMYdUVww4LqllsFhrGa0K010M37DVxISf +/YHMjuKElOZzjawuck4JTVsIt0o6eY363nM68GxSyRO354L/dJ+SRxWkrbgS0Tvf +pZsHQlzNeA/k0SL07xfQfNhrbWoWGoSUZPpSxTVkQOLwNLjd8UcUjiK3K/Cqp4xQ +07Ri99hqHMtll+G8SUJ5vts8morSr4ie+GNF/YpI1j4VRCVKiIiBC2k7Tk7DQv75 +03fiRVHhvaAqdSfn0TvAciW+zzk9K9R2HCwdEaH5CIEqBNbZzj35fD3pG/7EWzd+ +5puzSb36PfnBdsBc/oit2SMfhIxRaR0/jxnzhzude45SUNhbF/UkcCuwuRM0mwg5 +lkVl5vV+t6e/aKkawEkgyGSqaL3ft+IVNGktIbphJb79D20F50n2NSOdIaG99697 +DcS1I5LrmLBZxLxTTmlV/gbWqf9LS0fy2Rn40iMjTwgjG9td1uCgOwYPnpT5NZ0t +rpbON1VOIrckw4yPDooO/o93xM/im/xSd8wPOrfFjcV/hTnGldsXdd6GkSJnroKQ +WNBhwHpdD2e16GKbsXurBR7nJNLPHbGNkngBCcv9mBQOQQJ7pd8dkVazNDq0fD3D +G/t2yiPWMfa80PXjqcQLyqQ2XOAJYAGDEW8Juj0kQ5J7cy7lhGbtB4ys7d18P8Tt +9sLxJfWH1LhtjiNwTwpSLgbWOgsHaol7Jrlr9qpiILX0fQwhw8ZQtrgPf5Pn/Os+ +sb1dvMfDVpZTp8MKShWX2DBqOPJEMsFErEewI/9uhDL1NEGKL2RuxHO+UTRUc9sl +skZRBdE69dS4+ZHSGEqKwq8t/M1GsOS81fqfghm88MJxBdJ8CN62d2DXzEvTW12n +S17DjlD/nTz/zpJQ0ttuBVPnrIC0L5qPa8Azc5UxABPVT+uJr0G5dryc7v1FIgrR +vlOtUlxZzndbGkg5k5Qa6dtQRSzu5XMM6C9pCo+r9Cq4MpZJ+0OQbNEZR8hRa/zl +RL+Rm4lwMJKmw7ESW9sIVU6t/+p8sFFOBUgiSaz96MsyIIYlxRhP7rdCNtVeapcS +UUvT7w13H5eS1DW+tehVZK/Tm5m4zQEo/KCodP8B/TD2BvvJDT/HV9mI65/xUmT0 +hU+AvHoCUqd0JgoeINMnRGK5mSB4WI6IUy0yFMhnreJoNkKhjqM0PzqjgSSuGOd8 +bWpJs9hPmPyASPqpR7HMZJWJNkZ4SgvZJ80FRlfmKUmqOxJqaZJ7VT2Oa6WlBiji +KWNUhUr+W2EBzihp7LsuTOi0XpvNnHeGfIoKcPA4huJmQvpP9kmW3Cuu+Ix5YL1n +LdaSgxYfRSmlatPbWEFY4d7LJiv+oW7vLZh8NQvYl7OXX4KXDTj5xHWnr5L6X7pA +QDRaqJV3TnbGesUkmfKPdcS4dhtdlo4CRbFR8NtwSo715cPmGs5HnaqMwMJthQoP ++tMK0yhJVXCVAyhOALa6tnTScUDpY208S2etgVJ5HRoRlVVpc6adr0VO8XpM+T/4 +4Oel9sGDhtB46l7BNVdXV3NQVOh7sDXqtlanE2c+Xfrx+E6260ZuO4eNQkdt2cb1 +K15BFGlZElm2qtlj/k4yK7jSB6I1akcublYtlxYwki+lAqSn7I2Ybczv+ROyzNxN +/KXPpgIvYmgo6fTvd4A1XgalO7XhXA+mIUDZdtaPUEAhLMNOK6JkTbFn7SBkw989 +VuNiZn+UqbtDr3MSroROU96gWXIiYI1yTnWXpI/0lBMmNbY6CZZ/+/wcqvlDLiyV +3CD9l7GzDozlnBcptdst5MIcluKWipZ98XE18iTGi1bi9pSRsI+HObMBVbKDG2L1 +NVDazP9SjnG3i2Afd4JQgL/KyTHigCC+5pFLxoskV3tAIayfCm62O/uZt2HE4Jt9 +4mi3cgo82ReQpJb/qsLJ0b84VsOBy6NmsZ4eXeFFJ+zT6lRkm2aJIfQerchAnmm+ +GY5QJHuqyVgSLlSsB46iHMXm6e4/rLM/QzZEVGCw8ovTbZ+XshQHcf62oE5tWF/m +ZgjbBys3/HHy0ZLQ7ECWv+ABY9QOEqgdDayBspFLGQt/YzE308iWrJC/OJqVMfyp +BtxEJMrsBBz+fqiQ5+t6T3dssiDimzhxf3iDxB+tUFLNljR/0aAXOo2GpJC+SIjg +90O3iGHIPYN0FkppCpoKx+qlWm75Kjkh3/TjoO8nc4V62VDM4L6eDHbcpJZJQQzq +mIwZ4fpwPlZpxVwbaKkTjHff4fmK+mMgYmhpBXbnKAf5Ts8bD8l+VrWm+1vPKKx9 +Npc/hVO68T5aBC2KCrezMv8m/i3TYZnrIW8sN6zCsP486TDtR972iQE9lX8Al5L7 +caVuRmL5StdismK/0F5xT3GBKurM9XcPGNNFoqkxV0/9425M9WhXe+87mI4n0HBr +OTWLTazk5rbXkVQTGxobcB1oqbAbevZS++/TFNL2zZ41lpO733b0DgN3JNNcsES+ +A7SQkhElQGB+WNsZGP3zg05ocVW8KH5kpXqpc8LVv/HSZdlmT0Ke5iEC4Snky+Rn +wc85djOQHSxPPqG20BYiDBTcscUMZuLV4wL3+9+MzyGmC6+sKxjX83MgJX62bXP2 +rndJ4QzpM8ZrOwl3yB5cl8Db4/8i4kYqR6rCkp6lYW9Zmcam/w+C0E2VbZOj/L7x +a2+7ShVtA9/dW9YCTYJwHJgrwJGx23XWulYsBj0+MoBoO6JSRlMWKHk5RrIl+DPI +fyBEaZJf67aOsVNyW1d3MxGxDxkQ86VTXZAyhsg3Nx8rcktisGEJGc6D99NJlD9m +VuUW5XsnlNvEeOaR6JDIfUNzTFQSa3I6qCF51xga6TTtsjl+OE/jg7IbRD0/jbUc +sRj3ZM2mXJawxsig4J8h+IzzjQQ8jFHuuYa/cUNMET6o9mXYq4AjHtL0vRr3gNhu +cxiuheVwy3U5E3aqb+QVOXv9hwhfTyqWcE2Ly172zje7U0RmhGixqQfDQreJ36qw ++fJC/gD4o+nbC8UaS1onQntxLj/4jv7QEUlwavM/5X3t4PS7+q4beyRwb7h0gMxn +Tm8rQoKoMpepnX9f1E9y8qZtv/pSbHOaSKNKKQZI1eipcNT705TAqM1vpemZuubr +n4wO095fBNAozq49RgoUb0QQuvmJ4yIabm0c5XFSZSTEdHmqJu/NOiF3W/36KNpc +Lwt7qgF16uMzArkpeqPn/WVJ29yX1TjOdd9bTQWJsM3lJ7QgcmRMKzt0++1NKPXx +S7i3oJ/FO2sCb33gcuYB211KDQgJA12JK8TuX4LE0w+T3Yumvz8bP92TwXWfEpTl ++/LhcRuoo4IZhuBOU4L1xhvTk41OQs2Niib62H/bAxu3IgqEzKrfqZc89+ja/L9k +R4oJBGGcmoaVDRujqbyCqQohu+w9QF2+vIPsuCuDCl9j/TRhlt+fNjmDEblkjiz0 +r8VpfeQe1sYpFOjTFS98VukQlZOPSQUKVktBKelIKucCZZ9ArJ2l3/FydzLD+n+w +K7Yx8x4DFbGyA2PQaTw8PBGscBmsBwmTlBboyjDIESym1lIfNafZRKbzeG2iFHnj +8sdIvNtlJrrobhwxD4iQdhpwRHRE2tNky42/8adRpms3UF7PS8gtuF8NvIyiJf/z +Wt2QEsMWmDZTTkloZqKviIkeShYEi9pacz4b4BuaEkpcUYxvj4TNBbdY5KIfVckW +0haBC26HZb7JS12IdumpMoG1r2qaSEuIoJDrM7AF6QIn0PNkq62DxpTsljHBarom +LGdiuhGPG7sFH67aTae1M+2jZtU2eANM84r/fTo4q2UkfHti7u2A2crlnl0c7HTl +E1nA6RXTxuMrIXQ6r9IIB/LRF2bqDNuXRChhuWAzb4YEdK3EOwLAOup3motR1IXu +pzIYUtQRGzHli1jGTVKlS8R+ArCztlYinwxTg25FukE+wP/faruWo3hrLJVISGEc +zHRC9OSNvDTx/tKYoX0Hi1WMV93sxhQFoaTDUOCP19oeA+APDF6774jpgbFE/tl8 ++IxGvVbzPW8LX2MLDKXKFn1JP+QOgnT40z/xmFX2RoXu6X8Xi0VnlZwvzkqg9DTQ +xG4+HdZ3dzQLiuOiFhdpGPS5FJY6qMwndLPqAGO59fPHR+V5mKsuxWNx8RH9PhyB +q02XoB5H8x2ccCp9MVG6ULo4nH08AWeWALSgpR0douSuoUMfkBFOeuiB3pW5eier +cicW1P/pUUCajv59uLTvvNZCy5J93hIwyd+u8hGdHnFFxnxTh1BY2QG9h+tXTHmJ +Yhq4BvlWPTiS3uZhBThYkzjKeBU+/WO7OvF8vvZvlUz1CVgunYYArq/+F76doKoM +r4K3gU2lQC4pn28LTqOWrEf+XJk1wKObFhlHhdlIya0xxwSENHhr+iSk/LSzQzcI +yST0BoD9phvzoWFKzzrFkfdzadKQpJSzUJ9gJzK+cQE1xAKdP0cjOqQ43x+TtmX3 +MkEhaki1FzCUQpQoPZSuXk3flJNovo4yMbQH+7CIU5W0E1fM9So4ZgHZLTGbx0BE +9a+8+LJc6NvVNrBx43C67xdVPBsCVjgP47VJvIUap5VcTUywc/qDyg/4R/lJ+ru+ +NOPvpS3v+NClTyttXPXXqoLgJ+PoPnwB4J6H8heItDmd7xfXxN8mVJYqVFepz41h +2DLIFgD4WEgEHchWYK83wPPjj/vujzttLAQYunUVQpTYEaz6D/m8D0xLn0qmYCoK +SDlrwbCFcAJeGR6aR3FcI7BzNEM8HeVEokuhae15GH+ExH7NvLsJBQfpgVJLb9kY +NbT0O2y4UcTm02jCZtn21zYa/cnIhNCtipK9YCf38R15Abo26+3PErACu8dQA1Sj +1TjNQbK8lQwcjalFwc08S5540slu6osax0DFv37yn5RaCjZXt3aeDuXlvHVzywbY +tV8/rAJXqE0EjpvMzvHziLP0116X456yfG67R2P0wLuuPW2mjv8IrahXvwwZ072g +kFZDSQWjequ2m64n9AVgJ44LIu5wpv/IxoidkV3p0aTN92MpQ/jnwWfE4aGSFARl +EIYsH3bIrodvu+WbSzZjXfLEucnXG0BRDmDfq0Pc7hyet6dbkBBvbc2tJ2OLG2Dq +/MdUBNFuU3qfSckZA+P/PPHmpDyr9XNuapdMkS27eFhyxzW3rNK76HTSH3fsAOfP +Cvm9DQueQSpAqPF57+wTuIdlZx7vBJCVEr+AJqlVwseORgd7H71pLiygp5fI0c8F +O/iyu65lMvicf5sH3uYMDre6kzSiT1ercsFKYJ1uHSlpdZVJjQkq6pC70xiTECEG +KFx6POt4Fu+zmn7IMuTzRByczMnoA5FaLjEuFAfyHV7WVxwH2VEabSpRHLJYwY3L +ZZgBh4D84JNhOK7onBYzR/ZCeHPh5UzZq8fXuF1CWz3P8JaDrAMPHHicX0For2x3 +ZnXEM83vv0SOfiT7MB4hNNdkLSyjwqPyF2B+NDiHb/73pltPcGW/jhkue2GvPdp7 +89laGSd13ubyEX9r1NO4Klo8Jptw/yrpG4pil/MF0YF+tMZuVmb4ch4DUXM0y6LU +Uk6qHbJV5225DpyJ4EngMjdLvBgbwBZ5v8YhKJw68t5kxG73HDi859W3f+veZ5nt +HTUtCjkQpeKuOCLd+dEPdRf9EM0UT1ffkSd8eRx76DL6+wVG6lSksBsNP6NW4QW0 +yYEJ/9qjF2coDzPqQRAZGdDipGcbfXA13QNnihLts0bgXm42dWmjHM5Ware9Wg+T +Ust7y7Vr5oAQ0SXfT97B1xsZVkpqtW7br8InQysBM60NAJ4X38CcL4L+8lHukAvY +14ZGSEbJvwzTaIc3XraDdPTBAwbP+7mUwuQVjJDLhQbOEh1B8cAefn5ni+Twy/K+ +qQSNETfoAYv2wvhpsUJ1eXjSP/EgyJDAQPzunXqhrbFmeUzU+Q04m1rywSr2YZoo +slTDMdF8YqvS+cHtKUhkWe9g9L2j9O095/WaeMB7K+oqcce3uTr+0K0c59Shyk4H +dJraQKSAr4t84ebUyOmYA+OuAohu5LfJgJzbIxnCZL8CLjqFH0I16ud2ytfgLluG +EGQhyXiROx7iVNIUFlEc5ygxffhkYi3ZTu9N6lHTLSs+dyHPceYIVf6qqzPCwozz +hg4IA19TIjNROFfJ/zoa9L7Cvhf5p0qLNgrVYeSXz0EQlSbAx4bWopJNtqDX/O2i +XilQv1yPdmhTPmJtoCx8fIRYetFikaePJL+euvSa9VHCKiA9UzFPspwMxfPWg7hl +EkMPXp6FFFz060e9tn+IdUS19Qp1tctRTmkWzqu78OOGsIuZL0ehYfx0NGi0pLUI +P+kglhVhsYQDKDBJwygtSCtSN49eTaTPaxKAbEmJqognLhKxXBj93+7f5MqJGAGr +HZ8etdLP7+Ab/zO2kbmjhHXYY7NefILNpNBv6U8SXmNLBsJNVwZCjnj/mjsxvh9L +ZnhTzzap0vg4E0gRjHem1ZEwQWEAw7TXaDIbbIxKlec7E48jv7PPHQk4OQYon0dS +WyCRQ/OrorrntLAVd+jUbefbVSwam8SmwL38O+jp6p1KWwEkOFHGqH4cf1RcaYfk +nCaL8QJj9+iFvF+YNOItRIS9PSh6b86cjCEd3GrLdZ+iL/lYSKi78JlVXuO9pFjD +M08mtXMyEKTYeWq2x92fwjsWJbyR3Ccsr0+tbIA/DZxttjSzRLql98TbYgg1qDxj +7dkcSXQEZKnSzWBznMXjAFCsgWZjySrNI0nppT6FKJe8l51rMme7K4KLrSTdHvHE +uQLPchEaQbjNFmJ0UfMrMTxnvLC+RXKOb5icfJh4ZpawQrtajELduwcRj8wg0Yuw +dEPoTfkm3Aqw//mJpeyy9XkIn+eYK09FvYAuiX11/3j3pKF3lR2Blb1C91hJ3Y2c +aLOVXiVS3R19i1QEFBSzozyc7hgseMEcLS3XcbrDX6VbeHCm8CDHHVoGp0njISAb +h1Lc0ZP1n5Su89o/b4AI4KCbVDbhL6vnTunOdidkTAcBHlNgKGuQGNY/Yq9uBbEm +P73MaX+j1NqprlFCCVtga1C6j3J3V8suiPau75/HtgCQ6Ur4jmyXuBIcRycwzSRT +3njV/7yKr5xUikHvjSdHxVU+qMs/GsEAq2DlC3UZQ29mnO64i4W18E/ayjPIxLkv +VCq4dLkoKXMxdEjQ5OTAgrPH/yt+IT8mIokU+ECgP8Vs5cmTw3Mu/nR7fAQ23Kl1 +rucFpc4LEGUrSTPwgpFgAppwjomzxhRrpMGq+pcEpBhINXto6kIISBie/8EodJyO +4XB4mjJ2QR/nth6m4IR0hcEF0FuPm1HE4muYKKPcr3ed42y/KXo/X1hIi+KyVvxm +XJjNhbp31JmITE7Ewrti7oeE0uWXZUtpiP+W9EkIaz7qMN59uBNAa81D+eJ9dmS+ +rX4Ot96FCvPDN4iF/SRuDo11fwAjursdwD/bsdy52O5ubJG+jGHjjsWrJq+L+nd1 +AEZzouf9zUW82+BHKY17q1yk2qRm8hnvft3k/bqth655dq1U45R4s3XU1njgXK5K +hL7LFmTeGGXRkd/j8Djgy9jnplhzDhq0dlJGKj34N8+d7MLRR0vNHn2qAp/1hIG7 +U2BNfXzOivhnfKVcvQyXqPB55UndM7kHVugFjSPmEniGLglxDCdH1yIbcV2q3jSe +yJdJB6JZkDP9/l4HlvgWLqQ93P2fjrecjnjhMzxkZX26ecJED7W7F83x8VNe1hfN +dCQzMlRtoonpMjnC3kOcaoOuuvU8yggQ6b4OyyR9fHso3yhv9n5Yf723FbEkKYiO +T4QYvEDH8vuQRoaD7o4r+/vz0ba0iDEVF9s2fP+7jFVOhecwBZhf3iciLb47lbcQ +gFueTZVP7H5NBlVCAmQFMSgbcyQth9/w5bs1MR1ce01xkT1XywBf9Yw3AMjUsJ1t +SGWZKI49Su2NK5VauUZr+MKZWmXlZ13JscppxZsveP6SsB96aTCoLmAAZaK4Cz3M +QLSq5gTWWIlQIZ72FHt+UE032SDUJ6FmX2H6MH/7qBRdksUxAiD7ZjKy1bbhLUtO +aFinLLhzGvhOoZVRWqBxH5g+Z1n/dc+8yu3MvlLzH7JD3fLAc9EGdzz9yl+b9Fjd +qp9zPWrnvDd4FYcMRG4+lF1iMopJ1/0hTgsKmuBlUXApcwh84Ak6h6lftARBNJ+y +nldFVW9GNzhoGrQr4mvdJMWf1X0asZ98YnB0tZFSnEtn2VXAyj4zCy4+IXq3f21R +3W4WxtgTJA5qSx0eR/3BFh9j+hXfCR+0rDY5KY0CsDSGw2jwAIbkucKNUR8j8pAR +I2lUcJEV5gKsCgiAG6EwMr2fhKCiG+9umLV493FXBwA5LG/QkUVbW99B5OMjqUqb +jkZMzAp8HcLHSCvNGE2eTjCgcfLyW4wOdeBCZoEN7hyi0q9QrFbp836fUGtxT2M9 +CgW8Wl36L3iMboT65ttYVpXVC1ei+Wc3KaldeKu4Cg1cl+FcEEK8egk0baD/D+Sq +9jWqbp2Jxb6kHx8qiqTndr+B7tmoguaLZ7yYFiVvvSPL7Xbtp+Aaq0KYgQ4IvbFx +EhQy+WGZatxwaJmE6td/shCnn+G2lu8vezJOcOpTzSEeGnFUbw2poSuBZDOIY98a +q0euIYNwJU+3kDvYl9FYuBf9nrq6UqaxtT+xLxYWN1QbHSLdGF1s1ok/B7Dk7QYj +582NT4KaxmFffLsltLabO0x2CKepWXl4KiovYkx0ao00n5H5/IplgLIdNH1zy/iD +PVF2MtyHF9Ighx3FbXLFGCn3qsY4HcL2vSgoKSotBLQfDw7d0HaIh3gmF4dIoq+6 +IYpvs8JMXaKxzSDa4nYdIz2kBj8rnBIx1Xspsv4gGG2dgpZ95Y7xnCXwmxDs5Nx4 +o0YnqMRfnG/qHF66FqSBiTCyzmUFkRTBJ+IXK4Eo7dCujeDpL/SL/1WWC4Hf6oXT +ZYZayjAiBmi8HfhYqtrELvJAwj92wThAXlZyecsoNRYaZSXCu7Rb1cGTAIekLpvk +C5XG58va7UzmiTlqgCHXiPDJJ+SONXx04VevcWA4C2FhE5WKwJmkHDh97NcORn/C +Z+7zLv3vHkOksqL6Os6yD5Fp6dWzogbXDMWGYHJD8mI4XaYeaJinp2EsMWHWECv3 +9fOecIclj/A+8dcsM6v3AK8gm4fnPJIEoQpRikWyxfevsJtUnQSFA7yOTxy3c45W +Jpb03Zz9yx8ZKZEyki556DLr1SodBT29MwE37KtKqmYss9LgOsG7wzhtZFzDuDzp +SPougLxiCxObq/7jllt2wukZuSo4TkuXu7llFyB2Er067Rddnu9pPi8enA/sjima +VKzrQzWYb0a+RkAydHrLxjiJq0JWhSf2/VHkdPLUGslj29PHPKfGzxwSzHN8Q+KG +Mrwcxbb2cU7PLHoFIPLeSv1HqOPWJ1wH60kfcYkdTi/vNbfWA7H2zqWDpCGe1gbP +sZdFqtst5KQzkviG85bkeljBjqCS6QN7NC5VVyfxYRD7ABPsbq+CbCnl6eUZR19A +x53+Tr+MZJs3oK8DSjoMQ9rEAULGuNOVmktuZPAMX/DnwVrByT2b/URpZFLbRBOH +hIo2YzQjOg/o0BKeSd1G2znqOmDxpdF1LWFOs3HX8X6/ZhQVocbXa9VkLbKBeaUz +SNyWpe/86BDDTG5t8xe+FZuCXjdEgEaQtCZutQTJK6q0ttgKeOzgRiILJpHj56Yc +5eXB4LWUwgxzHPeKU6a0b8aIMy7DKEJOBXKt0zE8qyHtc2xE6KEX11I3Y5Lonu0t +1TRCTOoP5fkpqR1DS/Jq53+aBtMsV65D5fl86LhVxV7zwoRTpyI/KIPSY5qHBGhw +3tbDZvrnqVh2vle1Olruwq6j8M5OscQIv57+HDZrSet88wKnpV6KKk0tvCsN+53X +0h+Qwp9SGRTvHaV+1XCO0tjuLGR767TLANv1pyYi7tOrzag9jrhl1M0qmWSYKGyk +/eqJkQPuJE/rrIZqx1abK/oDxuBIw+GxhqrWyspK2H8yJFOBMKbPNSCtvQGdlAu7 +z/C12Kb5tBtyB0rTLyI9qy8PNOTW9SUO3KEb2HvFgh1s0X4ilQpndKhfJ6EjSWqE +Sp4cNygKIas2lIuqNohYniD3VwHewc9pn5jB6R7DZEOiekeAq8MWLZNOKpRmcGHT +2oE2kx1Kb8ta2R5VuqI4AzBgKtmTpzW1BGojxdTi+7VhVXWL7jh3EMf0laj1svtl +CtDJhsJNxZ839ZnC2EiYIQtuqJ8aL/hgC0PMKLgQP+lVBnbzDVoxwRm5DCLgjCzk +IW+5rKbLcofzTrK2v/A0ZPLCRD4IHXD0ty7RDwChnGNeOAhll27I02OLT+OxG9Pb +TswfiLQDKRk5OGrY9MyNKHdFSFSPiKekbf/xVdCOqPpGb9dLjMd/+VgKBplvCc8U +L2wGAlImEop+hLKkLela+nEB0HtUjYgUkD06n9yo11hmrqRqxbSId/jYvkhkOUrx +EpcwtR0em+qcX6om0ILq6J3HR0CoTkPlvXqJvGrBLQNWC2MUbOL/j8OsqGiSWPis +PzeVIWv+GxsLYIP6arYBRQL6TVNZo0+Bjx/mbzls6t1t20knfOtLLfBlwyAGimMy +37Y4Y6DbIyEXfpo9yGtxEH4XKTF7oZv/XayRU2kzHDbfGt1fasKsCS5LgyhAjgVh +F5Azh9LPreUiKN7pCr7bQ8OltiXs9Ly1nMUn8IA2sDLoEaWf6hOrwNFELlzDT87C +Yvi6cekjWbzkEiwpV24fRDRXe0BFnEMLHCLWgMats1JRaLvCI0Uf3jm2L9o0ZFbm +TNK3r4pCfCMY86gKnhG0I54qC9PR7EcYMhKhlhLwv1gXk2rgQav3hQi9Eu0UgWnv +h9j0ynM/auG+1461D7u0wPDJ4uwbKaiqgoMzMwJ1GcHxLHLQUeghL8C3aO8VKfiu +zGimb8IZl/hNDGKIneICFHzvGsFkkSBEtjHU2CB4VwCWaYIBnoTdy+N6/ZG50ahL +WoYRrj5IWokTHFyxxzoISKTctBylN6GvlmZhHSBAO5oiE6NbR8iRWb07QQvsAQkI +oU9ldbhLVku9sfg1gvbLx5LooKMX73BGum9WlIkeNf4mIT2uDmhx/ATEVw3X9tq1 +AJyblct4556PebIrj7TYCXor6oNS5QmEXqpCqHlKmrYwY7rGoqOQjT5NUO5+euwJ +ZguYAlehV5cIqGst7dqdGTGsP/rdJfFR8sswVvhXY80YmWTGHcfI3OpU0oIQi3rU +ak+H8x9CvrfeTKArbCJE9+de0URiIk9yH3y8lQCqPEFfcX/nf0GMuxXFN8pcysGn +JGN2T7yEG0BHJTuTZDNa6ZSpfaFD9O2VQK0z6J7ZYC/BassGpv1q+eGXtz4cYtuY +2BCfkbPlOxY8xe1/h5zourEkQ1UEVC9uNWqK7zPwt8zDkhltA4fu/AGAMwcufwdP +x6YAHboE2FJwKky9Xwmgpj/oHqQkXD1h0r0mV9Izx7F7n3QH44q9Kp2qk/jXHQbU +wiNWmGyF6jfZkHTcDPbgaY98HoRT1u9Yhj/ZJNgZNQSVhQEoKSwSt1ubPrAcRifN +NfCfn/Bm0FvlAI9yTK8OglbUUobA3IaEhPQqCwBjWWOG2n91hVfbUna3W6hLFGzL +ddxVopweePOnJIvKu0nv1O38eyMYefSDxN360s61BnIf1vP8EJ2w8Ykwk8/tiwGI +J/zdluXgEW8y/3L0SHwT7jHoHe6vRyhZ2/9rRBdIgEaQqNo3zHZwVruIPAm6lk05 +XQFRa2NCYjl2pFp6J09HcYrDOKLpOn38IZjO6OqZVZWNoRJmfrDIpeonvp25QMI2 +Ki97JJm72NLBUMs91aZgmAtI7s5uqtrlTfFVwSf8HY/Ti4svhUM8YcNueXyAeSbN +016pNsOX1QYP6TnTlUzQ3GZ4Mj30MgkiuuFHxef2yo1goReEKvWTDBfwm4X797Fb +aVrWO/coT80Y61MAcxH2e2AFSSz1EPv/UItcy0mQzkjCL7JqvCGvhsFaZ5XCiAFJ +uHEzDWrlFVPUZ9n7iewbSLjVVEuclDrwF2iPrkPt8C8wMRv/51pBhdjFHQlYM2bi +EkXMx/nbozgeaWD9NXFfS7ZoKylyeTVHxCmPsUEEw9GmmNR+VBZZqisqPyp6QaAM +xn7PCydWZ5IEeis9HBgTULQSz+eX6bcg46i0sLIvBmLpq2nBAgE6Tea6fXDTciXf +Dtmbt0ftTw9xQ3Dd9g6qUFFDJg8K/4BRwzjjCoLEFK7jupGbVZe4j0OhGA02d+L6 +Y0F7MWZKHO0eAUdX5ucUR9jYSDsMPTBjnH/ubcstRSX8UD8zpo9+ULETcmJAaVNi +izOJTiclBoCV/HnI/7coYujr9sbeIbq0BgbOGjTCWnKMr0hLTlCdK3iFEtTwbD1/ +VeT/Y1b7AMfOh73SPa2HZXEwEACjjHFjO9fOhrBpIzyNCUCROWoVZBpqny0xF4tm +5coq1PtDyIOQSz80QkedxUGHz7kzpJQ+BpJW4N0E7O5aitPsGgRpV66uNi53GUnT +BPyF8i5VYQF1QGneIyPBwpsNL6z/WGOeEYMJAgP9phlxyUHA58OPXLkEdB6iu7/T +wSzX1gYG++O3kZpv0gSaBMOdMXoH8DDqzwBN05EjPVwaRSN3arlhRX2Ag+cQgWW2 +11pVEp4Xag5I2LXarEqWT9C/ZVmnMR7jIQs5/XapLniAxHb2mBiJJ+l2RbQLAcHK +B4M1zRQ/6Uhvngk8tPWT5c4ohY7xVODSHAtVt0oZysP0kAhOrv7Z4jZaoJjOh53B +XzJYHLJcXKMsd4UIaq+80wwdsanW8+az69rZVn3hs8ffBCuP8qS77jOH70Vaqdtf +DZEcP3R2T4U0KpjIP7vQiqfUyl6BCjtC+DuzEt1BH/DTuw+KNTvPi8MTJA8fUcgl ++Id7yWXFh0fvGoL7DTW5ak4Y1WGkMxMoYw14PEMyA9khKRfWjl7g5XbOjSHWojWf +rq+POwnaZ3OdEXReXuXbyZ7LjC/4SL9Z18LPB9MWQBJ807/LBpV2CPSkQn7NV74E +o7lECQPlluYBlwHk5KcLre2uk0hY+2GRUxv+VgCnboqDvxPj5H1eQHZTTgkR8b+s +/c9atBGPU63xVSJ+T6u91GurKIS4k4YcjwJnViQ+uusEnE/LAVQQq2tnCCpDu4vu +jp3gJHVjkx5Oy0LVKqXS0e5V0h06ciqkHvgxfZEtx6WXnd9T8+O+3mlhcP7ZX7Qn +LJSHRiJJh7m6mkmDY4nH1yaOu1w7Z3z3OJ46W91NVMGoAIj4ErUYQO5efEEyNI5/ +2FgR4eF46PlO/VPeIYMlixKUd7TRBoMzpIe5lqY/obCgwtCBBsfH3WGcGoq3/sCL +/XC8mQoQjIiW4qZVHnSV3vx1Yytxa8Sg/0I4esCNl3PQ19Gf/ZWH4xEfuEiO/d1c +lL1wj0yFWpcmROPcO0RqZrvtZD4lA4Feq7O8wEUhPWH8d98CEXbgbJ70QDh0ekAl +x1l1MZbGWeStTE6Vg9r9unJ3v7sRxKsURHgzB0WroamVLFPGhPhI1V6cVK/CRFa0 +blacE+mZBSRsj0Ti73Tt8gxZSK1N1tENsF4qdUC4mYH0xQ7csLyc/rAeJO7su01W +xqALkjYoNxWPCytLWAD00/GF5nJRxhlt4eCxeFeu1gc2D9oxtmljiFcsjerizK2e +APvOzj+Z4RYJBs73xru2szpzqHfT74ap/FPac0vsADKHXE9LpU6aADJuT2pf3uM+ +/552GRyECSOVJDFxVfO5uklGatjUL8N1Zyqm0d5TIHFv7wmvikZoqh/gG3ioDTX0 +/NUo9t6QO/e79zp5UtSdUTR5Ao/Z+IImLJBmJoUCbQcE+X9HwWpazZ86howCtZwe +YNyPEy5CRga84h/DHJfpETKGJ65MvMZ8gNrOQ5i2cHkuItq5SDpsigzhwvrr1b6i +XYCOsdjh7DEYCAJ/pQUsIpi7nL+r1WUXCa34Na6dvYOQI83MUqHrHlOZXEBA6+5m +WMZUVow+j4/UZZwaw4SYoFGJdIu+hmkPWxEFNn4J9bML+pr4dJrncA6Go0qxoREt +8+Wl3BOOgOqzHQBlc79Dvdkn1ms0JX1xv7+kVLkZ0SVl69Ga28bsH4kLgG3997Eb +aR6d/iYAFgJAhIjW/m70/E2h09HL+/FXQAqiqcYqxF/Gu728g0lQC4itIQ1sOmDJ +t+9AxU/RNHqX7dUyBBZuckWe3kBSvM82tx0MuA2BYb5f8WAwo0VPyYZMpgz9fb+C ++lAs5TPQpQ/WUMLm7umPdOOyVXRTtgco40qfFsuy9qrQMSJlpX9MGzcA+1bfKGw0 +fddRCAx/Tf+rhka/nTP4aO2mF9aQquHFUH/Lmm0brasrdikw5S5Q3cRDGpnAWdnt +6NT6Q996nOoPEIsgnDdLsGgW/5oY8JRur2XvNNs6aUt/6DslXHkyDzQ6QT++/QJ0 +ITzbuzaZTIE4P0KwF7bY48wulxsFw6g6UhWzkJdLRE0ElR9YXU3ylS3IaOc21VOw +uP9kJbFL22U9HX9/gIhI0BB4MDIjfdFshlyhuFr6TPQKeZIWcBpyyLaxpXIBNmKd +mUOscFFFiF3LQULb/Jjp3dbGU6DPiFtkL/dPr5d6NnqQIU9rMLKF3tNInTns4nkP +lkm10ihNtDukKeKvi7BXkPfR7+dNH2RRxEtDpsCzk0CHpY/4x8wRVs6h7XoC/hdK +ppHUGS8Jww3eJEm5b8QJW2148DF9Roit+4JjHPiuSebDx5qyUXpa2TPyRF73PCpK ++mL2CoC3h4VI2qBERtAMM76POG5kdJG/mx5o6RSMOT3/21py/sNhJU1VPVbX4UkY +rkvIR5HDovDReMhUCdgUmm4rwtEsEUGqOwUD+8aB/Aq31hAPGsGHVjp+PvwVBwTH +11ZB5pdYlfaVRt1chStR8j6Roh3nOKfbII+fo95BaINBsyTgPjGY6KUWFz9i/4S4 +s/AtCrVbhjLIqGNhEQVpxVGDSuHmfxWzMdWIOoBklEoN/1ykYnxEt5Q8antDAhHB +z9I1xtxmuAHZlUsuQDOR1ZUq1RP4tDYMzfyMBXvJKdSBwfLADzvaTXDdkg7d1Jy5 +4DmH41sydcq4HvKiM9gfqkXZFnS8ZuZHSUPvILHyCaUH6h+MNumiCoVxP8XHumBW +Np4OnpiKfWxTld6LAAF4BP/RNo4E0tDY6Yg8yWwD7IiEWAJRC5u5VhQFEzkML1yl +/VN7hS3y3CCUxmB2Lk5460n7/bi+Gz1xVXJVdId1bVVqwFNvH9BdeVZ+qqYjAcm/ +1KKj5+MT6muPEXjrkMCW/AXKwPRL7PyaXZVk6SloryWtr799P5P4KV0ykQakuGGw +HSIKC/a9C1fmkxoNJ9OQyYr0IXYSIge7E9kx0rDUZzxZdnpfjX+7UnXpMysm91hM +aq5oSMTjltjrqBxBTg2ZdPDiMCMrd6O6nX1ITPxU0eK2kjt06J+BpyZTTxxKB+yH +JNBRVORquTU02QYiwJwyOLmkzamzdTynH3hOtuS95kJWzu2aQPa0fQm0QmVy3sA8 +Yh7Zg13Su/jxIOKMQBa3J5lTnIJX+dpKURVDxJ3jlU8GLoxPVk+rLV031w1qkjxy +Ej9n2mdSdxXgxsIwZTcxj4UIeB+wnR1jBApF0xCmqH0nBAWhDKnvncEMfV9VuM0c +kFJBkvoUk6V+jRz0T7bF9bMrA9MuUhH2xY8Q9S4pCmrni5aHlLm3Wng6jtk9V3Fu +e5tUm/ioxeJDKk4PcuC0pVhA+BeUistN/lbta7RXM0/1UDs9dMjCwVoztz7MU/Hx +gv27db1cygFSLaN3Nk6p+jJRoqCZ8NOsu1jH9e8mTc6hw/fkaAycDIKyscSgBY3y +wPUf5r6ODb5JZ+NCFPYnsSi2Bcz0m/H/LtxULo/iyUXBB+bIk8z4qqq3cdUrqGnf +QQSCHEbPdqGfT++yKV+R3ESFO9TWDOFItZp+gI0lET/V3f4dNBnclrM6iSh398R/ ++hv1xws7vPHgMtVlwVJ7P4dBy2KkMq7ZtE5q7q/aJuOg+HHT9Kw6mhu+W8nOtSiP +KdLqVLA3pU+MFCbJsXdokT/Sdi4odYGwgbXkgxgaVebPnWqtW7GZM7H/3ixUqjZX +tPvTEd/iNRz7mijCoc5gBnmxU2j51JHAbO2BzqC5h96SH1khPTU+s/5G5wc4Am6p +8JQE6fb0K4GvEwAcsyy7qIH7D9S1rvhOoOBPZ0dcePFcHnzejSqQR+2BLAxis7+f +AijSNZSLWwIWaVQ2F1gSBBsMUzNfYlRT1rVKulz96PssVt1N1alaDFt9E+8XtNt4 +2wbJmUw0JyVEzB1ec020AW2S4vA/L7aJpog78lANUtXlCYCFO2F4sjGANZkRkor5 +gvKgGtaLdMIblQMf/7V8XMoEnU+XbjqtDa0UZxj+SlTHQhyVA/DitF59KsCvn7Bh +56/VsZv/LgZREXe4Y4db210DoMBTY/tc5I67Al01OLKpKXvOPZpLRUSkE45qe89t +gkyVDRln05SQLALyNVH2lL5z2fUoTOcLV7SI4KPCF5a2x092oSONqfzD2Jha+VFL +4X+M11dby+YR93EpD8vnOJC9wEORqaj4T73u7oTQOT3Vi48ywKW1ejlps+Z+0G68 +ykQgMZcXCb2MVq5VxcfdCkCWKE0NHKAP6jG+IuOxIgFrwghCATjwCv3tyTaY8Xgw +bafEQQHIq2qaEqpK9J0Z1LfZGmEBdrqETe+vHWc6VRwsoi0xV1iaDHlLi0x1XLAq +aMAGkxvYZNJj6LggpgzruvB+sdGWEMmiK4CLVIGUI0E2aAzld9lx/gooOLaJZjFb +QoIw+aNvuVZV1D2GVwcqNvUcgN0uALBwKU4iv5Ti6lYGdGc8EfqisWj1B156x3xj +QxagFAljEjp788jiTWzsBa+g9e2LyVU5KKHC2YRbrGPEBPDvIeBYE5tHBSQMkTrW +oZEaTG4/El2Jqh1vFwN4BeoXEx6XUj9vxz/wzmprTLSlVAdC4zkiPQRbWuHc1UDH +5VuGspthsn3EGHO8fB1M0tjUUuo28Wlc8+G1uC5wQFyokqfeSs492WxTYvjvwJS7 +V68WMDsplDghymtfc0nuiknnwSLZuKbhZ8fWzdcSQ0xIyksZHuvKXneAi8kCCQHu +RVSWpAMtJZEoP1eldS/h1Uq+0Yl5OH2BzMksG69P5osBW9ul7qKomIcdBhfDciC+ +J2xwKbPq7Bmn7wmaRvJkbtCWg68l3uZ49NiS43OZ3yACmNp5OVC0tW0hlfkEO6X+ +fq4CT1TeR043oxHeDceMQiYKI9kqtcIr+o1P7BF8au2Y0B93aEUmI4E0sHj31RNh +XzrWjc55X5s3kw2z95aJZM0PcRXT6CAZ0sM11tVJpaLw/fMPZCdFOx4IdHXnu1eY +T0rKgQdZb48YcOl3/qe9aDu6ZRK+yd/JX66q7fPOrm6Vm29ckvzl+StESp/5jQVm +a0vltd1i2Jk3+McYth7sh116HiVqbhF2twH1BA/5pthP3qmb7/Te2mEYe/0/QBWK +w224DV+95FlPfccdMxBt+FQIV5OxzZ+BWTTlRPqjBAiNa1yeuNPvoLuDdWeCLAfj +PscQHROuhj7WnEcwrWovgCzHjCxbqy05IwOoxtP2ROoTroYmsx+cZqnOe1PW2RiX +2GDqzIvDmzmP+HstdwfX2CHu6wxbnHmUR1LBB6jnPr4R1RyHB91/syUzjg0/uesl +bCbcNxQ5w2NQY+3JW7xDayVzZCbkn8y0GxWMGIQhJGDj8egM1gsYV9l4XfAaVikN +SYV1iHS2Uh7UYqvheRe4vOWFz5GPALPZNH4EuKdoqdc3moJChaIF0cjSxnTiuNqZ +cj4sVM3miJE8iJ/su3tKA0wkZEX02iUsUYv+f3WBcFEOaZjH+mdx2jr6d2tYj5I5 +qTBvN+crcqCbKc6wic866QOpG822XqfaAxCOW2QQWvtf8QInyHb913+6g163POf3 +rM9MAr0hMoOCedEsGGJLbkc5RFtoGu1LtZMgnDnr1XQ4s/MzIWLojoZ3HxyHknAf +1ZlMq0yO87gElJMBc1vlWxRUaLIC+kHPnhJFP6eKWAx294vNEFecKsJtwXg0A/Gd +Bgl2Go/6ZG+HgjfjR31MjC1AGIqItwwKepabG47mIt/vflI5qZ4KU/Lbo2x5sqe0 +/WAZyrKVWX+rg7M+6Z/oDUJaY3KIW8kjxIZ4o4DZ95t0nSU+CA5af9DS1Tirq3JZ +AXzLs5hAySpMDmkCECLc3DXuWER6UdC1k6FuMqop25GIwbv+4179iQiZo40CHmyR +ePunr1NU2IUGksXlWOdTo7hFQ4+Fv3L030B+0eJ3ZeW6ab683C4zwmqqpMmsmuP0 +PyHGLROtd6mWhnb/xM4uSnJjuNoNyv5sr5NW2PZeZT0xhdckV/IsA66c4ri/ahlc +75QZHRv39IcALDtNKYeOetAA6INGqIwB/KHwCqzn5JVtM6y/C2nYFuFMh72DB2N0 +n+XIK+KX8E5qq0bZmN5OVFvGT1BQ5Npo5m1YmYKLJ6UxEVzdgHUErK7M/Mqp30rL +tqoBI3/v7iu/jB0lFGiPRmo7f7McGJzjQ/x0+SasIRMwRk8KIbIUdnO0leI7hoer +haYxps+c+XZDNkS4eqtzbQLxvNxFTFoq9ZgNPkk6xzSzQ5uWvxZlfuykuWmPoJBp +dI0ZAtd3b4iN4YYp3jIgHJfc7ElJf8xQ7Ve7q7B42zmecwU+WvG8a7LWHPpAA0Ph +H3h/Q3ETud6mloe24VNrp53Lil+cnhS/TkEUfmwNT/tELG9FdKUk9QsVsCwcpsO1 ++QoH6dud5as1ue7QNpIj6le1+l6p+gOT/tAAIF9K47Sd7N2anN0jA0eoxrI950Bq +ex/Hf+uFu3GdXqNLJzoTHoNIFzmPIFxzNHv0U9NN0yfc8Y4nsmqT8UlIBJiDwL0M +km/SbPh7CYUy67xFgnAhGkLbl2PvM0taUD1RRA+rR7v/UQpRwAkhMuqJpUMoQDnP +IC+YPyZ/uFsWObQehR2nT81frjyaQ2CWAf/XIGD9FOqRiHUe14fKOaa0f/Mjttfa +V3+jPWn/KH/Q2l1mf6piUo57EMXYUS2Ia3z3xvZIjGm2GeascD9xHdRh7FebkwPV +A0q0x/lurG60EMdM0UnBD8ojZGwy8tIKtMVPgG/n1ijMMZr6XXJDlN/KgUka0tdG +p5CoQEOml0QeW27tc0RTBVqCW7RKNSB8PIzctSFcXHWPVSttBIZyn+4A197vSXLW +PgFzUC7diTz/qpfzFj+xu4387Ls3CS73I2MgWXdO/d1QHv/RmSPoXUlfpbxp5zh8 +Z7FWl1BcbHEZ2wWYzTJAmLqabpjdjMBF28Mq2jSAmhcII74XkQydxoFsTFl5Pp93 +4BWq7hQmyaSFA9SDjENqQV0AlecPs5wHNzyNyLAHVK8KVk2mzfCpysxcSxD2kGpA +o/qdZ3nIT1+9vFuCUIBjGhjFm9CUR9n3ZVnP6aNXX34KAtEFguybfzn10dwD8Sfq +kvB3/bBQA46F9siuI6qOROC2EhKZL91lbqQOGiUnbVjZsMrRuCLwA3/TVmdcrbp0 +n+8+CJyGdsJ1A383klV8MHsFoJZJz+EaZceItbhlYWQZ6jWQ1tzd1Bddj0ANu3ZS +xBjylMbYOxApLBBRHBNnFTMDmesz7KWv/vA0QEwHbZ1MWsyaPDtPyDVNiS655Y0a +K9l4qWrApYXA/I8ktcEACFnjDMtvhMAQ0rRvfPJHXh78WaPnfBg/nQvfJreOQydn +DVI2HQqYX34yGfLkhsPrm/A5K4ZmMdV0IVO/aZPZ/3gMnq5FxCRgQ1SAmQZq4Mh0 +kG3ZnBu3UFBE0IMqkYa3GFr4ZxZ6Kj23uP13G3NxLpcNo0pD/1e1n9Xy7wmIzazj +Yi6kN2ryFOK+Mhvnd8Poxexm5+haF+902QgRiapXfIIt174rqbZIVnhnvXJNa8h6 +YO07wEnSc/aCaXl+MkhGtI7VWcMFRKtNuzOiih8AJ8TC27Tbf1pzlWY3SKT20diV +8WXQkGngDgN2yQeKDdTeBMKhXe3K8gLZlDBy3fB7HHKuZJWK6ZdK2/vu7VmLJo7R +WU4L/zhJ9BFz4Nu9Im33K4d1+QEwuh5RC+3k+KrSHUfnMyXQOOP9cpxuvYpMwumQ +0ZrZL85cbrmpGzh+kcQ8QcooNALueNoDoWc620V9X3votNc+Vz0PqFNngPf+lsBU +Kl59B6KFUbACAVzS+ialjGuhE/RKIR0bWrjY8YRresz60RQLVPlQiTg6jcgv4yy/ +mOaYoRKGXIe0LnAX72iV/+P8q293Kue89bcsq5qpvhh2LHjhO8q2yAjxc+vFaRb1 +WLYzIkz/NvuYoE6aqkBFl9jLBkHZ04MlwS530RLlYUuWAsM39cR0GTSxppOXlD92 +7zE8BBjfFy9vvmIz/kPPcKlmEQdXkcyDdy2sbTrOvQSWzK8JzjamOPfZB2Wgc8ES +VvlDPZyVaB5P57k8WwsFx0kP3Rk1DN/RwNcy5xaSkZPru/9MpUEbzQE8bLszKlTp +/vf6nU+SEyEzYkv6cbi4AH2m3TOuG+SCRH8MDXR2egr1fPFrFxQ5qrsRZOpueLlL +W99Z/2XftgBevLrrHmRJwmrWMr8p5WleSMB+KOfUTszTC9EnBT/G9w48DCgwj/fA +jv4ikPdxIPfm6nwUtqlXCmRSF9A93Xfxir36Rb/3r7ufY5afVnjXXFP+DHJ5POP/ +1C7fWXCSA/INWj37ivRRyg+8U/zH0C9W0JCaxwxaV4nUtUPfB7b9qVxzZI8fCQru +zWGz+OuMC+QdcKD3g0rjW1w+Ozfrm1sx4PB5si29HIAe5lq0wycMNyc4YkWYnW5X +zGPV2V7hT1h9S24CGvkWAQ4uIPLBvD5Tr4PuXwzDWfGxfb+l92mgRn4Jvm/LAz7U +HwMA/mduHyCVcYO5EvrWuIEKZUAaj/PMgqlFLp9jqdwrsm4wqiG5mZs+6Gn3DF82 +y1ln4A+oB+5xbtlVqpT9j2XRt3XT2PapJG2vvQmXbPUwoR1JJm6R6Z/Ek2hs6zxH +1T0Znp9wlALCmRbzYiQ/G6+MuqHD2Jfl87GlckfRDGW3MV164IV8lLTpP8BP6yzg +9JM8+GhywvodumPx/WqoatI29fCJiPX7XwHmzyqGWm8ko3Mymp6k3ZkmUrMdrJuK +kB8/KWcsTLabsy40s6JD4V3aWij4T7ZuwIhxeogQQbGGltBjEGPl1oqTmBScM0VB +wU5WOmPQpP8lAP+SCdckPzreH4Kiftduml15hEu2jJr4Uv61qQqjYGV60LyhnCbP +ZaPervve1mjMQji5DNIglTwhjDZ6iu8EAygOu5cg90ccmEA+xUi59wS6L7GOo9Zs +BnBEptpjgLM2ryO06c9xasEcayoSu4TWB55RvWaqcgqApNh9sp4OZS8js2+BTitk +oUuqm6mleh/5AnVrWsrkgvCNANcQ6FKBD6XLebVBUZAMSYo1oEMgNbztpWfGa5wl +EmqVCJOgu578x3S4mTtTUoVkznAOMwuK2Qf7NuZeX8G/UUfVNoAVvy/uFZ35xIY9 +06olcER4lrg8wjGYxCdmPf424jj+5Xk0oiLjclmHw+B9yAqt4+Z4Ywf5tgKp+INu +q/mtYk2lamShC7YujXKXgRU9yQ8q7U0hQnx80pnQ9VXKoeTCnzSdEk+RIEAVm0xO +6HMQBT2/BWGdecWWWHanCoFxXd7w5kzmNtTlgQcXcA3/ZUd5xZBJ6mDrHNf7btzf +2DfRdXdE76xrGk8Km14702+PmgxjXXwT7AcKwQFhPJHDPSE69azTD3L9vc1EEgPK +bVkrsp+WJp1c77h327AcloKdIYkDhAY3GnBOGCWd9Lcv1OcrQI5vYG4JAIKLtUes +6qRwmTpyniNuHNPSTNEYNh/dblTIbkSLe/ZARTgbhchbdpip7kutvXOX27m8lkUz +XRwEU6l7CdkYAO8uUyuQtfqIDpetO/BkkOr+iJ05tdeohpj87ZsTjsEFRos7yM3n +uhJe1OKAljP6YD2ywahoYhlZ5wgo/g+/+1THQTkHa4NR9aPHwlLnxxV7+St/0YSS +qJCWYpfhsKBa8qw84LAyR7g3Y+OrK+NpUJagN+U5DADs5g4rS6zPSevmS8Z0bnGA +HHPjMNf09qvfc3dJK6qnDxTYudbVCqBgmvCjAAHlja4IWZOw1+S3Hasto9KRMQrw +EAkxpWFeQqSsD3yeTJeTIfS+3VT96VVvhezDGmEx25tWaCkorIgDhf1DqSJ3+PJL +ZFiDeaT6wS5916D+TYFj9Br+zjgXAlsiYqzyH+Vx+rQuZkqGqYdakFQw9vP5jvfL +I4xolfUm+vMOnGkkw8+Fuv5RZQIw0EEqHlyE2qzirC8/dS1cWIsnR3q7xpsh/Fla +Abos+Tq9FhWCgCG0mhhML//WYY33lnQ/ZHX4WKfUOjD50z3Yr3rWmuC2M+7CWTlV +OLKPaUH5FBze6ZYCp+q4bPsfwTRa4JKaS3xctxzm36W6WOFovgWfYCphoqNyuO/r +yF5jQ8rwyNgVGg7RNTM9dkJAXl/MlG/xoCrKTFoRjo4TDEAKT0JhLqzA5tL/7G7g +Uu+P2Rodh/xCRyi7yIBdpB21/Ba4nmbAZKlAWIDZ87WgnNOZuUYSblqIZjzzWC83 +0vku0a8I3hyK20cQTZNpIwCtrrU0/QGzFXhLzryUQac9Hcg5fPl5jX7Q7qV5Kxmg +rNCwkFjYvDEe3twEmMU1Xps89AXolDkZtISkAuC9T56X3lPBEe4vsY/OrWo8xaMl +WC1/OLRx8GkUnO77FfSdWDEfmuKN8jy1zQXYajiiwda15EIeda4GBAWZqSDoQuoG +QDhGvALezYFGJaA3PkcLYfuXJ8Sk6IHZQSjPa9yLiLmxw8t9czUwYc/6xCS19p/v +O/LTMhWz+aCJxyPt7tAi3HGpO3pqYzrM5evTzBjVszqlvNgXeBlWTdO3KndCs6U1 +FkhLQU+zdY6TaC4HChvjWlX6aLw0patu7zwrU814DwlP+ddFSlYZ8qu8L9xMR4jB +/nwXmCxlR/91QxZPY5dUbHrwQMHA+7TGNUEgGBVhqUNTGK1L/NGR85fXTmk5qHdI +Dw0FKb8p0wqWsx8b5a3NcFJuWm7m0m9X8IzuCPGZoxBTL/WF696aAUHhJQ6e/QQ7 +7zCt9nenAtTKbIJzLmnk/YIKD8LQoXPSJ92oPMtxbcjBRTNCZZ/79agrqzILnNhG +eu0jhBtKVcn4a7rllUyScKYgw2wVtE+45vNN76aF2mqiYHeYVu/0ZETdA0fBv8Fg +3MYzxoSCTt4sq2S8Mrc10LysccEllKOvRG0bqKiqfeRxUvy5tbJVFMr59nyhlnH1 +RwHm238JlatXfSrj816UNXW72b9hUZQlyu54AmgZX/XDj3Dut5x9d0eAWcVaPfko +UUtripCE1XhuE+oM6JfA2a0hy247v1pzRPsHgKJI/utPT0ZwhP2ymiWODpD3SSy7 +cMZeixTqgEcCthLw1q66DvOI2opBY5InxAOxVdr14TZ+cOGhbVh1wdoCFjfjmI18 +6ITgKnYdy3Vtp9eDjyShu1EyONWed7QsJCdqxvf6zDZtO9JhMBcVZrbEfGyA9FYq +GWLxO3bao6cxalknEXflzXlHVrEbF+MQFVmNlgRzgfkUcWVG8/7MWRRahaB7tWlY +qe4Q9KKxSwFoiFXH4Rj1pRMU5m2qez76lZ6kuy2mqF2767kb0nJEevzmhrrhdJIH +EtvsEE7yUH4j9OyFBMaBCeRvOOKfoYgmpz1yArYaNh9tUXomEl/YVpbP+/jnIqZi +zq17yDhbSHYVqo31snL6/uowqaCMrZBqMEzWkY98P9H73u6bV/2GmH379u/NTP1u +8crydv2RUpuLiY9eZUBUAVT0V7jjHMztHA66nsR5x4A12xgjg1UhOiFrl0+SUl/K +36NpE/gYpJaxk6J/r+lfvvukfEBr7M7zuwKJEv/FBQ1BMDKr1vYIRML0km4uTeCD +vv3OElo7arb5t+jCKWfVFncL8/LZW1Gb09SqZxUTY3KVDovIJAOOzPAiaE2L7pY8 +/tceJwxBM/3GDJcaXaH/L/mxpZi9ltnP5stQJAUZctvmzSg+sQbD2hwbyJ5iNm7f +V9QIF2IYnQIaP5dIPRfGgX3gpZHeUrnQBz3lLOZgR+IvhgPp2/bJp171pj9uWZ6J +nhXuIfxBLHDpHhQ1SXiZulyc0G/4Yws69WGKUkKYltEQNUl6WqWYxrsDTEYB2uP8 +b35+JaMIklomJna0CzO3fUqQdUGZ976/vk4UsRL+g8ZdAFkawzd2WIsjpdMK6ZTT +oKZ/UDtqWQrDjt3tmAACLqLL+binqVLvaTzw4sl+sBiqI4VYuNMGKclkh3i89+5X +4EMjNZxycRiYQb40jP5FiH/iA1BwiANy01UeTamm+IUYdpvV8l91y3GX2jW9rw+9 +deWzFE/GJi8nY38BMFNBaohnRRytnEQS1IbjFjKPvmJXnx8PsomlEFpm7t2h7RA5 +IKpuzt103jHgCbBKU0bvu0tc4Gfg6CRZHEl3Ji9i13L6/2NeBswBIcSWk8Mpv8mn +V7IoyRq1TALQl7e9JA+GfuXLY4HEXZFMZ6YLL0fbmu5cYUDQQo2w7lxHriVtrKAI +NBYCjWmqpkEfh55LRCcJoCE7Py63EpaDkvPWUyLQ4rrA6mf0LnIotnvOL7gxu/VM +gzAEovmb6lLFQdy10fa1wCcsv9UPQX0eSIVkNSFWPzbDkI86jQNMQX7DTmZayV2J +QJYZY/Df+PTxO1PIUuvZCXPjWrBiSA+VwygCE7mURov7Qxg0BNXIyTEH5G7Xh/To +y0KmOGDJzcisLj2YNlyvce1YUda8rXm0EtAMozg1JdXsFIBS4tj5BixdzH5sG541 +4dtrCpfGV1NhuKmL45D4SKx/B9QGfZaHyxyWPjScdfWrVrgr8cxIUF4VCNi6mwmt ++vVBe2Sd5rHRrYXcp7yRCBorJ2a40rsU4RLAXNexZFn/mvNPNg4KzkR8pC/lW7eK +r7Z6xOLp/jbsWjvK004HC6sT8E8OmIYHjgXrCqkjzFfZ7gkmWxzQF+NuCacSPU1W +Pl82dM+qhrHAJqh2we4Rl4Tguvcv+L0hsEtpgEcZRvWG194AfDwk0p98jE+tYvxP +wMTBy057gZwh8w+8FFfTc9MEMYAiXCAPMU+TKtMyGdkXxHA3C8ugVD9MBOqUUeEb +7Z4m+J1DG3xvtzYGKU9e6OdMK8OZo53/UM90DUwJVm2Gg3dZM+V/8fkAfCpohmw0 +jpH0Y2LfU62jt7ADMgkK/dCMikYn3ux9A7jr5/Sze4mXS2wWZmdNHxyKuBAsGpPa +gGeX/IUC18tFCfKxVphN3tl9ynr+kHElX5HMj9W0w/Y5Dq1xyz/JpkNgX+jUhExR +I5Txluw8XPqnVS1NpW9y+9/am4tkQn7BPeyd4Xsl9Q/dqSU0RXj/I6+0o2S+12ty +fI959AbBO9RGJuFE1iXawyxBPt9/3rLCY3ZvyjtvKuMYz6sIGVi9QtJ0AaVvsySH +KXz1OYudHX1gmoBo3XG4P+Llh0bMm0nvKyCp5EuUoOQkNRlTkV7FGx5ovdiZRGZs +MzOe1KTr2+KrruNcipVvONf7BcmBgWeUbeHV0PgzPKeOKv1uYi4NRTbdlRiHqjTW +2gZ9UPPjdarTg4XuaIEWsHXNcgansgQo1Aroy0qIwzNvj1GaQ59NYx3hCC69/A5V +tHBwB752RBZ1UR0MRXXAQe5dCJ6DjdwPTv3nYK5RI7TDo0E9JC2/UwQnxFN2KvV4 +yhqd229168VtbWGgyRRGfSESGhPZlxvPtf7OBHz6Adg= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_sm.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_sm.v new file mode 100644 index 0000000000000000000000000000000000000000..2f6d6471cac2fbba91c35be8283022f4872190b0 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_sm.v @@ -0,0 +1,893 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +gEk3pqr77r6vgXmS4X1TeGJo5OXmCQX9KzB48EeWTtj62Iub/gknqmKDsDBNnt0k +G4Jpn9F1Z6JmnVru95Bt7gEy/KFAm8lc7k/yfFVof8YoFhu7UaCaMzF8Ib3bbtm9 +DjLZ6ocldPChyEgDLPrqleQVmVvvxSXT5Ut9Tbqn2+c= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 41120) +EMJO9z8x1qXamNquzXYuZLm+9mZQHBIkYP/Q7h4Dkii6RWV1qvAt+k2NZ4sFYJjJ +dK2A1YQnznE2GQVsfgHQYJocMC0+LWhhEfbe4DaO77E6aARD2SsQXA9nw3URnh2r +efJREqCyNxXRT8E3GqA6okev0ZIl5SIZnNSZquhRqebPEO9JOz5KJ8W9xzrvhKJq +FtigAeOpsDhQW9/BBVz8l7oIYVosExv+vUsYlQuGIxKIBIWfIILacfP9gKFn6IyT +yy7BBg4aZEOyFdqi0qqK8iTQPwJw0p3aTy6ZU4JmM4pDfE6ehWchlD+3E2/52T3T +TLkGxdSN7irGZMlkWIoO7Je1UaN95m8R5BJWSz0VQ5LvO3uH6oJRAfDXD9sUaf+k +1n/FzgM/jUq2A5hIuRDdhZVbyyvL8gEzIFpXFwnyJC+EUhv+bQVyRCef4yqqvFrN +RjLKTX3YPVYp11v5aLKWKnTXIdbjm4Twv3B8re+u00S7Sv/O19K6qgQP5slRybjQ +uDVvPoGyvv3g4ULBQT+o3JCPyUzWRR3cPpGmmmco44jP0uyFyFGQvaHiiBJ+U0Wd +Giy1KXa+YWvS1kf98LkQug9Caux/ITz2tZKuWbvm4TzqvpqymjhgFD7MfU6AVdUy +bG6i46xAE2UOeWNZ/1KnxmmIN8wLcoqFqskjMtWVHX5aGCXInU5h3WDPrSJbxfIU +O3nI3PdMFNXXsm0dAjxWzde7LL2SuAw7yy6jYiDSVRuplPWq8JQ9XnQK+o/wq7vE +mF6CmkuvEae1e4y/0deI2YAFjctXGHLZZ91b+Sg93MNyqKcNfOC0WElpnyHiElva +yVnr/h24TkWkhkchT9NEQWtz7iIAG3MDGvWJvVxp7HvYy+Sapay/pMLOlcIenI/P +gctRiRzdyy2BVi93F7St+J9T3T5E8NlFb1sTOFjaPOkae06ZWQiWj34GkjTg53mQ +4iFfmliI8O3kyJtFwQqEwGjVk2SYH9HIv0jaZoXuOkXCgOb2fQEDl9yuCXbCxvgs +UqJ0B2yXR7G4rAaHl/mQqfK6cqFzp9+avCU0pOq+CyXUBcjSpUHQXC5ANYywnJMG +FVwKY8FMbTh8y/oUrnKZ8RvR565kzJWHG05OL/8H7Mpl/FeE8OsyjpWlZ7E2rTLk +Elk5pAIGHb26WvXQVab5ajiXbT2M+eFesEdFqBYVzq0F9icnlMzgYhhP5WezwvKb +KLVuaweU9rRiEYTO05Z/vAfHPFL1v+gIfSDV5ofHEgb1EKiAw5tSRFH98kXyHC0C +VLdiDWJOycIhMEnV6BieFy088SwmdQ+GMvq0k3+L5TjyQhoVRoeRjTFvQcavcZ4A +h3NLiaf6rv/DBs0WnrcyBXROxgQ6OpphtM8x0XpXLlYuyDxr6OiGPiE4cXJApN5z +9a2Qc09BAEush9jxDHL4V2KL5pH6UuRYz71QEIZAib+4uYrH0SO4kfzETgqcV4ee +CXTrXyIl3RgkggWwqZYIUGpC/4Dcbt2TvPGJ80vpD9r+6WfD9E94MFU1/JIZX1jJ +PckGowEeDYmpgWV4p4XUGSQs4JOwXzIU9/fBI0MeHnvIBRzY1BLGrG3Gcdhtf1kI +AcgJwkjMCFmlT4y1FAYdAWl1EG6OpvpBiJn01Ae4ZJgSfJTlfp4pXlDjOZgTy32T +U75vvTG/GhQozcV5BRoqf4ZZBsOmHIca8Xu89XEdUZOVLU7zGsf7+slhP01oaaNX +b5zTp45l/7dvFDwbYL9cQC9fENeKZiofxcesFbIkXTAX78eQpBbJVK/XjFzCrjuM +jF+OkZcEEow3SrKFOisDTU0n9ICWA/e84l6BKWAKlXPrNwri4hmA5sIY2gmktGi/ +nqt887NAk7CRhwNiUyUf1ioqui//oP5flvNLJhaK7MpfbPO6/kzr84jfdI3jd91Y +gp0tnWYduEMf9Q6x7bnNmFMkWNH+Wmq1Rl2HAC11t/n8rYVAmojs78Wyduf5R9Bu +siLwT3aHjyU/uCOBnfBGPNEKTZ9C5EOjWLE4gmPzQRp4e02Q8cSMm9FZL2DDiu1k +GKHZPGB0IW+c9Xb1dT1C6NgA4873jYNMS45koNxyGYMVPhcNw2EuIXvXpyG0Lofz +AaB5cc/4J+d9doT6VznqZfzqRczazRni7GiaMBkENw1SdoV/KJSZblJ9YHk/gG8i +jIf3r0Dn0N1Ucz3+YXSHUWznwCQ0KYzyn7uUFU2ys0hJxupbzmTnV8F8UNHxhwHA +wP0uaoOS1uCg0JDUoAKgWSyn+GuRUBEYZgRSimLyLshLBTirA/lMMrSQajzakiU3 +cNMwaxz/n70iustr7DJ/YDdnPIhXduqCU6InurJ7kr31fxLSPjfRGu6OvS0PpXs9 +712/kR1RrklV5qYmyIGq3Bdn0AltJ5h2JJVd3FyZizLVIzigjPOgLM2NlpjkSUn6 +nAp/kByCOQ3hCT/JF49oqw2LR0qiiSn9eFv7/dQwlJCYvjbM7moQ64oxYEu2Z4Ao ++SAqrLsG/KIVkosLL/R9YtKlf02whSEkssNykvHFwiTNC0B36cV4tsbctFA3bA2q +QwIWo49uDvLN0BtywRNZTF8Mv/7rH1OnlVjTIyj9lAYUxpRyIZNzEOr75gdxJF+E +iomA7P3Fw/1QBU3N7jFWzinWa3Bn3MNgcMl+K2YBKODpc3zRR51/b3FjJxrshD9b +86dQa2WMNyW54gjnV2yIdA0ySneMSFt9y5gIYQ5EEgX0WFCvNPDOpYMpW7G/jSOw +97O3GZQt2QBpSQpUU7xhemwKDv4+txyS+kWbV0FbgzCCesyqYanYvbpQwjdCgCgC +oGKffgRWONQwabDHfxPqNnYm8YhdvE9ni5wGpqNvRKFYDf/cCNBNfsJw/8qHtk3A +YnJSq+e6WcghInlNyo1QgRezKkqrYrtF/9A9/tmEBIKFGtNk9NuXKBrNc4bcCFHX +UJnK25KXPsrMVw4avLZ96A2wKSZ42kyMlav0ufW7/YBeWzmccvU3uO8UTLTEKbD3 +H+lHu3PV19B+DdfOQTAv5OPwsohVStu13Teu3kjxIjohk3x5pMiX0xYZOPAOAUNR +9WNclN56mbtE2gOXPLHgWg62yBCt1UfLVDzQqUGkc8o05k0Ypf9QxNqstT691smR +8OGQEAmP3O89J3j6Lb6Q/iWejWUMRxgnPsaenRl6BbawDJyTFLkZDDNUQvDLGHXY +l1HVRbeadxwRmLpG/1ctAw2bLqB/C01TFkmGXlVzRijTsSJvcKEfYs4rJq5UE7mM +nlxyZUUxRE4GvT1ltZ4w3usJZuBsGiEohvHoDcCluBWJaIKThOFSny/91gKfkZH2 +ur4VboaGAQQ0OmJUmoO1MjHXEp0K7hRJE1SmDXYhcXWjNLKRNzzqlpNdhc+12jS7 +7nXsAn9Iid0r1ztsGm6hY2PBYUFr2uoyyyBWcyvRSsLPy5ll60db9uvSCUYizj/Q +G0b1iSfYbLOeJEgp2IXfCpc3sjhO97201Swz0FCXovorthQSxhychWiWYQac/Qqh +kUQTQ4b0qbfUSIpjVETO1zZE2Ra2ZjVeMWX65Z8WodsnTvk2vRILbV16+9AzZGNA +vmwf4fPyBmlo89OL5NRGNBhYMazr6QQk3dKEhZ0i6ZQAe9yqrUbyW9caiVX1ege8 +GRZBPv5Ts4NCRa6W1pbIfCMwT3qdQYk5Pwv/dUd9kPJWga2hmqys4Ens0vL+fTcB +dArNtrlzlskfuQ2wXlqQ7LXTyRclWtFdqWQDf9aiM8So38fvg+rKgVi8sCD7O09k +XVsLILnzyt++rbGX2eSuqN/4p6FK3D0B+iZnzeBp4j/Jr6HV0i8TNiHgujHCZhNS +YAQ0tyR9GiWqdXqyMzjE9jAHVWuz15YlN38W0EHmraMwt9KKg+eje20qglEkwSpO +fVOKdnW0MgXmWOrnwL8ImNJoyyntq0zYYTOI33Qx9VlkJenmqeHkGy8c6EyPR858 +lM5Ppv0UlEP+p758/zsEb0/RAb/aPBf23vjRiBVw2LgxFXMGhD1W8yvnvdeQ1+ye +4EsO4dTiNw8s6m1pL/pbo6WmOUpTK57pbarWFs3vJG2EN4oeU/KMM1fUDoqmEJai +kaPlZHmqY9FTfHwnFewLx5ogHA9KJCOUfsenJkRfUrdSKPmi+ckCNUHkRDWsyy1P +PaqYoIQbLbGRzFVgBg8x/2n57qX8Jezb46e8PzKSWo4EbaSx7S0UXYQ2lBYdKY/m ++k3zLwPY8KNlw0uhRletVUgfSnTUBY0Fzsv5CzO0jtZ/2CPUsmrIj2z7Se1f6gDt +x9tFnStfD1GNpn+QzbN6HUWh4A1uIwe3jOyexoQ5addus/hAnsG+QrmK/mE3tgGE +bip04RVeOu7gW6igiLxc3MeEqUiZSqZKAUqRNRA7tVXfdPHGMb6PBgJWysfSf2hU +qRCAyg5O+NiIsOoSA0Vxv5sQsAaZGtyowdyEe7HRfSXgnJnfJlMnLAEFkVyHb/z8 +hrWcw0OgacTMsPigUFhSv8KSHyj+zkm/EZpnQay9QoRtQc2PA6Xvh2+g0nC2yCH0 +sf5nQMA8CRktyvKz5Wnz10JXZkWVTy0zVKQKegEmaCST5ERXFx89k1VrbrFo1esU +n7o9Li+4v+iQjDXVBMCPYdOSEhfyaaqhP21Ci6cgQNNMLyXrxVKzvfC+LRNMpDwW +Yvz9//KUKQR4WBbAC7vEEp7xf6MyK4a20g1qzZ+USq1ciotmSIKCV2goviFGvNy9 +0tYkYR3xFnEIu/1w4QYdw8uXWTDQ6Z5vh40L0BoW0VQstPEsPrNWzoikFqr541Mn +0Ol+MKQuMAoMkyl/x2TghuxnQFhFXx8Q7cXL4MrrWX+FRFEG/l945mQFddFb9C+D +Vk910B77BNkD1u1+cdi6tfjTFIINAiYdxFCyuwFRFirbPoxz5VZMCVZC3Ug2+FOj +NT1wVa75iXYmPtDu0sw1F3kaCBRB6BIwtCArX3mr9ugucsTktXSPJ+oXEzRqI9Tl +0xl/D34MYJ9Cb0HM4Ng5YtUsIK6ONTLPrt+ajrvyNnwnTgv0DZUL6+l/w2Jrql1l +gQZ3o6FYrdOUWHpnab1xrvuI4lJlE4c1TrLaRG2mRuWWXzih2qbtvxVakNOu0V1B +yqDhAiBZsXTfq/0tqMtQqrq/bHUq33XcNi7msedzsbSMlDUL9m2jT9RfpbFEbAsy +hf+UfvHbcKWDfva8otD8UtZzgojVS6Y7h4mCIKI4++SJoe+pKH41bz/WxY3nhp8n +Yj2PPhG2JxM6F0dtOnAnDb3E3KkzywlUI/1MhBqo6OfkCMZd4lgeRnmzZltmuoxD +JeqItgxEQJOm9X5zxStTtm6JrcJsRgSW6prXTynBLiHoscbb0wXru/9u5fBtKpPG +a9NEpvUNp5bdXh+FNwfuB7MmeoMY/GcRXElNJD/AfvsSdC0/rF7XQLWMx7zNIFys +fslqytly7WlIHSknF9AO7VKSvRH+xhaymJjD105Q999eLJLB/yDgxOsI5CbuUBrA +8GLqoX/8oyG7c0h2S4xg5MW9tQ0AtKbUviR16NdA+xjMwJSBadQbbrWwHOjVETKU +j0xGbRNRumHVbNIO2FBEGTNX9v+c5kSsuz/EVrgu5BKeA7Ssnf51/nFLiJH/Hgo8 +kpWXQgTA5UHlkrmMnWRw1om4nCEVy6Ub58LwteAwuVAjs36nsClpe6G8W8B3Z1t0 +h+zDI59SfoV1F8QdTfVkwI7EZwpcpPPRd+dX3JRKlV7RNt5owgezXyABkZ1BKpdv +wvKDiGOV0vDA592RcsFDagzwaOJgUqG0dHQ0qeo0pkwZiIT05vYn1B81vUasPrdY +qGxj49frJ1oV5qrjl6aqG7VlAzFGatuAyfvQbxIm4VWx66V3qLg4QF6nYFJq7TUs +DBH1anybWxuTPZyAWqCME9cpefF0PdhQ57u4Y/tP6AggXZEzCfhA60fshAM0wN34 +FWNSpYDHdD/AWppdqlwS7qJLZNfxo1g1Fzp9jn+KSyV8kgelLyhf7lmcIkePVJ6R +AMSiC/rMoflqeiw8BTVOb3OSkO3baPeU4P+5Zleaj/ruX4VR6DQPwC1aAWnWxLXN +q37b/lOID/RQ8ATAzOm0wW550FZF+wthRG37gyBrAu9KFxyXK3Debu10wu1ABnWV +l7Jp2wRLepa8UZFSrDEvxPy1Xhgt6yxd3wg+S1OeRvdXdkHzUC6aIEVWwSFfkFxj +QYB5CawM3mvt4Ddk3j5+aTSnCT0iS0curfgS1TRke/6jpSbr8v6PZFLNMShs8v0W +d0D8qEM1UofW7gZ3jTZDw1b9KdcrHeaMOvPG5j0ukIg+GiSoEtsJKu9smNhPCH3b +0VYL3zmwIyteosJpx+bqJnHOn1DvKmL/YZdCnmPT+NXtaSntLO/FYGfVpsEXB7Qq +qoPmRBYEVfCuo4apnhYUN1JtEdrBXF38uzJUjl2M2CUDZ3mWhKI8pzPZdLEf+/r2 +Vau+Nqt174ubSJw7rn1CDVHYS9qc4ZWhdmu6KAF/OoCMM5UluwFXPh4ojZxQO5Vx +RRGPH+Z3XEZXy5MkpMgH180NLtkvf2OdPMP3XYy73uMnc5WBQDo6cM8CqncbR+GZ +q+MSasYiVkZ/vG6P/ms1zKSg7oWINKa9/uJuGNx7l2YRQBKI1qjdF1ksDxw42lPn +w7NO1aZ2a0XcHx4wurpt4bvAPC0n95lFc61MghI6XsI6tSpddcc4iFxdYh73PvLl +DVtQlx0txdBG4Ox24GzVoWlM0o0the1amvA+qUOk1GalyoE7ifVoTufoz4jw3Jzo +pBhWaDQiGyCGxYon8uLwNWrxpTyMDK2Wlp368xh/Zg+ykuuLegkdkYqkbRCwD1xA +eJCL55+RMremIQzJMgmMgeb97by9xFn7GIm3D9IUVELy43IfVP1xmGFEhQ73G4a7 +qWRe/BIErjHt/Gyd/tXbbCd2lJ9MsKi2VZzov+Jqt4Unt4PEEGXaNh6Qnm5Vq3an +v7FsQ4bVUE6kvFzVG5KR5g+1DvgLNE+pSxlfwZj/a1pdSeONYr2Y6DCmPi2ci9XW +vk4xQy2hSwJ70uP+xvOTEA2BQ/AsXkl0BlpVAviPb96SQ+n0oAoG05dYjAvK9fOe +e3l6hmS7f/JTdzWfOmUS4loJj+Jp4+TG+JO4V7IF+cnjNRgpchW5/8nWjNLgoGTK +qI5vx4crFws57190lJ4h0uqIV8m0MU6WdfuYqLGbym9QOPCbI/6fkSfwKKXVZn3Q +EA211zHnRQ8hvfYbet1v//FOD9kpgQKE4OPDAxAJ0doj/VuA5sn+26kX2akQUg+A +FdPj52+gPmFzZ8du32DOZui1nKYj54vF0P5ahuyVsSE6t13uZ6JV5b8BWpsbQ54b +byGLR0Z34QtuiVsulrGaLWxLaEVQPPeizbYbMZJVLtAd/IWBCmp8MzURJF3X/Oxu +feTy3wPAA8uPsl80KqeWn8tjirYJG0unKtZrXioZQAkiXMb3Vg9VVLEBeMr/T4Rq +nj/1D17VaP4hmJjVeLbHsQZjUHiBIRkaEyces4jHEZNz3ZwAodYmJ+UbYWGaw2fg +mDdilIzellO/IL5GwTHiiws1PIh2SiNUBs2QV/MAGbZ4SkfyvKSnHt/NrSx8zg2x +fhaQvGP+XtAkwr/AsJYM5ywCtjavBA04mdUPukGG3mfVY8EdIteBYCrb5+czJhQ5 +PD3XqeZAGxDJ/y3Knw7C68hN6NH/e3zW9+s3gfgcRPZXUiQgKvOLsT/kV2QxEqFW +QPbuxLjDduIxsCMiSy/cExVRUjfdbRyhYFmUyinwBnU9NLQHM+0AU9eqstjaCoKf +5tsBKIcyJN5EhONvAez36chFNclkj1ZqjHodX+KLVy2nlcv7UsqEu/yQPJYfycnb +WGVsogc0c78MdX7fq3c040CZf7yKOj/TZmMa8aI0rUbSiqjaBCsyEk/yHVSKFcuM +eOmiQq3qhUiN/FRpmsMejwEak4y61ZwODp6x3ludFjkkwSdywfptS/KNp/TNYwlY +iws2F2vAJZwG/dk0b4niFaa36lEyk/Wm46FjmB+xVMdQLgUS+FoOwUA00NH/bnNh +zsWtC9Z0LGSf9AokOBjIjCbkGUt4nkKqcKyb3ZWSCR5jMXPSHo5oJSh9bVMZTkp+ +gB2To+Sfc0djqFyqXYPMrG1gEQjiVPstr+VjnbPv3dOpHuGvyGxzT0t5JmbXAZMA +28rrBEKXQPJW5Kc7/q+IY48aTl6ypeMqBbE1CcCA+5+Wblhn3zyaqNC6eXeC+VYi +dH/mIqZupvq4SbIzrNdL2vMb5IDAG1KJmek0CTuNwWgs8SudpR4DmAfpmqAlfT4k +vGUNcE8OT2Db+dOvL45vGtGrlsPSIfSBTo3nVJnN1fvjmW0IbizdhbxuYxzQYy4z +HB4BrMlilQkqc2VuG7CZ15uGKg6f/Hyk1Am0WfMlR+Z2dI5lCaoKBB93EKE2NuWQ +ZMxig+nYvlmGZkDFS7kehUvZx5PALU4oTFD5d1WaND8uIdEUO6QAZhJb95lROlHB +6CrW/ktJw71vd4F7AXo6p1gGXxTlz2oFsdZAq7a1d4SNVcaP4l7M9j/OwK3ZlaJc +V3uTkyciD3vHvYbomPTXVOgNiaXeVTMGki39tk7Muu1z5JqV8M0TU0OWk/xKE/Jj +cJP+TOoEhnbiXeFWnSKCdPwjwhCq4up5OdTUKzQf6MoHZfINlVoMf+l4LwyvB0nn +u4jVvTmwbFm4Eyp+EmCLPqPTfVT2OYXTr689kVGZg7hsCVHNc3TVq143H2aTUw0d +zvc30To5YAgwxfqcERVBuqNwEyVqis1LP6Ea3GnYb+xwEWPeLMrRBk1L6YM6ni+B +RqfvH1a6reN5dv1q6+s1TbYGROe6rNQijsUWEZEtV/I6kQEzA/7vaRTD/ymn4Bib +389XFslV42FCzFvwoa0zKrRMKtgYTEPnkveSdvytqYnLK+SuiuynlG+OHWTXrUr+ +Yze/0Q24ufK8si4Pq+iZAu0N/Y1GDJE8xTkFtpItdX8+NL8w/BPIe+4cjSgZP3P0 +VHUagN8uKfStjAxEKAAmdkphmKhDLUn54+wkuwU/zbp3cf9LIBC21R0mA7dUHRpS +lHNo5G11uJq8hJJb35F7Dk3c4J1PO2f626YrW5Jxov9i3YW35Ihi7/z5JEvw9+Mj +vPwKJ9IW8Mn1yku3NhC29xnsj7/DCJzJMdYoesacw2/5HK3KuOiV8ICwF/oI3hYy +YdzEpFT3QE5NldE6pBOnOlUBV3g1/DGCy6Y/nYnfkBiV8mmdMqfeDhBCJ6tIe/L9 +7pSlvXZKXP1nahY5xjP1usuzArKBMCgycmM5Qbpx9tbbX+BeZrt6h7p5B5l0t9dk +cp0tE7yi0bgBUfnF6Ga/ARLVZ1iZj1NjLxqacbVD1l2etEfzGUm75mW5wQEP7V9F +EsD3TsEvnTi4iScH+VvJOwaReaupzZ0b6k4laIkZuEJgNi4tYmgojLjQjLDUap1L +vXExzIaj92/nmigFHn8Euh8ErYXMVhnaWJkq/lY+Yun5ejZZM6r89n+JnMpRXjgR +p93Je6kvqhlwFapeXHk5EpRyoD1aqOEXgcQinXQPbYdYIJ1Vs8CF+ITnOLPxci8I +cXABNr9xT4pKa4KGMsBtFw68e/jHqJrciDzuG9AMo8c3kJ1gkBFKMB17+Bdi/OFa ++gGW7+Mqe0zq5UxWHEbBOqk3ahccHBuwI2REVIfUveFoUEAFrozan/+bgLbU/XNc +uRkDk34ky3KsTngouDGugUZmvkhvfj2x2ncJjk6H4KuRyW8htxgbjEyqgxLKZx8C +W/uCoCSgocxs4GuQJpUEiTNPuX9UBh6aa7D4tV6q1xH0724cL+d+oWVSwqrckMBC +DAWuCDvtpOLm9NQKEWB7/9BQEWOocAGRWgYefOqTCJXmh7nYSz5wOtxQ96Zk6yFV +ROa3jkt+JsmdclfldZg1LO969pOv65TUI1ztDxE9DSmD7jl75HFTNOKtwc02YYjp +xb1K48jatn4c/i7F1o49SOw7ZN8zpl2ANlAXd8aG2acyWDRVgRxGyxxFeeumYp0C +mQJdoXF4COmLeXzRiX7hwvANDKg5S0sRYwYVyApw6Lpqix/pG7xAGlphrirpdTNb +qslZBjA0qFSVeg8mfCbdWSRggP5mXGYLOqg1Mhnx0KL+JJ/0kRac8qEacg1jm6Qo +TmUtM61sd35I95W9vQ3gYj+VxLvoJbKhxul3Fj2R2WJCFCSodfTmrUFXNxXT4TgL +Agme3xN7j82sODtrk35UgwUBIb+PM0awNHpO/v1SUHZbdiRD7CmgZzjeAMrXMFMQ +FpAy9wrFP9/L21rGhXL9uEeqvA+JZmIiqE6uDCxlSkusQQk5tRmzndyVEUOAxnFm +mq/AHPT7UJAOA82NsNQa/4mU1PqBP5c9AbjmRgIthsiA1FjcJH1jGzQQ5hmqApcH +ttcgbNakywwtNl+NGqU8WMSXYfxkjPM36uftpwo7YdPqIRDfsHmWK296Db8DrEy5 +HI0yPakn8doPpKWAnqeyX5JwH+aBVPQhzpJcpAkzllusZpdYQ+29NLZaRAqH5Jdy +ZNWrTMHl2neJsgot0RSRGQRhdn3oFx4fxpxCUfcm5KuGJIRQyZ5VgSIrsKEdoFNJ +1aHvHngwcQ1Q/mjtKZrSumf8eiksnOHOng2KHzxZ5UuiULEmiYdzAq5CfWBVVDv/ +Zf0VCCL1Az2HcaJjwVqij2L5c+7UUUNfcJHVPZk1/bTK6wJfReAM/y48uuLlFois +8GcjN/IAo9lPuOwGUQ2xDC6T5ypFIGS1yD9bJWqxjNuZdJZh+rt4bXbuX9y8NlNH +tMrf1bZgrfGIP7tqc2Ajer/QreOQMJm81jOvpe/8yQg1DyhQlRxojEww5xw1YE+4 +C4PMsCz8OEhCs1yWK1eGfoleRZd9fzbmbG8MwcDis8QyGpp2P0MgOM4bnYEO4sNO +TG1cdTeOoaGTQykIe7Ls3BUMBietr+UldzZ613qlEjCX0ftbemuXckk0SLNV9G87 +f09vQVWFwtlzqL7houYbzvcnwM+L087EQVdMv1JX0DXF0zUAI58gxO7zfjpBsxdV +0SifkjOBc5uO+cQymF4bndxFNDv4nIL1zCWFncmLd1QEGJSBkXb4LVWtTgH7aLHy +PwLcX50R9TSMJxTuM5wRVPGIxpOkAfCEP8U+28Qs/fB+mUdXoO+w/17rB8iQlU+p +n1NxQgbG1xDawnIZ+21dehQ7eUZCs6Oz5QP/XZJPG+lbO8NI/rEWxkzAzy+2A0fw +kJN9sfJCKbSFt+Twmz42XUkrTFBpLT8gomi5AsZ8pAv+bMdXIVlEO3teiqSDreFT +jY6IVIB8XOqTjBXgoX8Jz/yPemUpBPaIG5i+HmWdMzoTegBpmVBJEzmB3pVHRg8Y +U3ZKhXa+2fsrbzpcIwbOdeCaaXq5pxuHw74CIu5bFaSROEQcgP9KIPPFfmT/XC5c +CfkPPiJuUWG7dYsbUX/oIj/8gOuprqqT4RPrabTB5eoJQsvwhFQ7EZ4cZ2EQ2/rp +mnGzVpJVdTgoZSk1GLc+Z8MZmWhan/hOB8KbFCEJUy9Ft5JRjs1gZVNcPq5RcO37 +UOyeky2aKtlg+WaO+aMLhUTRVfloMs5YsplPsB5VreGRDIborz1DrPD24Wk7rEFI +6KTAM4r70/2V8Pc0kF1Es/GFndK3vnL/qbRnZ6810uQxU7+Vkt87yMXZIgqtbcSV +XVR8y4CTnB94R1XUWsyLjENqiYIZ251ThoK3mj/CnXPLwYYl/rMG1je49jOJWk7f +QawgPp+xT4RRtfB4+h40puBXafvkV8W2xb1lGRLe4qnvCQXNsgSZnzOCooWxq+fH +yOq0XMvJ3RNs9++uxM80UuGl4oOZBvtYE4rk3tcyX6JfBSlCosvZlO1OQiqU0HTF +Rg0a/UztzFFwLnJ9CB6ikQGTEh8ZucjtrcEBIUiyfxLNCpr68zaAVqu0s/uxHjBa +gV2Sx/5fSGoRjFYuAQhtREiwXG7kJA2H5sWn89bHw5WHBPhiW6F3SW3VZY0OHwGi +7xiaBcNnwGHtHHy5l+rSGl04bca7J2Crp92e46OOPjHKWktufBp4coybprgqwIVY +ea0mAUOBjYX9Uo9JWbi7C5B+/AKd45kQEbBOd5S9ZrFKVEdSocPyyUXdvyTv/e7o +sbXomvumOPIiQ2kv2CRjmMYtvSCeQfcnm7pusgNXUfjILJTtsnDyokrRef+YdNys +14MDR1CUvX2rzB9yj0cxoKoxiOBmLaFYxvASHn88DO+D/Ir8PonUIk/KmAAanLkj +cUs/sNTyCrzItjlUDE4Wkb6n1hvP4uHvUTU9UFM6wmxyRrAantw3zAtNHE+HXnKR +Rhm7ogS+d3yEFrCfKrGJsK/kmhT2bBIhYBpRnlU6kjEmDXkFEqpUAxX6cuLjMlJ5 +ZoEcYV4mXypvW/Lps2OdQSlih1epSElve6zcO1uwqtuV+PVWpgr4MMbBSEdL15Fs +8sqSlu7Tf8a8xed36H5GHCo4+rNPAJH1dHD3jdeQiyFIGul6mi2Vbd4rUM4zDpq0 +j6GsODqSFoZY2xfFC3SWqTYd7IbpRERMa6LzLRoU8K1b448Fmc+grzV6I1l0Q1sP +FwYTS+pWMR/I6VezHbrU7zCSjb8VUDbNocd7Z7x2fBK551kjzfx6OLkM2LujK+om +ImL6vkXT5BZkHLVS19WOgfHQ9RS4S27og4btS51gZOu2ZrZM4nMbEk1rDz72uJHj +P8bfXKYE6zj68adZP6ziVtwUCW2M1Ab2543wVea+8BO/YFkqHQPlkBUAglhN71iz +HCmKzzGtY9pOkYxR606pcwTumUxFMEedn4lxZYr+sVoFaZGKxMcyCFJaqirte14B +oJSxLUP+4FBmOVZLq07/7b3+AiBsd0SrPsn/wLfULMkWcB4G2O9SQHsBAqv7Q92k +AJW1X5Na+yaHENN9enmW3ImngaBM6f04JkBCfyjKE7sUXGppMtQLh5o4oYFAqjXM +36+3XXb6MfXtwPZRgvTR5WSXt4X97OdyN/fb4u6bttJeECF8FIV2UOgN9nwBvyzQ +VXxi4EPKVTIsprpufg9bx0rwOodGhRETnSgNv8RiW19bgV5YxEyVVck1T0gqIky5 +ZJsXDKbv7TkIpjtCp/jjSdqq8FXkkWSZ6yzRS/9fC0CnSRQ9rIqh6NhDt+1dummE +AH+BMsm2wDdn03Gn70Tyk+cQ3mbtnCIuD4Eygj8xEXGRpWNyGCimJLXusMNlZqRC +WnXVQ2DihxKhiFMLEY5egLCzqptfNW6Q3bXfQewLoIKx5N7qJCR2WwHrT95M+Ibs +n1cZNqcWk4JAJMC0BsNp+dLybvWUanA3ApL8dUUsh55LLcpaVrBgRai2LwcSAg2P +sZpz+9v5pPjWBUjKoXfLM/uuFZddtBF09YE2jEU7g4eJv74YKmfQOEvx7iVS4vpK +wX1FBsEzi48b8pJIXEcQFiQXaPjnCO8ukW+/tWayJvUPxUFABhEKWpPi+vC7jzec +tp49rJNg5Im2GWdfISaB1NeN79ah/yXT9AHL7V08WY7EHo01o3SIw5ERgEq0hhiB +FXCl9qYIjg+SrvP+LVLrxM97U7f5+I9IMzk5FB7TaviXMLuFmt2VgCkrrX7VccHG +0nTlOzH5dLgpqgo/YcvbGBfe0b+3ifG1gQrFgz/AIwmnpqL6fpAli5YcWBWARhx1 +1BmfdnZX1ZmMY6V8dZSF82MD8n1B/AH6Y34KozApmsluIYeCIX+6G/FarROj0RkJ +RluSOLlIz0gWYwv/Sfzaj6qRC+1sNffEl/3X2zQA5qoVsdmJNrX42O+txtFnmc2h +N5VavtWNM6mLO1+IBXNnXy+jNQon6UD77lAoBYCHwkpDqZtgDauDJEIU553+5jo0 +I9+tqE26UrCBsJVDF6fRUhv8sSSbxbLijLnaBWgz0pUO5RJjH53BA0KwOZBeE54B +xhQh0duSPdBaBB6Wa7MHETFIyMiMABa/VE5uOqQ90jIzRsrgqHhYptpzPTZ95dP0 +Voktj8IcElVPFoPTlAyHXh6+RbW5VBbM318jlNcIxk3lvYucmoRqlT7hVNGM1oLp +5LPrQEHQuXDFqDkvtLS0joqz9gYKjoNgYg+cu/4b+mm2sYm2ryn8hL7xS8YIrWIV +4A7r/Uu0DI7GHFU3sLcKZdQtyCnXzZlEBqNLP54i9r/5dwetLqMGPHMLlpiS0hAc +iB3xVXluSAOY+GPs2pXa6sfAH8+LLGMgtfoblwrby0dWB/E9VprmmXw/3DWkKPpq +rjGtmPJD1uX8fvlgIIBjncAGAoxNt+7pNgunfmVRGgxWaB87dxdwxl4Oxg25AWs5 +3cpFwobiYH3wU/XSq1xlufRKgFjXTcSDy3dIHAt733oGIfJK2wMbbu4n0FqZdOZj +pFT55Hn+3KMaQMyQ+wtjFlbT14mHNVBgiOvXFFYLKpuxIhdW/8HVW/1foa9KeNae +qWtwEWzbHV5g9bZ/mSF5SDydArseFvZpw2+6/G9zFQLZpEwER1OmSPhazktqTu+R +XYDfWU48MiaVSx8L9PuWWRQ3CXRJPV8gLV3I2v+gZiZ3/Z7X+Fsmauaf9PK6x2cY +fLQASm8CDrrA+15M70Bw65nl8eHdAy4E4n2/596eVZytMkg4B1rO2dD7d3aJt+iz +/0/uW3hTjMnzVENF+ZQN0Auf4ye55+XGJ0bDSNM1djcisuGeaQuNrVR1mdoNZob7 +fExTitiGDy5l7wi6iNe9SU8Sm5Wu9DShClAj/dLnMrTlzucP/JoMQZm0s5sMHqap +QvcdKkzammF3AP3NnWPPxcYsy7LocdHRgE10ZYqWHHiac3FgM7dEa3k7r3m54eif +Y3bl1HtVjy5SALaYqeF3QZQoEiqw503rXjwL3JaqzXcUoJeYlvnOAV3Z3NnsYX9T +skaK7/iMNhLBTgmiYWS3bmUllve+KZzayyv+LJj1Raz+TvNoC0Wbx8q0XPGaEqZp +Qa8FMLvrJyb65GvylfPd8IJk0VISoLkfds/dV9yBiT008TzshQhzLTilbhPE8bnt +feKN/gidId6Xo2lpvFDFSI0caor9EFbdJv3IkFgPBuw9UT1JEarlwQx3ud1BpmRf +rdzwZk6n8I/VLMgEenWbPL+AeTfqCLUqpgcbOQya3dE8HmPSE6k4+OIhKQ7Oyijd +7naNJ6FqnXVJi8Wr1vB/ItLbqRGCVaHaRKrl34WqKrSWCRVwQJ3SGpq1vhnJcyQ0 +VqFaoAXkuJm3xjhTfISYW3oTKk8Xd4kHncOMTjjSCHy2cJ4V8xq1WXSitdLXfY/N +bJkde+ksXEuj0ljfydtkj430ya4zcHmea6FDJIf9iL20I0HI7ENcnXeTCAWpB6UO +zFeeP2a6F+TmU8YHR/vPX/KxWSJsA0Lw2+khRdidfb5vpwOGXARCbg6AqVdNkAXr +1o7Q328lIiBmVDxY9ICVx0SUZyAy8n3lNB9YlDgQ5oPOApWShZq0bHrJ8TcaThf4 +0pfP69869DI8doYlCSxTMvbuZSCORcIBP7yCJuR3He/0KbzHBmYxnnJcFpTySrqh +SgqbPGZkqzBisjv8LZrHvl/wicXhzlxqVkAyw6BCppTOSxJ2NgS9codPHVfDEjqE +OSMRBfJeirRJtB+woxlpXLY3qq9/AMpAXChp7ORZdaSNOFZmRsJLFN7etMHzzfDY +6QSd+ti4d1GJ5MV8V8ordBSFfZQ5gjwju7jwWRshv+YDwfc5khyZ5/v+6t8cHWI5 +7Mekxyv3ArvEgp4lfbStAs/miqDBDdz+vOZbn6GxmbNqm9W+6Gz2c22mLkbr/G3E +AGRtMGo74LQafnJWo9z1mqYZANKVSmLOlAPj1rJd5uiMGoaR6R6iPWIjJdgPVkHo +D128OWIIBqWjyoy+4OZrHSZ4SxGqmD1YRUWJIDGeQp5//CZXcoQmsz0eH0YLCdFg +0rEdnFzzgvF3D9sn41oJL8f0mv1vGtPFY/PvEv9bPxLWhx9i7Sn2v12iy04s4wYt +4/yOcrJHSmvw22nTSXxICmPwvvhM//WRKlm3WwnrKoGKkQBo9BBCMAuF4bXxnOOX +/+xUIVDTdFPrsAM33//LVg49/KTyYAMP/jhxImrU/LCvzJowU2PEYe3DH3PyWPuW +1XiadBVUtcuNYjxaFr3vrkGfCaZj8XUyfsbNN0ADkBdYxZ3pqUBrVr5QT02Mhzey +mVlRnhBcjf+GtRLYyp4y6c0NRvKYUiT/mT9o60LKYW5td3/ab/nF+wYPAmm3d2FC +DD4b+zwVvqf3t58/4qBoSW9Xbgi8Nf+6S7JHtITW/dKvjIO+4XGhV0dYqGl3lqvj +K5PimkuS7tk3WfjdlgT3mQYADtvNzj+6joFV4Bw7gG1/YYTurMzstNvh1UGR+5qv +DS9g9JbJzNR0B64DI2FTOiPzToi8LedXh0yNRdkQjky8nTP7s0iVFglegDUslJFE +6pfRCF++pWep6wecudZspW4Z50Qo1YyYG7SJpHWGOxBqMGjZKxFmS7gzurmi6Io2 +ankyA/nPVWsr0BVgQKVJgt1BHRyFqJhflWI0Rf1hMAmNSEgGNWeRGzJTMwgvbIa5 +HoHgRd4W9dqdUpNnv1Yx1U4qUd9+J0mJ3VrOuG7ByxRs8g4TI1Bkj2vBHxGMC5nw +QIPU1XoJ9SV0eQ/eEig426qUFMDFE1EmpBMoizeyvX4NnSY1PmyjI2XEjtLyfsw/ +izYn/rVLUjyH4ODUko8GDsMCStrCdroiJuLSsdNN0Xd4L9klr6WjriOdiBHcubxa +AeG2ZvoAjuE8F1AzxbPIEgmYTie/EC/9Hc7N6qFIZYIBtSz+8YWZudaUUqDcFFhQ +J3BfFoDqou6qOuRh2K5NZTjzmKM+m3s40y9ACzg78byIMg/Y2TYURUyL3JnNKA6F +UVQfpvlLRW1J/WWE2MfcDAjTpF5gk1QCfTUbB6xufasles7Hd0RIXWpWozQa3/Sr +QSa8U2xRh41MScOHgM7VXF7pxOp29PZCLLPM6ODXvAUJ3sswnLBtzkMQt5FRiqmx +iVECMu+cdfMJ2ACUBdPCv7NOSUf5JPMefrDmZm6rTWdIoQU3+MCM+PpUX5yoSLAr +C5vqUyknqVU8Fl8OfpVkaAEDPDZBKSzbfPVqziNKYzKjKnd3jjPgekQxr0CQ9SYO +cRRY9mv2MiTUnDsRqDh8x3w4FLIt630lHldRfxX1N07+4KXIRw4RczKKV91dT5C+ +HFTvtmM9reD+GbonXbvLUB4cME6veCeO6wT+cThiYnVqgNLW6Az0RqCE/zlCFPaM +t3RYBAD/2DVEPwjmhe89EWH/HdnGyVpK4+NqycCptamwDIQ/VmcZqMDaG6LuSpu7 +eZv82jse7DYBjcMwzTwE9rWxMJXWLl4XWoSmh++3OXT758ke07AvuMuCXWA8/rmd +1iCgc3CPM58T0WYNsoYL2SIzx6a5Opytpey6v9SSsNTbcI/EJQc8PfEP/VpyfXw1 +K/R5SnOp3dMMXOXF8M8Cni8pfS8iO2K8wLlwlqQg8rOrZLWTQKi/eM7Mznmxf+4Y +rvrsdwk3RG+QEUxbig6GzKDyq9GMp3Hzfubj7xmcW3DJf1WVzmwMMi6Zgf3czPzM +7KRJKUu7CKHBVkNEyhqgMoxsnmjHk7aS/7exb9dJG32fu2Fq2VZFQuzEv/I+BpMF +09iWa63VAkKSptr0US8fS1F0Nuq8JqukCSmivs0bgzxRBcFNTQrxnFsbIPgc6xgp +fLWkuh1sy754xfl0Vk1qC3YFLEa/KqO5rz1HMM+ZTaHcYspsXtzMXjg+bXSH5GmP +IXs/RmirO/zkkuzzUY6Pns0J/laRq0iecpHjyziER9KU/9NLb19k6WqrsnU5nHNC +wllP4jTMzozHc0lbEwgTEUeXOs5QuJ4W6fRYogOfPbnp8PR8ko7k6AwztozOHxSn ++77vCOTt7os5eTaKa/anWPbDIXU1L92sE7QOL2saD92L3Vd5PM3kSjqGuovbfdsI +w3nhKkp/ynnzJ9QaT3gS3lKBxD2c9QWw0eJcrt9r/2bbdhkI+Zn0Cd689D0va/Hm ++dPXmU+I1zL0juQG9PSK35ZQUz22GQgVCork35tos5HWf0l1WcBV6WL2IkJ2pPlD +AG+1wfOUlXJcECtMizTKMuTVeJNvzicQV9bSx1FwDKihyjPYBkgcugh4KxBYXDbA +4waabfWUb2ioR4q8gwaxD7nnainTKvs2A2hY4u56vVOQld6ThMZa4whQ7dNXSmju +FnS+eZKjIKm9Qcb0OZtyPUMQa305zyzE9zUbatWwXGNLPV1uXlrkAs0wZVLb1udF +s0DjmzFaeS31ssBzffU57nN0SO4qVSOh8ZIhTSBzQqt4Yl8wfyDcdVexXFhPynt4 +sWZuZd7lUtA3KJEv9G1wF6p/03DNYah++haXURboSDquu/Svj9FIfMoWinfmiuq1 +wFlX8+y0hcxlKJ0+UJMo7c1fyT5Nu/vpa1/kJaKF198fpgFH5R81cpfOboetNoTZ +uDDvfHJR4lRtlfVf9kDYRMJIfcQ/TmNqpIYkHSzI1dOBcr4zzobHLwMs9gaTJz23 +/+RU98YmPX7z9w0KZ5jea0IizOp2pB/w+PTc89SvaP8Wzai38qvNDasnA6MsOdMm +t7FUFqXJU8ORjyNxcnAae9yf2xvmLatAuJfMBH5OfWaeH2YF0eDCYvEndpEYSW3E +TksHili4z98uSLkGXrS4L6xmibZq9wsyMK4NakbzTnS0qus1gyvIOArvgDkhkCUq +HWl4bT4bcvAK4yivs8YMBRFxrhdawM8TYlRM01liHLnw35KhQL6zd9pdrxua69/H +oUyksvge6FDMvb3TBCICiUM6pIg7vw+nxa3UWs7R6qsI6bgsMZA+5oAzYCi5O1sS +pkW/vBpelD6BlYoiT41O8XxLiMHO33ddOfKVqP96p7Dhyl9DAhM122qwUeTeC4oy +1uJIALAkxFqeE+fniLQAM4YMWLno1kmXqYjw1KSvcbH9b+5Hgc+QxM6/IWny/6Mz +nFrOmKNh5a+IAdlRK+yIGLApI7XRcRtiWlijdX6JUj6H5JkGiYhSq2TZlousk9u3 +q4KTQQLJNMz0tjnnElfIZUkW1+gJyxQToHS6pxkcSol4EouwxUp3rNc+2HVAjSRo +vcw18/k7MT1E14LsJJtdGf0PomvDpHBbyJ1iAMqd2KQ6iXh3DanWLeFKJIjSYhgI +cKwoxAFrVOOgh2KrNcEaeDaA17985cu2cVV5IY3G7ONxnk3H3b3crMois5pi5768 +8tycunjEduuU5DG28/xnWWupjN/EQZL+QJyLshp3wAsfHL/ua6OZZd2hy8KLTOwm +cdo8MHdxRzcSPEnHXv+QbLzRLfoxdTsLk/gVqJkxzWT+FpwLJK6oqryAcOm4zh32 +M98UROMkC7Gv2PH3ulVEny1ZaW+POY2zXCYYl5t+7rdkQBylBbNcncpXPWUGcbs1 +b6FtuRGrRZc5Zc3zO6kKHSXursP4mBg6Fh7ecZmk3UlB2Yylgc15L8uwRLbChLNP +++3qqVLcIjcPuWMluz8IblURMOxOQ64hHJfhSLCx4VH4cLERWdNBvidFRnzq+ErN +BIrYG74TiEceu1fvHlMqdGTu9H/dWfU3TKlbNSoZkOkuXKeCbX2robIrIebbrOAy +V0MKKh1xahm2Zc8a1ZtkAfDvuUyABhR5CAB1nIvNORuuEVQZjor4PGGjjW6p7YzW +bFHXlClfOD/0Lwz5YsI6myKYZ3aIbdQS0hcRl8q7QexrgQr1od4G4JSyAV44fcHD +/p/OT+2FjNc2E0ttE2/zmt0HLHVdI8i0mqcvQ6EQfJiSN1kzFR+jnxQa7n2sQ8ME +xFDRFz15jld1g83YNrMeFABHyXwpaUh9AnRZJ9mZbGoi03kHJ/BBHmSRCLiA3rOu +03WRBFR8x044C0JTQsJVpE/DE9PxdWMC9LhgdLOWtiyjtFvlKuAqr/+nMOLB+RlY +z1xKQLhendoSak2mmDaHGEk+lnwT55o5bKhQPdL6LCGEK72wQvyoAMY2M9cdJdNq +wOcob1gf08o90K/pK9NDcOH8nUnU6gYDy9vv3aTE9OC82+m/XCQz9mDlKEjMHcDz +hMcXaKqwEc+ydAwJg/RaZzw4DkX7efn0GFOOxXQGfqFSe/tc4OTTnKnOdI0/0syL +B2m6c22zqcGnI9Q3J+Mbzf8qeTDGNprQsKP1T1YnATf4BDHDMyrpKerS0vJ3/CUL +LuxTsZWOGbp3853P/aYv0SBV5OZMYRDHkLlJJ1lsLI5FLruvm2mIWNtw37f7QJjp +BNeACKtUaimBC2UE0cMorSpd0/IBUPTNnIKq9NatGNCbKHoyuJK/OUpclf8xuRmY +eXMlhDP4z6d/pVj4rIYpH5ADEE7/VZoRtHTJsIlAPRQd5XgagtAC04pTWt5IY5KE +bw3/OWCZ52C8ZqhTY8ESxP/f3/yLLRoD+Mx/8s1GlyndD0+tUHtmjoBG3pVtPQoM +Tf4Ze2JF0xflMgsGPJkWuqmP5G5EI5sT83A79eoBctjZlwJDotqCei7wFWuWSxT6 +f+gO+8BnNBoMQ2tBfhRvp2s6QYI3Vis8W3kWdBj7JwajuK8JLrXPUwJHgzX35wqY +T5G/MRQFjtJ6jL6wbsIkiFufIv8zHDC18jSTvDzutcQywAWNqAIX+U5teErZyX+C +3swi+ZuhAzdgmb9b48CK0WJ3TtelY8UHP/iD2eh2PC+RycPvFmDNYQZcyhY+OiaZ +zeJpeeS/sfkqWMezD/g2Fj4tmZcxXSUbnz2pYu3itlsIvgcSNudypXxZl+IuciE0 +0HpT0aIHR+A75JE8rrYM+sPVg/o0u/5J+Dj7LnRVs6/NPgxHTtrzHJ5eN2WNBeQD +BzWbW95D3NHNLQwer3B6aQ3oozgeRTnDj2FCcpsZ0g456M3m3yr85RvLOcU8x946 +RP3vlRqxK0cg8mAOAj5+ugEjQiPTLib/2pyLWttytdZtdjkJVaSupe2/pXAxFJ23 +erDcVtnOW+xWKdU83BBhC5RY5mCn0rdNJLY5U0jvfUonXQDn+2UMGgD2ZiFDZoaT +BvWTky/QtL+nvPFXrgApqVnD6CWkTG350sIMVSuSE9Sejqs9eqYtsD/SViI11Aj6 +N3vMiWW4DaqGfSdWfZO4BRx7OD3q7P6FBRUXHb/lhNMYjwh03r/Yr2THq68mv6R4 +ZUI2p1OYga2CubHVHSEsvQQhMVzqXxJvlZuMkBR9u1NgF4ch84qhzSC/d1AHUdcW +DfwfEFr6xYSkAZJkHEAy4LG9H30/cUBy/atrOa/85xaMVn7JvgIkxfsfypiMclYU +FEdxZESiV2QbafruDrCjrx+FkGUo0S45ugNilfjJvRUm2SBmqxP2zBaA0vDKdXiz +eXtqWMMavabCMaYPO3y1HpbDerQwjN7dlqF8meccPArY74vMCa9Qs3v9E4ndyWXI +AZLBcwkbBc08uwDoiHU7t8BPVh3AxMYM9yKU4TK+TWpqzCLRpIOF2pDKM2yu1XbI +vA6fgYRlta62H7WGvXC900LtAngi2tKx34HSEFJazBBvPMAl8bUaSYbOzN8ONOVE +3yRjPNf2Diyuvyq8uznsPOHi4hcFve4p6gh7YoFs1ClhOwUh5Xl7JQK9oPhrUKL1 +u+iZKg96qY6MXqdb+OtEwWm+vZvdxGdwt71yf0KZui6fhpM1M/yH3jHXAL5O7iEC +rn8sdbQzSxcUNjSTAqqT5JaTF9+ho3Kq5aKKFANbz0FkkqBbK9V+OZ66aG2Y7+sc +RY0s9+NOYE+dzaLAVQBxeSpwiGD6PskzYMFxiCZM2jIo3qZziMpfdu2Xs/9CVBFe +DKtaBbRryCSqDOjKdi48I5N9fs6YcEqfOlwuNTiXrgxwf4cseFG7Ct0l2Xts8SXu ++aywEttBkezgRgqnaS4gQ4RGm6h0htY1C7V292FPAe36yxBvBFWgCyo+BKhtflQg +4ZB+017RR3vZj53KNsG/Ag8bFJCVxjehx4aaCHxAnwZnXrLewlHjrmpWvoTLXfGC +rFWw141B8u8RhB0rdP67s70Y0FsNUr27KeF4IQLzSp3YlgGIQQAa0Ar5GAFJmTQ+ +SuF8iYSB0wckEe7hESiLTpHO/BRq7hsYu3Ys91FqZ6m+VzqYoWCalqPklu2/p6ax +ChyLkEJ/4iZrluH6KLufKP95O+Jq4O1WVbZH3ht1HW6Yrf+y66yGDXwzEgKh8kGw +P7hDFIOf82zgAtx078Dyf1nsOph8LPPQTor732RWT10eCqvisfUw8LMLuMzg572D +D+fxggH4Nk0k5d5a1CGpoor8yfIxKvW7G/880FYTD6TXS5Aq2MTgNUE1pc115bD1 +aWdSj/dbFG3lB4qNflTMl47Q3wT3aMuqYOteDCnHkIQwI5lS+MxpL7imZ5NUT83H +5ANANy+JGsrDsjKt2lHLJm+hre+F+J+z61gtHA4J0BKY0MHPK1JSfXdAv+MHuqhA +EV6lIhAgoNZHMIUxpNSilrId75TXwwSvaE6W+gCxU2SHiCT6cx4xUyQUnQeKaV0N +nx2mmTwVHi4kaF4wj28Mn/r7oEaWLMTxavX3+5xX0lcXKeD/X8acTX06+0xFYVNQ +CUVJb3NeRxZ2j6ylM+Ht0y+4IwRJ3X391UkBrtQ/n8tquncLJbRC7W2ybRaZIh4y +8RDWpTbHYPz0ggu9QpMic/5ZSbn+8okDgYNM7PIPtYg+2PdMcQQlzOFwbElAcecg +/1I/HCbTNpTNObbAETDtLdNCKKbO/OWGItNSZ0+YJdLmanJbDr0RT1uU7G5E1OMn +YsKT6X6rRQstFeBJloxApwJLTJ7z/XbYXj7fOwi8IEuLD+mSEBU+A2gJUEh6E5bq +7Xcw3tA6mtOub3JmpN9IF1A28RQp4crYZ745GlgRKyOzN7tM2RHIixTHVWBGb6O1 +FMbxyD8zF9NKrNj33bUMFA8cKwUNJcPJaVXzPbj8ve/hGEERXpwMXxUzTjNXj1i7 +BO5nzbWbXlSiOHTSzqZkXKbPmepzO2V3q+NC4G4q1JAvMgdNkXmyokDguwvZywIJ +WE5fQRUTVnySAziHkJ/m38PSdsBMsGY/TzFEFsY1Cj4LKdqggEl4ZXVqb8bNRcNx +tiwLuQg0SCcoH4XgtteA1D3AJHmyMZMr98++VF0VlpLA/uESsYfCGbVH3Af/uC4o +Ud//JCpRlZK7PxqBQYQuiNyn83F6nZbN1P0U3Uc1FDW9zhGZAYhjOcln0EyHxfdQ +dPZVyypzZOvHu/tobYgmZ3Fe5+3gFZr7gJst/OLwZJGYq99KH75scvAfTQkmA2+q +bGaJttsCXY2UZ+CL1kIIu4o1qkupSKb6/f7o0GMtaa8DrGNcEWMd8qmo13hbczbC +fXfupHEd7jq83gOITCmz8TlyMED07EBqlV0G4We3QLfFM7ZixmTkq3YxuGuQh78n +euhvm+lCQdanOBrNxdQUqk3CAB8oYtxKfD+rAlqANCugBvTZjEVtN1FquWoeRjlb +V8tTdGXD6e+zDUg4cYWhMPExdysisULa/gO5HwYxTdWShQaVFr3JvySDe4v+QLrP +KdtbIbj+zU9i78PfV9oqg78Y049IPgNY/X116SidoMI5XRfdMyq1xPEX9LmXWoxt +4qScYGjj499zrWvEgcKLKFd/mu3E/5ibK9K22Gf1SdwM/76NNFnte4T5HECLP+Uu +cQr+CTjH2XhuQiWlVxrCQ9y8hYWQoWdKxTt3POICQlHLYMtAm02L70axpA497eut +BCn9qdSRPNDwb18Sm5l8dLf3GacSagfZwQv9nkEoo+4/YSv7Io2N7+OqvxaW2hCt +2AxENMdDBpITd7e46mHk5rQbcyoUZAy64RcMeGJed676t/Y1uLTKz1Z/aTIX8fUe +v0CjnaZn0SwCy5Q3mHVUSHCW6plQYo3gsQO00ADEHJT/bOoIpajVrWUlIg16lv2k +am9pWfEU+P1VS6l+JD0XUbvp9f7VqDPRpJ9WEDQWzb2nxrhzGxHkab1H+KuGdnCY +Kja3iB03JrUUFsfRN6uJEA8OlRQOa+IfL/zd3b/cThHrhMOxZTT0VCqwZv5AuOJO +McW7WVQlwlS3/+1oHQX8XnTEeaG5SR+6g1KMmL6IIgKAFdRCnfOCRV2diMaBG9gJ +jIpK3s+Zl0Eu9UZE9ZEQWVe3MWZY/SARmI/ie5NmJHECdgaRt0KAdSMags4E4x2c +AdBb1tBPF3gxDfGsPmqFdXt0SXMPj1UK4cYrUcTmWcJreXemAEVmvDXVQoaopL0e +kfYdO6tRuJghgm7PjAm59J2yh4Y1pqmmNHa7fzPqejZEU1hBt+r/s1pSgfOxG2IL +a+c6dS5CjcBqlxT/L8JgKr1r4pWhu+pNrl6kgB5DVuGpLScXDxzQT/4t09on5DLs +N/ZzR0EmCp/DmX7vpKBEX8UbP0TgLaS769OSn86r8aLMhBKlabOZ/cM2EaoF3Aks +CyM6BtpL5N6fbYpntKhcxs50+o/xoAgBJZUQ4gCFkPxBujcLxEzAh553UU/aW5x1 +6EvXW/r2/oYvl0wZikRi/YX5Px4PzXisnOhcToZNUSV7LIMPUY/e3Bz3m1iZI6/q +rJMDoRGMILJH3v5xvw1tQUC1gy9kfhusuKmen0/cDpPyGG5tyMYh/ec6TsyWFeCT +eepl3PwJtoMkAmoyhXwpTLPgHuYEP0i3VNStd0sgIjYBBiVoZW8w4JEhSdoTgGu/ +6jjIvDaShd/tLaPD65ZA9pgsSfuP1FWDvUrJhdIFqYQ8CqM8bdcfK6Py2FOfMLp0 +jSMHWhCdWMghWGloTAenJ/jEpridgNgYqam0k3hmJ2lSqiSXkeYWsEcJrBO/HKv6 +hTTyICWkEiJiiAD7USWw/dUIICHePbg3Pf13pJVkcXfsM0uI3dXQJ5oFQwAgQtzd +JKdpXs61Z6Is6ActO83LtxNJG5WwrDkdqo70df38FoQdJJJTdsuzjui2qwtPycYM +QppOqryEzkckLNDqSVzdUaXzw2m1NyGwQNeK5zpCmbu81aiq52wXO8uxW+qFJocP +/Po/1mykzPpXkSqC1xwTdp/AokfWdUW2pOKy4BmdNW38n/2MDcoJfql511VjKZmS +rok7kg4D/Px28vkOC3V42NCDQdQ+yIybnwpZHmJAymxQJtt+T45nZEE6EFZrfYLh +jqtsXBLAHB7bMA5yq68ehPOlCSWbwbJ6ds21U8qaTbC7EKqg44OgkItdjgxnz+OF +wHEDdWt+OcC7ysDvxGsc3P2AUTb1TYuNlmrryGWmoztXRDJOEBu8R7G/I4HbIhOQ +1QLQmkc7dJkeUmVFuFitSvrF/EbiVt8vDNHdTv3ks2K1KlbL5cFy6dkXhdcqXsHT +8/ShHmLSI1yDssNUcACGoaYPcr//owJ74eeeq5/2Iw1BKFUpGbuKG6ZhOWto1xJf +XAJE63w0N3K+bWCd+Y8v0R6ZJ2vvicd4KN/zerNQ2KeyhxtgXSUsfVa+eVW6quB2 +86gVB1JCkWce6+/5iN+uTaqq3FxLq6th9fZxLZIaTiMEmqNGdGvlTeylCOopXKrv +fPJcYocyuj5WMThQeUNqJXW6XUM1QFB4nLnPZIiBuFRSbt18CuNr/VmkyyYVZb4m +3x4yauyTpqo5aPmuZbRC4tV0NYXcfiAS+PDzQzYAyhYdPW3PLFAExEWXcp2cUL3x +LVNqUJ7AZFRxBFXWncyRoADU1PH8w73qBYGK7WXtvC6H5W9Aku47NdzWBwB+9Ru6 +kOUyzuI2UOajyQWgG7OL61PWcuQxBymLiKb/Wrkka9DTN3K1ze3YiRnPGMQ729lt +FHxfYsjmF3NLioRDq7GFvESvf0si2JoYLCHvR/5URzSSlCJWxakLxLU63ke/AwMW +D5Ie4Jph8qPA6RrxSjdhKJFQckBazrjAIe8T0egJ6zKPmVcgnyorQVNgXJUnWwRi +u0K8u1C0G/xL9ZQKre9mrWS9Z7taYoaAcT1LLYeo3vfmxoV3tQJYnsASazBK6rdC +Wk3zSrwtB/e7ZrRLDC68EdRbe66VfV5VmbbJlM83VOlMi661vH/WonUkzgiA/hhj +5OaDMZg2d2ZlX4f5oS2AaLJsEgFbTiUKIfMFZT06wOwtnV/7B6Utqr7ETp+5cwTs +bRcvwq8iAK5VjqVAi8ryx6IeLKr9txNKA/Wrkv32IFeBvQVagXdL4GIE75VNye8z +VKNUA8k6SsW5eyjh9W822LV2E1YFuPezP+WwLCHj0vfc7YsX87Sxvm7L6G/WYY3C +zAbN+8UAJxxSieJ0VLUlS+hmIsiGA36x8bXsNgaum/xwf75UyWwaPzkiN0C9TX/K +uZ7+m0Oz+YIfO1lRia/JRzq3cmzNbDC0ncWGWjMxpxK6A4TPdpa8h5vl6DFWRKJZ +ksv/R0RNexladDOUgML223mRdbwNpcx7Xgl/UtZ+qOKXL7vU2VdC2AcyjCbzQr8x ++eN9qLXUn/x823U9CFg5dSJse5tDCowI3eS7kbDq7xbqtu4kVcc/sPnG51g5eZAj +jLI34dRFyks+TFA47yUD2Sr76If34GdNfsSw2HUx7/rSRLwOTyeHEfitg1/p05Wh +8+IggOJbdFvP78TQqhLtMVHkFnCTIRK0Qf0btZ+B7PGjH4QgWm22WWAeZWActBOa +g/md27yJvVpHfqm6VGMLYEvenL/wXpck5rdsqI3PPNsd9XJ0LL5/BY6mQnnplxm8 +9oCCUMOqkKdwfYjF49V/iJVrw4MbCRjGRBJD8FEFjPCHM3MkxGf6EPvTENr1vaiw +rkJA/TftIuUj2z9GihJroBNbK4YhRTZ4J7AOpQOwZYj0+5t/HBhNgViBA82a732M +P3qImJCexRGYl+m4YAnoW6uXUFU9XJHkduaknK3UfE3M//SXs9Mh2Iq4RjLsid3y +pYdPseh4WKdVdndKcSI49sIHMe3HN/m3z/Ipl+GrLVMzLbR5gpxesHXRpDRERWEy +lSoKAhKp1wwOPLm5Oe0WUHexunLyMz5BWw3N1zNSwN2au5X1cF2pcqrxY6ZypeH9 +bPW0rAZgl0hKU+QyhnOdg8ekcC26Mmg3xcsjNw2/HxBtTR2pot7Z9j0se7XRiqzI +x7fFPeePKXzUJ4n8iCXXngGSdC9wExhbFKHkHdP8/OKlrNcykhUFWx3s8zmDVBVa +zmbvU6vzK6A7Hjj+GZtcJ4IkhFKcdgsoo1EkRnGDWCsuMD13zfQWRhwLyVumFhqo +pT368HaIFWOx/lXbYMWzRePDDkIeR8mrQgrQf3bZQ7xUHrI5tBqS80QnJ4Zpuz7A +k+EzwWOa4h9q5iomlErkS1B3cyyW9NyX4RsiXYfq5p9o0CFo0QyVcXidXIdoBns/ +KVOIKCsgrS+p6w0HygKtKezEpXKHI7rFdD+GwVinVnYu0ZA7bKhFYgbeToLXrlEA +4Txiyqiv+K3GUiaFvlOozsKUJk62wTn7IvsoSIv1BGkUE2mQVvxqnxbHuh/Eb0eI +rkZlvjuL5Z7nNVZS1LVkPr5QLZAPk6H6N5S1+aA1eO9q9ESLJ5gSnYuDCLcRW5Dc +JjcbTsxiNu51l08PXqeh1X93OOperyP4ETEYkNTvcRft4gY37D8eA5/c6ArqUs1o +O95U8asOMF3lkzXF53ABBZsG1RpCMhNoyEz4Gh5UkZ+Myd93SBcL/29WdN8C4FGE +Q6IZbLkF5x/QWTxnj1Mdm1OQbiY31s++7KffJUMAJroSKzoIfhM5/y4mBM77pw3T +eXPZNMHhnLcHxbog6iQ4JoU6EbwR7IB9i4kKvDqq/zkWNh7osuLEXDQ+4tt/vyQZ +tUGUzjOT/RGvbFFEztnECkoXco5XcMXrh5D14NnTQJlwXK/i9+3OGEH56JIW+IYx +gL76PJfFOYVPVr0OS43IlNC65cIqIRU/dFkiHEqBV5zA5r3m2QQGsT5S/Y7HA7o0 +OFPJc7bP7lld6Pk6uT5k4o1zhDlToJHXvPHk1n1EjWmbAm2EWDT8foicTpRwaKq4 +v7Dd8rgdxiIbTtNbSRxXq3BFQc0JUc1D/qE6L8kQowafhzvgAiY7ImMFjIILqvPx +g7dgTNxMDLjPFItVeLsbMQKNGcNhCzf6OrNPyMPZGf//1V8kuck3GR3gieqPLKMI +PGtZqYuV3yHn8TqMQNxIDGoPAJXGmkqtAkTjgzVqJ5RiAr2v88J/dCoUbb3wBmse +kh9hPFXAWb3nqZtae/DQ3yqBIVnJsNmVQcSu4dsF16S9zJXLSARwZ+AXA8cM/MoB +K54IPVVOiByXrRoqIFTUqIzLD9UFa2KkqY+p5qqzOYFS3f4qamzbZOKK/RHoloDr +BBVTXHkTlSRUZqUUK4wXtNbCOy3VNKPvfJFxgHKjpGDHPhn0ny0DSQ8bhDxRUoBW +iAqNgwKLSJuPSIjDBdzTuY3GiBf7riFr+eQ6TjSvM0QOh7+o9f0+v7Junna/rjZS +cnbjBHg1fL/jxNi1NbrUOvZPGHVSl1P5BerVKsmgEtzo2lEHfJNEgt30v6lpEYCO +BoySEWgpXJOStJ4lJV8al3bUJ1TtFj6Ts3Sd5ZNt6Vsm/8SVeecB2utMIWLs3FGE +C3QhmQIlZXR0Kf7521O0ItohFiIl5vx9BZDRehzt0IP6bad1P9/a7r3G6nxJRAsJ +7dKG17/MXZ3J1qyHCp8t11G6pZjL8LscKfmq0DwILlkuai3GWJqkxDO0LxPOkscU +IPcyGbPnFnJN0Ls0xFbVszPBP3yF9IoNSQC11MxVXOBYVuK8ed0jYgTUzJ1Jrzem +ORz+0HZBGCiw8q+4QLTeZz4RIyJfI9oza8TeI4RluHj7HZtk70sPNeRQSvfaIwwO +VsdIDGwLV3n/UDb12TKrp5Rvinkl9EvfTwca5HLrNk99OkO5l1AeuGfbxH1akYz8 +TvNxhP8h2QMQviFb7ni8azyIOPLgMhI/y+cNmbQdlNP+o3MLYQ5zZ/kWy6us9nxw +7Kzsl9TTcAVy/RvZfCHHH9ljiLblGDVxGNwRiNaEcej6ePSk+jm6wn3sqmxjjJvM +wbcouZbvrzN1/doQKoDk4f3NYbN52JtJvzn8ejvciqDSwj9/9MB5/fjrmFSLS9D8 +7WFhTf/dOZEKJYFvbmkEDCK3l+FLob5pEIzKuc91DdZ2iFhFqL7MSxI10q1dng26 +I77SUCx8QIgxeX3e9BRbH4LPQX75h6EoVktmkbcwLMVe2ATqFHBvEeuzqIxr0kHn +hYnn/KxLp/M+cwaSXcNPymbavRs6sbku+jBYO/KTCXm9fmjVcb1EW9ru0tpOPOxx +rPd2gFBOR2PNH+FcLiRcsWVc1QX2ohIoOL36DIU3zrZUlyICmxVCWOc1nb8oDuy1 +/QRNpvXu3WPR4tNyh68HhnZrrS4LpgpGSeVtuKPjEpuVxAyjO58KIpIJai4q4AXd +GO2aB28+jwOq9sMhpIQ0EbAnJMmjnkgjeYJUpS9/ECPX0FkExtqz1Gmk1KdiSYCV +CGOnNG3TyDV2cx3yd6Zil+jrSaGUEUN0zwZ2QagIt6ffBylKpMykSMdlMsS0hRO8 +fJu1TGAAbz2vXNEr6Bb5RVYppBfQb7Al/XBEl7qz1saOfAftiacmOxer0drI2Cy3 +0JOAWt/NOPTVRnNtOhXZRzK9bynmfmCl/3Cy2IvPl0Xz9NhY1GJnLtYqk/ZluvK4 +4KraIcFLftXZFXimuq5FWPjemdF6m//i4g0tbg7+a/pXR0oskjF7lkCdoLDeNySM +D6wxjWbjCtzVaE0zvvcnVg7FIPDO61TAt05YXsvDq8l9vmd3+fSpku9tEr/61G4S +ihA6JUXmZ4r9eEclDg/KYySRNmBl3Ff31NgaTzdseG8EWzCGm9N0Lxl4dyMXI8NH +vtIKvOUfLPDEWn4BcTIx3brSJd+VRfUp6oxT3+rZhCBHfvbDr0KUWhRoGguFSYzM +rbmSHqV91Je5qJ1Qy1pl7gHn65SgC+mxNO5luWRLuCf1+PIvvqZUx89uiqFJlOG6 +nh1h+sgjVWb5T4irOqrDwH586TP8LY1ofx4Va9v2DgrQns8seoVbrFYBZw2MqOd4 +cEERdW16l7V5tMzr6xGrnM82NPN6pjhIrN/ezaHgWH7tTEJ9uYkriszPXspCqr/w +diMZTQiOVtPzUwyg5x7AxnSqaI3VdkPXBBJqDuKqtYXp5gQq9kFxjjMdPJeiF4BJ +03zvsvmv3KHF7LoSylXEVtA1MMQb3+ya0ieL4xSUvRmysOj6F8IpFSjC2U0OiGox +XdGhAtq2lHQKs8aVAq+m6jo+3JP1LWlbIEZKQuagjFATAmshFCOs/ZmY1GALgN0h +DOAdb2NDLqwhkjFM0RiinHG7MmIU3ZWmSdqHlvEHPEbLA7ZE4B0blBCQCLLDg+1u +HlJVmV4gMzDPy9/D7J21W83xEUA9awjx0JkMPmjn3JCQYMClnlQ+Wl7ikkyFq1gG +mnhRJtdAuF7uPzvlT1dcC/KLZVMyR6loRV/kL/0M1hmlG0KbIa/kLBKONrc2Ng74 +QzuK6sxZXvlP6XC+bOAw7mga8sF3uNULfljnISyUsu5rRd9UqIPN3kDbjNMWhS7O +NIzSSf/1u9xWg4s2tAOMBEii18Q7Eq9yH3xNdt/rrk5JrHNTguhyq57VVsZVtnbm +LbQ5w33/7hSFLS0Lh2RMGVCACTaolRuctD52PbK7lxrO8QiLiFWDzUmYhFM50Zkj +M42BSDjo1LgG8dt+tYuH3rWNukXV/brudvpNAof06tosHM+AAlEcnBNpwjiAhYlc +kc/Eq31bfR/7/UFIDSFq6EzvSUPlidwAVzNrAc1Ik1rvWFbZb6dVvbEpAsjo2vcr +EWvKT36e/YAXeN6TQQXctlFUFUOy6UlvXjqjhBghu+nnP1oHI+GhEWneDUG0Fr8l +sRV46B5o1ifm5kQImfkU0ATcKNd1M8NpNkFAcVb88xY57leQ1wCLyWCadBHambOo +kAr9lYFJ71MFeimWtFI3ewzChKTnRPnnDvpqky/9gyOlL3dee/zz5hNWVWVPvh2p +qacsTsAhx4xUBNa1d3rBlhFtycF4Gyxf4wxQgqoo3xvMz9dXrdPeOr/AHLYJ2IpX +PRKCLxkgtkvxWdIZKLqwFl4sU8atdQy1Yg98EvsNUXKr/O/q4HD7ycH2Tx0bugeU +h6XBSgZs9J2o4btnU+p5L9k10znE2ygspq3SpOdDUeTNu9sypkN0t6NSjmR8SsJv +xy/4Q94Ah2+U0pQdd0edmvQ0DjefXxvmOUWueLKrsxMQKZktDDWiv0jiMpBWEDWL +K8dJkLQD0tioGObGhJwBg0/LkRaPdX0hB4eqrBg78LN3hY4UV/mq7Rhc3WceSrcV +ots46STLgYzBhz9iXCVMb6lvurT9yxyb1ABrFi8pa0lIfw0zGv376J5g6fdqjUWM +Sg4kKotoa408d/I2c7pSegGF9Ao4p/+pIwb1jyYAjVNBo7liZRfSkIRY1BZNvybQ +fWWU4coOvsBEVPH8wUDzXeXVDKl+bmnIVjn+VrE2MB2QuzTbjQh+HZmKgK9GLb9c +jpYeRwQjkBSonXQnov7Vb0U44nlxxxTfi7vyXciGpN1rEr6lzQ89arA9JzUy/93H +N7Z3cgsZQ4svnZgIn5sbLdsx+2ARHasHqsYU7lTvfDwmipAO1CV4jTGp/jX3LTAM +JdCD5v4iXQTwcRbzT92B0Fct7xI0wR0EKhD5kbQ6lI834eB2syWFGavubCFALEoP +tr/8DQqCF1/cEGdA0vfHRIE81XfHZEjk2dnTW18lyH/TFB22EsdOe0TX00vg73Qv +uISs2aFreFJ73txEQbXp9mQO0sDja8iLgEhlNPfOQdhPRLatEVLIbqWuJHwPkXLi +djMT0ghujpuj+OyzZ/9kO5OQ0yOFmpkhA1Pvj5cP38LpcgpapppX8rOLP/+ItI/Y +VY4uTMjR9wb0zBpl546a3xRCPix555PR+iHJbbt0d9IAPagTVsdP4klYZfXrs89S +xJ+vi7uCgaU6QM3yaySCfpfsvFC7sx2xCnX7lBacHVXUs3GE0R+/NpqBMCTZgPZ4 +gNOB6gzqT0AnxMSnSWJEFcXsetq8mfgHDCQIoiYiCD1g03S34Zxk3WmlBoVZQN1o +ITZND77ixN06Xdr9QHparwDWODpO630J42+yjYMVONRfrCf2XiXWaRBTgOzIYElx +tkr9AdCc3mVtFNAM2SuSp3Mei8gJz+WjTMGzgW2PeT2EJHoc6lcndVwAF9aCGpC2 +W84TQldptMDISKxp9BSiH8PIeqEALTcPvBEXtR/eIPdUmmBw7q2r3TK+DQCWOMiz +DW9QB9j4TXNBHTvjpWUM7IaOWXPeGUBZLmiu9OITYUgDJ7rfq/yR9RVirYLClU4p +Ck2i3vAJXJLq7HIBj/FSuIkOUCYSctqxQtz1QFbgmp9qOs0ltH7G2rS+5Nojb3xc +nEhdv0lYabkzM8eOUYyalTFJjb8HxOWV8PTH/+9ytU97AzDSlYlW1yZRSeMkYhKz +LjR8IN/V2hrdNADUa2eMD4eqC+t48uW0+ZQAvyIT8Ab/SwhfWkGofkG4+2ptFIZK +DnK1blIF+l6xcRIeJ31KLxIRgOb0g1YsMyn9fo9DCWWju4D4zd7OAz7CkgXmOtyW +dxJA18RuN70QiRu1V3dBntq3k98fEaOAC3g5AX0ILSV/i9g6ro0Al5Zjj6vQzp/V +J4/o5SLXS4Cg2CzRetX/zgoBjRN6mDpPCPVA0AYr/2IeDlTwHpgYzGRG2XnZphGk +Akl2hNuiIbOJ2amz8hBjDDykUBqRZpSitzwbWuSHE8OXCHkRZomcoxRJpfIJNKUU +bFHqc3BYKBa84HYh5o5+r3jCfCVM6yj8nYaAGM6N2b2PFwGcNz/j/RpNly90k3u1 +YFMouEFyOUJymGy1iLyZRiikbYUvm/szFna46aT3wAgLUv+kAur90IV7YmOgMI2m +UVVL764kLbY1+tqVaqXXYskvD+QczfeqXVa9QqxV0M1Py62obOUpvhQrYWP/ARQM +3EZDHaZRdnIoKOq9ytY3PXjOxr1Hx27JLM8JDDZI+Mwec+7vWxAv9KPf18vd9IJt ++yO1tLHMT7iwWCQzUgqkBzXNjl9cDJ1KUAnqhuHZfJeOtK2LoHdTz6WfbaBdIXad +jb/fa3pGiSZWjnZwxbaw6IaWh/x9OYyxkl7XGWPkyBrf0X+AAFoV6+6SMuubDpGw +eCpcH8XclHeG5e2oGs/l6UlYwKXkaEDAbyQzw55QQ+cJTrkmYnzCWTQm5hFi9oXA +I1v3M/sTnwsWiile3FqLLAP4oEOYeVMxvI5Dj7793v1ClS0dleG5XDFlbWyUBl1d +7VPPuNIJzyT1xTFnHE7/sCSs5KRqg0VcdLaCJPFdQm5RB3RV8iipdwulBzNKiETT +9/tYcX3eW2h1x8U3jyG4WJVFU5s3U9NZXaFMZDfL2bKy9q16cTCdcJhcUQDqbKoc +WHjpLURnbH6EKe9qomqcnMbm2y8Xr3XVoYQbIIZ9GbR3YaEivVDYdVEedSgj+mcF +DREdVU0wkeiIpbl4JS6f/Qg1EtQCe8IqcVSA661BYQ35Shi6KB98JLLUEaSz6l28 +Kh2nJGbJzMeOVTXUakP94pgbRzgm5ctuULIiVbamN7KsUWke66Af8lcUZ4Pa1iMf +mz5RUx7LSSg/lBLSSnBKHQXsH5mVp2QKspZOLDH3uh/V/FS2TNfinNj6MS5srTqp +y8wLyaBNciWUxRNregpjXBPv4h7SeM3rLMC1qKIBoj/1wRslM8MGbWD8v62JUUhv +c9V+U7ipaUM2CQCnLkTsYsgoFYmXyS8NXZJmf2K2W2Ois8owstOazITRKXUVMp90 +BPf7V+Jc4SsEH6GaCQ4aqPsNRunCsW0kyZRdNboCgnfBEtegrVpqjoUIOQaCPQDV ++wmcvBexX3q/GXf6FLt4UOEbAbwNb+SDwv/Ep9qQu7dNUcPqnuy4i0+7fvtzWOiX +fzmwcngUnRSOF/IX+B+Jfyaqk5HHTLadJdcRU4IdyPYv8q9Dc3B1NvKE+WKN4Mp+ +Mfb8gaqA4tctZqddgNuHo6bt26qigJUXLWygfL6RKxyvgCihF/QQ2AuTALOH31QR +qex0Yw5hoRvwQAZtaBGU8IxDpg+WI8z2OFBATwgOGOqstQZmG+VU5MM32gugSpBd +bA/sX3oDS9iUJRKbedfD34VWhxsd+TYcym6IVocTUylaNh+odmyn8TbszrNVbmWq +wmSHP9Mc4MoVLXgBv9FQwJb+SGnioNkRh9a0EnkZJ3StU8W+7271hnC9I+DoIOxp +xrFiwDJNobw1FVUqOMvx2PBh8WsKrZ1kGYJEbRC7Jk3A17/f3y7fT/Jhi/7W50/a +NHbDTQ1JibQe1ejgMviljAUFm2Sklq0Y7ygRWqABRE8GBayU0GOiv6KE8k/LsE/F +UJo1Wn2cm9zMwkdDybZHAU4REDdNtRyaM5VoMp9X79HN+b8KhLtog+V5SXA9qQl3 +hIsEdCHlrgM4/aoldXQrIay9Tqgd8WETs5iMAYOOb9iU7+1uYg1X+b514efCrvqs +VGZQV1/mlUcsUYXt+hfN40c3U2p6g6xMWPeMWxukuHNSPtOU2PA4FvGtvcMPqUAK +nvJtZ/iacceyzOuWkIRNrxU6XGVnSzsawcuSJ7F0e0v7unMkJjrBRrJlm7Ysjxmg +TwPTlYRWngitjQUnAJYiO7eE3yVwGAqaixUi9m5pgajFKpGp3Rs/3mkN+sSJK3Hq +5tfqhhBUH51QcCYCafBTORfE4KPjSg1xGiQzCos/W7WF6GYXgAKsMx+9nJVAjGqJ +phquo7NL1Tv8nERgbAOBBQzTgQecgAttsV88s9Vgnk85IYUB1yC0RM9KS2T4jse3 +ocx/FlPLJij+giSQDo5ETp8DhLgVfpYLBjc0IZLU2QyJ8uGZMZLNR19HWd0zKq16 +NMZjh9P5y5F7EUDlmK5eWRnOZzjqEzPKagk83ArXQvSgFsBvoP+vgdBs1DO1dVs4 +35qpA/9qdtuVTaqtJkprCje24bSjFBIhJUPrvEDJAgwmsSpG1JFGhRzBIMkiTcVT +bbcAaZIweIPnmucMhCambnKVYT8hFmaJn5Tqif7GoCwbtZqU2pRd196w6t8jjt6b +2RTog5+OdK4sNaB9q7al60vo84BJZyy2JH0mNPjkrda6SIXSP/BPgaXtMze97F7R +yOYylQiN4q2Cx4WTNsFn8J22Jj05qmg7dNLL8WGFB+o+uk8SSAIQCcpS5OhTOozx +q9a/cVHWhYyNgXs8hcOT7dlbd1JWdpRFn+tduSHt4sVM9sFz5XJamICIQFX8JnsV +a71gWcCHKUXOSZ9t6y5q86g+vG7t2CEk4LMtF7GZy82kRUk3N0FMCG+5/f0YUuUo +cCLXEIj5rpfnFASc5E7Z5PXjH6HcCxGlPC03ctIcn51FkO0U4JzNuM9KwifXkgG1 +pCWshrbvbmVvBTX5o0B4OIe811UPIqPX7Mi36ctr4r028qVGPlIu51HdFrtas/cY +CA49tgJr6hzEdw3PWyQGa71O1eB3BvKeKkm7u07Rrq2vPMI1CvTxU9JbavrYc49J +lW3M39NniJyIvbgYs7KnmmGkHaroXNe3q6SVgyvri5DPSpgx3FwJrK7mtFmDgn1m +pFqPyXemqU6NSYv4jLqYfuSPE8DSVYcEA9K0lIOb51scSQausZlBtcNFfFWIObxv +2VF3H4hgwr7k54AkLfa1vhQVoXzIP1nCBGVPymZU03c1iVpeOe/MqMr07Dh6eQIT +XiUp9u3WIDmPQk3Buv8r+abD/hGA3c8p7iVSUCme9lIQC4z9Md2aGqws7mesfgMV +6V3d4BhXBm1GmjpsrQIg4kODtIaoINNgQwhb3qKfYHl1RrlzvL/mGloWloQxJUmZ +hZReha8DDVgVlcgOtdqHTdqjNZrF6ShDnOQYZQYAoKYqr6+ptLgVjbNyOJq5EMG6 +UpP+IM9/bueI8umkM/TgFBAwaTwux5Y9ZmKC/QqlDdJyQhnDrqqrzCCICuEYLCu7 +mlxVnaji1cO1X2/NNcqGmusYB48JabDj5EmvI0i2kRJuJPap65oOgItVAhe9JTiA +c2eNGLOgmmkKV3s9ZdmZUGspyIPjmTtCbOIW+1nwABYWf5ENpaF6ASqQGN1MMhs4 +f2bp0F21vOGluIX1GDJWxRx/uHDP2tnTsxtIbOejAgUnWjM0UPcWebf4d+jno5SM +1y2kzPMPrB8gWMio0eAXmPuuTxL1C6mp7lrwxAxhiHcgNdFhRuUllU0g3+ZpDtgb +aD6Jr4ZeFKHLTMgW86VM3OuzYfzslpdEaaf1BX0nxdchFE2nygkxwUNZJnOlu/t9 +o5FRaABRuu1FI8f39VdU9XWFz0DQqfGYtE6T9qKuiVRoksTQA8m3ZaJDIG+HeAOX +JUD/vKV1CVMSCoQGB4Z492lLR9XWlQsGHVeCGDmIIlLxySx3DKxJdgn5ebJx5BJK +6hdI1HgJIsVKr87HxqLzfFdVhHYiY+R4bC5GhdjF6gNmgT2AteWZm1nVh8DF38DZ +YRrDEDcv9GleStji6bad2DTYruSgMgnp8yRsPYHtLNKlNqIkNwjvvxZBXsD+9Cc5 +4tmV1dUTHqI+Q8ufNYSTomvmKqSkfpp6lPNdSm57jbORXUkx+Ho05QRM1jgwHetN +d3Kln29r9JuMKXcqhEBUZQTUszF7dg13AColkBtcWZFpgkTY4BK2BFDYkxzIABFx +3jh83r1xMBxYiEQ6hzvtAn0v1HIdHhAd3+Rmf1R1bkcMKhsGjDnZpCoM0lK26+BG +JDirEUyX3vNGkNxt6g75wfaPkeNuxcv93B0n5VIPDVQRwv1KPr5+8GWLDRXJiV0M +IoPwSMdGpjb4IZyvPoEoQkM524X8+NUFJKUafDQ4pdbzFPmqgky0I6v9/3E3mjo1 +2uyp1MXuwFC09Ci9oDW/gTvfn2nebKZ1nPCQZgcqesg4Q1hSz7Q8rRTELoN0svhT +oBiM2C49xKuyDuB2f95m4/KVOY7unz1c4r/ehZmlVFSwrmwKEmTbQi8OzYQkkaPv +PZ7Meq4bLRjd2HbBRJKjETbdzJ98Ecz5YjSN4iAp9wD1rkdMbsd3zYOJaxc8cihM +Pg93851rlQFS6U/IvT2RkeCU3HU+t2XNbZxamCtxcmT+tXOSp38Ygn8sV3y3aWk4 +jO3sS+ZIi7JxGxttv2e6uNWOkvP75VCsR3x1xPWpp0+/4u59BGxZWK/hk+//c2+3 +U/q9Xcn85OZ5d7/NvMNhW+CjrENBHFeVNsKUtPPotYRXCzg4gnnM+gHr+EzgNZe4 +nzUxNtElRpzFl27k/34ncIQ4sE/ScMB54nMcqdq9VxpJyM5B9zPz02EQ+LSyXRrJ +/vWiEg9h7ZBHxXcftP1FN/5O37vP32zrEd6XNsncAnfn+GQrJkJaMVW7onTiDuuO +mwziFblzMzTN0iCtWhUgXg6mhYY1kj1exUhawQ5lm9WeQRzNfAHbth61IQ5Fx+fq +L89hPITeZopms6Grc+IaRhBUZn906AGOGihQWjFy8Gmn6vZrLb6ERySj1dpwb24t +WjBhVw4nhqK1XB8vV8txoXmEdRS7q1BIZep1XxM1VSv4aM3kKsGB4oK4kSQDbVCB +abB7egywemd2JUsflnjY6MWgEIhSvL/JwTvtEZhXYRgVTP+NcS49bsCgSAuo/ImD +X0rYObC/LybYl9AvSxgq2WEA1dbnnOXFqek/L3NfRaax3kikaMLFl6v0DGtUEjy3 +B7lg/TJWYv/l1WOTA6D0gxoQygYKlHDb7kPOULQuy73cq/WaqJvomc+gxOysMwTk +HSrB2kIBN4lss7Y45UggmhGfKOYnYtYr4WsA2Y4A5gVfU8+Xr6gYcfAU4KupwuLQ +xsdDxshMF5zihlVKdpv/6yMaY+8p3C+a1Jn+4f2vPx0oRvh8QEfd/y3F+ecN8sM9 +i2oBhF22CU/yu3CQnJ7PWIaeRzZXxwdXtXKHY5VqkFDRvzVqF8GSjYmoydtI/OoP +mFqrk8U6ADLH3llhk6y65NcYyqI3z41WZhY3G7hFX8L0cU9H0YEszOaeoFap4tBC +r17At74iFkM3IJCrY90OMnUWCpePRsjLIbwjUVtg/hhR1gduRLpnCUEYX4JD88MX +zxy8fdTeq9SnmDIG1Nc2l9epu5noDx5a5TK7q9X9Y6Nyjy6BsHSVfVCfLfMVU4cM +6fCAtcmZJTD7DXMnY/KNsL2rtxIh3RlKNg0C0VvjVLveqLTS883q3KMDY7jg458I +f/twPJd0rDO4ubAPQsklU6PRMAx0m3oYdhXruJjtPa9KjzA2s0OF2UlBr9foCB9J +lij7EksqhENyuInorQFtVkBmDHeuZ9F113Ia+Dm+16pF8XVzp2nFlQPzmmsJQNug +HShGEMzs7rEZMqkleHIO1ppCEh9ZjusQNpFkB+CZiFV6zNu0GzJwqaf2v43q6fng +0wvYF/gs7BYDfyGZjL6bQ6tmZemt2URCZ6KXD7p0twDJwXq3Ks9z8MaU47qBjwTh +9hpZVr1NfqdvYNEhi9mOs7FT1UBv+1W2+uyDFN+kFAX0pmOyQgF+j8depljLqGgm +FHj/uD92WBGzPaurASUgi/pxMJ2t71eIDDXvOtKJjPa/g7G4nYOgY4GVtnqbGOaD ++3HD91g9aJZidgpnaV+6pMH8o/8m6mx1KfiFsIpCcxQnPJ9tFJoealf5bkmgXCfB +IxGBfFUFd7jqgaruoV7Y4B4YQUo+KudPS228GRs8B5ELqkLBkL02BwmCsuSMRPRK +rgCIuDTqk3rcGNYiiSVwdaUgakrlQR5LavGE5LPm7sq48dFnRjPCSuMdcW2VhmbR ++S+Oh5h/l19qzlGfd06D9p9An/mJo9RAgknZzvjke9CJ5WLrrMvx9iPT4w9I7Qq6 +04G3GTqQaYDY/MVVmcCPFL5ei6TPgA/9cCXNUdkReYJ9h5omKVxyroAzFR5+8LFg +GVpTMSEXYgfnt0sfNHAhnRxuzp9hdkPLoY34pC7RTS4MM33FlVyPKGVpQNZAGsiq +IFl6khfkgA8FPb6+h35an3m0l01DWEuhlbJwC8YyPvP277J2K0t5lSExP7liqqxs +XLD90zId/xGhRvp7tigMPCGyspUxISkY5Uf5UV18xbnJZ8h3e28soZ964DzzIkCG +/4odt50wO2he5NELvwOpbnfWcUiY6AHlT7frnhtxyhIVeTDX/xBhQpaoiCme8kOC +irVeH2osA2AoGvm9hGp+VN6czwT229GfVuSVyQaZUV5IwB1UabUDv30tHLiqpgb6 +KKMEnItVGsWMY1YT2cz1AnukQqpAYHrTK/KjcYocFvCCMnbQLfSj9SGFWDG7hShR +EgxqWjGtVrMjPeZRbTljjO13S++M9RW8ooxhr+s9qyalfr1eu9aaZv80mAZc7PSQ +opBo6OXCYYWYMp9VfXEsoZDvk5FqgnFK8iPrMK1Ru1LFXaVcabwJMFm9jRlnd6Ps +aZI/D2C5jMIGmYN7hYzfJ4hONCD1GzdkbCGg4BWKXeUjx4PuLuw79g3HyD1N3Egn +XV0I1SDx5H4am91fn9erhKITTYKmaDTLJCjhkoFP7EEIhUCS1nNJX1wqMXO7b9KJ +rFn4Yvza/lvYbqAEC7PAcj6+491pm61KSLscd6TiiLfyADHuYQYqB5JTyRAqWZ0p +Q20BcsLscLC2UUuUk39Id2u0Wi3OHc0BOsxQnhNOHhUQ8nTiK9gq1g6PCld5zhi6 +xm9pVIxwCVWnnYCIk3Zt2L2g89Wh/3z4NVsfHNc6tRjDnmO0W4fzZvOGPb8SjulD +N3kr9wAAM9+giDhQRQ/Eb0Mh6qgE46rrGc3uefFSTU+sOLt+8C1biCRLqFPdSJ38 +NnJYHeyR1ul5vquL6Pqi0dHWk+eHCGLZB3EtuN8bF+2mWep2sQTUt8gotlGVrEev +LOSP+nMl5oPn07P3RhpDSY4jBgTAGX1YpgU/eV0nCwaLxstBWtexpcmg60iuIOws +amY5NN4BqhK7hSjYIXD9eRaN+BCIlTDpa9DnpepRNKrsgeu7vS2m4QGmXfLf9O8c +sp7YKbIINox1QCOAvtooA7SACbpNGXdH/qXHQp1D9giohc8ABPmOAwbQZ7PW5rNh +5rTAuoWARxw5oxSjxZTlryFJxMZBZkOnS/Gx4JkZxn5li8Ihv5Oc0T5P2OtFI4jw +3KSApIzgCNS5mc5P7wkLuF0eXNC7hRpLedkR4pW3mf+e1zccB94/QUr8/vulTpdr +hBEhJ4739P29TGjRVIvXmcU6k6gxfPrQSFuQmqTy1M1YbMCUN+hgvOmfjgkp9Z5v +lfZzb9CpOsLmyNUPh/AqoO57kSFo74YKU15T/YmRGxGpNuv+Zme3EDSxC3DNcyz5 +N1Ii2K0cFanY2aDkfmZmxptO0REf1ihm0V5Y1784ri32+5+QV4Qm0sZ5z+RHdgWY +PQqeEGCTSOO+sbZ90rIG14ONZdzdlOenPatlWXIWSrZ6zBAoMDv35w3CKpDk8mjM +3ZPLo4Wh+Mgswr5sFqDYt3n/2A7/d4gysuKposSLQ6Va7i07IDwbFu6ULwzFrWCz +678Pwn6KUSnPr82IVESyrqORSscsS8kzzJ/psDYBC8B9E3gFJTkhARHWVTta22Iy +L2NfltWC0wgCwE6WKtQ4K/lhsGB2ldu7WO+uLUEdn0PsDJSqZg4CHZkvWG+t/wYw +G11X6cbSJXtbxUq3YrJjRG7LI0VDt2Ibg6s0tVaa7lM4Ukm0lm38T13NYkaXHL2H +9Pq81MXjNUahfoLIACHigysm6u9KkYhYVh4ROaMBnn/7SjwkgXba2YTLUmweBCvW +nYByEeH0ojEErL1riXx60smtmSu7mmf8B4whv3LKVy5momkpl9Ck1aWNWO0dj45P +xtAoKEgOWN6WhyIonTU6S9hIH6UM6pHhhO/NXpS43JYVI3S/QX9Cz0h7kez7iSvz +8grevTBntSrKSmlo4/iIaw3RsE8Ec/f5ci/UpR+HAnYeL4NdQWN4H6w7Fj3TV/Fw +sEOh2pgNsjxZwi3RRpkV9TdR58XtIGfx2n5vyzLoc2BRPl3qUG0qsOLb+D92IJ+N +DsxDkIlafvsSzea4M0UCRShu5Qj9wfSrtmC0WDJj2Z5rJnZvZloINiIBJbfvze+p +1ToP2z8ZuDpJOh4V9bfk9FUEKEMBj0rdlQp9Ok80rsKX0OuZooIzJk7Z/5YnoRz9 +66ThoqAFEwdbpFdABJ3UgYiAaXjLI2LMOJlGJxVNRqW1QHyJrtpb0JWG9PRzg+3l +YhcYv8jXv+OSVDn4B2ApHtrwJKxphMHx4xAOP08OLZDN5V7Y6dU5vDleZFi+ygv9 +zwR8U3/YSSa72aCT05LiXuu8ZNwWoEdFVItmBG0AnUV7GgP+y2TzXv20K+XQHsNy +vz/fdFLc1pG9nX3chfi/Q1QjDUw6QnRlDkH0azB92LIuKJeWJchjm/6SFLF1gb7Q +pxUAy6Orgejik52fZWU0Pt90PuJWlezYVy2S1NyR37OZvrqCJJiFnauGfB99l619 +NwQ0fNdox1RLllU25IlR+/uebyKO1rk4w3sg6tkqoeOBvcgz6nkyJ93rav/FfZKu +V7tNtE+6uhWFtKPfN5H4xY0fXVyIL2uiCjXpczteVxr9XzKDpNEAWtuI5nI5EDRV +LMek97hq1gXvhRz+UDWDg+QnULv0WIQ5pJAA4S2JIV8lj8uNMkl+mE/qhd2IQOcS +LBi9dNwDb4oUrmIGzycsS7bIr9tE+MMR81gO6EPUivP35WbAWsVWqFVtggbzN/Ut +tMu4/pV5exPS7FGnCqBsOpkI6huweo4Pdnz7RdfQQBBuQ4PNuERSuyBPbCAwl/Lr +H84ulyMi5HnzSOUOfbxkbKJbUApM2yP/q2HWbiHGEeNRk3NMYALJbufdP4GV9jnd +iv6XxQnMUCNlMfkRd6R6jooK4tSimA5QVIMcSNVFuUgejWDpdDFlrmbnSejcca6f +KtvTA+wR/ruQDTrwkP86hwB448yzQit2Nd0rOziljpY2rzcxQL7W+y9MY8xIVMkh +RhlpFxYgoRvdFycdZQmKHaRNENsPVb8A1f0sJ+LMLWbj3DlHJ7iprtallGQaUerq +vRubJuWExD0oaZXjLsNQ41PRGjmyvOQ/aYWLj68eFyV+TGYEj2jW7qdfJ0B9ISjN +/QKm8A7SkKsucYqW4pWyCPNt/wYKRsEMBt2e5zrGkoVHmZk7vkqMqypzoo4mEgZ0 +Ww4piA038/h7dPge92tR9syglJKfvufKYMA8dkxo/Z3kgB9X6po8/OnupnHHpWbq +dPUhW/SC/57GvqilFr9cZogZ2yGuHf767lSiFUZBZiUhwDO4RoWq5TdgsNqUIyJ3 +F+6nO6QHdaEd/yTZi2Gk7Lgo9wvueZ7KoXO0lQuWTJZbqz5Ttr9zKIjxqAxOwrjJ +tEJdIrdIC+8alxHeK4TE0i3ktpHhIizieWtgSS2rZKAaZrXW7UOT9RvNoocz7gRN +AwbQCFeznuhjJbV3HVo6+oE+85EyBsIlLUBt58BRTeyqOSqF0EjzcZzwGiDlcneL +L9NtlGKIE3uv4M4lDUWe7VXbwfm8tXquvdd3LJ8gbIgdUi/onz6pGB9YxeWl/VLh +94Tc5/1+tKWBNC2Sh3YmNUUUKmIuOtNZpDrzlW71+EoySl8j1EtBj9wpE9yHGw6E +zy8vLzfLMTXuKL5yU7/4rbPGYKG9LfRf9wUyzYfKDrngjZiz/kW7rs0aTTHWV7As +9dBSjMdKPylPcpLHhF75OF+XUqvgDI3UnezDtHT5gKelUhEdf92yCE1P4MkJZkdB +LwWEgra9XRo16mK+403dfxLsJPSYZgmw1uiKcSiqcOGO1ZucziVZotmWihezGYGo +rpLFA7v3K8ap8mOMIHEa+sWWnca/oiqMuMGvmBT9HeQuP6cqvALCzf3JFDuj+S0W +o7qN4cWLqusdqNC0OuFvsZPPdOj6H5e5lmqUwNkI5rctiowImoKgHiS++lWVVAs2 +fubLfH9s1zM3c7vu2Pd82SbuRKj4qluPJkcw+1B/NrhfCxImFB1hZM/GYTOFwTnD ++847awd7HlxEoKeyiezfJHpPMzBPBDJtCcSZp3SVoA0Eve6Kxdgqh/Vpj+C3cTUN +NxZJjVCpRrMLzt4x4jFy4TPX00KieRzAvL5mN/WOlwnnWPcKRTz/GSe2deOnUVy2 +oKgcteDMUa2oHLIX0n92U3/UhRZpGzjuWXh/7DbH/nVwzsybCEIywborwoGMRoPq +WXwq5fh7VpENq3u4wg87YGSBlJlTS7jgmKRik7YdVifLOT/2P4Wiz0KJDC4rtqKX +2ZWO5evlSQGuEa2K3Zm+QyON0TbXlqK8zUWN3lVVw3YId8C+7p7RPNlw4gDTD8UO +EKQbnyMvbcYVm9TRBIDmVwiuJDbUPHcFlmL7kEPeO7mexNvE8CB7z67G0kqWjL5K +lnnr78iAJINnqhfz7WdJKNAm+yyqIoRutcytwfsMQ12xqu0FqpgN2oN1/RLQ/hi4 +UEqHB0oaLHrqEx5r8YQftAFlq4lvvgXALtiHzgmfAZFRYxZ2Ee5xiElQJqlhb/n/ +KRunCiG2pKylMRFb4h8FATjAg2wQ9/haOxIY9wsGW4R2t3trYdOeeF08VoRJKXD4 +uiXQYeIHYwZQd7Bts1/xzRprxHH3MEquLc5HrVlk3azXrQqvCShsEd3LIHexWq63 +9He/e1sD0vA6qnIUlCBtg8qY1q2HktxNU1alqIWJDgyd0sEcXHSND7OoAVuRwejU +CAI32uLtQ1nzdup/aSn84Uq2q+Ql3kpdq0EKiqrwiI2LpB0lRhvPIHTQnBrLz5ej +WxmkiSU1XRmkwEbnHILkvi1N6JSY9XEy/cz2uaSvw6gMYd5mlYv4jR9ymTlIVd1R +Gt6ULZeHXn0tfJz25+0zzODHIOUI8UsgZFcvu1iuRedAgBa5p7FrJZvupjEGJl9b +2LgQmVnD8GD7PqEpGrCWfgmGpDCMe1p3lgZzQdKFr7v7rsKDIHC9LI+Q4ZTSDUst +PUHyFsYeCSTVs+OnPojAPCFcoPccrT1VtEF+MYCnxbs5ZXskBLmR59tDaj+gpL4r +A5nIccWozIGuCY6p5D+OAnSVBCkrHUd+bCe6xFDEROvq4tSGKQUjPj3fW+loFFEe +o0CwjuWcVrebq+kQLBfQj8aI6vbCAJZSlN9Q25m1vtFZZWsuTPHM+Fk2Hytg44Js +mf3L9ecCo/vG/+stTfhG6NRxjVda3D0my2sWYy9M4ks2aSUBM5Fxi6I8hNvOEANU +PF9pkqBCpONa+8LYvKdl81YBR2fq1ebZREayq/n3Bd0NJg8gXzOFhUvheHc7G0Ym +CZpdg2yIL/X+wAx9sqcNpjiVvXhBK9srmRZXK+goRcjPyDLTaKpnRinBWuPuvXfw +1PLIkywXNnYG9OEhM9vTpcPdJKVTeYgsx2QFgidbwKddrC0VNW0NnzxDT19NBUGj +PEfAdsu4hJm/UneOU6U5kmTmxpvaZs465Qsj4F2Ig1+mxagCpzyN/Ea5f6O/QwJh +0AjH+sDmrHQJSoo/PyaEpJMUeWWRBQoMr5phvucEzrawqpL07WUw7oLZeZBEgPX9 +WX0sHhqlngTX1e54UpEmS6kO7xZ01Tn3n6iRODoRlq/4tn2Sih6X04kv+aa3SbAF +qLTCaFbpvfvZT0hAdvAYA4HPL3cm6lRjwTV28DD7SY+oNgz2pjx2Z5Kh1USQkM5e +O0mq4NeCZLdaERllGe7Ob/8aD5zJKsf2PNU6zRuMbwMoKB9w0qj4rait7RewbBCx +yN7UeTdNM+Ghii8c74JzJawbXKEl/IIeWIKm0Dwgrgh5DMgTtGcUwn0XI7KGn6NC +Et46L0iWQaXDoSpcYDaOuFTVRznyELPrIjuLb1HgrglGu0Fg+ClK9ORPNr6z65rV +VMZeN0QcAhCnJrdNQC/Nhr+GucjQy9nA0wGRpC44pwMELOvVD7GicJEy6s3ss8B6 +XtI1hj69gkUQxYhzuYJbu5K8afwBEPcMKgidiTiDgXlRLkz7PXRjBj5spPavUh0Z +n0RRiFrb3vHMkcybR18uJaFaAOF7q4koyXJ74qlGnNn8B/pm1dTNbPpIFOgGULSB +Eb0JJ3yWjPfljW3p10Y6zc9TqGAIYGDouuQpYEmuzpEqN3iyLxPv5YJUTTBLwMuw +45ekRXZkkFXu8DKdrZ0xzTP3BgPllOEH/kmsi629oJWK0Pw2TiwgCQRdV/05vi3i +IXuz9KanX/TZOQXHw38csbk8bd8vJMvBtR79lLAqig91vNPt8ItM+zfLay3L0bc3 +LkCmrfJRIxSeBhZkojgka+ccT/C8MmRLoNAtZlkxIbIliDBohmziS9s3cwh+ITOa +pR6VZZ8AOLocQUkwROWfB+vUnBei1Qi08xSnpH+1aqQvXoBJjN8IAlZ+k75qa7Hx +x8It5+vWobLzHG5OsH9NYkJDbrZqo6vp7I1gN4r7+VAMzKG+RWf7+WpnWDWfM73c +ydmr5wG80s09vSXVghKP7az/2pT3FyWvID+f3Dy9cZ05haaoBmpVXQXJjTPpEJNh +tBfveWvHXTK3Mfam+jKn6iVBoQZg/4LB9+sEGN780zkrmT+eOXy09H2L51weshOs +iGWcEPKg72edZSVd8cgut9TG3JOsgVJaH9nFUPeLJzJqPZNkuq+abeNCqbUPVMTl +RlRSuqCZBpe41KpdMuTIcQ2BVum/LuG1h1gq+d6deyYYyle1+qLjVJSrms2ruIU9 +ovkPhDFuhZrWsgsiSH3H85pkTPBLP9WYmcRR3FHvCiyrA/8Rk5W2JJEMpzMoSRbE +jMhrJAn4B3GKQOSwS6SQ82nhGz63sAVU/EPiPg3dHGVvFNiLsnluf8iemr+RCDwc +txfRGdt5hEbh5rYxWOx3S6h/2HMoiX+oazY2fp0f6/8Kpi7nBM2TjM31jN6Cs0Gx +25YvHl8Ekiy5YMJq/gK4o1yMgwR8jDuqbNopUfwn3a/BLyUHJzvF5gFFOXWEkCyo +065GIMl6G5InuA+63EGUJp+OOUUBw7UCmyuROy3GIKcUp91ZwWuPHYYIvtZLl+Nj +9pFTllAQnDNGhl80imm5LqFe4ElyWggHLsYU1JtST81QYgOOb/zOzTriQwpLvIuE +miQPXzsQ1/uwK3tCdnvQCfhQzSZCjF9XtWX81lTLHWaD65AC8D7Ykf45PUc7h2y2 +jwpwBvDDtDiyBR2+ftNT3w7MzTQoGdJBkFpLBmLJWp2ZCi30EhKpASzhw9OsY5BD +vn94Oucv36pX6pYeM08qrqNuIOwMrl4KnkuPol6GnqnfD04V1olDZaXOJ+1Lmkr4 +liCFvCn56HRbd0ppNpL6/9hZyjJzpLYWIhAuo1IMFYyljCt0cUXvwDFlsneuaw2O +eD37r5+3bwXJPDSE3HqdlqiVE1kfN8q676KQDAX9i0bBCrilbRVwRzCldhXx030M +0moBwqOOW8DEXSvqW+cZvcng2skMXugO2PHdoPt+p1lCsoDN5i5IPb4ocQrEZo9G +biHy4uhFMR7EPiFBbBZuVM4TyaDf9cJnXdYmGWvW2J6lO45LjYSZGP9NBxRXxeBu +zSs6pnWbP0blWAZB8UIgdrDBxXYKYIzSS8QRXa6SOFtsUtR8pw9hQbJ8S+pPeIY1 +epcRJMF0nzAniZXvfy+V8RMulatbNo+BWMFzl8sFcFI4NxoYgltniCVC7U9R/gFu +BMwKQg/gFeT/xUNW1J3sXSy6Roo71Qp/PxYZRKPEDhE009NW7gl1OPr+XTI1wQOD +RoubXTdj0+l//SGfJtUIu7YImnXt788WMHS8BPT46MNK7B9lPkuKITju6yd7t4sM +n+T+OvwDPvUDFF94uZSQ1/T3pWmArEqry+L3Nt9sLfG95vPHSOxSmk9aaPCZRG6g +CC3tQZ1fFIwGHP2O5neP/QA5Hilljn2Zbj7/LFCHrOUDGvSYEK5My4uueD4U4Uhd +4VPyQG7spjNiZuAKal/DXtvWoEIo/k1m6RRB675hR7Fa3VYbvLKkUUNRpNPxmxAq +6QDYQC5US5cD7N0F1aKo4xB059gDBQo7XtCIyeiQr/jI+i+z3E1SM/ihuLYtzUFp +U8TKzggs8McQZrh9CEGD7LBnTXILWL02eChntX2eyQHABb6jKLLD1hXpSjfzrVPy +FQ3Q2z5YzMvoJsAQsplBhFbuNE8oSBZyIL/e3Ec8TAwrwhzKhDroue3LuH3mRDXT +nVYvuZeUf6KyB9F5gU7lme3syihgOSV8FbxITyhpsmlgl0tjdryQXFGQzq935CEW +V5ebivF3wX30Xt5aqZiMVKwhUhtljGaKgZEX4Q1VDUhp86yQnxSqEuOK59gCGWrL +VKsyts8rsuTZR4iEWAFfUeevu9qK2rf2odtj6N3moqAzZbJ1M6iEAm83uCjt14Fe +J95paUR5muS8k96EoukZWc85a6PZukdHfFNXJL87PgiXk+vDXTY6WrT5ld6Igi4l +5IaZ1UGU9imUg/qmFsrWKMvatZya4CWjOtJojkw5yUiz889DEGBAGC3uode1aCHm +FJViJw/JTlUf2+8SNv+l5KCX40/6P8WnxTwqntEabpSAliVqZ+OaO/DktZRHW18A +S4TRBVIVOLkYYlIIFiWVaJR8f3rV+Fa4tmWZHLenCyldRzScAR8ATw71K5UkDb6Q +rq9Xjf4o/jR4DwP5Yfe/5tIq0dBGB6OO6dPgn3vuHmmPriJlr9iIzpAKoEiobac2 +CPw0ytGSsDdE8ly37ru3tv0UpKa1zMsGbVdKOp9RwHvVe1QRE5pjO44xUboJvCxQ +0Ynn937/kpFphFSUYPqY2wIarmBz2NqXm7Txmkr13ZU6D94SfDkqgHrXyAe5Bb0U +msIf8FM9h1oaBQ7LR5iAOU1e9tw8mrYkQl4zkyMw4tsbbnuMyp4C7YnuJrCFSVIC +k93YUruIEGGQ4Vn6gPYeSPPWVBdTkOQZhZWk/ft6skMs8pt/qh0U9XORw4i48mxY +zPSN5280BNsc9/TXWuAZbRUlkm17anx6UoUmU+veKmiJJ+TxzeTYjB1IOfgqD12Y +MdtmLTxH7n4H5fPVRXAOTS/M7EmGAZ67y6pydC44WNQicSCbR8RLD2XLCRRntWmI +PSl+U+SMskr9Kcz5uF9eOkXeu+jdjHA8j+aZMWwSzsv5LuoZH0yk/T+wMKfGwum+ +0NEFSLnVFXZ4srXmHVF0AN2YPIvWMm/35K+gI5cVXqP/E5ptLhn/hqdjB4vpAurj +99Q7KfEU13np0exYLAHHTHzbJ6Yc852tEtiA9P6ODbMlKuH5kf0v8FXe5uF6FRM7 +X3V8DZ+Qr5FAogBaM2N3VWGOCsfxlYKamdbzapFHRoLhNfDnkndo/8ZOyj7T0CGl +YnCofPwZkC+RQAGPEPUY2v/KKaAo19o5/4V3DUBzOu6alw+DbrhEU8NwaqbSHTCE +XRnBK+zgcs8NxHG7HVqCCtNsX+Uyh6HA7K7RK7AbtnY8h+EBgEJqOTttVXcHFu79 +A+1NmZOaK2GSVPt5vcusD9KHu12cwSI/qZAtsBkdziPbzQqszgyixbsB9sdMQOlV +8X8nDP5c7sNGh6cy/LV8O8LIxVcaM2QeaTjnpXeaj5SwGAcEw51pKXlP8qtU5dCq +medY3Hj1/tDzS+hqwskTORQLNAhTPcuBBJSLSAs/pj56RP/oU5l6spEknl+2otwV +emQXQu69W/1DYqD/+ZvChSENhEVN2GZh73V5w7c8LTZO440RJYmJbrXy0VCv7DQT +x7iBgdEmtEPCsI9vXYEQPh8BWjqzAJekE/hDjSd7bhyjWa+xcQJF2lpOicCvifu4 +YM0yiwNto5noAV0R1Y5GfhGAPAfRHLRTLj9iYlxJivk+hfIaq1RIktihP/tlJfUA +Fif9wWvzmU5Xbn9JTxd4rvhBVnjr2Avza1YOgs3sUvfjxu5foUSdQjTxlc/LdMjf +45dKhqjtQkusFpksAg0t/bKmzPn25zhA2/sDTvBP4CZ+wE95QG0VVdbAbXW3boBm +maYXVqXaMttvrbmWIclCRjwR71k1u73fVfxZYxgF8zlSuDtm11WmydQLrA3NKnH7 +iBdpQSYFYLegEjyRI5L0kjg5jtyxMAtTKXIpnMEtJeJi2nGaEj2d4ZDltGI0ONHh +UNlEH+UEA8sbBfAQy2ntxVqbucV/mDU8owkiCZZ5QJI6+HTKJbTChlhcHZ5eGqwd +b/jDIVq4dYIC32CLkUnbvbwVu/Lo2+/cSvGYsZHYb5NmMXX9QMuw9/S00v1N5iRS +JvFHLQI35rfjp+DsjpY+jNlQmjCCz0mvqxjNfSXYwjoMZv+6kHBe5GXsx0UWMEHc +z4if6rM79yR+h492h2LOux4kHrq9/opKD7dA5yvhKOc2e1sDa9kS6Iw7BkmQjeh8 +q17xgf9TZXnM03Gf0U7+1P5v1Iil3+hEssd2Xoz6VQjgXyszc4rnMH62mtKEDN7M +XASghzzDpN4h2oRCHF2pOzHWDEZAH+yGYln4sxCDiwMnOVVkiR5jmEzOZtc65eWr +AaA8GpOtkngOAeCDnHPa/0g794RVVM/Gw/l2nVMY/tmCzlb+ePj2k/VecEVGcVzS +ehKUI/oXYQOwQFuhQILjdOqQ9BRen0BmrvOJHSAb2PwCkSu5L3S038WdI6JbWsKH +h2Kb28vBpr2hKlevnVEjPDIlYwB8Evaj8ttpnD8ah8E6X3v4FneSf2/Sw0me6rrL +A9Ynx5MDTs0jPiyrSYxIX8qOa/r4crDoZub3VZQJuaqfV29jBNQKTqmJtOjCl49l +DuV/nCKL4vEFQW2pwozDATeGrSG4dcxLP6nK2pIH9lMYvtJ0PCIOIO1X7//egN6D +viqhbESEe5pFCHX8pJJM7DzkefGEdIe7IawR/cWvbBT3fl/IOUtgYeaGN5wwiX6N +P16fDGYO1ThbNhuIqu7hv8HipnB/H4IzwS4vm4JMKx5WUP9W8a2Wb0VwuxJnCJU1 +TAdoL63+fslPvR8rQSzK7G2pA2FyX3XYoW5dr5PyZb0YRIzMg7lY/Ymt/leOfyVL +ot2cAu54mluQrGZ9f/gDsz/F1Oc33GTl4n5DJaZPnL/62iUsLNCR5I5AAyro3yPs +kLNzDnQCuNsAXizMxo8+KLIwDO9donIVJ51bOZv4EyINOAlhVjKsW0gwqO173XLO +WhJelELZxXudvhhxhnCATHj5benhyZQ0DJ1TTIX7v4K8i0liL3bPH3KGorKZWNPu +7fw2jg5fJWpGZFvZEJ700LVCWCpKTT8WOmX6j1tF9JOJ+xfg1neYrDpfkFYaDawG +C1/yOrFQHz1ftHs6iB84ko4cScupeOuNyFrPs1YM6YFb4R5/jVUlu7zW6KQzOXnX +NG1Nd2QIsY1H5jHZJaSi0AgfbnhprQsuUHk4UyqN/HD7HMFBBNN/LVWBB3069vbn +A3wVBX87IWZwBFHis0lpaMlQa4oY3N18vHdNGHOCLGQfEz7mBR5P9RVAj26eLJG3 +xOmG0J2HwwFh2YFUKpopy3XPH/u559ljKwGa3uuxqF4Bh08SqenF8n01fXsbLKmu +DIOYSePRbDbfkggIkUw3SI3SqUcMAhoNwO8Nc2udqvh+bDMpYrKOoSDoAkTE7IHq +d94C0fJ/UkkTUfCmpFA1hc0x6uT7pLxf2iq5qRckvH8UDZBINWtYVIa1mfzQZl10 +G5UZvEJtROmgOEbXHyyz5LOPwlCvAlKm3YByZLGNkyqsOYYmQXrmnHAbQpEFhcBn +48r0BDZVPBYhaGqC3xOBL9tka5AHuTlkGbgU3s8BhgRI25CIH9hHOlOPWZ7hab0l +Hmh+lYeo0FYnxwi0CYLBvmLxf/BuLh0E5lOlS25ud2o5+yrDw6J29rECSiviuY34 +ALdBx2yabit/3wgaMzXWhEC1KPsfXoCKvXJFcZ4GB8onKsLFd/MtxbptuLLzcTCo +SOIGFSP+sS4Pjjsg9FW66u8td69aKRC9F79C+WlFRc6vaUrw7HA4Fjwdb+lSaK+r +lDLvDKQSeUL4ke9XJUe/FM7DKxfn4nlReeGxjk+minl41TZw+0Ee+cMsG9HgcDnF +hLISemAwcLIaI3Rsn+wCO8WX9KgZKliUnM+bgOo08EgddWf5o6obz44u1zlu8xGr +CekU4qvqdinKgYwVK/p4JCN662lUSaI4O5WEvZsVjYSOeht2GIm9ofW3azW4nHTk +q12E7euZMD/Ya92U/rTRRQasNT51dIKTVWK9YFQPIQoyPaLSnIuw5IDZ7JOl3/Ft +z43ISBQF9Q9XTC96Yd10D5LLzB0O9kw5ff6g6g1BnHgLf3wgy1kZdEHXHyQ0mU4C +Ay/r6VjK9mcdErB9UdYp2E9KhQalcSCiH8Y2RsOBEPRAALmIqWb5vG199VeY0DRV +BmKeBRa/REBaxBIGHTRSIokfAFJ9TOaOaxdvbTs+t4VRs3yiYKHQ8YQINpmt0VQE ++KphL9v5WM99Is99IHm+pTm5vfwY+JxbuX+LwmeG/LWj9ZXRpMS+WKBn+DjHiiO5 +ItISWHvZkjCByF3nM6VOzlCNoiKo9BsYlUemR56JRamvl46phA3tgVySGH8cGnOQ +cf0TUv+3c2V5tuDgpYeYWqvBD2+SX6YH3ZZ8PlXO1zj9UCHrs9+G49FaUq+5BmW7 +9t8RXy44qbqX7Le6qkqYCjXI8h5Ne7pKJMGamzVrrqKb5tWGcDFc3fWyWgGPxoRC +Ko8MVu+yXSN4OU8iKn/PmwDN0ZwdFFg2kmxeq9/I0aBOKwfh7jigNHC5VtqJhHep +7PX4hwQ2DZDA8Vipj/cdHjK9cK9YfoRM+UmwC8Q37hkusHVyK4gwrzAUfhFoa2w0 +yjmLQvGqFZEBzJglwCBM2fEHkUDYMnN0q09iMAVzwJudHlM+A7ssj02lwrSc/ATv +6CTMJOA3SzuXjs2q5JoZgGfIdOttRaKNdJnuUySWbA1KtREdANLsMGts9ETbnMUj +rZGooqflDuAITQk3xYYDnBs5Ik9EKhO6cwRKSmHjRPGDRhy3nPPzJegjDXal+K7M +ji6Y9cU6MSCwxOgBdgnQAARjgHdgIEKaZb5bN1zN5CqpOT3WqHulhffSiJpc7PCv +w/vnFHjfWS9DwCGnPAtlHljR3dIzMZGQa8sPpmSEQATIJ1j0jlOIgAjnDrObqjTf +Xzay9cX4Id6J6hcmthzwnrORRam3FZ/JqWaKTqAwkzdtPn9Z8a71ySzkbmcGeqkF +4JV4vT35VHgz7AJ7w35lH4zqfd5AxQtw1SWnfjKzTX+PIXiNGTzUT8s9ATvRquCi +wm4Xe9RY88bci7pIlJYcq3hQOjuf05JD6DH+mS2YvLDf/OO2mi8wK04gzvPjEilB +o/C9x4p5P0TszpmtI98/nxuJOFtj0M9GZ+yFZ7fRklDCW/QsLrmeSwSAEP/8Q9oi +q+bO5FKBh4R+OW5pLaJA9emPGK4diTVvlbSRq9ZFzrTwm9e8WDdTuEX6yfCqDG9k ++V+Z1ZC63wZsOSQK6Awzp01h6lnRRYhPPx0XqPhnDgsz0tMfIpBFe7L3/Xm4Al/k +XpXyT5kWN+EuUdoIuKvGKYI+qm0V4Qc/MabZsdH0oeaHx/YvcfshZj2ZKk6sfO1l +Cgeee9apnI8UBt6I5VDFPrbdp5AWCa8lGcq0aBZ8DyvRzg+R/nX2M9xjqCzzit+H +Vzs/gRHTEY4JhxYMgATBJR4msl2KuaGGdA5weMwVH6pSQfZ4TvXFx2EmYzP75DN3 +Seoz116VfNOm2awltvixSXGytqtzRAa0bh+2EN2n7J2TCAo+DfELWsY+0IyMJNWn +DPzDTL9C0plyR0Wsr4wCJEfYxEpuNXTCmAdazCIbuyPPJXIgKAQs9b7lbZzKzeeo +biTb612c5D+eqySqaoawywqgkggApcjbroSmG1GeixrgdlA96iHxxFBrjvB/ARS5 +OZ6rVGLTbiegJ3KQlKiIHd7xrA2rGcIWNUM1G722wZ6mpJUPFJ6thPzB98CAxSZn +Jr0RtmxwZvaSFIe/TYxzxvedPE69yJ9w6aVhgJWG+aSxnvGpgHlqoybpDvB+Ij69 +C++U8GDAbwKD73VRrI11pyRUMU1emrQu0lsoQ4giZYoPR4e0IC6CGRSHjoobyt0S +zi/W8oVhbSSKyOcHMV31NqVPDNdGN9UIc9RXNLI9PrCQhuVlku3rDifnyRBnppqA +bDASyIZIXIa6366Mwyc63HdgQUUOzRXm1uS/vNFxAuSRSocGE4LgCP7EYWNVTgRl +ELY9Ke9w/YFXX9eo982Gl7R3yFX37Uguqgp0TTJO6D7YeH7G3EduacLBeYBO4ilJ +Lc/CMBYkfUMVP0dSdmt9y4K6gU+685fIhPvkbTaz91g8NW9GJYSg+ropRaoABCjv +SN+TAsMp0YbEK/fZlPGmw42ZN7FQcXyx54iuBZx0KClbHanM6oPt6ahPPF0Dj9UF +8Xt2O/lUwIEft8EJyOwFpObCcjwADOu4d8fzVLkI8Inv9Aqw3Dq0xdC3sBORXEQc +27VAqgHOzAUD7AxfcV5htEKbNrqmxPb7q8v68DWj8OJLm0VdeaHoE4dGns1uLriD +hDdjl5BwUVxzQjBnHCve+rK7QY667ZaJNcGX3RriFf2jszPXpyy9s+rbMFLpY4bg +TDeW8l/8ZBs49tmzCYQAYhK6nZpehKHDc9jdtYmBoS9nvXPL5JSXAWxpgj13W6fs +vKN8YUnXvI5OSWUrT6j8UOkrcLs3707fSrg7A0rEEM3uQzs85zDSvje5LIdVv3nJ +W7OqMverpln3g6BpjLVRs6S9dakx7P11+wNVCuBABR943Jw2jlxcWjh2v8THGVN9 +SfbOqKSRyKF0FMykyrSv8b2J/55JiyTxBhWTtxpJp20H5rE0c3G0fRFa9d1l5xNf +y607GaHNm6QXKwoRPu+/mnhzMyObB0VvWVP7tgU7ni66zjHiXtbT3kfsy+h5gyMO +aKwwbVodogLc+MLiNTBTU6iWRwzHjbPyoOCpW+ZdB0Jpl2dRlLMI8I5ZZxH+DGJa +dqUR2K7yF74GKnnDuqtQ8VmC9jD07H/TKF99DFpXkdR644aPH7WmNBvJE71QFBOA +6gIWKJ5utXpGZ0gvPxOabCJj5poek+pxIB9/HElpJLtadMXxeCJ3qxuKzP0jJTTg +Dn1ceZjxeyzcLZBjLzrzOdfryDBo2F+aepAwozCcAiYmAPA+I2Hl83cCais/Y2wZ +20yAfRn84KEPW9x/zVH2xKbIw5Zmr9tB+11JE6rjPXyaEgyGBLrvauDrVg1I6gkw +ldDMikf5SSN3uJsfynCQ011cy7LH1SFIWB/HmSn8lq2+6phJUcEs2l+5PRT/htev ++MQ5KRs7oXb0DnxCcwE2tD2mv07T834I++jti7hvAWXz61St/a+ZN+PXGioAeVz1 +I63A42vGY/Kuvg5fnWGj/VotsaTVXtGkCRJF6cS2QwJaU0+78vQbV5Bdj1wLwu3T +LHp74wB+4DQJw9sOiQeRpqe6Rpq6n+u0d0mPOST1Ss/U3akOMEzVbwgkkW4BD0bA +sWQDJ+Cp5B5CEIR/LZmFVinU+d6Rn3zHJRsSHwEdrBYD1vSizrtU6ecaZ8JS55ap +FqXYSIof1rlqmt4hFUtRKYgZ074uLCX9lXKM8obGpRDoqkUH0CFyQibGJ65QgvP3 +t8CFUE/SD+ocnztVKw0WPDVYKfu0J+2tbLrsdGI/uyKz0VUL8FICLPJd+ps02FBV +6PuYDX4KvoB1ngxQ3zegpXv+3mkNavEdAOKzOEulaD2W9Moek6ceCkuBlA5lUCq8 +dZ4ygSQMiUG7gb5ORjgWuHyE1pGfXvixkmI6d0SlWEbhBgbVcJtGjhg5vK6wQ2HC +ldrUGYKhzJCeEQwvqJ73CrfaYXXZwS8xEQXZWXfDauU= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_tx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_tx.v new file mode 100644 index 0000000000000000000000000000000000000000..725cc141e3a74f7056cff370df9ac54192bc0c4e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_tx.v @@ -0,0 +1,169 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +KqBUKXunp6vlG5Ha+sxzMQTtd+EgnMti5XlZR/BcRnWBswPhNrTiBvSsaWLxg2Y9 +hdCYF3YY+ek4kZ6W7H7LgN5y+aKcgNYPffYWXkmnFot9KHvoS6Rm6TpH4rJAhUn2 +AheGcigfi1QcFWZUlljpz2aM+Gi46cgyO++z5kHHLEs= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 6368) +4piyGfQYoCKnWPhVk17dWHxciPRLnJXaDai0UggZxlU4xaSuMWB3P3ZalUsUqWSC +HJ5/5RkX8PIspWlnpzHQzajxUyKgc+XD5docmLgT0E15iUtgIqQ1Ptn2n4iwD8qt +ReTMJ58xU9fo6WmPJhFJ5SyTRJkGwiJTB9Eb7UAl2IB+PWA7+MgVud0amADmExDR +YUiu75d1ExUQaIgJYoVG9jN66zC4hLu7FJ3sM/cY6vSwxEmqOzo+h8elRh3Kbmk2 +ayormZnbKVmePTGJ4rCa1/eM9XnI8VcE5MLjOFo0AdeYaMjseciW8mKkLIfupjdi +Ul8E8K0pd5rJlYCtDCw4lrle0x3YdglsOJVXSrUoX5NKcySFhBeo/fC4MTzkKN+O +ZLmr224McQ6NE+6IKbVfzgdIW63eaUWLUs8Qd1Y9MD6feLh3lflftnsUPnzX8iNr +GG2oxtsFAp556w69t7072/RkKi7vgmY5Ofb4rqQlaSJ5pV/A3Iduh9goqnqWZRCP +SmrBpwXwMhbPH+NwSolVagIwuYd2pXzOG6coXQDoy1stb4lPoiH4BGxpapwI/PLJ +wNcSjI3YOPh+2vIaSrQ5zVSp9g9YQ71zz+ZEsxioh+4cqKpT+K92Jr8wfiReYNdB +Mb2Q4BuFwjcfQ9h/xnpds/iL37fgzrXOnqwbx1g1AQHvKzMCD9RE/KX/bQYyln15 +rN7FaUBKHMZTL+uqN+H/chOMlEdTkESxn/7tZZ9xXN9NeERqE/TNxZCEPi48KAbU +KvLQVb6FKhrcPaTWpU66XuDtEHKIGS4NbxTCal2wI/5jbtrjIAIS3j8ZOo4RVO9Y +zrgRLm2LMudrD3D23oR8eSDd87ZSi9GjHKNQ2yOeYXUyGEf7Yo4h46nI2fCfeShP +Y3VUQcpZvuEFTbGo/TaRNEj71H9oioQ01X+QZYt3N8SfXjNOy/DjiPYeUrpTav44 +WF3JKz0ZC/AJbxYt8zoioItDtFkILjBLsVtw63+M/CvI3VxJ1D21dwaCM3H71mX3 +Suhv8PoHUE+BFpPW874Wq4pB7m3BlGezm5qeGrdQVcIgJMwzTDt85nW5ZnImoOWl +9whoMVgZcMqnZZEmfuT06RxLmXFKgbeS8H1d1aYWP6iUkCpwTPwDjEWuZUrvWVs3 +XXSgJjizHTVWlAGu2OauUPgsC3Vo2s5xI7r6N5bHnHFZTPSpkgdK+1QOXfAZYVhd +sLaT+EWNtSpQRq9qi+5VTkwaOGEzvt0Twopb35WIi2g+9aQsv6d1ayayeaMXpMQA +9vcYeqMkw03RDNFjlhu9V6BvAKx3+l4yh1u7wGAZ2vKRc7nyLUDWr8SVA7bEt0ZJ +2Gvkv0EWvGZTw09HY+nlrOi82EnJ2vhVc9+0CMDUONDBoldsq75MCrm9wwdRIH2Q +TAqcYGTAPwFM/jwxDZpdBZhSN6Xd2rtqFALig/JdMSQW591lflSpbjaJwOfUynBI +UU3/vC6kBGnST4/CeN+23WOV/sZPey69GQW5xRkOIO1syhrNvnLDcFZ7n7K3stUE +URx5Yg749dyU9N3UkHhwXAjb/wRJde4GL45ycX5/4CyvF3fkL+kfxbtJACG3DGCo +iuSoEL3xpikbGqtoIve6vAG4UCXag2eOc7CZPUHOy5h0eCy/gOOlKMHFwsgwjM6d +A2rLckUX83MnznsMJIbgtlQxE3Xj/GbsdmPArlW2lBuuAWNdddNxx5B1bBcbqfVY +81J81TXKabwGwFNAgQQ/+NK7d9HK4krZMKwN3fkjP4gyck2KWRRfPYtG2Q9ZRS8D +GDjXKxB8lvEt/n1EKbTEGNG9Ba+5LiEUdnLvXPRE9j2o7x9iaah/ZXI+63VFl2nh +i7g2eoO6e2BO1y9KpVSaG74XfX+iLbuYBpkCuK1pJCPeNAkNsJCjYsYechFRiMz7 +jyTa7zWReg86ROKFdHeAMfwDlHLEz2Vn85AsRHoA0P/RTctUi0xQPUVAMZONcmEQ +C7pZSRZANOW7QoYw9cFB+OK0fODcW+tLiUsZY3mhVEzNBHUGkAnY76cubyiubpTg +occl9t30aGl7GltFcQW4zv6PFoCrccMOuCmc21UJYA5UeFomHFmktwQAOs7c2Ca+ +O7i4Gxj5rh9tSuDv/jETfx90jz/zaQyA/FAAvnSudqQGP1JF/KRtZQ9HgdVMabvn +j2c9r9Zf/60Kiu3GJZTZXUVT4bNzyv0l7RtV/LJCAzhRmhgnJMXwN3FKjMhWPhKH +PlZXXKQGHpkFlss592Et/FENKr0Av8hMdpv2L0NQ8QeOMRnixvRpCHr5Jk3M7YU6 ++tzoBK9roCrjYiJ8T7ge1PxR8yKrR8XeMEO152EbJApMrnuQDsCKoRRoh5h9D3kE +Q5L80+fz67ZbOlIIihKAe4vEoVaEzjPw7mAftati8gEyu2JWzEYWnINk1I99Ef4+ +vjF/f6cpiQaV080JAtcQZPdQd+7vDRldT+5O5hPZmbIh2dSTFmsPfNNXgDgDTh0y +6xaFnPDAMEv2au/TeRj/QsHlrg/Uw4PXoiJRMLttidQ8YPZi+DgN8goHkPgH0u3X +lvfad2WGB3TFZkokKaPUcmnszmrn1GSN2m8ok8+sD/SPoKmWEV1emFjNQhZLIxJd +lzcharzi+zTEPQjAhlrMHkHr/JgleT0VoebZy1I0GH1QZqE/DJ9g7rxovlORoyOd +qWI8T9jk20D7h9pI7mcdQyXnln5VXWpswWUGSpf56KMkv4OoeQau4NpVqcz3UqLy +a+92Mzl/2F1zCbOp/G3xro1XkqDWDBcxxos1zWrV604wn8xq7AQNNbsUGvwpN9XW +4LnNSVqRiDwO/73evfI6og1L4ijrWSx0+dZlyCDIFWGafTRcDh5sLUJ+qM8FXKm7 +1mavXf+I3iLKbWeaCeLxzO6E3XxQeh1tY0HoG043VHZmkwRgzGABxDo46IcNnONV +GQ8pUTNcTVcL2GBKuWaPnwIIEvjOApky3ueQmO61ab0IiZci6ingMmM1ZfeCMyvY +62t0AvZdvGMOBnHJLefaxMhkS0rAQL57kr9D0uV6wdOwsJM0y/X0mseWSxrS5q/S +kgXfmZAFDGrfJqhqFnK7/jmmgGHtQLtBSSux0E4SkFaw82/Ed0GCxFrm/T9UPAOE +wY+gRYr7EvlTzkflMxwZAULSVnEfvxBHrvab6d6etxASyYRmddIF1Q8MANbyNfJh +X3hgmtLF1ZMBZBcgR3yQm1HipLgPQpREaq0PgtdGsDP4U7brib0zOLS2FSzkUdFn +7UZmsyDeNzqfXMuT7/NGR3gJWhgs7YRM+2mRsvAZX2y8EN/XmLMXYsDxsCOFkiLp +q9qMtRCo3zkr0YUDXf99b9BJCiMRGuaAk2q9AW+bABeJRQgG1UYlZaRmBZPnoZ3R +igAFedHdQswkSVaWzwVwnDTHpwxn/aUS/Uv4AtSIOqZV9ZlAkyeoHWBG/TOh2I9d +xfTl/JpgcShQrAi+3hM9t/Lby3FWtvxn6tzDj/I7LthsBxJCkrZMi+j1six39s67 +1nvpNWCuchm2h6SNmaIc8tKR+kUqWGUHNyNvv72ayX2+Ir8l8qcTFWL0YDDIceJd +3CZqigE5ydL9PDbJGmT28ykMQpveLZBJiLP0Emw87RCzetXRUSz3J4rBJtJztPYF ++RFNex/me2ARj8o69WqBZRfTJtNnFpy0WE261B0RTUneQZn4fPudUVRVPbkY+Jwq +xzKpUcHj7yL/SjVIQziSg8yUzbyugwI6cHuS/4riBg/dLWfF0Hkf8KHgWpp77He3 +H3tNK664/3U1XGV6ILujSFW6eWDIqKQb8ipRWG3kgSjNQzHYSrmmsbi+ztAGRrKc +p/tLYrGgHaUCKrN3dXU5khv6vQbUovRPV22PXWHYanXUh6fVewv6jeUh97J3dZhL +REqtp2H570e/Rz0o3I/HxSpa6EUN8e/2HxK65APsvUKgJa/lbqZD7ZKxNySzRI9g +iSqFGBMGVDdCLpXSkqnOwyoY/4IUGEHFQthCHbPGkB7nTxeoD5MyAEgVylaRYIvU +IiIhYrQJ12S9yuPKGIAUIQ/IXI+iIeahcccijE0ep/4JfEQlrtHR9god0grGqNa8 +4hQcQngOs3+Df/rJmwUE8oHuvunhg1Vc5dEMqU3gGZSI+qEI6jBsPCF4HwkqrLEE +GsxtIvbWGFNW7i2pY8jCD2+aok8aqIPFVZo1biZq4L41SUPWXyg7fH9Y4/9hcSTi +0YwKBDr8DNAWL3kNlzbJ0dmiInyOD34IoMii28tYrideDnPwh+j0Y/vapNYncwfx +w9AGmn2RRrNAImtWCXiZ8vPb0fzWiHCwJVJwYv9dmv61d3oIV+2y8c5c8YN3QLec +1WbnrmWJg2yRJJUGeijy8NGqm5hoR7X33G7sNvTKA7RtztLzYjfXnKreyegXg1rH +ax24a+FRzsAUG74a6cxJDrA2WpcBslkmbY95l2/RGG9/1SUIIx+2BPjtG6aT3Nk/ +z+Sdwvm4A58MinMLWVdeFtFlgjtvtpzDTUJ4pKQ4gpCOu3J+q5dsWxS3FvFYLiVz +YVRgj3r1vpkeLpCu1xo440EsUg7vam6xj9SixJPC1MgqDGsQhXmx40I6+DaNfK+0 +F6rTz2i9pEXl3L06IcFckCyhz8ggfg3nFGvgnIwPt6HQpmBvkUMXRGCmzo3omAy6 +LS1uL/v+bPS9660/WgBdmUI3DLA3bkzS1xnzbzkJCvcamYiED9P3eeWRZA5HvU/O +TtZVMcN1n5ageT3LUCEn7Odb2VF9u2Xd+OZ1qpWArsh1cCk4uEgVdr871bxyy2kx +VcD3uF3TTdF2nqOW+zo59XvNqxdxkwsEKBza6RgCjcZtOEbF++EncyX4EIRJoFOt +NeK6YT5whVVQC2SjpjZIudhnpBDscoDiibOGfoo4IETqd6Nt1XyRWwLnoUQ3Q4vZ +0WuXYQT6b5PhYHa3XSxT9otWcxCR2mEonbwNRNItoj7hc+HWMvmYhPCwdyp56TVK +FPYIeWvsIAbhzussm9yZdrThAUt8MO/ypdWnBaq66YgXHEPRVbbQ7DNGsaG2rFVt +O2WwOGpDIRyHaDTodmoRsAR5/V2SiAH2G3QCm0BH5uDeNq0GXWlpnCo0CoC3e59T +WRyXmJGFPiO7dD6xdTM+xq+4PTWDcF8/dcJ1ryaj7s/UPoJsXb4XgyXlLYoJQtSW +n18fou/iVwEV91ECYF+/4REHb4XS8xgp1xpR4z7dNL66b6N87DLM5B+2cmeqtmyE +1jPnRjaZgthTveqtYLqIwoYsA5KMkbwO9o03IrMb71kjE+dclagkmn6AK1uy+qXl +QtbS7ZG2pwn9LA5jYAePnhICQwoB2StcCZzM2F3J/IJQn2utz7SZphnJPDuOgjAL +tMz488tEkyTRGijuoWBz1/4AqVMWCe2XJV0097YM0e2qGDY8G9CAdQnOZwc0eWCI +jd7/SUeEHZXu4+u4TyM25A91pnZdvPcJISVso7x8ZFFWcNNeW1Q0RQGJTj9j75L5 +gkWeZHK4Oib6jT6D02UoejN09O6vKroE96IOHblAmBaREckZNjgujJSPZA25MicS +rasmlgKdScxQE9bWhv8VkgWPRVVAhxb0buLYu1XeQqOBqnB76qyP6I4E9d+5xve4 +U+CFiaVO/bi8phU3Wk9rCLRbKMw3nFVWFIU/uAtdNF998tI1IwJUN0eDxXU5zo3e +IrQfZ/pWf443AhpLWOec4BNou51VDWsSF5WVXXlTqK7RoM7wjff3KjQIS1zscYEa +pmhj9gUUKJiaohq1tF8ODQ8gEyjmlPR3UOpYGvPCov/3NrGS0PmXnJuv6rEeDtpj +7ixJG+GvfNlR6LaJe4mwn4CwXkUOxa+xBl1/8/YNqRtbUdC+ndYndAlBofQ2tGFi +abFtLj5+TQP3rrn72NJWxFEldN3mW/l9yydKvL3VTaVwiGvl9zMbIqsknkldsh3C +EMgeqeeiaqzgrjT5iACUcnI/ozZXEVeEMa40XJH5JPbr1Dve7yHQ9u4eetVeXTPd +VL1ihqGsr9KsVENGl+YbFE369ejLdIvNsUQ/8o36J+NxkbFa86d+gbU8IfYt7nXn +CPN8HNF+UlhmTRsFeZ0pd+hjmUrLt/H9WkD2MYkgxwh+g4TPhnc8JJSPVe4XQoP6 +odut7sgt8y1XnnyfVNq1JrcydD7v8IdYGrzGogCHiZuEEfQp+pS5EcUZ5GtN51wr +Wu+0sbIjKT/H1xnB/FBI5A09OI85+WxDCLbsLOMiUa5VDMnyZ8tsMx7h1hCJtjcx +sJFKzbm888AKh4+t0jpaW6nbGxRqccBHLdfsBiKviJbI1oneR5AaotIFPHgrCqKu +SHY12N/VDdXjzlTwhx0FBmqsts4kHsaQ/c44b4so/IMft4v327jpF1TN5VPkeuoP +HEV9ClPzwd4tkd5NTBE4iVc/ZmZsu4J0/os65+tyEjyiigIT7OHELDZ+q7Gk83za +sh9pnAcEGkZp357+dGqpP0BCefTYP7BxmQ5Cipb0bOcnn80jOTQmY7ISXkBP27ZS +iM9Ts73JitSZw9EzyEOkdzt7e4ZlHvBwShUNIawBNi/+4pMPkHpMX28nKyystJms +y7NrOCExWaoRT8ZvCuFpkSbfz0gBRy1hh670Zz+WPALyM7iMhhXzVTb79AsANpfH ++NIHvOEGv/2Xe4AyK6kxwkB56yOaWamfFSb+0KzJWUYVmMNf9/3JBfGtWfjRpPVa +M0FRud8iFPsL7oPLr/M7jQoGl1sA90ooOoTsAg7JUcgGIaHOGY9XIpZGwgzF2Y3l +DKCGo/GoG1eAxPdRrSlsSuIjrNQUkKSC49/5484wOA1Vtibq9jw9C3Q0StdhoG2d +xmCTm+nZdnNaDiLuAspbKEqffrTrcFFkVWioxugUeWPbVs+6/ztC2M14Zio4Conl +JJ/jXTYdkSKUhgWW9V4nlpsOjl1Y+sACxM1bNIYLNA+rnvlTPGLeGbsKeElh5k1J +XPIWw3pA2Pz/pYns7coZxLOUc94DS3o7RBV7rI7M7Ooj+lbNOhcDJqBp4GWX4bRO +lCppGliARtMgjrmJlngO+zmPqirKKOpXY4xwXk7WiWZF1wmcR817HUZa87yxPZqL +ssiclXSFqEIWayezqfpBagdWMT8E+0Nts4KebDtBQygMdWVA/8a9+prpkhBswhJo +Ek3RD/cV02N4twJ0rvdnJvFkIeQd9YBUlEOk/P/sjUM7hRk3HzXyLESmsyM/nhrZ +N8YK4jHNVV9W2oNOGhD4sai6wSdOYlaF8B8dfx4KJq5hmjUEQL7JNytnxzbxJNJb +1c5OlDp84T56BwXh2pXciWF+fkSG/ZKbXb9CW66fXYUirj/+wbvBWCdzF7MSWMSn +zHmD5RxWvDVTHZb7QO/vscbolOzXrqMAnZTcF+0EPhTvojvMfUHO4jSEyLiqE2mG +qAWpLhMZtSWYLH8Gz2pm0yehpghG7EZDyo9meYyOKLRARPCFfJtBNoGDOSvr6Qog +53mV8PvndqogqtH3QI38orYJsARWbfUFU6TYPVwHf3N45sBtpvbmpFCkTX/It+4M +UbHh0fYJQxVyRvZT8VWdMScZzx+U0rIXjSkhUpz6ZRXkAzQMjkoYzo4FZ1aJhesC +bm9Vu3cZNTQLErmoRUnpHWowdl9eAQGgVLjQgfMU185h1G3vCh6djXgedN3rvCyE +bV/Zbmb4q02mL1//EFg18S82BA9//VIqQrc3NcbZ1N5Plcq612SenWgct6wK7d4d +A/8ZcWoqndiANjzgCcSnF9V8WrVvnuOQvJZLCC2+BFh9UVkq9TofqIttxbAkUqI8 +lvjRbcCd4PIUtPPpTEHJKuxHXWgiLDSVd80Sf/z6CYh+JBXD3lk5STaM5yAJUq36 +e0J/tNy81nL6KlgJgBr/TIb1uAcs2ToaVWMiraZ+QPu5xAHfpB7Hj9zQlYZCXmr2 +NHMdWlhs0LeJDA/iDgvWqgyLOmhEFnRwDXDRWdHfLbJZ3WnrrwBKQKIWRvwuP0Cr +WMRWfTh4MUjzg6c5lycQXnr4iSWp0zsn25dAZBi2kaSLCxsolIWOts3aw2iAFsXk +OsWaY7c5O73uIp57wnqrU4WatvRRffBLRa7VJw+yivmKhA0fo0pDalY2zoAVUhjq +fq6IZ+J1BfSLoT1etkDdIjbYwj/uZRKqs8s8IM1IsjLanRiyd0BthEHy1kITxtc2 +ySY/hzjI+odjmh2ksriJS4w4x4PWx1amgVC8fsngo3xo9ChfVriFUKTKFsj2GmAf +3gP23ZjegFFV8do8McI2jEWnPoqyz5grdvkjeawi+ZTmHyUZyVCbTy1ydp7KC744 +EbDBjlPPUnXs5eh3DiyPj4l38fUJY79XrGDDsFYgUyGycKDkH34TlnKYB3yQibKp +08iFrlZ4cpqydyWaxK+8v7kBCc2H5bdR6kF8aiQVVLJ7el9cjxlOBql+EPFaWocN +cyKJ526XXPoMdS615nnRXFAkCDBjjCyoWn7hhN6zU+M= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_tx_8b10b_enc.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_tx_8b10b_enc.v new file mode 100644 index 0000000000000000000000000000000000000000..1258e0c5a9f39437f4eb906cd9cc49d0fdc843c2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_tx_8b10b_enc.v @@ -0,0 +1,228 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +jtNEeQIzd9LZMKrColgkZJsPjU9uv+jpb5ALLSbbGDsrGy7LOZrQ/IjeWwNiAcef +vePqkPKPlRec5nYGHgzUESeg3dL1nfPdN69ChYfuxME0TO7Y4Ckhz9QbI7INBa2Z +uYYCkzxaNWI3XhdIWxli5DOzBZjohWsFSHVSpSYB2hM= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 9216) ++u4BIKwKpPsvBB0UsrO3yGe7s0AdDfy0X3R0FaLUB/SIx3JWoh2zQj5chRaxoafG +gE/34SmH+LKdpGdQCqfQ/RJs2QVbrEIHzpvVqK7gfOghloL767AD5gtSWjKA+IaP +Mrq5b+oB7ajyxQLtzIBx/Bl6T5t4yJDPNX5HG4fm+ib6U2QA0nQV6bUz5e+4UGD7 +d835eMHgNIMselqom5max90rLOzMXjJGrB3UTZKjPjlvor5bRJcSrbWedMKLvwWg +PHuNvUf4zhrvybDIF/GywlvJok9NyKKMdvlE4xend2vOxdcImdcvQBJDPbbPYSBl +DBH2N+Fu/WzCaoSNvL93EfSyDgaNAXPcZWsMRc0EvId02cVLz9zHnZm7u/GVAiRJ +OTEs0Vr8w8lVG4QjAcDirrR/cNWO6lvMrYJsqgQYCZYg9tQzLrgfjfmXGmjU6pfT +HYtxoIqUpZ6w8ZLsVUmiRQXzx0QLbqBw+CeG0rwau8jVnZIgve1x18Gsv0M8K/gQ +wQXMeGl4IHFsYf92Ao032ThfPWlA0AmpUFdivy3SZPEep6sJ50IgAwIjGELcSsKL +XlRCqNCc2cjiUt6thhVBTIUHwtFVISJzvOwQMw7HudlfiCThe3en4FQjBVCKVTtX +JBA4cNIqZNfIki+8cUH1FCoh0AOQmy0CSd/g/mLmv95SMsGGEGK2WIRXNWX0AGtf +L98k17KQ9L6mKrva+oRyslNmsEhWOsrH9ltZI5lr/5nd2NN3xxjVwdFHSHBzYdP7 +ATXqqiAAXoWIM/7oopubTlKtcDripOXVnmOY/EZ1lCsvylSlIpnWqvDPkLj7kAY4 +prDhapUMnfhZibkau5IPwCbBTf8q3rgJNMcjCoPF6xkmJ0gk300hHaULuDVTYws6 +k8vjy05w5VCuuPQHdeHG1u3Fu+CdnyY5k0YfZqZXEOYLW+w1wbzEqtziMEYqtl9h +haKDqNMHfn6HkZJtXpZqWPPtVONWHGgnCZ53ZuwjfNjtpIJf9eSozhsTzigL3dAM +uFdc20HH5i+aulJvljG34XURE2ld8wTzhXfhRKzOICkqtmR9F1wyrPneZjlChTxg +W0Vjedba+roGoI4u5WvzF/wjwfM5DBreVEqNQdfLA1F9NUS4Glxdmai15/To+HJl +A9oU6E7aYkIYiybFTBumq3nT6vRO6gNKgD6CsOfS9oZD1BeSvjPooZizLXhg4E1z +t56lenVMtyn8b0gDq1oTN4DQwkAxVD5BzrgrHPvGRHa8CehaSJQnisdTJhFDFilr +EQ93+H+fpgQC940uBDxz06RMkOVqg3HhGeHJ9TWdRV50IzUThXcSzO/xmqlEolz/ +UEhObnOvqaY2b2ci2CFekoDCq+ntzO77CNp4fV43n2xk8YFhQYm4MKtfUbAntMiB +a0TQPfkvW2pEE33IMOqIKNc+U/T18Swb7WOp1Zc8XGWSEpSEwxm3KgzXRgRAbvBy +cRe0dmk61zYVYODkUCucgsKvofJgcoe4DJ/VjH9sr0y4PCeY24GeR5nP66M7nDVl +hTsjwSXYMjaT06y+0jtnQu8rvcTvVpguWp/+2bl6W+y1a/q7p06lih+H0ZJNte0q +8CTBnbps6muRE7oKBAfB1kt9nFPg4+JPy2bptzlEbP+GQyQlVVt23TB+roWpmR4F +bOhDiALCepJoOTl7m6eHJ0l7J1daV/VvdiisHBEjh6JPOTXQPuqeF6EzeYT9AMRx +MW8pTpyMm2CsXHH9fmV7sqCQZmYS6Svu1FWUB0rN9sSW547Emwnid00hYD2Bycif ++SlpmhkHO8iyeGLZ/N8HAeCCEU1QfNLPI5L0Gtodc1cZhlzF5NcBZQGJdRpQpb0m +v6yY+5QqHXNyqDnYKM3G03ARntVcA2tKc/uPAIT+pubhWBPfpipJ/WsXNFk5zXYC +TegD2tVPN5PNOf/3GHfOjxxF9USsnkIsnAQLer8k/+pRYw64Pm3d87eQx96bftYT +v9H2ZG2WBBSu1gWLpUxh7rW2WRPS1IbzH6vBd1Zzm2HQb1BLl7GxXNRcLEIfI/P2 +DrDmv86Xkldv85IUID6VWadecQe3H/8qRnmoGQwn6s8NR97huNElJWf3ublZU1YW +cnVKKqw233p5CqG8kDNrFQOS6NgHoCsR+9cLAFCgyKlmH/DMHzFdokPrTKdGmHKl +WAHY3gRxKFRESWGit/k/3W23vovn874ROJVyXfna6CdHLf0VgJ/5F8spvL4Y+4Wk +8v1fYY2Dri1wkMxc2mSWaMnjafPb/n5vJMT3Tm6kG1y5DDNqenoS+VqVt0mFpYrv +iQX5PgAaMxVMDshfDbsZYGgi+jxTliyquhtCB2wbmlu7zryA4aIfboCiwckDkhtf +1qemreoDkdRHSOGKEFxm2CRFSwTdvod4LnH4zIbwJAlnYqkqp/wtv+lCbRv4SZQ2 +tB3kcjWK1p2UY9gM3/z4LJzRsD2xRJL3Ylm2B5UXXszefo+aJsWYjR90WhPCfn08 +qardAm1Ncff60J8f4BnCYmS5rfwziqD1mmiSIcRnSNg59/Qw4Vc8Cqn5VlJ1gQBZ +QrPvCq1cfY7ehcLz32Jlt0h7pyYCxOW32+xw5SvAm8E2DsHpRY7Uy0QwBacEJGwd +xa5GitbCN9atRxsORtTSTshmNKQF2gvubjVxPOoo1FytFYB3aSrncGGodUmKtdlO +zGpRpFK7Ece5EFzz2edkmo+L48vUZjCtBGFnN15tH7iLn/cCa9jyx9bvugsl0f70 +RYFmp5+cHh+Uql7MUrPrOMS8NtrLJYc9Aul2wV0Ul3VW2vghVA4guCaQpeUU6k3r +AwCsUDJwvob1iia9wqxPDoO51QT5t+cuEI8OtcwAjwWZxklsrt0HNhqivbqw6Hsw +6gB+UbNr7L1RFHuz+WuCbtKqauIb/VQsVxrufA9b23pEE3WsTkBcvrNuPGf9F6ss ++36t40D5jRRSypVFkN2403vt2ZcDQe+tyHTMEs+z7jjyuyy9FZ6y3YJLYnlW+1o5 +gMaKuapws62nbdVNuDdJcOxKMtse03qeFWIe9vkwvyCs50DWxPnV1ehxTncawAts +6gPmsfZTU9EyFdOLx2Xj8lvmtcKY0FIo3mhiqpI8evegvlOYpN1idvtGUSp73IZN +ONQkfdDeTaau3josKvNsaO0eUndWw6OsEPLQxAIaZmgRbOD9i83l9suCWjfxwV0P +mEUmtRwXOMESYp/XxmV3ZlQZAR0C+lGICrmFv+raL9PFywcjsukZB4QHJ8NQ1HKg +JPZJ7d8TpNri5oiaXxNUptUV2zcp/4wrliYM8t/raoo3yz14PPX6e6jnRo8FklOI +jfVRxQXO7+CB50PCp3hwjZ3QP+xZ5fl7PJb41Wv1nKrBKEBImoSV3Tt1kD/2wboS +YVMIwIqGKa5bmV6wQZPUm6ESYuJGWe4HwJdgXWnj9mB+KCJMi4SCELeiMfm2qg4h +g513aOEwpmk5CF464wj6v4QWuPlYQIfD7wZ/sIvx+vJkcePpZAxpRsup1oRkBEBL +1piewemqrrb582xA5mAmt7398LkgdIAAAYTdTjOMHoYfcUZsQPiqyeTV8O+8gpge +aUA8zqp/W6+N9QnPXxhWhcx94HB3oZUclnOTXIIioJthm/ZxYTAL6AeucAxFD/J0 +xbW4MSLuXVFJpCiHPyEO0Nvhi58wopvAyPOFrNJKiiS/asyF8dgTjqN8l+sjn4Vn +Ud/8EHIIIGquNB7BgHx1Fz6LTQ8nxMZYHljj5VeK8qQ6zYG3lxCuAgji0HMsb9Vl +7NX2zbL5T6ICqiALoJlM4GaP90ykZzOIJ0LFSLdWaWYqXliOIPRVvMrEPS22qKqw +6oUmAi4YAWFSqb/K/TuZU73RbJ/FpeUupkUM4WY9M3p75bM6uuFU++q8Fteg2DSZ +L3WDr1SftL3q1UPU0surAq0PLtnJLcCXwqQzYNveUQvGoz5yQ8TkETfxr0ZKKshP +S8QZPAtTNOml5OkooAN82c64SXIZ/05YIyKyiCNMU4nTOYtgc0acuB4DCpGlgHqm +wOppx2HXGO3DCbSc8YvVHdm45HusBnRFSMd6QrG0bM3ZLTxabVJriU3r+Azs/ftF +ege4oIS6huOwlCNp/cZ2U3s5Xg0AnU/dkuTZPUJgu/gsnUxH95TL1uOHAn3sUd18 +adWF4qtVVBUylQDSCvaydL5meb0T1sxV0kCgmQ40Fi6UFpFq/TTsb8KpgqHaH/Fn +XRcmlwMkU97nSAWImyGIyU3j04A5YFkjkNn/R237F1k+fyK6xVD472nESZ8cFFU/ +Jj5INo9SxvBAuWJDzmpYx12B4e+9TfB6BufdSCpP8cpe3tscsB7Nl1Zx+rPOHKJl +suY46wfL5WMc9O1st0HhmWxaQCNWbLh8Up/tT8nNAMFE48Ej+Vy3+xlNjCCTe55R +Ms5EQrar99+znjIjUu0i33L2vYIYnDb1WGeooKJ9BZvnToYFeMwrwH2KnF4Gza0V +LDUxsjvmvYCIqo5DCQDi/b/cgTx/1WiRHSyKElAJ8psMzoHaE+0GekxBhF2iiEhO +f4lKdSPyDEZjVjH6jPlWvZiQFCiFNPfovI6b/PQsY995KagXgkxhZa152OePUK1L ++qRK43s8okbv5p5jgUv8TXIdmcs+vKVvuxWEds4I1irYRUKsxk7bZGGqoG4f3tXZ +W/cjLTHRlXfyFnMbfR5hz9QuXXN9mmOPZYDQm+FEZljlMaO5xa8E/yk9AMhWZ4hk +WWbHd2hkCTj7bVZaVMgr0FnWn1smYi0Rd3UTmQZaAPNPXathm+mA4uAo6kpmhFkt +eFK6EHUTfss/vJTh+BQRFQaLUUaGr8nHL2tSPBHlrWWg+SxG4Af3vfgjcbYPrKqK +gKSt6ic8+ZDMznmWdxpHQ9EEW/cXcfXrqLKIb9VhUPcn1IzEgg2DsZxiyC64V2+O +9iSP/b4v93Pmf2QsOG0PRWK8eIqGCD5yVab+nDEDs2751mX/l91NDcYeu250lMnV +CPg4QJDuxJTim0MDVt9Jphg4Md0/5XAlOcfyrqVgX4HI8tqQOm8+43sisr/jwYw6 +/ih2Vg/KVMsQjA/HcE3R0JQ9A0hXSRk1isfnFbcsqAWWFMTSGNw00Gdt9fGVqt1L +9138GmkmI/V3rJdqM6SRFlaboibHmYLu2O4oQoKJk1gepZ1K0AIEp9ox40hNq3vV +LyWQmGSQPAbWtthknUlSmU05qRR7ad8Q32cal7Ds9QK+Txkt/a9qkxfbF+aX/6wc +0S0O3EhdaLyPJaMQ/vKqCxSbee9ecrTiC/Qtwipkm+Jl3h7stteo3i6a5vTIbclP +qXdZosI6TUDkrbeBA99bF/sl37LpGm0RF+2ID0MibIfOgIQPl7hiVLnxkL8txASC +BuYU1TURlcuZhvFjAK4KxBe3YrbP2/knWB42f1ShIVGE2x1KWsRB+Tqxe9eVtADR +agIc6rTXUPUJBfvuoEC+N02Qheklk3GsRVHv5oFDdRzUWNmy2oQm/ck/zPhCkBmI +PucFtfKq1B9iOgqH35oXnUADcw+CPYmHPFYUDg6mZ/abr/m28lZrnbvuqTNLFcW8 +vms4/90Nx0rpRgtTowY6VkCVHpgDdB032xgLhP+dgIjch4PWeflSVv1eiQRhBEOn +JTjnKTnpGOdhI/wff0C8nJl1pGJ3ZDiseox3lR3R7c1gMUV/BNYx+UOCEEf4EpVm +xT6S32hZsei+/3gRj5By6NVO6XV1wwmm82mfgLG0P1MngFlkoKVdA1cUkU+VyRxx +dlG+BIZ6dt9lZXdikEUmbC4fZ8IqSJ78V5fUGJLBe8SpMJxhZnQlRIhdLxgAmQjK +sp9xT1gBn2hX9jBP6CmuaxBQd9gILGj4CUdeJf1sKrL2uDLZOllI1BUJh/QdIi+T +utkOO/8CbJ74/BEsjVqeh3m3XK6qo2FNq4N+ciKxiNDWVHgYfZPMsNyr5HhOuOjH +cv5Mjirpcxv6NcrLIh4Im3nOf7ccX2p82n+oPUZXK8NR/IT2zvnllK5L7UUVsM+6 +u1wSE+MMi64wHhX92r0sfkxfN46zJFvEA1G3nLmccjW0AV63GBRlLX7jZwxzGPU/ +dIMoABdnby/mVB8hhH3HkZVc3lSWBKxpRjEjDT6Te5Kav6AJLUovCOLYVll6CfuP +rvJy5HE++6fiLXmw2klEMNXIxaYl4ZkESwCjjJSb9hheP4xNHiSM9zamm/l4Qs+S +q96gXO6stpI6MfuhUpXuGXiVV9B4jyxzjV2sBCJh4VOY0fjWy6AmU4dgAsLRYvgN +1vim7spEQHPqnI5PKzuXwZKH+1iRjpgu/kV6xOp7jvpidieQcE61P+Kg2k1zpAi8 +qu5kU+njwiMve+N0jYmFVhxjOooieSW9MJ/iYcJjwjKMHmDG2bkKFEPFscu2fWWK +p4GoczPa3IaM0NfEy8zHfwp/zwxzU17o75HqQcFvrmcpuVNiQCZ97/YQ/bdg8eUe +yYRgIevpzofT2iayqyWUhGIZSHrl14dlLZ1qn1SqsOQXxSFE+nXIIjL4f+mu/FvJ +iu3aOCdkW5jASALXDO08q7lhqS0bEJ/Am2KDcpBAcWTah9xylqOTn0Hwam2Uiufz +Yn/cEHhwuOBG9OCEUA/pjfRHKO803qiboFNM+VPlz9rxs2/epI8g7fipiIaFXSUJ +yxCgtqlR0PVz1N0kAcAGhQ9DY9g/+g7tAfhs/eOJ/9cGVrlMNHM61sEYAOvf08yT +Wjnf+ccVqLLNbydzXEaN+G83rxX3ji5J1zm9N+4lS3FvZgqRmWXPKeI8ZyZd48ay +9PQPnRDcVYKgKn8CtE9ZQwHBNKul4msYjQZV+cjkDSlRRrjsHF32tWxlpyxkAWgp +f5mTCJr0kaxiace+tk+IB4f9L7M1FWoSIq1mnAHJRsFN7BzYExTzfpvQWSNmDY0n +nTfqyU+n77j039M2pAw2ThchPbJHvzxwfBiGDFomwt3Y2szHTqrERr6zAjw+dctP ++eypoTNZ/ELorWsB/HuNCHwSxevmOd5XAkQoGFCu+QeZknJ8KGyYV613JjJDbgMQ +sEiORLhu5tv6rr1DYBk/gw98U6LBTHK+xdOd/O6cFm2Mj2jsTkpp3jfw6qdQ3s8J ++fuU88FgQ6Jo/TKZp9YRQXHRtiiDbhPZpmj8kmVuYdtiocKMjNYPPyXXqTmBOX+1 +Tb14bIHqCUS2TASMITQxRTh02oCbxt8DZSjWbenrPNNutp1js8Clqt2VoK5y4iIz +fF/4O4fE3hJUy6hlisZwsz11Ir5CcJxLboB/gH+o9c+kOTN04J5LP7UYuX1MPbkY +SEvq3pfhxHl2y4xoAFSDit3EqlmhSOcE4TGnM/CH3ZpPlQfK6/7vhZW1eCNUAyNR +U35oEQTX8G4oeOoj4IA6upyNJnpD/J/3FeDOhDQ8Yi78CO+fCB77oQIBcqZG2b05 +nEE0dtCli7LklqVSrSz4Pnjl/5ddhcqEKIcp1zxmBP9alwyT3Nfw4OfYQKi9zb3d +BL9peuADKXORXAfLXg1KKNbIqcNyvYsEuchy9Hp0rmNmgjB8hV55fJ0iSSPZOU1N +3RDGyaD1DEVkkWh31kbrV2XvJNnB2EU/uSt4jGGcNOX8nycU4hPz1pvwUmU0lEjt +eDVo+HBm1xK23OymaPoRKuM5QSTtJOsRdcfuqe5ZB5emUujSrWIo62lMqrgGpvTz +EgcoqrArwZ0s0+CG19IMtUXRhRPU5CUcqKHFRjhiM6k2Cpu8vMoE8IIRDuKajdSG +45a2VMQ2934RrUW2CM9RBO5rVfOgGC6CKkhRqWPcJK6Ni1iAeGWdZ1dY+U7QiLvY +cqQ5gYUHPWCYvnkcaE8Sm5DMvrrGfn8UGi+MN26msSPPh92AQ5CrbTrExdOQD1/Z +L60lBZaJk3U7KFDfElPNJULhw1l/r1ptnIM7u5CuuzWgofVgs804oQH8PSC6Djlr +fv+93uDsWHOSavwlpAHSvNOXzWV91GLJYVl98uncjjLkC+hfFNhxLQCp/SyHDrTn +WEoO/uGEoSepi+rk/L8bUvrGcuFWyIQGybYzjclDwdnIP0gl6Znx0gXlpPomhULF +lSntfcnnFf+QXQgWEpKwLkGVio+fx7aO0kIZc9xorNcTSAPslDGwFdczsHZaKaos +T5vfoXaBXJ6dEzZNRPTvMe3s++zfbIHJ0goRdzCU/2IFgL0K/Gat1Nd4M6iLmQXz +GsNeoCUG3cSqalKcwhr7MFD/npU2MSGZmKOv6KhXPjMvkCwPV5uQGqP09F1lT1Cc +l/QT/Ez3rgJG9/vQDsLZ4/T/TyuV3bw22b8EqU9xqYB2rI+qKI3AIZO3cmV6x40Q +EgX360zknCNVpft6clkCDx2Pn6NJ/YBHXEWyUQM8RqO1XF9RQlYNkP7tqhwKvoee +EE5cs3U8o8xPaM1u7z3Oa/gfnRfQlfDiRfrIGU2SEtkMsqr/QMn+AduMAh1UAsrY +CjNzZDTK9vWRvGsIxNLtD6NoLF8pqvgr4aZlalIu/xeczDffxH/LS6nwLGfalAkH +6F7u9a/dwWe1Z/pTgQUAZe8nGdASvSBxbjyR276s5V9twMFBDVH4veyaJ1bnpG9G +Vu5RHSaNpxmSY6MglUo8fnh75ehMxdpAiJTCAaRrIqfW1jOwNYQlwGGbUEBUWZHC +NeCAQc+OTVCuirV1/UiMECi3mn3A75ZObMjYBSIFAlhpV+l7UGcxlm42buj7LwZM +FkyLoIVzms+Dy4nvNLupAVHkQS7B2Ol1kJLdbU8Q4xnM4WVxFrzdLb2T5tI9kW+X +5tMj4Bx2Nw1h+mbg48a4FIuo1Ymhm6rpepYyfnC/2GAIZssLsJP27j9yP9JNzUYf +x2ghFGdNGiyvUjMW06+ZAN5CRir3zh/+PnVOA8APjmWf+3eTPIgzVtK5ltfAyqvL +BUP6mIEHoeFWgTZIDwe9t+8Ck/wV2eo1j+bxptOK5T3aCU3sYNHTXH+uhbMIbUr/ +AG6xKeCeimGq0mA3QRGRJlunSBN/8twnsL/WKVcMKI248o45kxp9vtfA4tyqyJiO +PQHXr/N3013z3lXhSAmhcc99bv2hbCFavvjzk8ihvVKPGF9Rw/y8oN1ScClPGO8x +cPXTYGQwPq08bSvQQjqkLDiFZ/r3G7LbELU+dvIDiVwKIeTJsXANbwf4mtnTRhjy +QYbdIi3WFQMGJ3CBbaSBBCUQ70easPa/Feu0RU2CEDIpvJ4HsaYt1G5bEuW8+1AJ +D12ZsK/JcFLtycjoFbOWOywz4zY8g+Pk5iGVk6O+mDAXZRXipTPJoLv3S7x3VWuB +EDgzbL2hbzsmFeGjD5vdCYNZXjsAdhWsWBYLumqVJgPXbncRt8syaJCY+eRiIxif +ojRZUbJnCiMpOzWRbHtF19BKfyHRpMRuNVCd3A8qJ14EKia3kmluL7qHcgxKJJGE +fnvqrywH0N+Zko3Zo3kAPSurhKFHz++t74Fy9Q2z+TXuu/9Mkx6JKZIJuUOfPsFu +tgENN/ILLY8B+7PVHCw7OMMk4s7vC/k0szuLjd8b70gKJ1NYwsMW9dGKt6m7oSn9 +v9ecHNLpTPVhbAmf3i9lAqr7xvoUGRisYwuFadAcgYg2xliw49kePTe6ClXstDXv +sa12S6Gt5M56zB06kPMVLugVtnUvIjJqwd5EgJ3cktPlMkgHev2aSgqH82mA+YiK +qRlyepwyuVX0Bi9D937YzWMQuLVVpV0oVEIx3GTr1GUFhzGwlReLbaF/hgnbAzc3 +MP6XBjrtidZKgaiB13j+yLajBo1kaXizebPRX60vVbzd8/k7UloZYTzClfXnEuk2 +KN7+J4sW183H51P1cc+RhPSsA8E894cotYnOCrfNEaoU0LPps4HlfWylCjjPjAyu +Ig4ZEk4/EVwPuhgNazNX1dqEuJtlFR2ujtYT+WgCmsLhx/M3a9/yraVK+ODi0bwE +rcKsjZDtJZ/3aGzOkDRbCmMjgUjj/QqXbren2EPSRGOl1CW9t90SifQLvMVorjT1 +PyoLY2TFTD/8HCI55t6//vXL/+qO/2otcOAO/5uhIIpMZdK9spJqBizfr4Fy3fU3 +L7ztxuydyPebSLt9k5uoidNSGwZvwIoOjal+7uPS6wLw/B5lkIwza1uW1UY5DlmG +rs09GBewFg8SZTZjosL7dJ/77rlLvSKK0AtmvGSdudg4H5V4JTFstkcAwQ5vA5Li +I8nywGuFWksy1kZ+Db3kShfqhQi0LEQ5Ep1A3U7QvKtGaqh0XaDv2sw3UzT8hiXa +qFiZNpgqdagOvlFCRPzzjr3W0zbPRwDSAvjqN1Hx6snoEORsIhhFFq8bs+WHq+8J +PDaIKD/p4U4ACOo3lU7cX/eUEEolHEvaw31HSRI3G9BcVkp8y71CgVzswyXdhSKA +qUSqa+vLwxsIIzzEusf/izRJB5RdM3/voFuD2VwICnBtVhmEhgQY3IR9J3zceey4 +hRJQn9J8koFZ0FW+G+ttAjhJJ7M2BaSIwCrpyGtL8yvqnhOGAtJjJRPLVxRcJw/m +bkJ5/07lttn4McW7cFul7hWjS0XZf8SXlSXZoXBKYmvWRjnLyRNDcYVvnzx6KQm7 +AH6dZJmVKcFPyMZfX4pxf2X/hU/h8TxuG8aeKb5eaqx+07QG9Gy4bjSFXxOIaKHm +FeHIkUTjc8UQxOZnCFvcf3Sul0JjevebFPKdvQGHXGeGAitAYTzYpFJYxP9DfLed +VVhF13eue4KELhvMkhRpr4HATjWCkgLSwBj1axRLluddr11XSpPXRpp5wXdrJlRf +40SCoiU3wKcaeYbdU4hdYV6WjUNnLXWyHU83uPKDRriNaAYXjPZWPNw/BEUAWVKj +US+OZNLpEwahLX4gfmUIVcxtiEEjZZs6VdginUl3kd58QN/iCAXLQsXwhPSC5Ptw +X9zl/HIqgVrEAS7rURSVXnWwKp4RyY/EHeAsas/L3z24a1h8BjvxKmi0JwzP1+RR +172ijptwxYbmZHztFpICAGlEHnyYcbPxD/Nml6+ovSgOCfFCbzM/PsvuZqM0de/3 +28Yqe2m2cY+TOV7MV6w6O2uTEbNx50a+lUEdxbcWLZ7uNSnIi+iZ9m632nafAAKL +ZAlK971zi3R9vZoCpv8xqaFFNOCYOB+tooNo1EfLb2zWF6JuF7ZFqvT/R92eWZ2a +o0wI8c0irOX3KC7U+ZqoFbqe4pxFgw29sDAj6HkmfhY6wvEBmYeJU1Azhhfi0tGm +dmSIwpATW6sJxptu5yi3cUeDDpWeFGLbPXInQ877CYQNPrxMhgjZOQDmedPgW+z5 +L3IzHII36mM/Vfdi+EnWL+Crp8LNSvEeGrGHC0Jjg7NezhHASqrcEj46u0GgXtiI +4GPE6nQ9ncxb8GbMrZJ3jcsxjsHETFVsEIOQO2xJ7P2JN+pJt5UO1W8FmFKoiy48 +O2Djb72gwj2sLmy3ZfwzCjc4dt5c8S3aESLCk7KmjznRgMZm3QpNMq+qZp49Q6Es +NhsBnMCAQGNxajcHXSjMBtrnjPF2ZR2jCaKpszzK6Bc9a/d2nr+/rJkyGupsWQWG +8Dw3SdxR5E2j6fDnd6LhzjBjfREfyXwQxfGS7/L9LNwNmNbIS1BFtOCczONGEBSc +4rTRxN9MecCF3NtzbneUkRK3nnu/7l1VJtkR3Wz+8h+ICVTwIqIwG2k8ccSebtJG +nCLdeBekaDLFIDjoJTV5p8ts8nWbrDpxM5x8qGfIyutZLxE+jH63LvqUnqmwbnyJ +8Fc1AGx6Ng3yxyBy7DYCAYGMujNWkURkXe8R+3PhzBKJYzl3r4m5tcmW4OtFQEbD +/DRgAe+kU615KvDCsFPGpA4cXhQXC2sC+tAHJ0ARFY8hVSO4Z7YzueklTLzSXJ6Y +baS6ZULdls0cMbYtBSNMj3mi+M4iMCHXhaAMLpBBh4TKnU+sMfDWAt6QmcWbDKGc +juRZAjqzqyEHSxIlPn3ofrHDEU4kxX63H798JtA9zvJd4c/JlKueD4bqHij3bhPF +yx7eriquRNMCF+uey7abAesSTv2K3tzKSU4da8zeQWv7ROnGuZZB+qxZ/gyvTAMJ +2MIgynpaPIhzsbJuj0XeIHVRs04ZRm7o2yHFzPv9Fr24sSP+J6OV9/LcPF3JByCi +Ch5DDF6D//D8pc158YKwa+uDPGztv0J1fvRItkwsaDNsc3FPuB5PLseIMqy16qG/ +JqMnhbFm15ZrXQdURpdzGIfv08GQFPVrpBGXAfz9bJxSuNnSqxyQx9k7zqS8S8uG +jaqXeubTNABIbyNi+X/ePoj4jlpVB1/V37dm48He+ClK7QdZE2xCn3yFqOBNQ2ql +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_tx_idle_conv.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_tx_idle_conv.v new file mode 100644 index 0000000000000000000000000000000000000000..4170115b121972d5988ba996b7bfc76a161423a4 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_soft_xaui_tx_idle_conv.v @@ -0,0 +1,785 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +fJPqFGDxve1oZzjKQRQ+Y0XDmHLM2K5jRNIdMxv0kKrZgOGtQ4QIx8jt0C8BTHjW +vzwMuY6Cqy5DebSpOhTqCXp5yGSdFt8U+ZbWNRXrOECy1TVSwzCavztFoS470hSi +FkdFhwlZIHU2C5y7Zeax80By3d/CpO27meENZ5ejrl0= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 35952) +mxdy6U/xKQPizKkUhX1uLtdc7W1uJAU44duXUgnVlaG0mPWSS2v7icINIy1FWmvv +cZmOKEnjgjszla6ZRUryXRNc0wnDRtwulIa8zZKT9kalDRyS/bCNbleojBwP6J6A +HY4dbTJOlvZ5wmVTMgGVoKousNmg2mP2i100WYGZfBODZr8qd20XxhNTEXCE9BHD +RHgE6sIa9sxYPbddTKxp8cZZli5yrvhdegaQIy9FDCgXCEkJUgDfUnLwCzJHNQY6 +5bVYLpnhVxzzvK43xrYWGCR/dqhMSy7fNEPT8Nm+SdXyiTrtCJJN9E/M+wDeqkwT +TGYsgz/qdac2OPSQmKTz5oE62mMLLWPd2qsoof9bP0dmp5aHImmuQ5l37oeEQqRA +2I/WnHif3PsQf5F4YnSzRMZdcNRx+LZ/AilaAqOmTo1mJZh9nibjko8IDAwOW1fQ +Y44IAqFLNPeho/uUIx/i7Now9x7kKwuV9xPXHlJLFg+v4Np2vAetp3S7dyIL5iaI +Xf6ugXxPdqfSAfANBfsg4FaQxQdRKLsmVq9cgJITe6n+xcSpW2CXg4h1LO6aJ8yz +uckNnfvEGyNI2BBbXgRYJxeqSyy0yGSsCk+Av1L/yCc8T6xQtpEAhP8ZtPQgNaeN +tqKi0ofypI5i2gt/56rI/4EHNW3wxRzJyuwH671Dm+Ov7ZkZGERbqLOsx4T+gcyw +7+DGVavruoveav+93RmQbPzsZr8BQgxYzDjUTdtAW8moQXdnq8FPyO6qfd6x2Vwv +j78TVwlQV5zwPaBk1FIzpKxBAvleeOXp3J8xwnRgC12ZNhTMgJmAHCqvwnoRaKsv +lmsqufRyFQaYKwEh9xRStkhhgrYWyqoxvZXqYWAjwMiREBgOkpoa/O3obIutGCMg +aqtJe9sWr/OO4n3abl6Ec8PEpic1LheQYVCkzGgE9ws2mOXZVSfIwFtW4uvv4bPX +zpEOEHX9RQZ/fmEFAC+p4wdV3VEuvlte7Kj+0Zt9TSlUqBcdt1cgT/0Qmo+E4d11 +Xd9GeMtPlpbPdHPs0L47XEIpI3NKuFDv5Mt6WLiKkRSz5Cy+au8Fx0/FNvfGpIWx +A2fV7mbDiIVZZ33Pi0cCFY+JS0gkt1l5m/gfXZdnbrQNjV3lqUNQ8BpF+Kd2hhW2 +XrRIQ1UeNvLClFUa7WhZTOUACPpSKwE+KCO66laT1QEJk7ysLsTH9ziVJAoXMneu +W3G801fm/slEt/gK84pDC4mMOmOssG+cna0qvEyuGkTi1LcsxjSSE2aOa9DlTZcX +tY8CIDtv71vRx5NbKqfdNolPVblUWbniWfw6Rm3+gODFfDrMHHITAy2Ju5zjJVjt +yvGnIcNefPPTe4bDcWeCCJpX3qdr6PhhUiOfkbZoRr0ujiAFl4y76HdnOJ1YZnIE +JUByfU5uRYCUznGdfurmoEow4l1tAyN94EH24sLddZVm6QkkENpgdSdKsBjCwvs2 +n2C/+26i8Jt429iGttrej4xh5VrSCMm2hkcf+puqTXwGpyGhIpcGMNvjEeKAF8+4 +fTtYnyTD8YXAsCQBgliM3rEGhDXinTDhqLpRtVZNVQ3ZYeiZLYYLR1X2d5BywGms +3eWWK5t9yW8/QvkAvIhhDiakDpdgFdyqlgDH2hiYJx4sxvirDxwL4AbRgmJMNj8R +zOlkC6OiR8mzS5viaTsEKWAN9aqhbxktH79p67HAV5U4cegbC9i2hzfN5xfutbX7 +MAP4HItHSTfA59QKUeET2aG78EcbOPD3tmjq0LzHhBYuXKpT8DrI//II0sM3oFAz +7y06KnII4G/OyS+qtkYmBxg8jnMuoZJnf+JVJsistEva53lYN7pV8CfamzK36Cvz +VRntb4p/MMv7QhlW/+ubiV8QX56/LSrl66imDS56z7h22Q8N9uTk7SX5lO0CAoaa +I3/MOuEINX270NdIqyTXEgGQ/WS5rA37qSTJY0RFyBYivhvzWJZQZsbtNfPpzoRV +4Pd03ZA4X1L1ThxmINshMWhRmI4gNK9SO5k2hMtx0tMWKvsE/WzVNgkJPPM9iZuH +1+/PzmpgvrQ4zMSLAoGQbzxRO6UC+RGVLdO3fMAVYFTTLQuwn2EBLIbyaBNYwCuE +4z4xsVKey5M9oskyd7s6T+XTXboXPgu5s9XP08t2K+3h5nYuOD4imvS/5ZaUWMea +YNJUXLvNbRt5Bl5zvEFVRdcfcbm5ZrugE7Rs4Q4tK5e/cjd5zMAwoc2Kojv1/Yba +P+ZspJ4bdqc0O6r2OhUM3Gf/f/sGOVRhVniUbneok/o55ciJAhDrX0BLHyqaln2L +M5iezV+uCzn4Rh7fZ47F4vhEbublncnyD+cIuRkkCMfEP/xJIa5wdRdn9IelfWO6 ++9wEMYEWCLJaWel+6pIs+rzW429ejymOCppRRHbeGlNZG3RnvHxAhfsXaxP8GzgO +snWIGsRtx6kqM57qTBbgry17ChMwXyGlfsI/ICgI71627/XDutRdzfY8+qXEVpnA +GxAMuwIpXMXz9xtEYEviJY0FmS2wjagwcc3K5eC0SIPixstwenAopAi/XAp0/EfZ +cNC5QsmUx9gYwDDZ60AUMPeAkhr8p41jTJa9FgL8+J5scfklxl1dEDXkCHLJkptL +9KYYpJKeq17aIoLRlWhynLPEQEm4BPDpKUS2BBM87ldEh/FnWo1n3ChG+Pj0jONe +TZEk85l60FddYjQkGZLLG0YuVYai1a57frfrirt0p/z1I0D33r2PdSSpldbmIa70 +ldSCXCueJHQUvVQUBt/3ZCJgMNFrCkXkMn8XnczB6AfJ20SX3ly2Mv7MnP4CPzdC +CJ8M0TKEkoNhfblYYbTgGBo/PKG3ZYr3fqNHPSDHx+RLAmWr59I1dWWVu60Vf+zA +HvlGPxOty9t3cNhDBNpoOaXtO+8lnkKuu2vvRXrfNKpTpy153HoD6oKq43nD046J +I2hxJaTEm1bmAEukaxOOHFZUr/SadG16BFGgLbOb4KbEHQioXZ2yRAXm1YtdhcH1 +jrNpBfZRQbcLk/oVHqsPtavo9kyIsUJDuqGgEtThH6uuKWwBVWWF5+lPq5kDsZ4L +0I7gTCkDbFFvhHHAkLH9weYadVxjYYMGZpKPkqHlw8oymFuixs7LZzZ1EURyTHio +/MN90bQS82Cv1DpfAXlT48FEGioALh+1qa7D+cG838k4pXohUfeEN+vlEb9SHLG+ +4ebIs4RcJIZyM5JQQx5rzjFtiMdwmX7NifusliShb7RIjIAVjxhmu/3VqTdE+KWN +og+9m183mEQWrzDvPSrk/GnR8LbjtwOtfw+xSHoDpGAK37qrs2mpc6u4woxiFI2t +RXtGtU4aSUmoNf9G0TJodgEnKp3k3es1nGj0fz/a1dFrg8c0pDS6NUUPZbeFEtgk +AjUZHy8Mzai6ZgbJ9UeksOlds56IYWRmExnjxk3I1WQPHOPRipXx2DfvNAOPpvg/ +9ADB5T+WgdoqjrEqW7ZV9j+iZJIVsdJXDSIKDj+CDDkRUsOFNQWP36q7Hqi4Smup +42SpofQgxBesy5niLcN/Gptni281mNBbrlOAIPjxvdVCvHZcNkSqcGtrSptu8pL/ +5qzFhpJcuzICnt/IYk/EPexz5pvpAza29hEI7SS4UUU14DJFIieAnD+cKW9PIvBc +dG0GID39YB71oYisj0Yl4JPoSh76/R4eYYFKgjpTa32jbHYZeONDFYvcKq7Lvdb/ ++iegoq9YGFLWxCAfRpeOaPyBLW9b0l1VV5YZKPbdCE+rYenff4vdn9EYBYkWWYji +peTK4QutXLCU3iyDcinscrK5So1YdM9OIGbp7EW5BACW4AZz+tuPK8ONNdj88mx/ +SQD7Gqcs2SmrM4ot5CuvopMTJ9buNHAKP7dVrHLxJASCR15XoE0mY+VdQCM7bkc8 +29X/1t+FURTMydEr5twXKyIX47sUy75mfSUjtTHYp3IBUE+Bj8AWgQLCTBuuvzoJ +24JndG4YlIomXR8UeADILUGbVE94hLHXgjI3sXr3eJu9iqxbGSmtm+00L/Tih4tW +Jllm62Fh+DehwMfKk65D9U6sHL1pq52U/L7fA1oJP8GZk45NULkOZIKq3CT3h9aZ +4p2kmNryc2NA5qay9J8pfwzHe1cYg3pDBZUCS7//avCXXZ7qQPxHAD195QKcsNdK +FN+fQ+Up9ZNE0uT6ZQCvgYadXv7u+ZAfwhpKu1NBh23UcX6hBCtBlLn2ROeisLfY +WL5A2iTfDN5Q2Xoz8GFzsGGqh6oz5iBUBvKNSNdp+CCSpQtzy5n6Mo98DRwc8WoI +mK+QTC3DAH+ygAiWKf/hvj+zom2E/0xsa6T2GwMbfWXnK0NOjXcXbZVlLN36tTNc +0d7buARssXyy2LfOCqn36HkLjVxsOjYaQ8gDkFxfOPIuZY5UNgrI07Za8ULyM35u +AuPE9BAWlMaUKZk+j9a9ZV+CzqYZHV1r9km/l29BPNkoAcnEcSWKjgdwzovS0JLU +FO2t+ABS6lZ6Bsn5OgXHZgH12/rTWlysW+qvq2yjCcgClGlj/7Wwi8JN2pnIbHst +yB3Keq7i8ylKN5f1/OOk6zu2PoJKf8WWWfMrDdEXTgmbItmXI0jNhP/np3wvyXTT +2Ojz0ZOEHGdMm2A6QKZ9sf3vaRV5M7GbrxiCxXLAi2rwjbNGCWIyJzRSKtH9WLdD +prTTUPycOOyHLNOfEy4Bnn+CZWzvwdrS4L6uCXb9hAcuiL/okdWm/Lb1T9uEAMgm +E+0mFfUa63C51iYKqo6p+w/QrEXimYZfBekA+qmcnvfAClmRZt8wNu/zB7Y7kmgw +mHsu50vdyL/umUnk/HNulOsIOnap+rS60VcOcZZVL1360vrKSvHHN2SnF2mQtH9X ++QF/7qD6UrvD6eQGTglb4/AvrjOulDeQZmSvz0/s+1RZFpsAwBrvHIFObesMiX7r +onLDhwOWU8jIMLzeznGICxgTWhooJcSMbBgp+H8lcHW7ZVti1oD7NJYmLq4WtZMg +aLs62NpakAcZAZ14MiSpXrZaFkOeWAuH1LM8UxbAnQNNshvIpXpxhbFH6oBuEaFU +/FSl9Iy4vboTar/UNr74DsNLVgruiXNWShRJktCQyds4GWBasuE6aSYUx5a7Na+V +Igg0hwKIBe7hfqWDOXoGsQ+LHYkSuKpnOZ/+cXaJNI91qlceej6Ftv6svxTQ+zB4 +yks1mZc6ZTg9+5tHltDNpBy0tJWIYrJ9spEPoC9G3695xIw8ycNwzuHdhYIUUoHJ +YKApg187rW0ZWX1zjXQuG0watruKzrLxumxYzC5rsKQtsG8+vI1mHEllS1bPophS +ZpOnbwGG6czM6orZSoV3+5xbv5kIeyjHzCOZLLUkSW6PKnl/eLLkQhc2JLrP1pt+ +mOEBErTost9+0z29YQyNW0zmrfWk53zvDXzueoRmNYouHtmf+gRkcbfzZwkhFN8N +wpipx45Ft+I9Oc3ZIu3lFqPjBtS3CL0Tl5HcdKHUWb3NflKB2FB0AtTcwRVx5O83 +oZjMmEAHMaNwTX4Fc3qjjGNtITKe+dGTGWi9Ekes4y+YRbdWkPAySF/wktfxdLCg +16R15b/lvS48qGzw6r24Yhlc4aULKYMM/NUUXmB9bWZ850Ptj3YmkJ8SHevGwGqc +ALHxJuIliPSZHLgac7r9fxEJIQLZPa6dAeZchJtlGIvB9s33/Y36WXZhfiCyDK+P +3yeITQLOGyFreFf8hTbWFvhy/mH+83+CbqcfBlv4TwRvdZEtdus76So9drKmaN3k +ywiIBxZkypl1OuM0Uq/tyGO40e5dI7HMH2j6xvS0WjT62qWd+WX7abb7SPgjNc2q +F4BWN/pdE/aNug/JN96w/W0AJVbc9Z8boPiPf94/PNCf1eaPRYo3olhtZBRusvMJ +26tijBioep74UDBNhiYUSB+rIbhAASPATfNLjSVIpMXY/HmkeloikYgnUSIdIQUl +gCQRwUVxQZU3egH2FN37dIJrhk7eLveD6XC3eEvorT7GGuI/10slJIL7u0XV8mHM +Rhm5F/i6nHv9jCMgCzwl8+yjTVVkK8zKSE/4TVbZapqcLea3P+cTEkDJcjyP4/sV +KB9MSLmTNhOT+Sg4NO6gMeRGFy/CDVjU82Ggzf6J4aXDWhZ+Igj4JUdpjYK2Rvue +++BBfcCHySF+HYuiLYc247xrQhmYYBr/Hy7BK6qFOwfJ6MF3pFEo00fvaCovbXGE +vY9nX2lpo7WUYZ4GFjcWoSuMdWp4e/nC9ac21Z0jAWrDUqohA6radiTW7ZJ5DPuh +qS2yMboNoHYUD4d6rP1bXUZE71XgMlMsUp8l1XUr2o8MgWaA9sWG+gPtuhArQuhD +18FVf0H/hnzT+Tp4xjM560uuot8qTtlHq43zrudEiGjPqZhiVoGyx5SrK1H6aGBo +Sg3A5xQtWCmkiljAO8ED2mTlFwcKfEe9UdqbkrDdiEdRTCH4g0uP93OVkEIIjiGF +PzHA2klD6pdC/tdyeahgVj3FwbOnR8KvwI6rkAEMEMm8GvCVIc+Q7ilxxjFzz0lY +ODHLlXz3bBn0LE/EHOuZx1XiCzza+AEENaslZyP+l0fdU/9CQeyssFBqrdjKHKhg +74S9a9ivsM1Ksp0ZjUXF5Buh9p79bU81n6mnEGuv1ctLO33QP5oBxnrZhdXy845Y +wvgkCH9Tr6mgVGNltA7T+808SMBfrziveuScqrEkmeENc5iXnv1z2ZkJTYPcDSxr +qHJtc914pU7PDyHYY6UOp7hppTyLWSPK5hf84wofJmdOFiuylVs8hZ0y09fYx1Pu +s8JHYrhCp/o8CDPg8APdolrbfjoMQoqJywQHUP449YiNIMP7DlmpqPHZFS7nhuU+ +Oq74UaPHDns4POndUDu4YkBcfWjujO6cVpiEmpBskFkSDI5RPmOojRibutccNLO1 +ScHuhZDOr3jklhJHckOgPBcw7oZOnXEas6rblAtLvDOwMRNwpN2l/0t32FeJPswV +/0B6gi6PiHuRqbTquwOLu3U+PbwM9nWGH3qeLiYfwysCKjQw6abOBGYcMH23UUlC +cdQVh2wQzhaANzO48xi+MPq/mLcLRcrODUvDb+d4YIUwaCZtvTtjNOYQvnP6wt2B +XIJNzmSgDQQX2Qe8OOolVuayJtq3FZcfkiB78Tk0+XbY+69w5Y8vhn43192zXZH6 +dBtm2GW3l7gOfvYJLwEhZYcee16f2tF7ytOy1ocUaOTvgjYQL87MKpReMljElW+Q +CwthaTDFH0Of3UmIj9ZkH0O6XVapLTiQu+Z8Qywus3pTNLVX41amLBeRtEjGKAMj +iMhgll8LKMqUxboi92TJ8pgR+1lgqAKND3PPUQeKrLxiG4q27mUM274A/h4eJ6o0 +AOvf5uyYPrIKedbFd8iWYfqGcaRNyfgfAn/u+74/Gg1+YGxGLhSX4R40RFF33cTW +W/WfbMAumu2hEpFJEgt6ZGb29EMfwA8r9uhi9R/Fz5rTx7nKVEfIZQCEq2Tr9t9o +bM9u0BwJ0aVCdetzmV/jWiWJr8UB1fOQNIDsdw4VuPoWdILVJmlKKH2h6bIlTA2p +2GW/JFHAwmN1ohwMR03IOyrTws4Z+Up1yVgGg5nG/O+xhD2qiX1DJ9Mfznd5WaV9 +8/aYAoEaYvyCj/6jm8ykCeYTYcoklqKqlN10i+McJ8Sw1a0VJYWp2wH68mLTr2MB +eRVjhKVNNsaNT0EZtf4E2lcSxl5YM9p0D2jYGeFs5fMy4dqKp/VUPRV57kBWfa0X +isxZ0c4F16eW6zCUYVXSRtPTxCg1l6DpEvuvfDYH6ADJ391U4t5/DC0OUZRKmVLj +xKvK0h6XlAnS+kofbhRdAQa5Rn7QNz77CnTfEHKu2IfH9c4X1GOwWo6HRKIaQ1nr +shnPnTlVX/CaE4RYt+jCN59i/VmYZTF+d9vwEqcfbg6q0wSGKYN+tZ3k20uP8sU3 +6fWeUq9BgQfbZ3LTou7yb4oPUbarVhq1+AiBBTeXsYMYR+yvEVtUO1EZf2dlwP1P +L5j9QHgm54+1QOsNvGgiDHYrtYNK/dxH2MwipZdiW4HdJYtQvDQyOYlPJiJUDeCu +slD0HlgQaF9Ybaf/qsAkjET4e06bwb+j2UODogeSIiDcFYi4VuD0aGlO8S16Pp/t +zbmn7eQvYtQUsJJ2ylrbxZet1+/83wnnfuunZVfKNtAYUq2B5a1aeKiZFlyiWhT1 +ouYa7e0dJPq++8sR93szqaHvzGQLaxvKR2w5g2AEfUVhmk7nBquEPdntAgnmJiRH +Ulj4U1XrRRjC0cykEPXbqwzwfnCbFjWvLJT7Nt5Jgn9eBQgZF95LKLKhWKVj1Ejp +cSU8vNpQ+JiZ3RE1kYH+xFhCXGk+0MJUND+hCC4u6vv3rXE0E5sBpbAiFsNCJjbx +4NzADgn9aEbgZtQ2Z8IYsSRdnknUemk/qYV+jeOvtARP+HB9c4u+yUtsOaYuhrD0 +Af7pLOZ5cNePCfpCGDcFFaZvpNmqmc2Zp+sc6+PBeaRv4IUiCTGDQambEFNNEeVr +HKn6B1l4OfP+REYdBsOh+N0TtWBMlMixTs+vFqYiROGssX1YcN5pOkyEuR0rwJ6M +swqWT4LPhLerhgHOWaFkwl4UZ/5+DuRVRoGMroy5irRj6XyTvH2UR8sPUyxI6MK/ +/fV48Sw6gby3xnhatdsr8JSSv/qMGaSJYsmg7x/hnfUVTK1kuB+KZY/7tt9dAc63 +mo1sMHDBFCwjNxbMAaQxv1cymIIzmmfYmI1r5IypE6u6tPIDVtPZiGFeMg9vDuIJ ++RIIUDZM2Fs7Ynp6aMXSF5XIQllLyoIeS2lkTKaHxWPc2E60vF8mXhKtR+cMiQEQ +C6oi0LCpdXEayQB3e0HsT7iFWuVP8QlaCw5lumCzZxS4v9YYB0eGclkuUQsX1sNj +wISnLJU9ihFA6h2I2+M88gkYRxov1iq1rwPP+IQqoDRF99wF92jfsGS1hqhITTlK +iOk6M+BnugYJvQ0F1ony7PKwVEMgGIrOWsHxAhiikVTIywoFzhvZ+ZQk09wU8o9F +MfQgAdU6cmZB9Epwh7+JPOScT/dabFsj9Ta9ndQBu6CQCxx6/7sHdSOA9w9Z164m +FA/5Hj+B3LE/rFWQ02BB19Iy1weNy57uQ+2v/VJbTlUccT38K+i4o03JN176yCKY +qLuXFuGbL8KN+w1tAaYUAwCtzXXYsG0e++jyRNo+t7CgiR3MLRMGA6oPjEfEz1RC +aSkf5ae/ZH44NaSixrxyNRukSAyEn704pUI8Ybd1BaekeFuHm1EgmnaICQkgGVxl +nBcOEftdB7noQClQBxuTy+NqCm9DjTqL6uGERqVwLWvNU5sn/9092ZlSwIq5gPo8 +VO3N8i9sd+EierVQxsj7CqjaUuSffg81NQ+lgDyu0Wz3o6P3gA+377OFV75qlU4R +tuD4wwcOm0dJycUD+wQfuFejjMEt/6wF0a9vr44dgRmw48ETb7bW0IVmyL7p3bLf +eiPEC2OvIw16h99sgkcymQDgMpGenVr71Xbn3cLqk8cbfdJz6eHJDaqBwcJrVvK1 +3uP5PcdxG9041rFDqKkvrQ9qBQDlhFQyQfprTcRcDy3cvbPwka3jPjugu9Vc0cK6 +M8dBBrJaqdDebu5AbSDe8fS474/pVCEdJFE5Gg6W0HhTWbJJc/QoAzOz2EOFl4pS +/z5kwwAWRDPjy2PjDbd5oE893nh0jqTTdJ58a/yc6KjdJooMhJ1T+Wp5FxTS7YRe +qEdnH9AMrDdOPNnjQO36r7VV8+flFAkrsKp3WGgqG4X74mMxSKHVXcLwM9Um5Oyo +QkBRI1IPazf5aV+EETXy6gMdRUTV3IPPLDW90BXGKv9Dr9Gmf3dS3UfWUQpEoGxD +BINbhrrn8IIciqj++Z64BAd+OuyFqTAOtVJWbUlsmwFkPNfYlfiSS44SHA9sF49e +YLQ+f4xEhcnjD2ysTNA3LPXtzfGYux8M4Ot0vQAKOIDBRqw7jQdXKNMB6q5yAQiE +AkLEJRpSnFeNxbjfp+N1gh3CU310ryKGI7PRwLB7Ve0I0PaJ80yuUXVOfGmjhH3Y +dd1mHHABYb3CUX41gkBrovFWq/3y+7WTQ1GZUfiPMvOUSrS1ueVtUNFjb4qzM8bl +ejuf4AdNpIFAXrsGXBCPcLna/QSLnS3ben0kbOv/CuRPEi4eYcEnzOyvce9gQ0Uq +6yASBjBOZUuM5kUm7OjJNwpwZw/+3CiQ/mjAOdnb51AbCPNeOQ8HdsBqwRvBrRh6 +LCS7nzS/5zw9xoDqRpNZnXJHPMHv+VcscSse3NApzGj9pwKrAZSSS1/Migt/LlCB +5n7e+pcrRt2iWliTZVJXeXBFrrYf53Pu7yGljvvzz8PID1TvR/4ZHRWQNg+diYDq +UqXXjxTnJDBJv/E883ztLLtSliPtdEEacW17mAhhTOZQFdnEI4HbT5hs4qImfP4C +YEAL2WXx/I0eAhiy083bq3BuFXY7NFXbiak73nIQg4UDp+2GteXuf+t8uxVLQleb +WsZJHaWFIQgrR/SX7DoPLBd5A+EhDdz+5d79P6Ys5Dq2hzzwz7dlLpimOo3EhoXX +3UCGc7iZ7ojM1Tf/mNMF8WdO3Ix1cMxTw9wpogIvp8BpNhYsMR0ZF4xP6jocPx9f +vVBch+UIbw1bxnaTFPuvJ12ZHkHB34dPn/n4FGvRG03CKMmpIMiktOfJvlxFrY+V +0UTvjCxAD+YgJvWuUXYHPhS0kd5aXFEG+71siBF7B28CZr2tcPqUC1vyldCy7s/L +wEs7c3oa4S8QmBvXDtzZh/Mg46P9fALaYcqqtbwAky/6301CKaW6CfHV8p60Mde2 +QerRRzDEQlCZDFMHo1p2mYFUSbiBGrxIcte9uz90dg7Fzq3UV05PhoQ6Q3d1XYz3 +Ow/QLGJHPUtAHqvwyWx6w2VGD3KC8CgrAjQyVuKeAUS3K4UuQmdjPZwN3SZO+ohV +TruFFOYdj4eHx+SwoRpA7yf0drnXvpG7KrO4l4HcFaBKweQM/lxxbbcAFGK+tODc +IoUsBUtg1ong6oa3xKCF6a4s/7CrCl68yZTWVrMA6E1REQr3hspuCAfZKK2kLGXR +UfkxWldtWtFhjw/8Z+KvgINKec/XHyk29EYPgdN2UxlsR++8IgS0+T9OLRW3ih7+ +J/TxZpdsAirh8tsotZn0bFWCJm2R8c+DwzS0RtV2cnzKpMBB3v4GPUFM6wAQ4VpG +Q9aKF3/IEG572PC9VGOecSIHaoJac1ARHTYa7xlwzjcjxbd7bFuWnT15DreAQ/CQ +23lKA6AaUoLNfU3OySZTiOKhqtTbtNfHb+O/E7YNSGiW1EbBNgA+LO3W18Nlh0Wn +3/y1vAketOKdnObgFQJOMFdRDWuq1HsCHlbAnZjIQHXXCMxf4pEnkhyZOpkRgbWn +IsH9EVObPixZz7afhzxm7VB/9criX/TJcSWFl+yMZiRELYEcqLpDw2Eb6RrEeFbZ +Oiu8XL1jFl+7NG0Yhc7wwTNp+/K+Pb9tK/DRWLgIs9xepxlE9LDFRLvLIyNdtRbj +yOKBJLqu+ifp4ZhwgPCtormcuGxGxPuoXJPyUIfzJU3x0mSM+hT4mBuu+qlG1qd4 +/wiaKpuIRDIYNyTGzIewxqZlxfTm77EFT9VAbwRlo67GO3n/skZf9o0c2k6KCGad +8bSAdJlx1XkECpK92/IZoUHofhNyX28WC4EUqDRPsVSZA8B14mAZUsbkBLtJysx+ +xkmZxAHwsF4nz/NEIpWhOcTeNfRnNS3FgQiWYKNgWVc9A2EJmnWriKWjwhq+y9v7 +mUO8ljN0wTGSSZIwKaMoUQtk+fzKKaHEdonM8oQ5R6aIHMwhuezUuZ3ys3IkH/Tb +Loe7sENt9HnPbMDIIHIS3pppafjKXNXNQ72kM0riZM1nb5zEhAVVzyPhVw14cMpi +OdJak/r7sTdh9VkGGv8IYMZauTsNmyiSVToFbJ+YIfTPV2R5S7/9vjnZiSvBmAKT +Ay9OSqVkl/iI0iUvkNYvZodrgw9SM/msN2urMdWv0iNDV+3dJh96kZLhB0ebtVdj +XtW8+jGTw/I7DaJtjcxfnMY5faFvYMbsiAj1VL7mU9aFPiCf10SC3r5lX3iBjmyP +ePEbVsQ2kpFuc5CwR0HySdFMxtZatl0qBu7ReFC50Pzi+IQebl9nj4DwPmYTa/ob +92y8j3VHatYTUSqoqO0t2g7OygpWCJ1ttCMsmADFaPd4LQtR4G0RrvTDf7uLcNmE +YTlnbOfiO2SI1aDkKXqF+Vp1tnikScjFPx2w9AMfKMvQoOikmXw2Zdoh4McqUpQn +nsautaA0iCv6mF6I+BZQ7nd/uULFotFH/i53nYMch80rySw92cV89Zrrw7SVXvga +e+4+xEuqxrx+01oT/6u/gkucd6TNfQ73tx6kdsYSfPVGoHXHl30Q8SMk7xAuW5p5 +MB4ZWy2PpZ4BEDDMtglqSRxfW7kIliK9ZIlnkj64e6D4eaDA4Kaq0WXL2UYAqpPl +D913EoL2JYIFmUkgvNvq3pff9ykgXOq1Ni0FJhw4m+zD/YH6k+gPR6np7JtIomAr +KpxgvkRj7nesY5ONaP681CZ3gWSpnCIVXy30f1sBbc3g3SWH5gKdnX5DiVjOs1XC +WLkzfrs5gL4du2i12lqgJEPlCtZI/iYuhLos9dB5VVv4o+oForMPpbjeObEEpgHF +aGf5ZRg/89KRfhADeX+HC1nPzt1yTNj1rpyNPzqN0F3ozJf5HozmDsCru7FMmDJR +WpflYLe+Ri8iEYG11DS8zbbUO0xvJMbJPEx6oESDgQPm2kL8tgmhbRd+m3dRHyuO +GT8VoLtXgZLcC4lZB0Lfco8ivFAG29vVVmX2YPLC0ixo3jSj4yBo+i7/BM46295R +lcQLymp7BXIMzGVGB8c0oa4Co8CS6DUD6NwE7WK699qHotMFPG5inP7w3RlYraDJ +EPV821GxKSGKEEO1lVs+rLz+9lds040US/k4g04lUOVkKdo5sfwA1gz20Lbq3thI +RMa6VEib5HYLD6tO2+rGELgiuXeW55fWE9TkN7E+SDROiK1xmqCn34cb9OFUjivJ +jR6KVy0Ef9f+FrRLJ4OE4nx4pK3ySMHJiDPDMhFSTuf7lYp3syIpVjJFTv8MXh4/ +SUuv/c+NlKRtRvSuli2ZDnNTJbHjVGZoyZyVHnPSwv7OOaHbIR83weNSuAmP/H2u +7RDTrFQxQ3uUw4mlPLr+7EW70tfpp/aqD8TO4fvbn8PBBlAyM+Ag2l5ocYPiAE/y +Y67FFFYg+uA5rfip1QXy36PV12pFVr4gZNKlQWVDA970IYxVZ0ZpgLPLQJQuJ+r4 +rw7CmCk+ALxMvzpyGIWG4D+TiLA86NBsUxU/9rMB/oI+Vp6+aSDhrFPw+W5zW+DX +0XKFefuRv09XC0hVLnvObrs4+j1UgLC3Slcgb7tqazsfFi/g8mn5nTKUacz57tna +PqVktWx51Ise07N5uxXmpnw+j06NMviiRRzy8Z+/bPi0jdaZZ6uzVms2b0wUjx53 +4+w8krp1ko2VW+mGPGZShw8YW/OsbRuDrXMSI5v4qSScS2e9mIw90ocePrdOOFiv +JSgwZG/TJnlqXbEMP3SafwTULg4vBXT90jzRjB7HOTURtCHZeQM0gZg18LwgaP5U +aSNLXdSouZ+NboPTI2lubfzpZHIe2j8/DqOVNAAL6k/hMPEr4koKU42EzcJA3dg2 +Exe0RYxf3ZbYmdOOSewVeGnF0iVn9jcB1l8+FmD4K8SQWoDHiUHh2xVG4qQKJ5R0 +HXsRQ9kO/ZLtZxrW6KtvKgVVWxkBcbLMBc3rnzNUE4fbUwCdkDMGpPh5UlhellBc +7nNsIkuofoMcPa055Ld3h8FLcFHGJ6G9mXcmCpgwpegymkeC2cry5xX4gp0OHH8+ +QUdL5MPhdOT2Q8IYKNsvqI6Llq1Z+kELkjZU8MrXCL9ACTssU/cHJlEocbVu1AYQ +tePR6ZC5DH4RUxj6WQtqujcVa5SCe6l5oUhSTt8YopplbAJVrKDnduBQq2ZmLChs +5boZ0AKgmIuocnAAhKEtmHlY+7d6N8jjCdEAp+nb7tY5NbBaiUJdodqehg+2Z4Eu +L7hLILH7hycuhnzp1tsSG1vqv/OzotDMVlEKQmYDA4+nbLoSQJi1Ak3fJWSlWEMz +NxpNQi3otJz2QJDboL6uk5Ing41Te3K+qDQfF55Zgy+82JgHlgo+3GQN+rBQv/lr +NY0ju+zJHTSJjTfVWsPrt1m7SM8EmX0uLTs3VLAhJ54VnXqGCsPlMGzetu77xRl4 +V7qBAVMfEtoPtfMOXqQLV4Nf50Z81bNszgV4lKeW+dud1oZn2cIKJkQKLvEloDmc +21AXsEqHxCwwlFXLhEly92y3/1B3EMSoT4bC2wSG4rGJJSXuwwh7BccH85vYbwyS +xv6BDrJTdUyuv3gJOLYzTGGFd/WlOa3gJqLMZMRJ9hGQkKPEXPb0pAkxeHZSLSjY +iFHJ5lvXGAOEItwV4DC1sP+Lxv58thX+UaeDr/E48SGh6kV67g4tQrFRpo2WZq2C +eHC4Vj5yatfZDbezkejYSEET7Ydzn6q/pwPA/doziDD2pQBDc5r/7pGZqTiKaK4e +QcVynvcgxgYmwYAev/8Bo5NqzgPsd0tFb8YqR/ZazFfS5q0r3faXDhcO4lrGh9Bu +MWiap9WHVco6UyAy8A3QgkqhB5vOfWBgbJNn11WvfnKpvCpT0bkHDp3Z2OZ/3f4M +SSvb5v2swgU0bW2a4qzr9Dctu3xqQyu7Rd/gATXsheuCHyPdM95rsdWM96bIUnnp +faV2pKV7+86N/aWg5nrN4Bx1/KDvR3XhD4LY3E1MWC3ONJd10lNpvNuYWDOAtRih +bzen6Jhy9uUaIr3Umm1D+YTjafOPsbZ8qbBHlGdFxkXg3xOQlr81ToURuvLuwFrE +lI+l0ALaW6rlvKmQXoExECOqhiN6DITCJGgcRF8flzbdguWRO82t03jxz6SUw7jx +zsPN5DgaPjS8VC6utMmte96Goc9FzWbKf2kIGMkkfwhLpdSUT8X+kZ5BfQtj4uFM +fin7TNP7E/42y/6GgLo2RjspkHSn7tVkxofDxhiGD6wzvAKxRLxQ9epdmRs4pitE +pW4Ak24J7yRr7c5Z5y08xJsauSzjaGRzZKuIoE6Qw2AN+fHN1nMEnZ+ITVWLYo+n +YvpFs/RjEpPNE8unNuj8gRuyLw28ySKid5mxqzbHpxmEnSptL2DkNg1B6JJyzWtL +a4ze7AkaZnExmDZwOv+/Ralx4I2v2+c3pPPmy1A9WdROlF1Tlo0FRFNYVUepA473 +Sdt8OAvWY4mFluopvA3WlxuUqn1w3N9phCe4mwkqbP0+CXYUEnRi2BhYftlxaH6F +g++/17Ey4L9nMrNMhS+6nExPvbqC1IsWavG6B79mWMyElShnqJNoFRBSuG1Aj+gr +t+hOMfCRyw6C12io8qedg2KZ/+WICj6j2x994r/knkdWFTmeBtpj1Io5YPoTRAbb +hsdGDq0vf5PV0J6h/5NZskoFv0/KbjRUrxvsDdz+1rFmg/2KkqiXdpCM8Ui/r9Qj +7adB6hZGBvqQE1O/HVclYlCBh92Zx5QohzIHPYNZnK2batanWHuBa4qeDq3RMpUi +nLYCAyfPLvvmu5FZcjyHoUE4bGR+/Wxj4dQwwzhecXnk5HHAK1iOoGqwXu+x4naa +Ay7KgTAJbZVXR3lsGNQe+H0URaLFxN4929sW28JtG08p2mRRqYcB5YqXtK3gTSdx +npstNbtSvsGwbTyduWR29pOIgp1pfe48XKq215HsFKWKS8Op7uZvp4YNW8WL1fyV +wX4RaDDgtai2YVsvO4qOZoPibhulmrMgb+qvJ3319euk0stvLlMdJeIXGWOgfNBu +1RLswxfeU/urB9P9JoaEN6GvYiCTiwiSd4aH2fVY1NJ54y2GjGhgK1VhVSUAPeEa +m4HKQOi0jQqC+kpXJpvmv9lftXuNYqp9hPnqRl2tz2xLM/dAr3b6PTpxXjsejUTm +aXUzyaWiS26bLn7sPFkJ4zx0wtEYD6tzIIMbIxKrzl0wgVBsffSurM7PAjf39BQT +uaG0DE7Hao6dV3SgLNLMok9v5i2hDbsBNDfUeZ70u+USIq5rVdd3mTpOSuG1rxv3 +vT4zJfAP2C2LhLtcy8NtxGaaxsLFTQxHRcXTWB09C2OOTvIGx1D2J4I51tda4+Z9 +zNcVFwijyDaBhZD8TuJRcK9tKILHOJ9VwRRcksaGcDqcPDnwLNAS0MV/koMxBtSX +9t+07lxutvC8uf/WDyKCJZzSmtgMwAC7ORVXdk0rLbvtEOr8FTUoK2IIY33Y6+ws +q1nAX2TXSE+6nphraz9Lpe3ldrSVYiwrN470Jy7DJuU6ILfJwYjLW5mf25i6QwH2 +R/nZ9mfjzD2VRALxSouDVcvxH9q8ihDFE7fQ/78yHvy6u39hrzI8ONBmUg+LLd44 +FBruACmTQf3ERfreHHd0a0/6wO+J2N2DBmPT4Y+ktngw4r+UfvHtgKSbnoMfF3qM +JzhO4ensHa2tzuI5Fi+RCWNybauqNQ6Oz+MX89W4nWbw7p1zlb/ihg0QhbWLDpAS +1810DCW/c26gA5bJwX3WeDnwdQlii4KWpoFypEpmJXMXtHML2mDiQCTDIeoiLsUL ++i0QfR65LDlqS9egqzYfPL2CfRJ3Me85UNcy8n8PjllDH8Kk+fqiZouP1TaXVOrh +v7AH3icNRyBZfY3vGuEIXoNbEfw8a/tuUbY427Fs0E2gwvAopbqAvPnXfDsepGA9 +VFamf5CxCO7qX0VCGs5aQCu3kjebFmtphiNoYH/uKAFRF8yXMLaeOgVVaHtDky6/ +gdn4EMghuPa8a+x01ygS8yUPDArf3FjZnwZqkBpcgUmHNowHsCj4xup0kPYjOyC+ +wjZ+ht6LFNAkQy4y8FaOv5OQe/G1RebwIl645TcgBUIJmrWW4ECi916/WkLBnFY/ +8vGTeQUUygysvj6hod0sJXxpDhDlTtVwj5WGuVYCm4nqZZ3sqYTuUJEN218NbFwE +RKtrpjzIKiVQ0vUhDGsa9rcTEx1BtySNu4QC0P9LYcyB0agYPFytCKkvgUUExmT5 +ZRwEpo5PdvN3SWysD0tVkAVsN1nrlPpvketRNXcRAuEdAhuGi017U2/1mEQsCvk1 +g87bhI9qx1cE5+nkJ1k2PW9iEuvbc4iLH5hOD9LDmXGTgqox+ipoH1p4+i/Mk3/f +ynLz6+X/RU5G4T5Gb7YAHkCghb4eSHjY179OClwxyLcEP0jIvCqwppEFAoBZCzdD +m4PBsLNhmlXjuIuXhlEESbzReKaBvhufQhVLbeipLL/d4Azb8swcIssQde/QArh+ +RcbnlvypXfH25ar6u2m5DN02asLPprrvhNNVxb7FgdtLcv0XkYchjUtakj0crFr4 +G0hxgqDhTBdNWAiXcrzp0WXHe7r8nb5ws+H8JhFV6tlpX78VnISUlvG9VUfcdywP +qmv9yGyxPN5dtrFt5lKmqHaV1g8I95z4MjmmGUhFb6zV8gl+axNCfzB48ejrq0BA +KSFPHQSBtai+xjLsEm7Yy6SOujtYG/jIrGvLP8Mt5FLnPKWnJKejEHuc5fGYA75i +uGdVOuQoR7awDsD6mesjdYT2EDqIsgOlwLOnYhxxgc5BRAA/Q65FbW1VZIwBq/YP +5mKTCjLatj55vKqurn00/EjvIwLsYm7oL6Dqmop7Q0aETiqlAp5atv5uCAwW52Nx +DzVmiwOuoo+hk9wSasDFZVe0jgAMYSvMCuqqe5bNgWysE9RgFn4bU4ZuI0vTHLEz +R7XyCl6rANGl7PMI3CiOQaSsc2k9rMhauB06bLKu7923P56Lx0pFC4u8pkK3EDvS +ogmy5VlUSmaRdO7HF+78ycT7vX9162P8mbpUEVehEoAhf5G46TCArfqCZCUqW7IH +PUj0FmWLsadrteyYT86dGs7jUIm+yLisD/FZT1zWsqGl0eqrf8fii4EQXsh8aymI +57I6+Q5/NSWIPgl6Ci0k0r65w1hguVrrVMB8o5ZSCyBPfiO9+DVQYy4f1SjiOqFg +hnZDJYsLiHc09vq8Ykao1gjBueRKBlOrUg97/1pDjGB/cm5wqkBPjigAihVcfCpW +PNuR2ycVm7ANzkxgE+/WbWJV0yFC07rTapUlUeCns7s51xqA8tvxekb3r16M8jdx +NTyhpJijhpqQovO4I01CyB6rp+gIIjXsgp7eAKUrRhK3wG1GxCszn7V9LaYr1LIv +j3W2VKSoItO6r8zGseGIR09jzyW+14w8ogTx0b7qw0M585AZ4BG4//jx1YWvLSSr +KyI+mIg7cEbxPk7I04v+fAnNUwNDpA1cgvbuPGgcnhUkCrkAuK30cx3+eFRhn3eA +gxCGsM2oYhnrkDHDHh0lMb0y2P5DDwImVSLNeuMeWn/Y8PD5dB861pzUplTs3u5X +UYRBSrJRhP0nCTnnIuv7b9IByWv4QhOvUQUdQzd6esXUusPxasgxPBdqNg+5nrEI +iopgcIkSr1irh0GiK0VgWBstUb16Acdu3pGitZFBiRp2/lUNnXH4tg4IHwQOpXVk +M8S8bmE/agkUY87mI6V4EyvgGybII4y7ZTXKFuCR8UxIyJIDq5MVoT3znPW2DA0n +stcGjz7x6fmyB+y+0QOdgkhDkIUPHGRSJwHVmX/IbvOhS7k0P+CbrzoYoEEiMXn/ +bQE9Q5CU0H0kYxQ3PLXzOfusyxr0Jjutk7YDR0PK5z7gmKbhuX2ICL+jGEXqBZx8 +3N/tkFd8ZnuMZVqBfnzWDQ6E8sgAoim5kOOTCeNHTMbqvIjqCtKwq7z8jDadsuzR +hLMvpqg0IQ9kG7VN7tXNoNqvRVo1zptGtM99Y54iGV4KwXuCdC02pX3DyIaeAo7G +wHoIJgJnsyslleA3nxthLgc73pijcBdi6USvjVfAkqcLeiRoH3mgY8tJgEOt4rOP +RGfGgmabaHFmW6KS4wEHP5P56K45eixgtxf7nkG6LJOG2aMiyPtLXtNjSuvLPbEE +7Q0pBdITFyQYtU+S6zYnFjpJQ+nRCZIdWRJlz0U1H0zO/B0Wp1DsT9Qjce+IFBWp +tGudb4ad1lmxpMu+vcjrdt9/85X7dB0fWhDQ/0rPeaW5TfjsjjtRDxxLrTR0HY/0 +PW1OyCM0LEH4P7+9Wj/Ad0fTZkSxD8vTNa/He1GTZcihJvYgTMLPLM0d7f7rUjUe +dGMsiIjf65d6p2EEI7Jmii2tsWo0uJzALspcLafweOE0mLnNgxptYVA9ac5NT7eb +8jDPMRvuzWZ9I1+QWDk32YJJvw5QHe447gZcwHlzE+C2yRXX7IvaWlb6rLlcDVDJ +I1EtPkk8hMoLxLXh/yi0ZloP0G6N1x1hA4tQ9p18Il5MT7iWG57E0788qtzY4xUm +v45/3Ju3wPRoTBwDyXaD2ojXxPk2JA1121rGq3I1iaoyqtTvQlGHnqCemFSewtzU +54ePgkErxTNYHJ0QscqRP5h59E3WdJ6bfhYOUD6ov+OlpGQkoITRYAUchgFKbD7V +5e1mB/uPIAFKpRgcbnX0voFBvKQpoAsaGPKDhS5dcjfvqliTPOd7Um0xHK9NTR2S +4glvBNNPaQ4/O+qBPWYHMiEoiLqCmSkImt4JDSKkIWhrZC0hskiptp1VOJ5G/y9J +7k3xPRStJzHWYlQI5X0qH4ITPjDb7PJ/eznrSNZR05y17ARmxQvjSs6kUQbXsD4w +u2PwEy1HeQhIez488nItoBIPHaMgP2iMVv8iuqsDAYGjfbxWBkd+a/S0AxGbDDVL +j0KVGKuD9iUhfZRqmsTBocL0gv3EgXYtl/lbMRh2xIemN6lDdAlIAwT07jmJR6X3 +/rJzuNAcmUO7KMOmr+nl1/QG3rPjWwlETjSNJj1T3sQd81jx2Pvm/epHOxcpGjGl +wQwtZVFTKUNP5XckWMB0zw01rDhPxYQageFz771xmQY6mTasR4pQ/Mmsnn+dLq5y +AtfxkteK+o4VXHqVLBIiUMlplUFRQ3MhDKelhFilD/41pNMUq9iphIcs4H7CnW6k +Z8B69G7Gj6Ycnyq3cNeZzZ/xIU7Fl5SsCz+Y9FRYPiotQL6pJeenpVlY4ulI/FTa +Zx7/tsreGfDjUjGusR1NaySzSalxA8zScl/WaxV3dfGK4XAFVRpWxs1eaMC9RMIw +QybYzz4meZmMk2U3igkM/imFyYrzbza3dJz8hObEoUuKQGARPWF/Q3IHm+/SApof +py0QwpCsqKnQHc1kiojeWYBoS0mxUHN3qSt/CWCdj1+TLYiRGuzMtyuWR6+oWKfk +2QOCJiJygqnEMvpv5hNveN6LINLu6TQ5rYEKJg0CSQghndEfQVV+SXHArmp1J1qq +CSZMpWxrkAkCWel8NigozP9o5Fr+7xZ6BNXM9Qa0+GJ+QzHevSoGBkErP7lO7s3F +0Q1Kthgfu5dMv9IMS3jwcT8pquigku8SZoZa7av2HTQfpipgeeHAPTXA8X0wXR5O +TB7BuRY8cgdWZ/sED0GAc2jy4mzfWrZUvcTpYp1BEPux6JjFi+Rbc0pAmTPYexWj +JdVYj8Bpgl/DWpD5k6r898Ys+Lft/nseTQywS8UQWMrVbbN4C+WSfbVvr2ZYE61c +733ZI+4HdaMQkjbxzH60u5lw6il2x+8rCzSGiQJotPVeVMuJy6iKQrPsJK+bo2DE +xUYu6SqgPg1rG8bTfAOp2iF8MOO30k2VhnbpFbCyHSQ6osABY8UvtRlkevsOVqbm +epy4RHoM/2ghyTSmsdrDcvOHRObr9JXKGq2fQucwPKtyOfeXumvY+sxhAAFnvmfx +mRMNZ7ny96FtPIvKy4N+9rNWEpH26mFYT43R5QyOaw3Yeiv4s38g2wrNA7lFn4TO +rwzIsBv87AqogRLdBXMQzi16HaciwXtFzo1w82zri8ecRx92JOM6T36BiBQ78TQb +D9s+P7I2L4ydtL2QKn+Ka1L+vXROKNRny+Ql8cyw7gjC2wSa4r+KKPQypqcfPq0Z +zDPQSI8uPOz/7cnfphm3/+Gxn5hm9mGOpwu30mdnwqtA2GHhEx3d6Y1Z9IMrpv4r +Qbnive0CEKBueA5/H554LdjyXjrP1qK/B3CjowQhs4YT7RpjyYVMHz7B9xT9dZhW +spas9iNViR80nUwz8e+goX+Wf2VGVNjJB3XeyEU6A2DmH+FLh8vI06sYGXjnWPdY +nr+eFlZ5lIiK1ZW/pStGn0+JDDC16jVEml3kC8bI7o6aA39lvXXyvI21TowUpbyN +rLFWxNYmjnJ8gjnuFyftrA8/jJhQqPb9UTZQxqhIAHLHY/ylyUzN1XiYImy/PRE7 +AhH0fo6j6pU0aR2PCnfgRVBb+uSTawcB+F/rfxGYS/a75MdlI2gEaZBdVwYe5GHN +JwwyKI9EPmKOzVVJPnaQip0cOWxPrP0kjyqxIkJHw2fri5kuKtr90xj/Pr65sHHk +7Yn6xgTyS1dEcAl5d+fnkQd842PNgAh1VzzMak+5gFulmUp+qMl/u4K9tEU5IdMw +B3zmTsi7d5kFwxdLLtO2nc6UgEak5e1aR55kCsPv3jKBENHJOtX1ub1kiTrlQTvc +QZUS0tQPfWohdumqD9se3oDPnyDUC40bPGaM2kpsPRiy0Ky63DlWiFvVH8rOzPcV +aCXcrzNMjVBNS3Cefq/eQIHeJS8Umu2wS/GvbVaA4XAmyAkN7akr3nvYtxRI7hwG +4gYscdA/fRKo1Zhnd/2ylhvraU+rYPBuOpayE8Va1ljt9X6YZWt5LJ7s01jyodl7 +iyZovvY36PF2pKeiJz+rlhoawmz1YvTk88cGf34p6xgQrAO0m1/YXSXp9nPV+71X +zs/7YAX0Gc+OOtKqNzEfRGPdEijj2v5m14aiplDLD8YjkVVPsmPxfSd201l6Yh3w +KYal0v3zJIXzE3qWCEpJkETYCAafGORFD1dcqPybwkva8RgNdzYyh9rv20uaX0Dw +adH6ujwMD4Tq1PqY/1G1PC6EtK1qq/ox4OqUz7PNaenlckva47PffD6I3/jJFBva +zb/jezQjibEDGOJChrAaCKDR/AgLPJcb1Dw9dKUVIJblN5mLkWSn7rDKitNJUp7Q +QdM0lsYaMdcjJPrNK7r04o1jt/QH1DCefwt43Ly+enyMDqd+IiYEWQtRFXRAW+w9 +v3/KlfeO7ugZlYamJgB8ADBOg0ggcpP1XXqJfPRNrrVQERavqa2+yt6UsHlVHrMs +mBiJ4NlvTKrxtwL4BhBP9bNt/jzgUqMPIkxSZ2VqKDiANP3MY9Do8Xr/GIHcpIWP +FrBojFy148/Q3rnaXLW+CLU8x+SVcAVv+FhQzFCkjVIfpXQNwQ83VRz8AUqqnBOQ +23G4QHAlgGuKKLHiv5h8y0QwPhHo2tsXmK6mAKqFeYHqifgm/xeg8jocTsleT0d8 +yxPbzMkC9zv8hUbrLFdt1+Vql9WDPNMowm3Qt4aVcZKWT3wxXfRx8nARKSugs0pF +mqchVIEn/qXTfRca6q+vFJLf8kvVNEXatDxEsVfX5cO6muIlCrDcyrfjHhDaCLv8 +wPFMvd9ycRC0VQLQhQnUnyinxkLGqGNzRBwJ4DbMTAkT9QHb1mVxmvcL8weylEhC +LKdtqhYAJ+Z7hWE7435FukXnGooIeTjoD6s0IXAtaC/nxNrBRVAOyh2FFaRL3X/I +/UDVQhPXCR51TxjzEIsv2HGn946kM6YBGotMLZwG2LJ74av9pgW+QpP+kYyrqQom +rE/aTcfk5ngQDHDeiVaMh384GUknGz72H2rFXW3FMPe1SK1Ee5UgKx0qSg3hb9rf +r997AHp/VuEBjDWw0bh571ZFNqE9qUknSyJZ5NQif6uon6HUW84/VZtiaNJFRhWx +JnHoefltPvoFkk2u06twGj0ZNWFXgDllgCQHHqDKNgvSgWGbL5MvKYFOWFByeiLD +Dm2Bk8uPkfJMzvmnRwn+CfbiqT/a+n0GNCeyYtw7KebyUukFyft6xwMhywxLI+6d ++ShGQsEXgX01ZwRVoY1rSf4JnmhbwljUQhnDYqPhfYC/Y5U5KoaUrwn9nMaGRKgT +8uuxzIofST74I/38YMTvokKaOWwA+189ZVstTdR9UZXd3vO/0VpOykE99Geb+6wY +kNKFVBDDQ/wLS/YbLonvbJH9D+IdHGQxZI1zEKfG2CX0m+y2X3kfjLoS7rqzKa73 +bHXQSfUKQHEAlsmq/5uctX4j3PGLnz8wmGulyAG382FcWZRS1fV3kVoT2wzExlgM +ZU/W4s445dz4o46lVSnXZgrQtNxMgHZndX2d47BmNFyKSybOlmMRLPsze6dRfvMg +vWvasQga/92HT4U/CGbKBawSlECBRtD1MRcIEF+zmSZQUeSWdrfKu3ET5350WEb4 +uMaT/91bqWNf7YlUWGkf3rLHqftrxJiWQf6J6HVRdnsWIA7QZSVw6L/xIollcVDe +JYrzFjjQijBKDqRno+dPvmDfP74YpCjbyUnOD2EVYlNnuoaYVHfcbp+Qp7YGAjhM +1D3e5w2Ltsv50epDA5Vfx/YmuwO5Ixedg4CP2nkldyxSjZ+vYJo6vwRbXgPf8T1J +hn7UbmnAYYvDD52BeQadgyp1tpuSyJJptQEh/qNdyB0dFCV4kpn9oi1cGcYIrK0K +opp0L8k7+8trz2qF1auxvzI+ovNhOjyGD1/0bc43Wy0V2Xl/rGt8KRiLNEcpxgxM +wV9Mi3mPgIPCLPMEdHxHuq3fu5f+JyDGP5dxCDur0aifva+eftW2t5yz+5HmwQ6K +z0x3h9QDEUwnvgNdLOuzN75CQK91rHJYNtcdiyt/pombUJPlQuyZBEpT2ft2tyPC +5Iss50bcDDCe0GlsyqOHghdqevH+83BiZjGNnBXD17W1xhMEntB+QPtabntC5OMc +WdcYuFnCvY7z1ZLpCTG++GECFWFSnCbNIsZ9JaOl4rrZ01TqaoklX1u/sKJWtUPy +W1JFttXFnct4oZ/3B9h2vd0qBzU+HPcqRkBNr/2PG2ahpmogFheMlL395g6SIeS3 +FRv1W3slt4fgGjwxUejQePeh2XR8OghN0HdK8eqiVzCD2q7dULPaPBhbQA2Fq5ZH +hUDpAyArYRc/wvf8OyeSTAqjQoThECs+eo4dy0m4VbcwckHCQ1MlX9pFvwyWappJ ++lgiT7uxH8SyWw1b0GWjbMHLtytCnur0evr16YC1oS4j/PbueFfhl2J8ks5XfSsg +KuvRz6MaGnBC8Qye1VUPhCp1OZ7g/4qVS9NwUsi1b0Is9NuUArJSxXlwRSbCdtvy +ln8I2Wp5yqTkL6RrlsqFdSTLJ4iGtEwLI5moGjDGLsPSLgBXca1g6BskTyBVm2i3 +I6cGeQ740+g5eMc6/pzKhH6H+komPrd2Ey88ZQp0HQfwu0zM25DRKHJclE09Nfeb +h6oDs8AM427xI8S9c7rdlbDEDJhlZcqzMK5WaymldF/fTruQqt3wtReuxyhVkewt +GmrUg+e3TZOhgWDTMbF7EBx74jZlj63h3nrITccvteghvhy5IlNm36UqKt5N+PTy +C3YGY8eyidsNb8tLtezzSorlOvGuPctzBcOavr8IsVUlN8YMWg7WHlACwJEpmEO0 +SO3Z65T99AVT+LntZ14COZHTXTCBi6MbDGwWXgZP2+UHmnBaF3JKVHIPIurb2Ml1 +22LTfl/rNjJGEBGptnq3r7wG8I2rTZpN/bZzy0FAgSDmALWi0mJrCkjylanbyEYD +QYkIWg4hUcCVoNbmcYWrrAhNr6l+cGGxiyG5m7UaBjKqVH438UJ29fBClgO8QCEf +MJy7kiGSH1S01WtillY9NqrMrmdeBigxQrtC7MOMfST153GBl3yPWaJERLO+tkxx +1EKI7B3PClhMVa7ZvQZGeus6trSVm+wup2eqqkkY+zWpWAC4vvIpK7EW9ATs5k06 +KBaqmwSoGiu0j6PBvbNxn0diKukGKcxKMD2duPf5qYhHTMjJKsreuimUg98GPZv4 +1hunxJmCk8kRIRPUsVjCvwF1fgrbBAEyf+XwLl0GGsch/NwGaNGGKDxI0nPhcmFm +twC74r3+vnrdFutUiECqv78oDcPCEwtXpeAPrHm64qX4paNbbVVZfTGjhhcXad7Q +Lk/p+kyQXi2qLNvP/R75E3qLKLe6LI7VPqrzxahMF/gQmvNdvOOkM7jkzCUtJYMK +8EgdC2coXIUyDHunIenZulrZyQC/P7k3r2U35T2SLunfYxO1dJUahA2XsO1PE9mN +1UX4ESTyrVQnZD2PaPAtahMk3rDAU9+3jQsXvng6ixDjJdiap4H2srGonzaG6kVH +WFwtQ7mAQ4sANz5clvMePkXhWpGxCKCsNPtdZipfmcI0VhY/xAEoNgsouaJmZVfQ +QwZCYs4nmB2d04FLWkPnB4aqpMUS2yHB8SS/PEHN8xsvHHOEkqEchr2yUssMpToN +QwkKm96ltKIO54NBzs0lxVfHJ/oCCZtizFex8dzdnxqf1YwPxw/qkx8aSDYyNNRN +UceFwD3UfvoM3zQ7b2CEInBgG79/Nu/nDDk/vChAiFpuoJCZHhFZ4hnwSsjtVlhG +N3gyTZ3nu7pElNUr53sEOXv5yVC5K86h1MfdFfcQOuysPuyMCoQhfftWNbIy0jjJ +PueBUJLm5kbS5QwpRHQEvZzDoVrkgyVvI/Q0x+vsmArEV6jKEqpAOwsHAeqFyFMH +7I2jRSb23LAMKV/gb3e3FYtvuVznlAj0yYYSX8MRJ9gK3O6qNhYExObZ/mudizTA +7sQA3b+SYMUlP/uzT79ppB2Sk4iZcRyDPU/j1zXyjcTO1dzqu0GsbauEI6tirgKE +ZAOg7y555O/NlEV4wMaAIblPN28FZ463zRToDk5nyM8wsG+CV9cPLoyrr7ifxq5p +hPPUeDFh1JEAW/aa6Zb3k9vnrgJSIfnvqP/Ng7b6/AU0zN+N0HaFpo2+KVlyfsJB +amoAjKmSYzRtBdZ60POobuPPTMjNQetVE307KgFoswoTZnjUAor/wxfbPfhBsPJ8 +3R0xvn9zVCwj9fQR+OXZecJ4KGoWLKNaWFwSC7gKmaHbwMtKv2F/Ae16N2KuBAMf +MXA4yh8AgVzq7gEOoLFPtgQelR9LMazrC+tvA+sEdnSSPAxeK1TeAOCkrqeaBQr+ +ggHq/R8almjlfhAPD3wJvXFNkj1xUSCyPaBiYRbwzF3dh+XZ5OtjmgdBi7H3RcM0 +KIk92X8eOQwBTDqi91fCxynOBwdFRFwWDSCHawIIGT11jP7UeEuPG3XUR1htnahg +VavN7niGQhnHj5ckYyucUZ80TsUSuxnCcLN2MMnlIROFM+2jK7vZR86O13ObSGwb +ucNLyLxfMav/Ttse1rG1Ed0de9apjgBM1SwZB7BU4l0chDfwIyLAnDtouUWN41at +l3v6aALdCbhIZxnckyHLhk3iVZ6m6KH9E/VqMZ7hE6+MZvSOSeoaWoHQOhYE9ZdS +o8RuuWkQ5yMxiUF5Dc6ndtCHCb5GrsGHPU0C/jcJ9S3JU75n0BF4bG5p2KLLB4PJ +fiQ+xl5aUfPzrZxs/DQIj6S9IDXGoMXOIvcKon+IgEEq16NQn2zZOBIYz9ABaB+W +QeQypGC5PW8dVjCi6YF8OHsdGXOXRcuvNiJ0nJemiN7dcTcDuIQN/ePalFTbQr80 +2hS2IdURM9Ig+p2SdfaFV97tRB0xoNxDZS1e39AzReR/+F+WiOW4UOdLJrbGFZVC +qu86GmbwvmuBkLet8LgCUBgDafttwi5tmXcNLI37dvF5tRvk7CDnqvQbAskvhLqf +ezef3Kk0eEvFnrnaK6gtsBBGoiGMyzfGHYXBbzroOtr4dO3hZnitpBI2FFRp+BLM +31kN2XhPZHvIjKbRm9ecix8ZiMfbDvk2zk34ljYu984my/BKb80tytBPp7vIEi60 +/yo0/R6RsNzf1K9GyNYKaobfpYoUg/4PG87SHz/7we9jb46NJtwY2rTODDIWJiR+ +GO8Z3ETCrrfCg3EnwyfF2Eu8V4hospmTjRglNtzZthHzkLMUhWZSq2TS0IdM9Bmz +mkKKL37f+6dOvM+LpZT2sO6OwHHt9HKvUdHPrkR42brSOD3l32zE4ul53cZHeUp/ +CUw0Cf0v1ZPvryQsPRywTPuLjEwy3XtGXFNL7LTJAeRp3AO1eajCAjhU7QWwoVQy +DpSTyCtOB8bga0TEvlFsgolKJOqAw0A9A9ZsL0PCRik/8Y6PPuWVXoHrqljUQXXq +91f3XtVD4RO2I+Nb5NSVnXA5RNxV3hMfFBmthv5b0uLqZRQTgxeH2+6x7rs+g1ia +uC8xzFGYjtSCNbYKon2bM4ovk7u/6UDZMpOQeZy/CL+KoChnehRbwtTfwnlZDrNC +mBhsmoGQPaCEQJPQ/YoN+0LVzdulmw5sU93fUSo1eCN/oyGIYeCWl68H7J/yZFt4 +kj2I337FwNtyno6FSO/9lOtDNmhCo0jDYgLajiEw4duEaBjR4MViaHajN7fjRVus +rP0WM3EqyRbqIY+IlPhRU2ADE4pc3VkIWN7pJysUTMNuYZbXiun+VUNS8jf56mO/ +AZQF4JK5BV3HC6FyZ1ctjVQ8M1A1JFWyTH1mDHt04riuAQkzqlk0PLD2RZivP854 +W+HE6WoVQCOqiocFGGAZfX6XNiqvrM4aGMgfg25NNbt5j0E9ddsMgYkyAyddx1TD +1SkskJneD+PljLRMhg33K6q0uDeGXhvc5fmNb+Elc0hR7haOMS/Oni0BLTVKRWUX +AXQnWNGBvRb9ib8wjZTuQSqYrWTZzGga9fi6uBAfLkeeNGOu9/6TRWHlqq+lPOQn +qM+hP7Oy4IK3+5A7ehbL5jDDPWTFL/Kwmglbug1ZtQDrMkGCR6CCS/OW9evuVDp/ +X5LqeF/NdPKgbkidoB0bttYIsJUqVUf6QQDKn+i48f6/9fQrC/1qeBvNVxku8IPF +ejvMzCoA+XXdCD1EQ2VMeFQiYGaN2NP/ckdK2AInGoQdrzYgc+OGm1L2TzdR4saU +/6zhP81YeevT89pLCl+pqTO1XM1bq6hsZ97UVHGkNuW/VSoEXFCtuXThmvLbzwA7 +wfemv/m1FWnu0TSkzwN1iX+94/e/CUceGV8GpcLdFqjUzdfhJOxvj/D+BSoe69Z4 +VLGqMjdFTm8tskS6EvIMBs9xkUYkTLS3O/4wBjVpI3Wrt+jN/COVPBg6AD1MCAds +xKG/1Fsf3t/niDAOSVkJLRg1IaonnuRP8R/kudIe+s4r17cRKcVLRFPo67WstlqV +0aN+/QwFglRgTfACv3KDWh8mRnEwvcLn97ZICrIEbyngaLMx9o6iKLthBhOWr832 +tr75XzmVojI3IP5P1lFdcvic9XZIwHj4XWMbM+JBieAKN39R4jhOz3ny3C4bWJc+ +vy/tp20aW9r8D/jKTfotBFIRFLxIfeyyI4KWA89u5NAAYvAj9A1hYPc7oR1gM4O4 +QlxJnrlFkiI0YFtYXd8/EmUz4asSTIwqT/BG0EQsLAfkflCsL1rn1+SeYrYEJnUk +MvtJAF18BKXkUuJ+2c/DsoeJsFmLJlD6fDCX0gjoJCz/c8Bshs9BbGjE1IzHGFCc +vWNUIfT/p7XFHlM+5U4djM9+wAV9BFeEJtdJJjgqQUbnOtnS755dqN832eT5Unev +I10mdynj40wNYsei0qz7Iz//RdEZsdX4GN2lQftZ5H3ysNqeC1ZPPwzjq/vFaxQV +V/vRqM/XHt8sGGRYGZfaz+MN9pZ97VQnU6r4np4sL/bIFiCOq5tQJMbmy5VW5VRj +AVRvYFTncaCmDWjiLK1dogTEF2L6X8u6PkkjES+kfIAnRB1wiaTekdIMfzM0qDGs +ZAZPQCePyg4VLoHbnqkXvzEd6yiffWrDoR6CQ05sxxhL2GXJLpYiwiFDe9+E/7FY +O1pDOqb/bjloDcdOhBlt9UdSAY6SPUW/sxEyJ6oBVioI9ujFd59abqIzPapBXu41 +uFIisLlWDZQ79awQmkdE93WLq+hepyo6AGbfqYwLmYDF0W6ICiWjZVU2nLiEeY19 +PmNCJLwsRNN5djq1WgiaU9tZ0pjX4QJt4gOHB3b1rgCWdjebb756NxyDFETX5Dt/ +bjp7hSg8jiPr5YoC8lUS07IXaOSYXXu4pAqtdHS4MEf2kEOfcVhuqr6CyU15m76f +s6Beg1gckw7/GoG/odxknirHE1STbYVAIJE1ZezhlfnA2gLs/zGOltUF9vo0Mtsc +DoyL4/Tpsvtrf4aQ/SKK0p5KFjUPPAOYpXfi4JYH5mZUpnzJX6A2L4P2P6XRevp9 +WubIPpBVXaqE/QTqaogQrR7sWhc9TgB9CYwksTX+goYYTNqJ+5MF26M29JxWhK8Y +IYuHJuKrifD4a6nV0SxK1jrCT7Pbtz/ZksE91IWR5YhtK0/UWk7JKO3C/lNwXCWH +JIrhKyuaShNmfQMFqzkJyR6M/8X4mQfFR7c5FkLHPlOrd5BBjFu0l35YGO0eJxZh +lx7WFsvtetke8D8OCNt0auPysTi75iMZ/hAcQlZxlbDZMk7mjZUguB4OAfC8FTH0 +bKl1FTEeWuNyDswk4ZeGd4r6oJLUMTsEXbOVa0CxBZXjFkbOwRrpzd7J7DYjlcO5 +PK/DeRLeroWjXOC+HDsTPjxel0O5c40NeqDT+re1SmF4z1Wh+Gkub7TI8IJrzKPP ++xsusbw5rrPy2JggLDC/eIr9CcKKFW1d+DkC7Pl0DmPcUl3iPZdl/mY83ES854Dl +mrHhJ1Er+ZTTs2PaiFdBx4Z4HrYIuGSLtqkHVgqbISZafsfcplONZDHt8zucPE/F +0VJDObcSNC7fl2b1+FfQaEWojOSe+ZSp5ostgOCma2NxoRN9Yd3/9BqHLB2T1Dqz +dW4Vs27bybH/bMMDsaQxOiM2WuXkw4PUMZ1APApIyQceCFMV9htRZ0qC9cw6DWwf +o4KD+26O5s76OLvl97cAdra4pdOyJVjCK+NtnxQh31jKJeec4ZZCb6FZqaT9FqxH +RD/1fUz8EqePlXeHOzMrtslCn2fuM8hmh4QtqvdQrRsJhjuZXHUKqXlGckjzCzCh +aX9pgtItiLKR6IIimgNnqdXSovP0zyhTJv9Dtt2Jnm0sU4zBS7V2+op0z8yb2vDB +/hp9Cu8xHsn4v+0vMUeON7DtXDAQZw1UfXqwNAo20eJRC9Qm/3/sJ6PyXnjKVoyr +UUwV6ogGii8ld6D/N5vYi8oIxl+4dCvugHuiYMfs6sLy5JWmw4k6syp5UVpjCYJn +UCKODEOsN2E9zdzvczRkQ7xT9AbTyQs0ZMqPOCgKV/RiXOTPdD0cdYkV2RxMxFA5 +xcBjEeYke1E4ZH8IDNao1g4Nqq3IbZrHy0NVqv56fGPvc2IEzSaxMxsSkLrhSAGM +6/H1+sc6bgOlAcG50Uih0gBQlnr7NwApOy11QmXlfOUtCJmBHOVHqXN35OqtlU+M +f7xz84yb9tadnnucbPG+HAaYd3w68KjDrHpWKSg04ktwGbY5BZ/j2h3KkRkX/ygm +Xnofw+SfM5wusTje0cI0FsTIYC7PNxtSDAs2IRxu/IkmnQtzwoncTF55Mybnd8R8 +guED22prOgauUb+BUq0yF4kpDG0QcNEJAjaavDOqtBKmZI4nNF5bE8pBmpGa1PID +W5uUKFQneOaDFBufp/ewdgdd61fowNYiyTNL0Ac77Cs5ij1GJW6yjORWb2sF9myN +I+zdoVE7lkWGhA73qbDTQUYzEBoeWuVzXWik4hNDJaLY2QJYnUTa/OAmke/T7/4j +syX/0WIb0Ka6oeeudz4K7k9v+iAL4A4joUF6pIwaYMQM3692YBLsmP+BQzJBELcq +3PNAOd55ianXRCzI8xVYvn5ZSHNxtue1oRUF5koDp0RvviGHVWOVLrAt7SZHhZIa +iwrg+qYn5tJxM/D89avMQbJG0xrGj8HJYTa1/ZrVS/xFjpDNNl7SfiOagDQtVonw +r5sdHrZnC49e/+yUXeD15h4NO1y381a68AEoQpQqa3FGMDUjRJjSDz7jKLXQxA67 +tyIwLCh5w1NWE9rT6FcL8QfS5wqipdI+G827G9iLWEcFxJ5DqWr0urg5IR/TIveA +Og6rnnpjWgjR9Gin7NzZioMxHSR8g081NkZDO+1I8T0MlgPBwAYUz10JiGeLAT9w +tzlWrYh6QJJ349ajq+FPPVODV+ZdKe/M9Ju1qYiNbWYqE0PHYt3eSeg5J0RQL0cS +NBl6/r67ld72uP99IwkJyuy0GJxaqjbkcVaxSMVL6CNKJrk4X7oRs3AEQh7FRAtw +Me0+oKbIJeeoSd+Sr4Fo2Qjg9EhfRoSTdM1TODAQjEz8ZdhOw911wQaPP2162tc/ +P84aNR7gMQOPXJzXATVLK882nEMym20ZMEToapmzjGPdjs+swqATkHqzM+wNdKC0 +FqE3iMkJ5brmDHlqfRhABnHiHdMJ2U1UBC6XYuXTZGd8QKzF7rbLi86joCbDU+iS +tl9GA62wcscy/kSx4rcWGj7RSM27qX8iBv6smIlo+sVc0Y4oYpZrKPoIF6JHN9eu +0Y3kdCrF0uvgC2e2hNTmR4pAA2RAzTZ4DHcXGN+H+LvMYY94t0dbsRosLSfXa1og +nKUFSuUP68Lw3AJD1wHmj4P8YPB5zpJaa4LoUZaqEgW0sm7ODeaQ5bn/QgldO6ee +qMmfYn0AlVJ2ndHXgA7nrQfLD+tqiMfhqufGML/qcc0rTHbHQypsFPHpvHNM76ns +6ffGa2c+Pe9+xeW1Z1MIQPtH0MQPndQLkKr1OWpzw30VmcrY2jcxxC6fvbFRm+TF +t/CjSkAlB47ZDFpXU8JGLkO54sOcoQjIGb49XVig0yP+6Sk2JYo8wmrf60yx3RJI +zQTc3bRxKXke2T1u9kBWwVdUHTlVsIDMoXiSAkox40ugH8/Xuy+ii5ygo3nj4gKK +6Qsdmrhs8fswl2R9f7DLntbOBwegEqsPdhg/Xn6rJ81sMVN46r+PxySy60RGTjXf +wL6u2AtyI8s2CsijfhMRhrwCmiVIyC+gOFH+R+NM2B8ZCygM5q6cVlUX3XWqEP/N +pDATfCz07rzPG1pyYfV7RIcKqa1t+1fY6vdLC6l2Jj79fi1ksmjQtG8IpA5JnHza +TsUdeWlN3isY5R1Hju84GeMzs2qRjQ1iUOYPlNNLVoYBTw42oiEXBe2xQYBW9Q3x +n4yolh6V/Tx3dg68TrEPgf0YUe9m0BHnC2+3VYUpBFQD9q2Oy5B3sgcReQ0ca/xW +lRsAjOZG5ELbKxmSJJDq40PUsA2XMr3dE4oyx6W1x1ikznUNVhOgs1ds7NPHNiby +NAAjwTwcOr669HQS+Mo+/gZDzI86LcfKfsA4ZP8EGMxVuaBRfDY/DqnXbk0K+pxZ +ssAjaeTrAs1V8eBzMF3Q2FsZQPJiBspNXZuyMw2l5zlTw0594aKO80UVUm9XL+Y3 +UT9ggPNpZ0ZZPdW+uHc//L6ZGYVad14EjLHzoN5pbIGNdNDUeiuC5MAFQGN2qGKe +JtvMj9wc07IJYs2Aad6A2ulaGJgdmgj4eSx/cGDVSWJpINR+8vji/EabjY7/nvJz +4EsY3CT8Zk4/jHmPBzYNcoFIvN2lcYmg667EaF1alOY5aaICcT6NJPooySoaT2Qx +Qnt/a/+RpsaPptSVpuXjbTD4GDQhvR2DvFuozOe9O61BbDYBz8TdT1TNj6zsuLxS +5oxPC3/zm4o8eQibaYlv5IV1WBM8RLQ5RXrcHrjXXqkENcXm8wg6edbugCS1DeSJ +vf2IhaZ9+moqCxyiS46+sYr4hdSTWR/rmPouCYUSlFud6N8pD7pDhyA6nF3Cc+cY +SlL0tVCcXPcQCr8HVMY9fEdbLvTyt2CzIFBWhqgfFTXnql8vdjKjc+/jOpjlAWmX +nXYgcIJB1HaeiQpq4Dw3hwlaEvBkpKyB8oqNSiuZmQ9NQC6GMDk+XQzt5MUiRqvO +gU/6mmbl57fgtA9oualu44nuKwjz2SSn0yS/4xMILMlF6i6wkSVaWeMp4IfPIXls +AuO+y2+yPrjV4NG5jzOHOjOhxb2bui3AdditAuBi+fVKe1NApLT0iml4kR5wcCHj +fpNGuF5FHNIqpA/6UA1CqBSFgA/GdJ3KC/jqAIvRJ2dNuLXzisB1gy7CBQb5OtlP +9XVLE1zBf0HGqU6d24FVbU0CXk/mQTH4q5mlfO8A/jGbhU5qAiLi3JM3AqCHOgK5 +fJr2i/1I60YYUa+Y5pDfEDxqDddQulka42q2xaktcNXchPRrtQ6jK57RGQyTkRDo +vUHsJ7r4xaImdKcgPShB6TPsV4bgULazPblfx21UvnnD4vjXnooXuVGc+UkIqWlg +6U+8vIjvdx/RbPsEO9LobgcszNb3zfn5LcjdflP47VRlujhAD/3CJGLvwEY0bMwa +uMCqpElVYghB5JV7IUH56J/GVzni8+doCSfPQ/pvgNch5nxntobRP8KuVDT2IiXC +Xo9xSwW5DxfgtTPtVfOW7JkDbkIJgQI07TRENaecahoGsEfTmuCjy/tNhBqPFOgv +RdlIB54nt07HHewM9QSdE4jYoo1qTRQgkCGhE41R4jtsS90Qpr3vMEV3leat28GM +qMVLd6YWpAZSso6nm2AJHH1Bfji9GnSonVMwUzJ/dSjZYhns/f7S09n1pA3hObQc +YcGVMEhXMsoNEwMz9snCWO4UP/L6imKQUmx4KlgpqzV0QUpvoydQc1TXZAl1uxsW ++wCtqHxsxnImJrPqLIcBzR9A1NCyPKzQNsKct92UwXyqA4uc50RVBgZIH6+ibRJt +M3gjGPrlu+fSR4xb63LQE4GZxdxt0GQZnWLzOR7E8fKLDF1vk77L5XG3NwcIg58k +zAVCtT/f394e86GClcF6yNlNSiL8NJWasl3JNaEab4MJHynxuTMng1/cONaKiR8F +rt78eSgvfMF6cLkDQ8RIg3b+2ZM0/qeqTyJ5Q8c064qiCjUX+FEnrtTUY/4n34aN +s0j3W+qoh46IgX/EOoTkNw5mQ9wGIGgf0tS9RDqO++wjTI7STAjUYKf3vuasgMDP +xvrmWBwUGmLGyP9d/iyNmTtlTqK547gT0MleWB/3ewouTmM2s/6zcZi0cCWIubS/ +vDgV2GZt1hn3xwSPa50sXpPCMS8KGOoUWLfKBJxIN5pDGpvAykpbfTZcquaYzV3t +c14QSBogVSnnYYW53Es4kpdHAhCDYppyJbd50GucVyQdi8JobCo2f277hmb5t5BS +4Nc+I7dJYpzoDwifmiIaYUle4yPUU1OLRsicQ5tYHlWAXjIBN1WJTjcUzvccV43g +VY7Oq4ZAfNMlxAF74y3E9JNEMh81BB3temvZd9hDIXFhgnT0kbgmqnEMcL8StxUN +Ny3m4hhBc4dUCqR9l60QGY0qyaQkdQ0qCf0hrxgDjniN+DpdyPlSLRSjs7aQIf3q +hizLYhpJ6rItHfiECHLyqxGUD638xJd0D9E4S8jLG+D/kkNFX/G0h8/G/geOZkY1 +dUo+doFZw8T66SyKVcdBZ3UMRlL9xqmxurDOqMuTiKk6QiNaVIm23DpmQZSMhzP9 +0FgdGqrSGnbb6AtOTw0HwK1ayisCsCBuwf9cfIR43Fu/Z4CZZ7UMY56kW9iMyrP4 +OWV6W4JXCnFfa5eZKfvVPy3gQy51C0ZnXnNj5AWtPGv2UD0d9cFuEMDScMDq9Xsy +1raMgUJ3p2Dr6b1FjNQaqa2i7kF6gdUU1medKW5c0QdDOxCR7YJbiLzlWYJ/bMqK +4A1VdLnBUmahUTWmZppnpnO53t6ezGh/KojNaTF4cV+REt0ikbzMryoC/3W+x0AY +HzW5EidUoUSXMYqgGLIrFe6/zrHLf2CdkKVofx9ZufPDeXFrhIpxfoXt0R6a77Nt +CrjQMN7wmcXTqQKoTuFIB0K/JQUVCHrLWBQIcPlzeYfE5eiXYi9aJxTNqXjADIDS +nHCUPpxMS5PyqUwsxioi9DO0haRXT1DGeXHoXvIkP9CngT1LsiswZ/xsLOUqkvYE +Vw1GhoBV1bDmXeXlU1flG6Y87yvQ6whgylzGkMNrlLvll/pDTTx0yS5AK8FP2AsD +avRPacwBen5dwYURBTIp6dc4FawOZFKQ2u41SY07n/GlFCZfOL3ruoqRKIdNEXX+ +XgefOt5BGHAYLIv+CHf0Ql10ryW1Y3c0UfZMIcfG4jQ9X8B6N6idlbFydvyul+GM +RreUayEJLaDwSSq94In8aW8iq3d/nTkAHxhZdF/k6y/hPmot8t/pmJ4Iw5DFJjUn +MjWo5EEWMitbqKpcbvP4/UguVRBCMXsK31I7EnyOXyubpe2jUnZqYg0q8ix97RG1 +K3ku+5X31BoDKrkDfLhTx5m/sWbA647T6ykgmgMOioHCaz6Xg5Ibyrm0OEHcKd22 +fUr04KEVHTDAlHRNqzKf9H9/CSDGzhAAI916J9wJb51p6FM4zsp//4bJhfaOEsty +yp5Sa7gs5IqttK1MF2GLGUBDVmERp1vS/7ehUT4xS9MGZ0dYqySrOadRRrm3DHum +ebPUw6Ff/8fH5kGgOhhGbblO+cqHv+4L9evhsqT+BZDNSwMLwaAUh3HvQFqYz0ub +Q22gJHWiUG5UF+kGE2Q9c3tvpiIJvIpCORm/c32t+VLyhT9UhWq7pJ/AuAzPVFIi +4CjIgL37TZDrJsVynXeCRd4qpYHUbdmU1YK7AM4bDYboEKuxsYJtaP+ZbJfA7304 +/sfuTC0hpZfiHM+FxbPwJ9wlTUofwEKWhNuL1I8mSVO0gpMJlr0Qf6IX7ruelleF +cDfE/G4P7SpfjZmOCzWL64JKnneApx0Wx7vfA06kslUYEUupDdKNms0AdZfTjEz9 +JCjQOmtQYfMqQ/zFo2xRMYbSlKAgLDK+NlyHrI8T80RDu+LuC1VaJOWBJQqz8ZpL +0YHksPT0NKjsxdLv6QNwZ2+Mv775N7KaAPTBsdEPogsKBIByBiUPN1EH3Rfh9nQa +2hkHa7/mhUnJ3ufMzqSKXfYyUBGixfwf0QUq54UsKcxdS6zqT1f5R2KtdP5XYDB3 +uCCJS2/N8iqjTcDWEojysbeAvAz0Y6vZLyQkXidtA3ArXARU6XtNR+mSFss6Eh7P +Ze/4sb5EUGbl7MrrNoFpITlOJxEqRf5HAKjXWsHYUN67nmLxBF4WQkteKMZbzW84 +/XsbXIr7bz7nLt6zqIZ0zWk1Fdlsvg7PAtP17iqpxYMJsH5S8dgHlu20fhBQLHRh +DT4RdPSp4p4NVtL2SUENuqnJig8+QsvSpkBc8Sy3EC8uwVwASBd1xZspcMx+E256 +eb6u8Vt8Ddaj3jlvjujguXAXNQHTIPNVxJuRgvEq1Bkc9Mu+UEQ8qMck/QFu3PCo +jPdGBqt4J+Nw9UJWnr6OX1+8uuaslGQm3+t2irqcZd1ScJa7FDvwQYIsEeaygn3/ +vsesIbcIRpJ+FA1luw9unTHL6TUEBzGtGG+adFVqgdqLGNzt0hR4aGajxhal3EXC +6gpDQhUka+pzCGEwVU8zB04V5nOkXqNFmEoKWCI0OCCs33dq7WPzlySxY2opor42 +ugkfpG5MvTxgAVsxP7EVYqTaMzfN1sL+ZWJRaji5Bp34HerDMvSlz7JJ/NEISIQo +dg3r4s62KcjRK6sf0e1pA6Y3J1NUntzuybV7N3uICn739cKEGV3xbD92Sg+dZwRr +dxSIeo5tb8lr0e47bKqnjpraKJIdR5uxFufiWsFO/9b3dlLPCBvY/Tz5apiv5P9F +ZEVryyr9c4s1gfoKIDckqpuzRnGrUOaXYmi9e+Vyos8AtiOtMwIeQ49Pcl0af/8V +0AGFAbiOhusqDr79vVLdabLJS2FD7OZ07ilsJaECMSoU0jbOb4QHSitUFfevdkCW +cAwmJoHaK6TaHGlEW6cz1+7H3ibm5YAmeZqHeVL/YEnjd7tUIF9xBRQA0fIKn1Zk +Xqb4COBoHtfoIt2KyXLFoGy8RebVYqBkD/zOpcj62UHJTjofVEJbBuHZLI+34EEO +OWhWXa1wtYnHMYP1YcVptXBUdWfB7Q1yCVcmYKaCeaBOdtZZ1eE4PqkeO8TwRcBy +5CAXxAVwlt4gfP7TFhCpFhlSguQOgO/rq/U9IiO9EYT/xZ2WfHN+MemFu1vqD2Nr +ndz7N8MmtUvpPVkbs409jZHGs1l1Z+VRrfq0SKLRslczPQDB/u7IGQuNNA8xse4+ +Kb3SN/m88TbBhf/7L+3lut5xg9cGZOugEwoYiYe27McsDBoKEtY76iHP3f8yuwCO +05qUUjjJv12hwsuSL1TDzAoWTsZxsA1rHabjdy5wYZsj8UkP9u68oZSO5sVjPcFd +a3F0twtUE7gMfakHmsBVnbXOTcau+PE9PobmbMVGkELP5soH0qJk6ARV4ajAexKi +NaM8oElnOH+CHDID9YV/u2EMgLZe++/FH5LHrVnBE0HtrhthKOuU2l8+ZtCEKYUc +EvuVdLqdPdrct5cV3VSJDx5/cKhzWpTbwBPEhgboLZ1MamEeia4ItRqtk/lvpQAg +vQJzR2nT5UuWo0bg3YN5QsU15Qt92iylmTD9Cw0Q0YW+fxqM1A1o9aamJ3pYJnSp +pftUVDwQLzFaKWR2UkZHubEFR2+vhRdnKCG+2tNRaqgwZjtcqldgSZAW21WHA1Wh +y4InAlRxd+Moyl9DKa1VJluW5KJAl0NkW9vId+FBLgP1nm26/rqnYAitC8fvqIya +tybYSIIk08CAagIEANYbgNq/qYyVDk5FMG+tF8hERPL/reKgmUKok2uYzk5wdB9J +brCYZkeVImaukjdrydnLmT+qouKFClB+j4Qe7+LbBUcdjMnwWOTS1obChYOYA+YT +z3QnEMyj7UNMTA9I3WifQf0E0qLtGlO1ZOr9f1wmK/hAmkop11ekOepIVweVDDZ4 +rs7t9mIUwL4h+U93n5p8gan3di0KkHz8f0etVgHKK3ZX8vP8rFwYNXCXK/dNNbCN +jx1i4Y06M3kpxObo/hooZUai6wRXggDS2dwlGQfimOOgs45xYhHGbOka053MkntX +JQDlNs3X4LFLSJCWsGSqPLlxXhTHQmppzyy8Z2vTV3LZHr5Wv4ealz99MzAMRvXg +1nzyXjzrZT+HO3Pjc0LyApuzUDGQ99k7rYW1Piqu/CGL0iiD5fqM1wRZGjwucW87 +cDZKCUoe0Bwd3ceZzxX6QvL2TnHTbG7VaQsazKQ0e8IFF8Lv3+Vc01uWIAZPHzhS +Cq1/El5SH3iz9SVgO9pwogwFrgtyPokKjW1iH8WDHtL+Fai7ux/e25WeclfR19JE +eYCe/moO1rpcpUVU8LpgsUpfS9YLMKhGm1GtuQ0yrYjfgJQPu8c13opuuxaV2RzD +ob1ybJH/mrFaUBQzveGHkJV76zMFgKw+8SlHLEJOcCI3jDcRVnVKQcyYYlPt2baG +AqkUrSI6OpcOLuW79yppde0k3aHhjeU6Q6om1UWl8MWAymQwYXtE5Vc0+E17a0c+ +vJsnbgLNdO+aKl+z5sUR2I3KcRwRZjgvLu4aQ7DXY8igZkfjGBnRqBw3K6Ajw949 +mct9UhdvpOvmTkOo+KyiY9HrU65iVuhlpVvnRGyYp32the5bgUG2agdi6yt9KfzX +Dkio8XCxM2/Kt1Nm66SPBiIxKl3YYrAzkuIKp0g/aNxczVYVVKpRuf7MIh3ftDTZ +7J1ga0f3u38PZdzQmBKZq9+9HJBYbRS6/EDF+wazLZjkI5iH44Cu/iPEuLw+jb1Z +ac3Dlsna76QXEGr5A5ESWCu6M/Cif72J3Nz++EsXkOSRkm/YVqO8J47Y0X1pdvHp +G53K/Q+3QGHacWQFlHroXJoNxbudBnkq8MMx37WGgo10ImI/xSvlT/UoH8gY2Cym +aq2hI9y3pnkfIXHB1ODKWyyY3BKGv9/SpUSZHrBG+RxobmYdluNRV7v03AzJGeP2 +pomq+hHfQsYLJg345s4UFz2vpTCjnLcwIHc/no2qc5Upmj6vvZrNBm/Xx7QnXFRt +eqz/qTJgD4hoPL3lSrOBPd/b9DMv3y/FzSms8bYfHqd1Mlqp9h3Niw8SlS8rJ0O9 +VtklI6MCJRWHPnwaf8j7n1+ERhXS+W6zVsHMgqUazI1BeiHxpKTAVfto6PzHV8zQ +FUcEAGR8byfYcjXMAb5u7gED1KZ2JgBhlEQnF5BW2FfAb9htSCo8AJAJSK0PPpcb +r/xpqlONY/SAJTIgszoOVrHV0Zl7tJo20SddhHJPDljUk+1kKS896zP0K7bPR/E9 +uTSjhExet0Oj0CHb3Fk1K4HhLrDXW1RSL9dnvPbEvtCfLmdNY5b8v05XRc0C+Gm9 +GLPIwXjW8zILL1+PKOAMDAFrdVkIT1TgHvarjgvZMq3lwDSqdheuD4qkJRBhSY0Y +Napmzs1K9G6Jxx8R0/g42TSb7oc4ZLrLvuHx9R0xk09rwEFb+9tnCAzViHRNQcaw +kEuKOCZqyw6z3/MUpG00+egmEIylRmu2ACL7zVAViCHKO54PtsOhnhuzCiMtoCDo +tebNRkH22ztDnmssIYp+JH2cIBodCTJOkQm5nq1E3FG2VqPbBMxWTMdZpRsqRom3 +CjyTqaZinXvVLkuyG3C7rP/iAAnuQ1Ds6a0O6JbejwJ9zB3Drl3mrp4kTXWD4nXE +O0hbJAGte+CK7zBu+ASIWwyf8WFqeUjTvYvvVLL2Urdjf1sfT4NGzt2nj3cZQTEU +YLtb4Ro8AdvQyXoAh8UCvA6Z1wdwbXZxOZSGos+XkVRE3xtVef21w8bQC9M4ONlz +MPJvWnP0V2/TDgJjTwOg34QqW8CxbJArys5Ogo76AxBMfmaF3JiNbSRv4/LchW48 +H1QszsWzPOKAt/TPcPVh7v8IQg874eCxD+jqGmLNjBDCeagsmvXtr40Tq0qlH3b1 +YPAtQx7C9qVRfzHikhBf4eNzvgv7QbU+Ljq8njZi3bZhCGlZRqmO/JRySvsjnG1x +bOqSipIFP7vhNAIOnJ6E/XY4zJIvV6g8kMn5ePoLUNZ2EK2CLXKsWnk3Q0K1PvL2 +Y08Cia/Wr/kqSY7r53EAu7Vw3R5iv2Vk27pNM3WVUkKYb/DHrvqI/g86fux7oRTV +1I1rj+SNBL1XQq51zoL79pVFII9ppdgwgoAFhcc2Pe8aoexNARW0zyTCo0gTCxxp +Z/8UA+3W65abb6yzbdQDcprA+TKB99oiEZZU89/HK+eNtswgo2v0Pi/huoxd/Aqw +vIakxPafTJPDw3TMBmX9S5GXU2dkH+CkWv9M84lPyOHtIuzm9zG5RG44KXhakqWE +PEmOX69R1yJ/rG8epYLdVPk1N6bSvOA3eEMciARScRRA/F+Pfg1W1Y50j8b1eycP +eeZggDxh9dViloXPYNjTp8F+fHmYv/L2aYiEkmY938owuLyrg3bho1W1o/+O+AyH +GOgpKquB8wAlhyO3T+T/3jHFoJ8oisMzeCu7M2mMR86NARFqelYb/zYf+DJ7HpeO +sPpxKl8JPu73idY/QvCqrZEruz+prNZTsH+4/WjNoTYZA/QzfYkDsOGPN73oLYiE +TANYQmVOCq0/zoltXR/3RU/za42XNQMWxSSO7eeHuHApG4ZZh8+gldtPEX31D2q2 +0VL7cuLI4ZvNJWNUExeB5RuvDEIoHtxj7NbN8NnAfh+0ItgzwlFlBNITkCGCh+xP +Jzs177LcXrGWDXxZrExLFL6GMYXx5HnBVQmJ60DSPQsF3cqd5jJ8T2KgBMimYxXU +D/9HDvDjt6uy/c4Ovb+/f93L2AOl2xpxCO9cemM+/CqxPtcHB/23RfE4oUi6ImDt +50DxqQFEBWIi232HUpiUp3ND2T8hQ5wftMtuQRInQXghdFU+il7ovBwhesXWB4yf +2RkRNbw7ayCf3nysn9rzD2FEUiBbFjQLunHAqy+C2R2Tg3STTzS3+i1rjU1LwU78 +141JKrceJYt4Z/2poNrpKO0zObowPpB2VcDAv7zJst82XXhcnTu3mz+3yvnW6XiX +cHY4t9fCcHPkY6Y9irJhEoNwZ2jj8wF2ROXRyg8c6uBdltqMbeeKWHUNMUIBXqYO +HQA7U7ZlLzxDtvu6wXM0SmXvHDkmB6olCtPCsBPoMPs0P8h71arMoAT00CrjdhOP +sN5t2ggh4PvlJ0LFf4qwP5dri165edgvxb0TqbiIEEaHa+k6vMHh9nCUSqAcpIBT +uTjYY15LfTuboDewEntVXS4ZSx/Yov/nJ8Ajqhx81MrtwqfueHBWCGGuimVRZVlv +13NqxN2aHDVgAjfjBwVRQ8qb4sc+gGu6r4EjZY1UQA9pb72Sx8ysIjY1ix4BHFE2 +o+RCYtxk99+FK/3mOZdhoaqJsuU1xk7qh9qiI7rgmwkjwC2EDcqAVc0g2hDTBhma +ARvWk1W2ZnHFrk/XHoTwLBmVVPrpNhksLYZSj5VgQJJnQTPU3bVPp23Or+f9sxFI ++x4hQdlHtapCgDxiSHmVKa+xYLoly0K2ZJiLLqLESscLzU9QqDS+T9OUTzzzDDMZ +lrq884UM75w7YeLmP4ucOzz7BqeZSIQmx0uY14rfeB+Ihc7Lyg/X/CMoELV2S1MY +Ht8N+YrUypO/rX0L8Tn1b3QZex2m0TliN1nf+yk1rNThzyhzsDZcuUcbeox41jjt +GslZKzvGMu/PQsi25SHtUT2a3umvd8tamJ75LH/TfPSkgryIT5/1ekqCTtUVX8HE +/TADVD6LXh1o4tDDRIDBJ7izBlbAatrSb1hP+cnKd4R3JzfPeGzcbhOG0yCVMr8u +Vkg6AzhrNoKrFuwZ19ZKUS3ti34SqFeCpaBPonCGiNmnQ8Qy7RJRDtxcXDI1h9Ui +Rk3czRvv+CN1IAZabJECG3phvdEypqNV0JTRIAqDnpv0UBnqrgEV6OJ1A8UA6E3D +tOBEOvx8qy9Z7KFtuwY6ZrJUtQGTy+7Qb1lxJNFUPtpRv5jNxwbzLQVNoE7hoP04 +99n4iHDykbMEJhjnr7y8jbxdSROJuySYj/upBHztDO+WxnQd8vZF+ybLZOwLMp+e +so9rBBzvx7slgdFlQFRTNPwXJQi/vegncpsmLK2RqWl4qcQfPAl4nq1AWTVIOaGw +kYrreM9a+vKxdAT5OQjo0BYVtQmGCtIWSr9YMD7bT51QBY3HhcYlE63HRt5G5Z0n +rKjIfMkzIG3ny2wUuLz2TQoZt/T6QKm385uzEkNu91JJvrdNxIwduyPah6JbQVqL +wyqByD1ZUcBkJoTtGJkRoVWx7CRHaXiNLyAU7KCvEAiHJmRKz/eX67sNegvvbkm+ +XpI9Bk5D35LtG0kOz4Hw97s3Fp/Yvm0SF/GyJbsD48bnl5UYO4RL+MzsUD/2ruDk +ip5AcsgNl7FqaGQpTqErbVLSYYf1UNfWKEYYO0EzJqWuAyHwczmSgyV2gjFWkOZS +uU97LCkuc1dYFHUQaSiEk3Y4QH9NgkhFhxoEbc43vDUnSK8pTRzLIbj9/WfPfyix +FLyxDIMmnKZQ+kPE8tIAWXimtlAdHdPb0BeZNTD6rRB4Fqw9JAyLWFMSQo5R4AkH +EtrpR29Aa9Q0dbaELBWzUU4jke8Fye1TiGGdm6gpVdrWdiPTnxSssU7XIystNmVg +NLkyIKRJ8o51wsG6ywV6Ha/arbcaw2DvAsDH2CFD9OIVu3W3PBHCf5rknowsmGGi +AjjMQmztpFd57r0uvuYvBa0IWQh/6ZEdH05Rf5OA5PUhSptnhHeXlPF+oPJz4nzx ++2Vkt5sTR+W1rz0Z0u7lmy0ZHOCy7knPeONW41PihoPq/qgaXMu/PLoC5HBPo72g +8eFdOxsDviw5ft0SsxKsQoGCFAhv6F29HqtmeOk6kBU/CmBZSb6ifLHys0CQoeDL +sYbXMBvn8YnlEQKG3XTO+oipaQAg0yb96/ZGRCPet8AfUeI0zcGaQvWTN36jI9eP +q3Oy+m5u4xdIDWqqQyespl5MVGW4LbY2ylsixYir58lx6rcHwqyoJUK/04B5fwyf +g7d0wvRkUu5RU2EC9sMCuzC1xG4f2rSi5yA+IRMtpPbC8Evhj8EKsUvtXrSKrL+D ++qnNXFDHA61Avy2OViNENd6RT/RKqQq+coBFlk5dEhTjMyXiX9ksWVlDM2Hb9Mrf +tQLlDxPw9bsdVqxJy6HtFOO5F2iWqJzn+O8zUaBoxA1/ZXILtKN+DzKY2tjarbI4 +1f63a7FTwFCqyhbfadfxtRLNwxCDL0gsk9YsQIt0NgncozYGV9eXU7oJSKiulMWZ +SnixbTbR76tgbw/51H22W1Xtnkq7WMrPog0xlESql63opmsBTPp8YlJTuMtGYAkV +bSxb2TCE4lLCuS+R0zZO+8qUA/tP450nfZg4lcPqTN/SWZmMUvBmgSM+lxR1Xits +om36gb9nghQAV1mh7iQf68kAZHyd7eHiEmGXLYfo5YwrmzqFMWD/kpCzN50iVX9R +Osr9gQd+wgQpdBU1woOYN6sQU2wfRqoD1HoOoKxLZC8BusztT9Tzd16Tjqgk9BWb +0sGvageC3eHX4UAcUs/Rrzh2J1Pci80YkHzk+ao9Pbc6oBcYU8qDcwkzrM+0zbte +cOJviFmhkn5XdXwZbtciOkeeX3hJJLgwaKoZ58KOFaU07jn9K/Zj9hqK2bpnzKUI +sEkECMkaK5pI2z7nmJ2rSUmmikMigaxdnxSsElNyF+JhIrIXZNmGZcZy0z+PgoiY +ce5qih2e7BLpcE3p1iBsS6hmDlBf0o5C2t2EhFBDOlXKgqfJsYD6wK+CvhmT+reo +hffHK7LscMwKcK3c6XxZefI+RlBzgPxcgML3DZcP1J3hqhFgt1y2dZyWoV385pUH +g3MunGznGE89/BR6XQCUZf+LAiu2FIWiX6UKsFWrcQsJkVVjjYAO0Yj6DXb+h998 +JQCOHs8lEckMqXIuYaE8jAqJAOR/gdJ+Gh67UCNVCf8EkHFN/1cFcF/5x6BTsd1Z +MbRvvUgsryCzgg8eCBg0Ks+GRN1xHh17eHhMZYJW+Zo5HOTygvgz/opANwCUk3Qy +CmjQN2Yzs/goURyhJ17s6og1QOLk06PBf6EHQ8l2YrJxJ1H5KsDVcIfRG/85lbYm +n5fRunFgw/ejUog9PH3a9c4Vh/DUuh0CN+Gil3piNQgu7DzQygt3co8is2y+tvsf +fF144GKf60gSLr4DppyDq/XN/Mx7YhSfFBCKjjryVoWRDlYjRhY7TvhZ3AHCR1BA +uQswREy/3+c5+QhncyuXzixPokb/AbPEokYKCYsv++F3e73X9rrXG4DBvUapl53X +8gfJth/OF66wHcdkZ6c5PWqPjbYp8YwmRO+P+iYrFJxNmLtKnxKtUlIOA2iohIUk +zrneaRDA0uCvObnzq2AQkqlhznKea3IX+Sw/ycx9FCECZz15nkTHdH1eukFzAXEA +xSxG2hoQbdu8W4gj65UOxyMk5g9o0qFfkbIztDjQ25I4N+3HwLZV61KUGjJUJ57/ +GRb/64IsWyfDVoJSwVnlEY7wYlez5NAApMMjuchc+z27oUVaAweAFdUS0p2kaLlx +GyssSvJu3w1lhWrW5x88NRV/TDGA3jM+rG7+ZFjF4ZMMpzvzliiJgv0H/Tke3r/+ +p3kXj1Qo5+6zktIxvU/3MCzGmY44gC1pbySNEFkq+EnNzl5cq+nt9TOgXxYKWSOI +bm2tanPvaJffDrjoSOZJjjsyhpYOgG63RudeiOpI+378j04zVcm3s28vKoTur9uw +Nkgf+1uoXdTj6lry0W7vHiOt0lsj0fZs+eER4XcjiY7Js1ymlPK5ulcdxrhYfbiV +aM5gsNo4GFKGl1L0A2ferA+yZ9L+HpVz1oFXbihM4Zb1XcKJOTems835E1+1vg2o +2PQpIxeQkKlBhHGpU6iX5JlWGR53ZMzk6TbTm2479i86/JcXguHBqWqGsYmHvO5S +CB5vM525PrOAaIbLpf+lN1TrOAG4iMszLvpePyyrL+k6UKJMSjq0qkjwZNCaUAe9 +0o9sCnV5o4PsMEZhFnmc6i9Kr5s5hGig51Bu58cKBy3Yk4yCjQqBxCKfogzANEmq +1sgmQrewcpX58lfS6Oi6U1+rXaEMTyeWI4aChoyKkT9SagffJnPtlUAn9sxSNKFJ +7GtbidQL2CY/5l36dMeSEZlm1ZbG1Jw26PZrLxpouxMyJm458YOZ+Sxnzt7gvKm/ +DIQ5Et/C2Xne++ZrnOcOswBf64KYwOjOP9v5GooHV6/JpMh+f7/TCmlWOba6oDWk +pd9L/IdsSBgPNnniH1czCsNxjRmZ+s2htGAqdcPJXQO664lQ+DnCXHXcrbIoEc4I +QkzYv5Xwu209fWqNwtxRRmzw48jgN90NnrvZorF62PuBFEmg6xFIRB4VdQP5ZKZE +u7dGt88m+IoqruQwUL7XOJsCMUDN6Wd/Om13lLiz3VYOmODMZothDvoeeiFjgds/ +casxpQFrpTTujeOkgo3cxRZ2DeMycMTHTi6EDO1yXRWfPLLpTTV0Yx8NO4eYK6lL +hFOd6POwd6YulOcUkQ4G5B9zbRqZpLGY56P/EmYOB7v+qTQuEzYFDEHxq/Jt5eSC +zNNG1tHTF9KVfMwbKmvvYlq7YuMBKlfk+guceWfZPkcXahQyVnINxa0Fg2h05VSF +irDYL2yQUrRuUZj4UxfW/e6yrXC65xXRfykndMf8GoSPWDVCZs0C9JLEHm88feAj +h22DeNOV76PjbNY5uljR4oT+YCLy5fht6HBL23mBYfcNiGJ1Fj5ihMQPJTE0VN16 +kWcNaTdmKDJW7L25kAhJnF6JcLxiwAdmvo0vGj+gvFa6c3jkyKXA6NxmauqC9ErR +DnWAVu9Pgl1xBKhZ1gQ8ayIaEOUnCYDOtGRUMFqyJlcGHI2dFN2xrqxBEVktJ7RR +J082PpNMoMMLGbKUPRtLvA3Yaz9ysXihVFAi++0hzxAsicNFgZDs8gjMpDP6Aca1 +Erds8LsnK8q2iFl4hK9TiUna5W+QZ2/HubfoWZBo9O60ulXOzCDIIqNDJqRQibBL +1cPUlMH9qy3ENq4cYaS94+brfvafitH3RikvVNH+58AQIzDL1WlipAsbOuGuXOG9 +iMtr8TvjUU4M2q45p4dBntngjxBLOIsJt3mDy7NgPv2u78M/nhDNUnIODATkA1R8 +8P7fvwLtLAGNkQVRxNARc+qzjzgaaZxsuhwgzanaSRlY5ZE1RhZZsh+ZtXZHDc8p +lprqV8AOihsnfdgDwSTaiWr83SDvOfDhx89/0B22CT2y0C1gX5QIn6/7g63V0N2x +PU7AStJres0yn+IE7WkTWMY0pmZbJd/U+HG3ACsd3kLLUIJdtcztzNe+/T8VcEht +D/86CWSMNDH1aeiOUxs1AxbZ+avmBzWEEwV5NxEJeNuPcWIny0zKq0t9bRsfIFE6 +uNgLW/UGB4OzByiXfwG/LRqJcE18lSgI3lcwRnmUWSeaUIqXyMOXsey/9/9hh444 +5RKIeZ+0OCeWZEpGPXUfRfYrg6jfwHLiMt7GS7ORdrfRITRioskhXJsRXeOYvbm7 +ec6q8VzY4URzk19LvXMyiGIlgUWrDQPm2dMC/GfbovUDFNurzLiudQWlcbjN4Ygw +PVWoosq0q8Yoh4Up22Nw3J6O2LlMPSiVAO9mIgn3MzaAAO08LS2CzA629om2bb78 +lp091ijKCrz16Y1bjxRd4EXbu7EUrh37MO9SbIw/kfmpstZbtXeSOeqW5PhdI+tE +YiKdZEcGzpGGlFYsKHR3y9XF/J+Yyjfb2bAoOln1IWm6RTh470vNWS8dvTHicvJx +cdlPGPOxmU/CRvxUk39LcFBLot+Taklr/qDtUewZVJ9pPoOcix+BYHvq2vJ9z4b7 +3C53LQlcxj86FpPgD1VW98UJzFGZmjbqeSkoXIxDBDKGNwZj6iaALt63IaZuwOeB +2ACjaJ87jWxOy7zVRVRSXTk5nDB/0QNicGR1RjNcesLjc4kEGTasvAaTrELSfB7v +9Asnk1jgYBfc5fdwFdvGhp1RtV9OjihwXkMmB61qNKgZ6RGCNY08wPbJinll9z5j +haa8Fusn9QlmVqIx4567W6TG98JW8l3dY61958jwowgo5DnMcj0nBf3Dz97PSYcU +PLDwj/wgWENx06XDpJRnrGC5GvgDIc2Y4mSn7tHi9U+SbjrbYjGi/tAvCRTyZ+AI +Jl+VaZD0MeWEiFW9Nt5wDZUM27pJ72uEZYeMqZGbOUnnvEC6eUzyO4l8+wMxWviR +R20WENRBRExpsHR6hmFFhr23DiFTfYeTkYfSyFbW8IpSZJF9xMVP5AY0TPAQv3LP +O/+TzCKY5EG+VVTFkmrdSN1vSj6o6+dlrHJg4tCpWIcAEP7HUECx34H0w7PRo5h3 +dgWmCagu8fmCaMDDwazPu75uCNri9RwlLNXU2JCJ7zjZnYRZMwo1RH1CaQDbDBnq +kACFtEeIHG7RQoorxHpILSjnICvFDdVpFDv/EKmeVTucKgJ3JMxMwqU31GxRatmJ +f35wBMndL/nSfWzoItq8I0ydXr5gYYgZzQzKTFaMBNB/rWUdD/ooQqagBA0gOhb1 +q9g8eoeBbV8IAY4JomnuJYPjlEaNAmOIfMXgtcrK+ZMjv1WxMpi/Fp4RGrhZbYrM +ktnL6d3z6uBkBFD7CZ/izxY9/dg3CbeIE3zYBFpgw7YRNBpFHv1pdsK5Ao4XG1qC +dR72F660m2eSrEtyC2pCtnUF4FdFqxlg7MBu5fp1CzyEu09NQ7RjJdC64WJAGm6O +baKKx5UkKQESxRapwMBs4LJ8O4RDFZyb2HhRIwErRBnyf/Vtfm0klN4yJnWVUJAi +HMXtyhaKlIM+5JziupInl0fDGdIUtqtpn6zWJFml5eRbNypZAxnjgoGnnGGNdT8G +uDnLb9/clA8wGsfjzCLF+sgJe4q536zkv+pfbfLDBxjdaElOi+kuJW+lCOQbFkYN +LpweZEuwTr2WIGR2t/OWzSZy95Mm78WbS2SfSt953CqEVXPTjXr6o9AapFx/WkB6 +Oh/jr7i2fh7//BssgewWmvuxi83OnqQfVfVxSrK0yiwo1zlPa2eeI+9HXrvJcLvL +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv new file mode 100644 index 0000000000000000000000000000000000000000..9201fe9392a1f83a96bded3635bdcecbf1c3cc31 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv @@ -0,0 +1,100 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +kzr+GHJF6c8r2Ktqgeo7jbHeqse+1y5i2fQWwEb1cSP+08nAoqAy6OVmlxJGDc1r +mLOImcyfb3bMjBHbWqCUhgC7ivwxiUDQ/YrqbTCJ9zQxKz5T0/qQAzZ4v17YY2zM +00Re0NHMdK06PlP+94zS3uLQr1Ofr0PoWzK8LhRxtCc= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 3056) +SzCAkWI7b3ZFTGmksrDtDQ0wLcju7q2fp2/Mw6CG3DZMIshQRZkZ+cM8cn3Z/igW +s10cOWQIqgS+PfM6Tnrnye/oYaD9suyxCDti4te871zOnJx5lbbT6hQQBqARebve +eeIM8kHim6fee8vz0Rw5oHwLTlOs3TIbVUQFw3M2Uq22fP6OggHP2kqXGjFg6gcN +ZWeBV0Zodf+26IJEfkUjURTmt0AV5wXeOGXMjXXr7ANqlUIz9E7fhU4bPfmDCCwE +PPXdEWT99eFuj3wsDZf6BhJL5Kjr7unnrLVPlx4rOcDSkE/7PbKRpH7NJeCTuSuR +jeBmE/7A6OQ4Q59Xq1t3aCipr3BUgLC3swW9uyFzVDLvLS0YSf9xJbCb/FDL9cAQ +7g13ZX2dElSpo4ZS0cf3MttEiw/59x3aSWC5b5vIkrd4FCSEvR2+8lGHsdDJpmYR +X/pghfNVuftKksS7OCpKqAQJphBT1QrBwvZldbuvA7qw2Sn3qnKAIOntAV8giU02 +j1+B+fyA9C6v0rH7ijX6I1uRAHIIE30mBdqrbmb3DFQRKk9kLkvXAbidK5j74GVV +BjGg59htMFRp6Khi7uI5rzTx0loqH9/96LUi8C/x/W1SXxL9Y/9D3r71JPL4Hp/J +uODAg9baPdSLHEAI7Td4d0YzUlM9FyXdNB7x0WvkUq4hlpQEXunT7b+5M89RPs8H +xCxA0Dh5mfNH+suwNCsx38uRehLk7fNYRlqc1lGoqoYhbnbd3cNZaF8xGy5SOzxE +Nr+OSOVPKhLqOM3UTBrrqk57VaLyadKfFA2gaIPuhlHI290tYrfLkIcK11kAHMle +zi1CPuGjOmfAkLwHOD+3s5fC9xUW4GN8rkkWrwQlVsJM83YgGlWiHFJZob4Khe1D +4M6ZU8DBtkR5yXhoDKcVd1UR+QTKYM0kO5HPhQNLYyNRplIcnDVv4LHyofWV/Rx1 +VuFglabCoPLsYmZ17/orKBKk1ww+ENYwkW6NxWgelPnU7v/JA0IRgPT9Br1BB2K0 +16AUPG8a4MlngcNrk+5KxSapTW1kHUvWPgzYdreYX7jvZ9Idjci80UCHrAcui1kN +lS1w+O/eVyt06KWrb3L17CdQY2y8F9ghaRI74RlBTgX8RArgTs9SBM2rjLkRYo9u +MjLre47nV1gn78Y6H+bn5PXh6SkJ+2QVXeCMcPwggY+Xtb2dU6aDnDiqipt8Y4c7 +edtOlUZZJIGiC5jtAvbISFx7jjZjSiYqBCoVHESP+q9zENfDWTUJE/xnxL+tgGUW +LCqw/RZ0Q3QyX9iGZ5nNGL4DhKBNPg0BOdjB0xE9qhAmj7BvROJgdKDUAcS7+yAQ +Xcy2UYH0OcIMFY0HED7xc6AVOj5K3WRhc6DUoKfWAywavnMejOrBbCxf8xlFQWeL +Z9T7IIDfxd4h0cAXnrhAqEip5rl9bazj9jqpQ4g8r4Ah0DPLDqep9F8GVEHZ+3ct +6Wq4Dn/zwftSlBFrohTXbgRTjy1pPnCQdcZj01f3E2VEQFWe+nI6ao/Ca0vcxZyH +h8VG+hPmKqaHloXJJo7a7ChcYDRN1E/Dzc3lG8mbdSZ/nE/PVBcK/VXSTK33wTF6 +oIc3jKbuZ/isOPlmOwyWHOTVdcjyMiUXn7p4rmUiS5xrlZ6Jm3RvG9D5sPUAQnpL +MwHgFtFFXp//5GKEYQPoTtTrD/E/yTOuXKSggGgycGJgM+1xX9ILTpkWeMPVZR1W +mbNYr5EMF96x8clGD+1+m6o+8B4sX8Hw4E1j9QGesnXSdmem+/0LpuIZSEJ0VW7n +eg+oDQKvxuBltUC7AdKTSeybELqkw64Ci9I6gFi14GWmM0LgNFr0eiPNt/UKsA3l +mxu5553zRCDz6RLKePITEYWxjMnHyDTa0RV2i+B9/4Vsj0nVGa/pzZdCOZtYhxin +spD6RBbiJFQ+HAGJKEsTELA3r53Y1lH1osGcXwZhkR4Rk1a1/EW+tdP4DCvllDvk +xPA3JRiWQU87LqM71rXDpf+DdsRLWB7gC06/lAcdcUkUeJ5k5U/h2W+ah2WKUF7U +ZGXv7R638d4iyvND/RRRQjekklGqyv/yN1cC187Z1Kz1C4p1PkQheLWOWw7f2GpR +W2P4OyhxfHHQhNFo7XuNzmtHqNmNU4vXWCRMENOceH6+YT2oub7xMmO15MqiQhnb +WVatkjQY0w4tHhnNGD8vN7kkwCFm+DGFe6zTWuAkNpLbaCSojzAnHyxmOFPfV4WF +OTThh3alLzMw7L2x1FzBTWVppcYyTkxwhE8nu3JdmjJoMjk7RCChxOKWVCrKYa51 +761BQa3rnlNIY1Jug39lXzE85yBsOgr6KS6RCfwlKK2G4RLY1InYT8zdDjONc3fa +VqAGUQmpB2WVoEwPrSRHOJ0++iR7EgfMWXHH+tjN+KfK/yOzmSTi8+DPzDC1c/3P +QIwQgW1n8mgo2pPEr4WkoTSenpq5C4BiPBIM1g1uc5cXlIjYjQYegSJAfYMsBZes +Bl9YFbrPqokNIQR29md4CFHchwtNU3hLBwc01Y1G50O7ipYdL9f3hq1H7FbMZIiB +y0Pnek/n9/qLthvnABcQBXmNZ3+9xX6WfbGa9a535ov2K8HwCko8/pjrvfXXBHJE +8x2r9JiBSGeaaoVtif8JD9iGMht0shcihPQbsvXsdrfBaecnzN0HWRaaSNIn4ZR+ +FHNGJICJ8ujiARUMTR5oQCSZRNF/21O7UTavMwRgggGAQ3MK6YGwkMHH6W0tPWA4 +60FJTFMSW9/+6v7HcvDVbWtbwshbMXRkbOMUsykNWIQ96EvZN/u7TV+flHYqRpaK +WAIQh79XmZTE2qecuXsv0GRfsBaO135rlFu55y6rWI1b2lJZIAKb/tmArR1cP8lo +1Zu50M3R9ANsgA01zNzLDP1rZZH0aqusgoLtTvMPVNxUCeHjrT7WLltOwhpqyd67 +hMlW9Ccbpx2bAUNVUdaAFuBS1/KW1Z8ZPVU/A0BG/Vskm4gOHxsQ9WEZEiNTFHpl +TGHltVIlDdhwVQ84WbTK7okpu0oF5kTwJ/7+e3pQtn/8vbSfS2mBgwk6o5nj0QwG +Nvj2SNr5WIFKvxx4bY5TwI2qxYC3cSQoLQnJwvkGPdETqEuIaAnr4myi1fn2s1Lo +zq3rXQ0jrbJ8EXjs/cdYLLT+EA54+xqDWY4y79S0KNUIB+XLy1BitG17a6EHSSX4 +UYnr+K/GLh31VXe87/pSr1zLT43/86ogA1aOBQmGlbfxs4QLESHz8Q8laqIMF+M6 +WxrSiEq1SqPC36s1aCx5oZT/sIQ08uoDWnsWvT88sDEKVpnjphqnEs1j6J9pXrlD +iccpG3UBSvztTX0Wt6wn2fKZPcSLyrbByp6n3T6FLaM3b1t5ULzBS2KKQCZj4137 +refPry9SBiq1VOhL3r9JnXawcfQvAEQnkBKEDWGJhP7uQ19UkrJ2KD+9rlUJjUYO +V9juj/7CCcDmTuBaJLFc9YwWHLazJwp3IQi5K5ip5tBsmTj73OBNIHRo9Qcy1Fnn +6Yej3f9xyU+Hxrce8yk20+0dsE9MAsp9CwSZIWtd98QHLUWD8+6kcd9J3P52dtHJ +V2e7Lwq772ygh5ex6Cui351FNU/vu3gQbQfc2DkBZjyeHD7Q1OOnpYY76NNxnGxy +yMqElmeZ0wpfFa8tvOk2JOJhWlRG0OfaK+3o+r0FFU+9K/06+VYlmtNmFhhZHt4/ +atYljYrOBIe4PeanipfMDChV+EW1ozutF9zFBXNEIS3tJ9UB0vDhcTzXoUK6PQxP +7UAEFSljkY0zst43+bG0PekuMwzTU6F1eUcN4l3FiKPZ9h267G8EiT7/M5YFyBNu +yKl3jlJE3nYJyeIkiy0QRuh2o4nlOBet3ec/xGyJopbXfHHkd7Dnz7rwnW6wZI7K +r7jXx6f7PjYXj+eaZEGntvBcvAkRrWb3zuSICQ9ackcsMsH7O3baTXPJ4idKigMx +pMGzhtM0UeTbdV2tRjeJX9ZlOb8NqPiaklpur8gjxNVKSbHhel/r7Ub7Wfv/EdUX +aL3Ui9weC4EsnZ1FC/9DG/Low/lsOsu2t4c+h9C9nEo= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common.sv new file mode 100644 index 0000000000000000000000000000000000000000..05d47a63ff795a780953a7207b4cd30623e546a1 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common.sv @@ -0,0 +1,244 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +goXNjNIgAuOaUEswCAVhKgi/FLDKtO5SWHhudsQxwa0E9CiHm0eRs4dreCDCtIJ8 +V2IpE4BYoE1bOlmnsJwOxfJdbJhCBT63ZDpDpzcwSHgSOIWKU7nMck0Dv2AfX/V7 +QhXXDICUsIqys5tYQb4ggYhsHZVZUX4GWEDLbNdtR3Y= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 9984) +cCr4eGm9sRSeK49Kqo5XcNHrxHo9e68ofSMCqNZl7uOJ+ktKtXencoDIKEDYaWwm +wMYcfSz9poyJgpYgPuFVpamRRVwXMwThfZjOKUDIdYECN9zI9tECPi1mszoBtF33 +rMi03EDxUZmnn3B1lMrQaICh/wHQHM8EApsAphPokigaUkl2l8y6IpfgJyO4mkpG +uyKOX5z7qkcIW16M1pNW0bR2MtYOibW8RRMIYPdkQXEbhx/3PcJuK5x+aUektvQM +oXLGX/eIcumOuE+xh0G6BLCOSVjUwu4Pk0mxe4YywbCoqCF1Zr1sDyWGyErdhPPf +Emg76S1GZyb8E7obx0JDNjvwltKPTBYywYd713Ff4o9QQkGrTwgpjH26IjLodlLV +mD7+wlYCbpX15/HZkMiDV6xOcafw1peloNrX1tshRWU+aM9FB45RMy0w1k8fJoMh +SAtKSb/Y/x1dEak8UTZ3h0JspKEAHZXARZZV3RIIMQ0xfnFeCvMktiCM/BTei931 +e/FjLBRvWd0Wt3xjLjsOCElayxaWSZ6Z6f+W5lFPVPOkXhYMRJAiRI5jkNXTX+uQ +X7H2M8FZTITWu9uzpMY2cOdgm0g37hzJRdnHmRJjZQRTMOW+jNBRH8rGa0rrnLJP +zYFosXlAAJb4g5a5Zfp5tfrkn1W8UOfCB8pHqssdTXwHzpdyLTyadOKUx6uODqkI +m6U2SpnP+/Uy0SC6rbhGDjZENUTVmUQQ03jMjnierA7LNDJeRWkG50RsXp9JJTda +c8DZBc0Mr8OHKPFPwt1A2sLuB93HMWKXhS3OB7L5vdld5ztYcE5F3W0Ch+5dYqqq +lRFC1+pWq6IjKch3qgotfzuisQ8hNMmA/m7bJlcGLNE4vFAN0xrE3wFexjjrwM9w +vJygb2QLCwAHrRpU2Wzkl1Kb+Uh0jHjHc4IDoZOr5qIcCNf3D0jz17aIQ6/L1TsW +uvnVn2kV/jlN1+Aicf8BsxU+iUw3zaGE65hvltKVSar7e+1Rn+bF+iVdbj8mDywn +HyNnmlYAr2r1tJxfuxjb9I/cNuZj/dHcp7sMO1zGrJqLvrvFSGpqs0+Sr79t1k+G +Mh33+qBo5DjHRXmr1mQnLhiXIcQNOWw/fBRbyFEt9535M1FsLty2vTWGCKFz5w1X +cqA+Zk3H0TRpVyX9zoxc6QpS7/ZK9UWmfd/AZWUELkO2E0UxirRZ6xCwVZFBPMD5 +50vtxcV1ojvCR3xhQkZAj7LCetcTqu5uzK9PetB2sF8Tbc2UnkAcwI4qZ65GQFPb +ttGQ5ZTrM4xe0qpNUC8L36YN71vQS5OWpvrMNemAna4JDMpIpXnr3VIYf/t8V+tU +JIBpDyxQ7cjqn3zbn0o30QNVtTJk97zbkRmjAgIgvCx+SJLgW9Ct3g1PIaLCaQve +Y8WpjNkN+ySzSk9z8tFcQincbAa+4pR5Gyrbw5pWB+RP17Qi9+RnV35KDIl8sdHR +lYw9nYxO55Jq1iPDWN4lj+Q+jP6MEJLNYcVRIsX2S9VBcX8uSxnga8l4Uihxhwog +QbJhd8+7EixsOa5PfG5BSOIoKPQHxjzPYRg1Q4IAGXAooh3fCq27kk6VWOAqYj9B +cF1pVgzYlOsC4xqVAoKM5LJKSyrvb8LCU0JFLUiiVSYQOpaUYeB4SbvW5OzdRo3r +i0yOYFqdkG5Y3xt4RQrn7n2jVra07y26jloJI1Tn1f9BvCbjoS/NB9rQgcO5s6f4 +CF+4nl1q4oCd44sDc9L2sgS4GWFraI249x2IIUTAlIyzLlnEIVlgdnMWyEgbAdrA +NFckjCOtj+JLAJ846FxsyJh4q6ErCMYjXj6GvS7fFTy3eEFw44BdZ9TRnxYwfz9c +rhSC0kotoKhsiM+Bq24GmhhBVAyept9qfLiU+rwR8QuAFuTfLE5i0XHSGBJ53CMY +YBffBNNKRteQuyEetH3oiOQSFiVPj7QkfCD2MXRKfa7rEmzZcLuMZdtAF+Tjlrop +u2XRS37qJPcA92VK2/kzhqL0CFTSYB/Rdq384u6xc3wDJlcDO8UXGGXJrgf2yPvH +6wzrk6hqF+ff/3k7H7mWmBd4G+C4fNeLPDJ3yNpa0POgWeMku0hrF7gWsJv5iEx3 +lZGoqzxkGJIt0FDPINJmSi1U+EJhhcVEX7oKUJTgQDcyTC6XsBdWOW7hSWxrH+L6 +Pu/6TOGkypSnzBtw/J0wBsfvdE+OhSg1tCrmZDCLWLJJLkDiP8EQ26VPtuerQfxX +Na1DaDn8QvCu9NAp5ao2F+mURfiyfk/dtymu3QRpwrpWiVxeoLFXu2nQmwEK8gKf +HL167zQr+ZzDiJFZQitTQqxWtlHtcfyWOJnbUAs2EtvmWazFegh79HP7BMrWHKsB +kPqIpTrq1GwNG0EozZrtFWnn9SmN+zBnKuMakXQGwi/gfNu8bFWgMSIEKUtcwfiO +5BXoRPMmkmgWWvmRCFtknqHw9Ho9jQ3CfzdYor4AM/4DQOqlZAK6k3KF9n9qD0OT +Ca4X+rwX2rZhA7JFohH1OMiD6Kzh7sV/srNe8D9Tov7z/5FPYSXDGh9qCBR48etZ +BoQOqCe6J9XAeghZcmz/KQljVtYhtRM8V9iD+CxuopX+ioWRkcGC9vRsnEMqZR1x +A2xVFOsZVvcR61Slbj9SjtA6DbA+WA9oxRGwle3D0QpIMTnnrJu6CbeVzu8YMXtw +3EcEsOX+Vi3S1u3n8leiem68HzSXPhddF/w+1yVSgn0HZpC67H9WbiP0576cyT22 +xbIF8J1eALoGFlou3l2iHiJ+o9gOirPl6mrtvyhCgQ8WRzLnfZ7ZAJXcPAUSZD9d +MrmhqbRvfW0sRr/W+/B1Ya0m+ZMDPJAVK62vv0YPhqrbp8wOnSFds5ugJ1NPSfwz +HHfLs9W+Z73jh9mbcjA+KqGe6vW2vQTVqeoT0rtXcghSuqZScnrDFcOai0IUrjYH +gZLP1NqWBHrgNK3iKjJD45WPg6CYiBG//uZOSUN9VDpwl9ZLCH80IUM5cENiVBj8 +GdBg8PB2sRC7cVuRJn2uTrggPruCcpOLwK3xxKlCHhUuGD5HY57qbnCvH8TMivxn +w+3K06DKTfOPvx96qMomIigUuwPSFcWb/HUKL34ny26GDGHPIdo33mv+tn98xiqM +0RAcgTd8NlUg1WUXO8xpIWZ+OdPdX86eVYVt6XJFyazsHXNMuKTatbDpSy9Jwpkf +xIdGhZJJv5OtqUZq+yFQK9V0+hkbro6N+ePkmXpiARR4DdZ6KF16UXYvNGKUtITF +d7qg60q3GwQjHys2hPHOF2hZ6a9Vze96vZlIKdOYn7xZtLPCiFRNYaR+5EW3s3XG +O73SKZ4XYmSF8mZEyHosdBwJBLnf/1nGbRlhJOnYmhZIUITnHL6pqNqLgAYZxrsn +jHJGir1IVO7rxHpVTExkavVdU6HRDoMO6UPqmH6SfB6EFHYphzcszy0WYFCiTcqc +ZXdtf/URRTCwokscOvagoS3DVp6RbJ7GXkW5s0srIdoPZ4jgFU20D8q1XYsOktAS +nmJkdibiXGTeHuJ2FMTKlIg65+bwAtZSRnToYqZOBcwaazbM//ovTOm/BEwD1Kms +GKKN2dmCUWIOetD6B29R9vVMwBK1SfU+45DLj3vP29IQSzFGXZyg0EAPVP3c9O5n +YiXAlA688aVA1f2CSCyM+de9Ise5s3NU1au3JLy3fqxYUbX3kb81QcjSmAnjQppD +CmGtEqDNz9MtBVD/sIkzgr1KP/7qhePmggIG+dimltLh0tChoFxR8ZxrEGu7wyDJ +e9TFtqqB4N+FeQWe8ZCpKs2lATasz3/+74peFrjaAOqBZvtm/9uZnR3fGHO4YP3W +AY7ST7wkKWKrDsABNOoAo0K7rSvL8Gq0eiI4obXT5dOJLt2WL9EVWV/4r/TKeAdP +DNY1EPLNuf7/mBv3HtGrCPbzTqEPJJ9PRAQCaB9iHUY6sucQW9+aH4/5J+8ZLalZ +S2GKsVwW4X7JNt6Sv3MVTcUdRVhb4Ty3XrcwX+NYdhhFoBc1GNkuUmNEoJpSYreG +/xCsqcegJsoANiJRrI5femf/dwT4he/63YRhol3mTLYhwqudG3Y+qxQjC0f3YaHN +QJEdp0jnTvX/EjrUeY33vmWV1UPRTin1pFFhwAlXYvIAxI6UVNGldP8R9jfOOT6t +2A403Hiyb6boQJ7S4/mN/19vLNBOBWBVablzJLBxoo5dLADQqFNbbtdmC4aZSSHp +J1gHt2od2XEIaNJal9Het1mIglCIem2fxe3Qd7P029LVkvOmyolL5SWJGQ/wf6BN +l/R1MEPAJZWlKHXMClceIWavP3EJHgEJgcqGeO501YDs6L608oVLDZ48ck1L514r +62knokOh8t5FqT8iOgQnmF5i2VSS2KsbNIHZfD5e97mRtSEgTTnWGv/IOZz4hUya +1rRh0Ovu9iLUUFGhf87mIYuPiV/n8evNpJCRvBpi4+F1qywkP2ftf5LMctaLoyep +A0ZvOhAdbOyUAPj8m/KcougQt4a9sAeDgxHSSFR61iC8lwcp4tKyyNugT5De9Rqs +itvcTZ2ypPvE/hcU8cHZbdmOu0LgM8BZID4+DhJYo3iMvM9+eOQhixRr9uqKLVSg +GwqxjLDnonrrDp+F3KmeQLya1VlpmIiEQnUjUhEdDnxKzvthfiUDYbJo1egcvluv +xClLeOPI8iPYptVh3TTAaGbsaFt5pM+FvRmC9ISpCClVNbxTM8JkElDoUGkaKY+U +AWy0DOJLllwX1oUH8bSjAAmg3W7Hz9/zYoZCNxW9qs5gQPSY57dHI0ufu25LMHCO +rsc1uE1xBbT+vxDVqT4ePuMZtwHN1JfEzrKossQ5wPdWWcLygjiPZAoiZlfWGBGU +JJvp+WRmP0uU8Wog49srv+6+92PvuYUvy++YjozFcHKe6K5Zg+TxMGo9GMrF8HAD +qcqcKk0nEGV4z74CHuSsdvYxHYjr5HiH0PiGYY0hGDEtEQHMV7upsM95pxaFzEEA +qa7IM8ExxEr4iOyui1Lb/r85cHKSMYvBXEEmFAxveYqWnLt9Lm28h51W9luCBNkQ +KfCmyCmtmyhrXQ+YxV6kzO6R5frdK9ms4yvXuBmGj1yrqdXmX/sZoaLQlURu7XUg +ErDWDZm9DysbbivSou3kPjx5l4/2ngfFYZ4j/ijYtJNguqgOBsHyHqL59J7oSVJw +1TVsaiFFfKazvU6zV4A0Qexsu87eBKXDhrkPscRUYWl0Mbxk6luctbJepiC+TnvC +Nfj7MqQBSx3AkFxO+M6QGZp2nxbEb3fG2gQWkNUIpAbqJxSB6LgYkq/bNzIZqe1r +c416LLsD/P4MvLrCleQEEpNG73UlsASmbLfX1oO8wjYOb6qwkkEDKWWt4ggXWhuQ +XSxLDs+Mm528zNS1sPqqWUSAZF5gW2c0QYstsUjny4oZ9Aaafof4hIESSLH1sWXz ++lnenax+g/prigChOjWymoGyxyfVwZqa2BRe2bku1SMRC/84QOi9wLF2iXnz5jog +vBYn2cZnvBN328vM4T8wzOqYLiKuFVImbHLgdqvEfl/r8b389F7uJjnBYcEPZyVt +gYwj5qpOF6Mq1G9GB0sCfdylVM1211FWgKPAyvYkgLtLL7eqd8MHf1dnHz5q9YwN +AEzxYZL7eNLBcshNAvG66Ci5TN6ZUkdYGXOD9pEChAF4oMb5VC7X4FVkVxKteq0D +anBMUFE6JnccS70AKQgFZCTY/7VttmHKvTNmsUmM8s6ZacWOHxFKKc473Y3yKl3a +RlIFmMxke0F+4WtVV3RYl3YCurQM98coiH+Z/cBYVgCtI88mMm08rcJnTg3ZWRTz +BHzU0ugZeqoUryJ7hGGRRiH8HNuXMBiFazzvMvxojEAU4blvhcE+K20OyuUeU8at +6ERAPTBkhLKsgYkyd5gptl35DcQOTTR0WGYfJMkk81m7+VWjoCni72KffMleSq3u +Zg2io06hxYVeboEebj4ubjXljba3Y95936TW40GZ9fMFnke93/DwXv/6Ya4Cu8fD +1IPGlIMOW9lFgwtF4R3xfsZzwPJGfnNW6NMxEclM8WiRxzWaFD2QMNHClA7hsXM1 +m0Kg1MEhvnVE4f46kpKI2chgImuXtRt4Db0/hINeWNgpvQKdBH0Tv8sXwi5b/dcv +k80lN0KcHytw+XVLUr6Wjl0mi1kdPIgYmvUXJ/iojG/f3jwVkgCYnFmekQrVnbM9 +ixQ+Vl4ll+FzMfkaZHEgAyLqZJ47PpkmsTNuOxMX/b7fTN+3YxBfWaJCSgxsZ/Kz +i9Mk3AqCFE9QcZRGfIdkHXgYGyt8ddR+g2GsnKGvNYkIVKqrwqzatXPp6NnTP4I8 +JJbPLiVGJ1087fC4J7Ja42D1ijpaUvgn7nnYTs9nvQPoJW7cUR/ZiVSE3Y8xp+id +AkY99m47TA9n/C4DhGNQhviSG1NmupU9jNUo4GTvo/3he8DJn2VUs6eEprRwEwQg +knWCUGiSdf5DVjmh1AaUfcDE7BB6nlTdnczXM2nmxvMgX5uy1vjghfBAnR1AUvHM +9CQkggSSzU2VC5zn3jZA+m+iI0snUkVHoRbZRWYz/SAIaIjiY/hjItZStLAB51Ev +Cg/V4sOfsbBNthk79WMi2yDqD7effzXWO12+4s419XahUUw64wYCfmWGVacuUdwT +Z9+D7ndFERuX8gLWUKkFyNcVSg9Ahog4HtMrtHzPFzqOrRJJm2sdwfe3X2BlijHw +gG7tLqoHRS/QTvmRiJEF/J9uhar4Vaosoa9hr9dG7QGMs14eB1OcXlN9DfArP7on ++L/Mkj/ThheVBBGIaw/T+ds89eeqKbmi/qxcD1IUEqMo1KZF0n80Nbu4/VOuF5e/ +yXSQUzESwlNAd+560seR5bjMmkIuWGAZbOj2CR4rmaXKZ+FrBpEYysKZotiZdZ8a +p8/Ezr2aQZ7t5fsMGpkJfhoMCSCw61wHZlz0mah2U/qoffru9vrG4fU1zhSu9nlV +V3sn9sNapnfJyaL+V2Vfd4Llkv2e9UmUn98ffZgSjS93b9hBPGj98B7hyArnuz8B +aRNjmfhBcWiV3+6h4nbo6AXPUxQphsFcVfKcCFAab2q22DJOeMyac098MSQKMwlU +qKac9kh4Zl933Jl2uCAftyUqXwDTUxO9AXXDQcWxVuBxUdSOMileGo1T54/jL0Cg +iwQm3H4YwLpgP+qAI2va/uMFA+h3lFoJhD2eVK+oUzKbybPdLe9vzZTWzArRCdUt +KftRupsQhjD6WCP0VtxEZuGjlVhX8CxMRPYctFiGXxoSW3YdsJcvLAG1lwPnDphU +NapLEyNg6kxDU2dINQpYQ5JVReSdBXuDC0JcRQr8p/M0tXxkUJvvMjqqWtrIqTMr +1aPjV3ACz6R6loJboabWuY/JhbZBNIyV/6F3jwOO0ZCnMylWTQQz+SdGco6/dfr3 ++HPfsueXrTv0feTTUAKV8JXD/S4CxzD2w2WbebaLqnxWKYPP6XdHY44S60yqDQPJ +Wy+S2S4ua1GFOxPfQUWT+M00A6TZ/6b1l5+NlCcaNdSwkZoKVL0NBZ/MV+y6iFBF +sjLi7i4g7I5VbCc4jm+I4brop3OC3GdTCQ+ImNrjoqPFYLdum4XWLQav8/uMYIKs +fTqj2PS8K8158jmXQcNMXIqU79MoHLvXuX8V5AZwWK8MvIbKVccIX/I5qzNVznEo +6/q84J/iup4nOSdmiHafGxS4hI2HEpnZQnkqcZe2oWLEkrw4+NL7/EjYwdp4ZmHu +Gf06EmOsqNNZzDPjuVgagfr5rP+9eHY1WH195mE15PV/MeOlEOO5+rUW9OfeEKON +TJEagfwb6VthhbUNBfD1vBnfX25i06MudFthT9C2ZS3NQWDyRi9cDdBVkdJGjXOD +o7pZ9ngRBXITxhjwWpv5DXf8Elq3zpYwhv1Ff+gXoHCi1X7PSEEIRcFV/b3t0Hgf +3XQdz2TylgpVd0uqFBSc0UPQjZblkTYUCqnhNFcC8qy+JZ8o5dJOUasrzc/vEfV/ +Nqa+4kOLmWJh+Nj6wwlRY0a6wGX6upfC7jBIey9p6HvcATnQvIp69Tt0OIB8fYZb +wouUBdmTBESQ+jN6lhWRr6gVOKkBO0lsUtaqjMUfcDnBGMd4NQYMMny1eQscH357 +cjijQvEUOF8ntOkIgk6D65751LoALI9wND4PD9gUfJHhiifeU4HjWrJud9/RSizW +xquyvUdxY1tFgG8gy1QZtXmvAyJCFLu+Naql47B6Tar/wPNkg+rfvHwNWJncmEKz +jVutT23DSXZZDHudTOKkaiuQS4+qFM2GyLD1iCq1SOt7TizRauN8hwtEPXcbh0zJ +xGeu02t4WfOvo6LkXDrz2Ye3hGgOHwstL88jTqE4KpJsqCXfL8mpyqNG1drrUqvd +7DXP3T8fNCLiWGMR2gm8BRS+4MsszPtEhcSCS+FDSwhH1rNEwG2N0L3O1XFlTV77 +aNSVu2g0ARyb6VFECXXHbgP+InKJoRf4tnEkjHpIcb4EzFgBStM7CaNrC9tbgjqS +5vWBpTWDfElETgxz76+FfbgeFPQbQF9DO0CqlAIGkCy57xdMeuGschIapuwR1Yyc +ZZ+6+PJDnAlYBjj5FJhJck9/1an5vegaT5RHKeEhOYnSL0zeN1ymfVezxppta6ye +nfUa/L0w82EIEMVpu6/THZAOh1I7b3+C1v6ftt2UzYHAL1uCchOmlp9S16dEi+pT +3I8csLVZnCFdH7BmvCYjKGQkwxUgvhdX/JaMmc45rMeli9/MA83KEiibsy3BAPtU ++iXK1EoI+Xzxbduh4ICX91fBJfwE4ySVT0W8YkgtTiMejxpTC9kSGTs8LA+R591l +6iE1SGiA3pc5CGjqtlH6tghoxO10YMryjMqp3uXkh9P4juz3OS1SSK+PURG03GDh +95ktyvkLmWqO6TjELQlqXQ/GTGRHgFiIEWxkRezCYA/AyxgjNGhDcWOQMHLqe3KN +Q7OdIR4eVg5lmuclO/oj3u3tTvx82L2tIPcEihfkPbIJfPdx8v7NyFIkZkvA7vbG +SsK9Skp2wiGD3udz2emn6xfa7p7jzOznN1MGW0mKVNlirZbGjI6cun93XUE2Yhs1 +2GEZabMlEj99ktOXuZL61H+4In3XvgkLeHHCERlDMu/1+cDAuZSzjtChdL7PPcOB +gA3Lf8xYzUluU/3wts9Q5N00r1J08a+mEOgy7LQ1Kopr8t44139627JkXOuzVe5z +NrbWISykW5s6PKtzZAyQVGHzBh/Tdfnod7tSt9gzEvIG0Rzs0ZW1t2ZGsBZhGKrg +5oJJ1ceqYOINiV0vjmvpN9vjN1TK96WrwXWUgxWt+oK3u5moIrjMS0e13iFlUx2o +k7tTe2FAQ81JDeeC7+7iQhTbvzt1tIpFC30/++fO8FkpB3i6Y5JsRHd0DBf1s8Em +QiULG5y5MeiqmQ4g7Hmz5M01ro1dzXHUrfRXpsliIEG9sVHMQXrr52Y16OUB07/2 +YW0lmkarRYarjchoS/tx6YJV9V171nhXLOEgPDQE9H+JdBhS8TokUtLHJmmvKg2h +M5m9yy0UFzpg8rTYnapLZhYnkFSpQl9snfv79dS0ZBfjxYMFDf/wAiK2ZE1Oj4qK +hz8P3iVpfCiX/AX8EXJVEo2ZKmTVSFPrpPQn5GW8k4DSVGfIQHxqH6vzKhmIuSuk +LJVlvxj6ajAsjrWw9XbL8/uyQqwIh9Rx06zbkrkG9avRKXlvJF1RUr3uYN/ugYnM +2Mta8pTwoyOZOyeFOe3gKJ2+HLFpO+ihRScNds47qI1DldgHsiT67BAfTwO3GsPg +kDFX9J/0lsfMTwJRXnGIJuQK0QQoopVwVtTbIGDvlF7xcXqeUZC3kO4an+PYuB7V +vrBRB0oG6dOk/UBhz8gK/9MotP4wE53JGzukVJoO1fsPcqjuJrFYn7t93CzoSAcP +0HWMtbIsZ+xjy091NOvRo+uT1uVaWFGvuaC62XtGStVOfvQB67hMvFe1L0vwvEO9 +rqUQMMiBDi437cDfB25kAnt6tOgRSHksfuDmQ/vohjT3cRJ4WeRdk33p0DiW6lsR +/IP/ohbzatRd7FWQPbbp+wqGN/Tl7d3npbRdZYd35N3vgbC1szGCZ/7DlFjUgSbq +Le0zJgfiAFbiQLNj50uHrUZXimQ+Ve89sA3+lLvupB+5y1U/kOD/sVVWlLHTN7es +KZSeQNOcXp/Eh478UXCzbs5TG7hV4ed62PJJn5WgKuCR5lRFziDvB4goCvrLCBHT +DAC1A3FpVbkWIPic9ASKRE/Ja50bIXnRGuOUaaHQaDWM+NoEw7ECiLZb3KGdQiA4 +WqWcKQisYLJXIxD1T0C8UHFIVuZhYNvyBYJpMZN5kMVT2DaQ/5HcmLfvCPyD9aul ++l1lbwU9H7wPXA1uiWmLo4MBRRGfsQaQRM4uMo53lrG251vw9kv/PvfJoK6GFpUo +G6jUa9ztkPuoNs2IAbMT8Jo2oB8I78HWdSZAjNUckECdvVyMU0TaLt20uEbI4x4+ +BlzDt7mSv3g486K2FFZaMK5cUGhxnl+fQIhzuiDvtm8Eru37S8B3Yd+zoza51HVY +Sm6cWjRcTmvIkDtJBqkhj5N6fWmTap8SAwG6q3kc8Y71NnoSadQP5EVY/aqpuufg +pF8amN0Lh8wZJg2oC9lfvamFY0G99F41g5R0vAllpYsj/p7psjFpo+A9eD0yGXS6 +VhLI/Q9wd/IOTUrx89Hxy53zRdZOslPNnDQoAZx6Sw64b+jg1WmFWCULtWSOikUO +LBkEdT7UW8EjXewc45pv1uwfYwrhqeNME9oH2cIH0qFx4qssTK52O+vb0OPZSYdt +GVz9SgvrtNkpGrZIMnTjYffEOpXaqjNgYMKKBhDf3m52lF49R7fn2kA8lITBihw4 +uPg1TTNGNW4XG+6Ddb2tGnAxAxhA9WsdK7KrZ90raxs8wxNdWczfEQKIzwmvM9vf +WcFRXqPGw3XUzFmE2CAPoCGAqd0ueuWX6CZES0VuQNkaNOtSnA/q7ZCUGhoeNH8y +Gn63RXiXF9/mfkVYa3Ank5RnJ+yV9WGJBeS9rr2UtfOZ+xExJRuVe8m1wJSXvuaI +D0JBMQ2zjMbCZqq/eiTp3eaMNTa5ajjPAkhhCubKvcuYSB2Uu0jkfScVQGtzIEuC +e4pqO7qxQA/Es3Zi5fk1SpIcoeAfmpDCeqCDsOaAJ1wtCsFqA0NngaL/2PPodDf1 +a0yv4khrOnzW57CgKVAz9JFv3w6S7NQ8Ka00Bb2XEPxcWQMm72LYWpTKaT06t6w3 +kNVjCl8JLDiCpmHWmvWZX4t75wpQ/RR7Bi+On664dINDm3w89sq74F1j6TYRD8Tn +64N7AvWXlt04bsNPOdub1hhXTug3pcAaZ0dsSHiNX17yLNPeg08mRYE3adiOHceg +qZ0r3GD8cnEfZ/oNwSWpfwYhkGKSFH5qU9X28x4UUqCugfPLArTnNZ94zhV0C1f2 +rBqbLFv9y8JNr3aoDWIQXTSmi7X/MvtegbCgRc5BIKfQbF6WG7TExwmVIB9sbQA5 +cWRVEdvsq+CkFNbfyEdephzKO2/4Y52rxntDywxKdZ/58DYDgZdZb+i1G2/HjVhI +y36bly4ruil1pZCJ7KeVqkKWr7Ypt3XdbYlSq8ejm3ZyocQcm5nonMk8i36Zt5sY +pr76knb0LwehzOqpEo0Dib3/KnT/WtGcseGeE4aH8RfXJhqOQVEqYfUft2aKPrCt +eF8/xNQwXKP0JmLWgl5iYTduuIZVnBcYmWe724XpjKCDHpzfu/KbGnY+JU4eZCpV +s5uLVs+KWS1IZaGqA3NwkKZGQDUVlAkg9Br8qKjN6DqrNjmIISQwEYA4GZXj1nnN +PDuHlZ1AnNXl0+grbd5NwnyKJrnYG4wfflUVvoug5eJkPjX/z/bMBt4MATohb7ov +uhvXvLXZ1zWU1R+8APE+4WV3t0ikwgHegUuHyDnKBTjdKZ85IjwaONmUvccR+L/g +KQSxAc6IuilXOh+R6eVJJM96mRkdglWbnp1aJ/21UvgWAeMJGbHy++Am5EQwBnzw +AOiV5qu3ch1nRX7gqwdpLxhjdtGy7nXwAtH9X/dXimm+2Q7zsbarMxzUZFJL6BUd +rAyKqaZQ+xZ3ehQPIHyiBeeUA9outnpf/7wqcB+1VfzIqpdKRV9988c+M8l145Al +vGiyYh1mBkliGqypYx2S9iC0sj1GucLWkXYXYx/DJIEWLWAxhr+KAwzwKB95/5FH +UzMm6G1ZY7evYKhjXzJOXU0vnK/VNPIqIRhCXK90dNKGD2D2h3ErOIQHHCJV0HvI +ND7j0S+WtPWKVhaDs7BxiviJ/PoeGtI+hxXAMBlbW4f035DdI7X3viKXzEz8lF3n +nQJ+7dA8LrWIiUeqi1O99+2J50QdjU9zQ6lCaJb6xWNmjpguMHU9VbnhnFu06R8S +nz1JXZ1ERnMLUeAJYsX1aFLjjrnDmQi0T5BxrPJSPP548Ydp69pDkilMcQWpDkmn +XJtD6Bcf5s8GuePoHDrq1qoxSUTrZPEZKg84MXILSYnM0FBMPWgW9CVop5j09AvM +eL7xtTf9vnNbthJWwk7zmxMaZXANnMuK/ff/CiGePCP0t/u8dns/n6XyH1aZHX/N +IN+1qmU59ew8IxRKLTFx6Qv9Yu/SUTS+GrrCxQ69Tki9yQigNsGAvykV7KTCaImt +lxL5SC/XqYWYweV26DmtYhP4IDvzXRuivRwiumFnx6TXqhKGdUUZf7Pyg20wFgUA +N8bVvJ6E7tK4Rd8qeZMmGRpi8r6+Kb8GugW+8B35/Q4fdokKC2Jh+ueAsbnBC30S +X6HoYuRIbaFsU7Xa5BGuvyg1+1h/m44kooXHNXmJn5/u2xlYYxbUYvA+cWfzTGPF +MuYRMlnKnHhOo+xsizPimzLiQSp2UkqVZqF2GNXlvSGG4oLTvwHx+T2mnnEOaDuQ +e/cN22LesiJ9zmh1fLD/mBDcFC0iKQOHOBscbWhN/dmplgolKetpTIpG+s5549Fw +hQnmtDR3H6bFmJjYMSKUIDsysaxLn2o0tvk+QpccmrNUy8aByOoDb5x5LS29Pv4G +RP4y9lgGqQlzOdE0ONOK9I8XB3OJ8f3/z8t4KFSR/bLLVd+PZc/+Tmv9LQ4Zi6s+ +gmlH9ELOmUZD9tPesTfMNhsfVxKZxH5V3Rb2L1NilKnjZqjGHMbYyxVnPT2TVTds +P+bii9z/fh3ghJnFS+ErAk11LM2WLidJeBiTgk4kYhLBiin8k+XvHGKRB2MC5/bm +m0YBv/PupEy8/Xz/XHNXR+oJJodcIe3fkfZHfOs8KBY0UX3wM4izeyEK65lOOAyg +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..6c497c12da003abbeaacd57513e9aff063cbe2ea --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_common_h.sv @@ -0,0 +1,108 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +ZRBYPB7g3G2m+LiEyU6WTYUZUkqlHt63WyJRW4/ZplmxOj1TXWo6PtcBwFV0SQqC +ZiL/kV9cMl643AchpZcy8zgLjJGbggUo5ErGAMu2jwdEF3cqsqb3WDuTjCPEkwlC +TOWgEh7Q0ry2M5e2DMIblPJfQNlm8NM1EHMNgV/rtWM= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 3424) +bK/Tt3cwvGIAmxev7G9JN4Mp2yiDz5X2DXSYxGPbYuhMMF4oABtdQOGQVu9a4WbC +ctivJvFqQmrktcA5csMmtlXJ+H9sBfxDyxQu2txumJT+BKaEE/+8rREW2HjCFalQ +kbStd7xaelZb5cOk3T8GmhHV1X8NpCoyrknLCaCu0sNoZ4tHM4s5jZVUUGtoeznS +nSktVgSQ+psMToFnYYlQQtTa+SLILCxY6kuBRMiLzxD6+d9yYkM3Lj+oYwIMLLrW +FmL/qsttXiR0ESRP1kOkdFYggEloQlHeyYzY1IqiWNdJVKVH5YZoPPK1Wz8KGQuq +fAHKqNwBzCv8uLoOTP0srSmFOQ+nY8X4iqsENhCFprNs7IN3L7iiaBZh7IUKooDT +KuLPjiUzf5m/ZqQVKVPiT/h52akHZ7x4eakKt6/uXwWD6jGNHJzTVQD5ewgnapXJ +GNWoGlrz5Etp48Ym08HfAIdtIlUqlqm0EfNXEKtpThJD+Awd8+1dbBHPZ3OktNSA +1BW4YTEnvAjj25O4WygMZk4igcxPdPh8Ex404Vb2A5m6FHJiXhOR6XJN7ALQRmOS +s644IA+pOZ33wzMZzfH5ttETRez5d+KjmFAzuQyetaMsKufdadD1QySR9kzmpDrS +Y2nClmtc3nTUPOo5XzXYuDb1tl8yHlEPYO9UmktbqStF8z5a3KYTIC2/A5aupiU8 +5rw+CP4kAlGaqt1x/qewvtWVGAUiHWUCly/pOPQ6E96vFQpXp8BNpNUCZitnKm+K +Z3u0hTTBqfhrishgJKK4jB1ZikVvTomp5Goq+GZ4VDs8VLam9h7HeEa93QZHydL7 +Jnv+ByzJM3uYU0oq+73DsddiBQObWnv2DyWXd02WMYDDRuxiUCGgWTU0bsE1agkk +9UblrMkhQbB8nb6UNl5vS+FS6iwd0IoiB5SoX0PFbrm5DawMgPpf8QxoYEETBjwS +UE4/GqZ6xoV5/NM2fKGPDvW4gCnN0NuqmxEdfJ7zq3v6eJ2VNv4dDUzijus0DA4K +p8dyj2/+tZhizWbsU8AVfVBmCWiaG7M3fQQDQdcmOL3SgTyh8xXWneccC47TUVmP +bGEywick/QmTzmjxKwcsaD0y+9ge0yba2N3oWIXZblEmVLWDsI1iRcvr+6FsWqED +UASkMv3DN9g+I45Ddpn521KTJycYjAhzRB59sZE3R+msBIxgKlxlgyFPfymaEN6X +kADlTJ12q5iUv0ZnOKQ0z2popC+Uu0oA7FWetMLbLqOC499g72ionNyfaZgT7OAT +PQgI7JTdzbysWsFqZbE4typ1HvGPFFQj9UBfZzFLdz1P/DJ/Qx3/RO+1ve1nhpF1 +H6fFopo2vIQlUCIM6Dc0YMzCsojc4sZqPISVHOvNJsZtU6gfM+nB3SBAnH3mv7ne +kNZdU5uUNcp/cnTf1wsrJZJJPV/aZwmjHCgnbjw7bT76Ccgsm8obSiCdOIZ3VTVu +QuBW2gPZPcEDanCZacfNLt0yr65qe3agN+GQgbXGOKTJTHSoOY53FH5hz62YUc0D +YreqT2+dMzevHLXb4VAWrlJIjGAX42eswqBbPFYAPA2jM/Ni7pFVrXRZP0aKsT8q +Nl3r4lQ6rHD367fHHG9sy2ja1GelVhEO/wtgW11GbHNuz7+u3ZOfgi1v99b7jytt +eLyMhlxdhwuX9ktQqllg4AunItTAaKYzmBQalTGXINMEnfpOkEcaL9f9IsbHnUwE +nKvDYmST/mJCikLiWtnWtxZqGykl+Kq1UZjBqKb+SL3PAK1lmg57ZA5OYe80aQdV +mL3KA+aBL2noYQ9/r9Kde8Zc0CaKuNvpSO5KtkBLf3YDo3B7MAGAFNem4iOhHF9J +48mS22Q9/fhUdhclqPr+U68gShmtTtlzSyyLe2syg2iXUgsNEXm3nQlbECvZZvU6 +pnLVMhW/y4g2Y38j/h41ZKeY4G4e2QFRGL1guARdVaS4gCxrvKHTMXT03px9pHnj +MsbesQ3bnZ3zgAmCI5FiU2fG0ibhG7AVdyoGLDytV1n06kE0TKAIpaiXanFjeeld +U9Ck28nXpmuESPvO2/l04Xn/undw5BGO1iKapfquj6mkNjNLx3C7gf/XZpi1Tqv8 +ZMzUwzaT3LqRXBROESica4l9aprdhn7Sc1pUhEg+9PQYYyofW+ZgusrlaTmvNNC+ +VmpR9Sl1aijRJoAx86UjcX7Dxbxg2t4OBkQxAVOdoFWHQUHDcvPaxF+F5kQXXZF9 +ohKBE1rE0+K9vk5i9oGqbpYPETMGCunEg0Ol/jTgGtVe9XPkgo1dE2P8fodFlzAO +w8q9NCs+PCXXbC0aQHBJ7VZtPAC7qI9ESzaUDobGymgkABnlkkTHPwOU7ykHW11b +RWdiuIBr9VSOFCnuSziuj1buhXsR2QIOZqP+dtd2OGaVAnczN1waefrZkyNMZb9a +csiY0JuWEFzCzphU3yMiAPRXMFr5CLt5Q0XUMBAGbcDSAq9O+aJoH9djHdVphOHx +VV6i8c5cIPaxS3ocEpbqphRK4AiOXxxeWZuIh5VpDd4Ue+TRLF8bYshLY1INQ2Ws +B0DqOIzsF3nDltBPeDbDnpvzKyFen43H5jAVUazXL8mhJbm6jELQCHD6Hvll49qb +Jpary+LKwmlxfhJCiYF/tvSPe07VEL/gpUhP8oiByl2eyRMc9oEFB7tN5aay5VfR +PQk9kMkm0y+zg217hg18irza2gIBs+nWveoPTDyMRAdWRs05s738GpO+oU0xxwPA +K1+/XOgHgj54B4Kpk7qb9hxdbqRqJjukh+XvIfbxx7wlZwLtg4fR0JXLsqaKe+UX +u5foFmm1KsYQTT55ATb3f6qrRIruuTZH466NauHLjCtGBtsHhNANHYXAeEcFSheF +C5TnNKVEl739ilW5dnXvV2Xi8iL4G6pcfWDdN++0+cwm7pMFZOg/CoPJyQhuHtCn +BkcdjGXleos2r6RudHLMeNM7aqlJlnsqw0w9mjQYGXGn/zAYBIOyVgNV3PoQNWKt +J5MFI2tCcXZr72h9Dtk9N1X7biUhxl23txnvqwvSf1bOnDTyJ0+yiytV33wbE72c +BfC01NHpAejHdscPP8WEme5DX/llcO/X803rIe1ZmTxx/wyKYuyXFOv/vvmq7G5L +vX5xG93MvH5NdzALylB+4W2Sw/PM8/i0ZYQM3dXSRUwXy2DwEuJ0pxJxJloR+WUj +kwI1gL3fp2/jgqU8LkQSK3op/UlMDm3OGNtznZXjxxd+H0UeZNk9PpGJaHZJZrQP +Q1qNaMpatwfXQCBLZRGdWhCfRfFV+QsuGwQ81yI/cvl4cOyHTIWpCWUsypa1EWcF +sjrg3incB2on8iK35sL/HsR6w7+P1MBwbFkGBBacfqi+XlyuNa0ypq0dMbe8APV/ +WvepRBSoEROKnpr3eYco3pL+rDwN2vSCOg+zHsvjMADxDQLKouharsvMrGt16q6L +96TWp1ibv9VKbh4M0LVIIjK0vuMcElcVtSkT1Sg49xCUmZx2gM3eagca/Dh40Nvs +sY5jgKOgIQo3TWX05MHpwvhEOPMdLoOoKrFKQ+/hUI2Vu/EWzIPXJMA75wLnqwxE +86TPqfZvsnhFZ5n/BEXy0I0eAW5uV4250VSq7qZXJyhJ8cJBEItQLNQBRmcplkFT +UpciuvYYhBMZ7xwivysxNqu4IPqm6uJUXTgeirYqHLz7nWnLx/7EVx7m2u6u0OKE +KwPVx4gCpVRzvX+RiDm0nKMqu0bWbkHCHX6uIJ6gmn6OQqSVb4nmLfOqvyjYoA/Y +hd6P768QEoYsIXweXuXrYgxnj0ZdPuCmSvVpaVDivai7VQKEo60azQFXv4JFhevu +FIoEXhBKdEfIv+qQ8dC4PaVu6nmu66EoYdjrYtkKJM7R8xgbiw2VyNkV17TzX3yo +r5IEfWlDV2VoyTSnr6KDgum8pSXq+V+noJuvcyRE4+zYy3OHSx3Ne0ZxNzzau9NN +yBOAzV7M/XzBMYatHcTleFntto/5piN7t/RIRP0bkMJfDdOmGTPLLSVhdzjoF7a7 +3rDHlsJlj6P+ALzZn4rHJlKknOLSu2u2JlUpgti2Eq0SptrVZRNqIWWufphXYL9v +guZfWV3fw7rrQRwSNpycd5K1DGK7OJAkGVuDERAUwK86Xun2SHwTLe0I5WN3ZQkX +JIQlQsDkQxGXeKyuNDigbEW5MrJNxcyTuKxq/pq6rVrE/klWfrxfvnpfT8yvJ8YN +73MJjFxaYvS0k5Aptf4LN6rM0XA4NB1DOtVZrqBmeb30aSLFCMlKAHo+EcqkiKy2 +XqmMXqyPXB2BB85U9iOTc5NltNq/qNo6BuW450TqYoNX5+Te14MB0yyxVxGi+tc0 +usDoQJTee3c6Z3QAlFA6Bw9TnQKwV3B1oKMNM0r3zn5MC8T+yNbgQTJT4yksHOJt +PqNim69HDE2VR31lDKclgsONxxZxgrhcPXnvbJSvN5xw+tB7jVVjReRuuXtjLNMk +VzN8jbRwhYA2w8amQYQ8hLZFWLLPXvdjRTCulmykkHT8hLy52bgRa9dRx7+Cw8W+ +W4DVx5ruiC47L9RN0oSqeQ== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g.sv new file mode 100644 index 0000000000000000000000000000000000000000..de318f36893e8a7e31873670221476b68c5faed7 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g.sv @@ -0,0 +1,410 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +hKtkiaJ74+qkTf0+fDmyZIfPUgyFAJsboFHIVVUlqZZFnXRsSQL4NkzU5uPVVY1h +8DW9eeLuoEZ4bPOV3lexXhrR/yakzCzcgZ2IBzUmLPyIjxE9hbKDAVrlmYNQ5DqX +y3BTaUni+Hubn+8B3CLX7DKbNzrWIs4NXzOBv9RUmCY= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 17952) +rA1jCslEq2rZghjw9LqW/lowCr4L8xpfb9JOe6eKpqNfg2n3YUi93/+Scw5gqbvc +ycMxRZGyoqbTcCt9MDNBUh4klgQZY90VbqvQlvuVqXeuH4W283hZQ0cYdo9VOyCe +mTFPGyXnWBqx0Cofx5qGqcGWXBn570wqqJctkD4LKWEa0qg7GuO8TvnvAXbKgc5y +5P+1e1RxCgswnKkRM2KLrAbHz4DXORxYNNKL9opL01rn9X4CoYJXsdgyuC1MlJ7O +95ut5D6/rPewpfwh3CXwjJxniczP5pq5V0F2wDu2n3U2PPQbDHK3ewzUEAjcaeGQ +SJWlXOfV0mAeWEZXHvGpx1PNgHWf8jWOjFjPVT9R4vlJNqf/9E0MSew/gQQP0pMP +pIn5mYodqL3b9Arh+MVdoGDbi6ZB39qnqAAMU0uYRlkpIu0nbOIBDycZ/6/4v4Sh +Yfzz4rJPnzhcN0Mu+xROU3rVXfJX80DiM8e5oq6r0rjRF/Z48AuZGZHuGSNl9uzZ +SGS0mVP65rndaVMl1jXHXumi4LRHAhJliCeom8kM+iWqEAxSg2ZOIX2WNXBPz92q +6DqiR6jA7jG/8JlFGPaWhnhxTBD2NzrMVBauLIrJVvGp26jjNRnd0RO7YO4GHuKo +7MziEWSAdRldK4HFnxOLWV0AEeI+K0f3ZPBCW75AKefX5NdqzQlc+LbE1bNOBTeq +HNoejyKabFoqMOhP5K9vi0UEQuuKlLirVyrnyMRLXjzcUaaVSfaB+OCMZaCAzCeN +BmuXVv1A3lIOukz0yxNZpPFMpev0XOCNLeTKyOantUJkpwn2q8G+Of9vlYLxZUoX +cKfTTRbUk61OUp4RWI5va6Q6NA/QonpbK2jqiFW1TfVVJW9SL1qNOkQwdLpHeIFu +RpbcSYTrE0/RCbfsD1Ge+GrTpDdvxsoLe6ru0v9SRF/mR3Fd0fH7Gx5UqK8gbUyS +N1im+AKZXDGgkBBfqIPhoXvZ0A93xLuuWk74ac4ZgyNyzb/t9h/WIIUNiY6lUXkA +raa/lP4UcL7yoVnGfJX/7GFkJ2OIAiWPnFVLLe9A9Wtw+CtII7enBdPiJv/4thBc +VlmL7Bf6tsNX4KUb0apczx3wWuEd5qLr0dkYP4cVsMVEbSMcGFXroBDfUonLaFRV +tWS6CnkiXHgd51PZhubiwtDXG9u3keneReLjw79EtSCYndCV8IUDkH6OUxRUCaZC ++IZI8sjnkDeWFUVQaQOPxmE9LxFb12XSU9AnRGm9jZeeeUXfCYcSdlnnHJlXc8+H +FW/X6VKEohsVCufEJfkDdWPHSR8de1rd8mvthe8yKsgBsLyvPOlYuyD1kN6ePxyy +5YsOixizFltXaHpGCZAaZsqrJ4gBNaXsZWKTHK5yscRkDjJSacljHVPuzR81Ks/f +8+IYo94q+G6FX1xGSh3Cxt7Z6T5NjjiS9Qkfc+y1nMg0F3BxA1tQrDUJv9fhgkHZ +k3PVKr5kWsgfWllsFxoCcQJcxqd4X9R03fxQyKY6K0IaMJLjU4L5h/DsVQoZRnmz +ss0uAbqFkdX+1VV+/Fn2/tyRUwmtukp0pDNoLkeLDH1z2t5EFeEdWLqZMRcdn4H+ +Tn/8Es0YNQyV+yGs/JG9yZjAAZuGGGpUVgeFMK3wcrHsAUXh1JBCC7Gk6NTMw83y +dwAxxDTaywcHKpIgZC5CSr3csObZAHYmrJ/mylFHEp77D7Kupml8QQ6DHWJcrClG +up5w6SZ6Mg90xYahZQ7nGGfJLfUS3ST4Nwfq6kv1Ij/Uet2+9ph1bjmv9Vdjldc7 +u5gwybF10zqckiMBE2eMb7WewnLE6S1oHgvhQBeTDQgYWOJhC16S9wCyDnl7v5qi +SMpBoGGpvonnoKvL1RwGt8imVXwrYGV/fbf9uUELdGvD3nYHHomTjocDGLT9LNd9 +Y6qXL0E0Z9GxS2WOIqVrqVoUbOL6Lj+2TL4iCA1DNO8MS1cMpfhN3sIh4rYibeuf +fVW7flz3SR+SQ7xlmq8a1zzKd7rk6fa/BgF89UmD1Kzd9y/LuoQ4wPgOKjTgM1ST +XWASX7qFNODyh3icaMSgczQoefbmjPrEdPPT7+LgPsGPuO/Z7JVW+A32ow75NY4Y +EgRL2LW0a0iYEOJADn3P6BKK7aMZWvl5QfSU0Y3DSGngZJ91+A0G35jPovnc+nUr +Neynf5W1zzSibeJ9b3QaA5Sn+78lVB7g4Jv0gjdvgu3WfX8dP7+VjIdypqfL3Xzm +2gQTB9O2sgX+QJxmWrlGd63l8D2DYn1PwviZe7DdX+LIGUT3lOIqxIma/Qg9VkHs +HUe+fI6KV7Nz2xFfaD4Lgt9d6AHAx+djuEMItY7POnwK6U7kpURim3wMtuIHSMwH +b51lTo6y6l2HL0MGgugPXhPQ0aUy2jyQ2AXFpHP9dIftB6aGlgh2iopyGJMEWOmZ +6oJhLgu93JqhfgebQ0TpuWGv44JIJxYxrEQtp4oewlppJK6H3uW2U2qGNGq0wQwg +EZckBuNXvRRKZrtq5ARLVJF0OUuIJ4J1vqS+PqOhzovNBrGqcyvkCcwbnqdTsc2B +nByFdQwTe3Q52LyoAgOUOoamfocv4V3A4mwr+HgDfpMRfdy+fFQNr8zvLXhNqPjm +fFoBaCy+TS67iQtZDaBH6hRMS9FiqcmAKF0kHfhRmV5ZDWD1KZ5TPH+jLnmuMZSA +kD9fxxR5zreXmE7ny7AmTyhKSwykaVGK98gbTmnc8Aa/W4WIXl0zTcQp9GoaPSeJ +c1B9+KfM3gwhSe0Ewb6FgL+Sjo0iatxFXVYqUOlAnoXGQrqQFlhKj1vVg4R73LQ0 +XEh5kyA08EhB81lX9SL4C28SU0GbKfdHawK+nUlE0AX6+FyJTlxdXCcZtYvuNNxi +0D+36UFmhKml+YzdX5gaRd8m7cxi1EMnWhzHp4SeqnW21BYGrOb9gadFUMS3C/rs +F571cunb27+biA4gI8cacMfeIrQF2TmMLyxgaqJQTkPAXg1U6OkKn50twr3D2ouj +d9LXjGEZdNOZHIVKDdzRdk5nlmf4Ea95wuqW1tyOq2HjgXsnqzk94uavQQCTmYiq +jRskAgNv1pER8mnfoyQTBUPkWJS1stfMu6gwsJXljjEF8XizMDu3vX0wAI0UFWL3 +MB+SPiRHAQzINJ412wjy8RF/MaFkWHE6s98COiZXhpPwaLghb5OIAU40i51W4VsQ +DBA8y1EcmALx+I6IMrmudMDlKHAzGiWOA8hxj5JQ5+aC/KjRRUEbit95b8Cg+SdV +PYMXFIg3QP6fKX3WAgsuLZWUaXTkA9Va0W17v15MTvUl7MLI/dDuuRJOtOO6sxKf +GIvVKuRCMr0haIrO2QAsMrgamXacbnky1YAxEsNzeqq5810KMqvfWKNqKJK8wlia +iCEKAdLFtYRWQuU2gVOFIT6aSgWZKdYPhKO3Yu0ZRlYbPTwgPp30Ls/adIrIYT5w +p0BRDe3UmV/OJRIreewMy4kMRo0+s3LkaoiK1UlWoWd8+aPGV6YLlJq+Bk+X5xtk +UxDTL8zSjmhU68CjGMnZ6ooA5Ka5O4HB8xZtoktcjxZ2zrS61Nh+g59gv3/weZGi +zP8Uk4boH3PS406phUtMmdmuVVkM9os+gG+VDh3rH0ueYkl7yJGIYImfZk8Dqmc7 +tJecvLCfGvI3u7PR0Q9pphyPwR0/fQg/aYOIEm8B23HgGHTPI0hHGzNsMQTB0fWF +w9J95Z1s4qZoEkEvlK9CjRBBBOmWj00hZVkviabPLhKMsig3Ls+LyDgaQCmD1H5n +zvR2mdLQrBOHdWClNgOS4yLzgpXZig9nqZPBWj/xa4vaYHHGBn7jVmBzUw6kHhJz +Yxk4B8meSXkCzn7Z76888F7U2apmKZ6En5rkuc5S4ruSpZ9f0AsOAfk1125C8K7t +oyyGPjTQtt85i26wsAHr32YTRQo2S9GRjEahVeeBhR41o2Mx3FtZaLUXnOVYpo09 +Fzi2iEs2IP1Wkdzl+8h8wSR1uhKA3YilbiV7RXMx5enB3dtEmfNeDvG5g+buuoi8 +J1F2rqN8G5NXPl9hz/omhzYtfoZcMJSlNY5yEVgnggQkfENWnZJ4l19fkYl/dTgF +1SciAE23Bpw4GOHLC5OlHh/123eT41GfBxnJbB27UgJHy5LacILBIdt0zmUel2iy +63OKNgCBVGbRjNVWhb29NIzJv+2EksTcTnFp3tZ9UZ29Ba5uzCEEagbsGz5QGdYz +ylYbmDTtRPHcVjTEfXssDBd+JXYuDUi5qloEGO61WyQsuTX5AkvtHX5U5uEGFRgZ +0EdAG442F0JcFgELW0WK/dC9FM02TFsaXAtYuQFqyeZ6sgDL9D6Osj/frBcubKEB +rZ3RzSuUR3qB4sDKWcui0flukqaH4qWHWcNVkH55Wg9dw5GumX+/9BQb/8esanvH +IrmKUMR6lEsHzarX5mP3FzMt6Fe39kJfMSYbNeyNyihwokkFHMZ3RsImNfq3mNnw +raTu8d9yfTyBdJBdzhaHe05hNGN0w2jhV/LH9jsUDjGj6xAPNdcgRFQVfo4hXDvO +i5GhIOktC0XOJHN3e6dKuir6OVPkzVayZeckbTuSJ2kRFMEGdgdtFNRTZSSyI277 +vc1z6Z6tuvegGwZL2PCqvPlxdmGe5skCbeLGdRj372TBZ1ECJtEpI/MbJBc4w/PW +4T+EyxzRIY42DGc767CilvAcjhySkySdPK9thNf3LJqyh9uvfIW9DFudsgY2Trsy +zH83pKVQLRhIRGOmkM9QkwvLVHp8jJbrqQ/hbyJHk6BJA5tabrbSzju9UeQeV7UK +ZBIl4w8RNlRFfsdgtNsIVHmat6qHV7ad4PTDdlE61OWJNobpuUUF7QvEY3iNoOSE +GlzvGPspVvF/QLh3sTc+jfDwWGN9X9LVAdRQ/q9uC2kCZSuGup/4CwJjMnA7dK6C +x1VkqFjH/u8IzFoZabSN2k09DtGUAvWjFn5MRMU+vnWWG1vhwrlViWBHJfNn7Pr0 +s1JwFvxus+sKbP+VIBqXMWCIuvsAKSrn+OSc+Um4QyuzbbFLhAg6DMRI/IyhpLSs +KwSx29pdlV8Ck6mHhtQQHQkHTzsEWiJBAsh/VP3OIsvOCy0izNSIUJ8PuS+LIker +ghYdSuwp3ohyD8kg0qdpEDYAlrYzR2lk6kl+ybkocXA8+xqMn4N29HloFFcKVmnz +3TdAGEYEpn+7NHVNfqgxuaeM2EVh4V6Cs3zPENkp7s965EhydhFh4NJpdWrHeIGo +vk4X/HZ3NydE0bEeloMw6BDOPx7s61oEZLjt4ykY9XOWXb+Er4HHtveaEfEZ70+C +vPkkzlBxaivQVap4uEWRHnyvy7XfHcPcIbxXUFZ4oqCLtqMLTOUaY0Mgx6ddPaJL +mHPxs/d9K36ZkwBXfd3W9eRRai5yRGcaCXj14oPvvrPPRttP3TGehjmRu4E7C2fF +rXFXcnNmlq6eXOFcV5OJr4VFydDUuLWglVUfJrghO1DOwHT8LV+4kB6o3tKgFXY4 +uTH+QJtzL7dZZJepnZD3vuszefJvBs3fbWdc2ygntOMfmUq1eyjF0sgK/NEavfow +MzFrnNv+HuLppOWfEUYO+2sufpL1xzq4xx3H1Wx4Ekzu+o7+tRB/QvmeyKCyoXiN +EOUhoRTdM7jHCVgdGQeYPCIronQ6ewNnQQP7mIqBoWfJ2IjFTUMYZ0JgcOPrKMdk +ao6nHzZEpUmVbPiiTMY5dZKefZQvECJGUdi9Utju97xzZ9/CAIs3ld0c6H3iLrz5 +mA3j2sv2Cydu4vYW4fh0nk8vqzhfVWIDippDXmYg7tyQocgLJU6/C2Fr7apU+xOZ +R695SR5yjR0U38NkT6H+8+NDyW5aEVXCSuHngolLsOizuJXMZeGgIo80MCCXbu+s +NYCXkFNvTEzufQHRRLzqb92xYRbvUMFRjrLwj8xP1oivBcd9rgQ4IleZT4/esgp4 +NbbJOL8gLiwMIqnSz0zjKOPxUDtF/jn6BoeSzOnLpgAVZLzvtLVTCUcllszC+hNm +A35QM+Ghw1pGiKwl5pku/T/tphIXiUKYuwQIEMbF7eT412ftV4QhEWkCUQxI0Ijm +F+a8BHiupBp8OIWnbIMp7EXU3gbXgG8TeZfSm+Hz+5d3MZ9ChyWU+pMNzcaKVtuT +D22+8MNzaNoGHPLw08thFzOFj8kZBlQKNBaJYSBu3LbL9l/c69nXPJw1To9cqnW6 +90U4iNOSosW4eGWCDyuyo/xdFQsk10leb3aCUvzWYmqCta7/iYxFXVmP0QsFoIGB +jicLzYO7WdxLFyK3+0T1oOJpBUKi8L6M4AGodsEZZikBmaWZRX+ePQkTifZXIjxE +2Lbni9H9nBT24OlplsMYectxePv7JgD2bJsKIzHmlD0DMCNXNzJP3imhOlFK9rpS +FzaR3Hz7/DswvpYe2ER8BPDlJJirMwYyotBDozAbyLbVMrZ5Y1/DBhJ7L4awCfnK +1g/cUvPaDFKTX6hSsd/TwlxTzceaUfsVpOQx1AVdgPmIKcV4svxUh51oe/7U29A3 +mnnXCHphwQ1IL7ZYEGnpKbTZMmsseClHeiKAKkdwpHCYtEMGZZe+N+j3jLxEcas8 +G8oIkOW0hl2ISphW+hQAZi++zg0oVTrvBVjzGE/EYbslqFikXRTcw5IlTAhwXtom +YMt/lFVq38Id5T/VQdjEhtSe6cSnYSFkIkXy+HQG1uuzllo7ELPcwo4qDSDl3lPC +KOLwXahRLQ4rwqS24Rp1+GYVYiyBNot8HkuBi0GAFNN7011dGJxmfVfcVna1iZk0 +hGurV/JcPjljZ1VcsCPg3UFGBtPNzvC0Jc8PXeVd1MiQ/7XHuHsXjU1rho0HiBZB +pGYHAd89X63lJHOsUr5cQ18TzgCTTwRBQc5nb6B0wiBk9VvLXq2A5NIC/cprCxSA +PSuR80uSAtzZ8FoL7M7xCeKC/J1LaZEVQKyq+bOUKNNs1GRWNSkci4i2dVWB6h2s +OmyBFhzxpmU/mDONdTjg0x4gEP8WPta5vxRVFwv7ccZFcrY9xxeg2JOJ3i/zFuoc +ZXhICpOWXNhmZt1jX288urozDuKP0ptXa9J3wwoWcwRZR99w9LdRfHzgHS8YUF/r +afXnVrKl8/XG5KGDr/T4/AFRqtezk+qcAyxyBtlc5xQDZQUfRfE2UNVoE2TR95oV +Kw15ZqFGuho15OcpOu8q4/AQoeOEqtyjGcS0d8HVhFl5lZievpLEbLgo4YfCLFcM +xnXMdKflgPpBnIrUmkOAt+l8sqOAfdqX3EAs8WvL9BKHBUGtUr3LEX3xSwPR4MQd +5m8xWXRNt+PFryPq7pqvMXgSZYpLWUPh6qwFQngTGkKK1VvzUgeoo89mFYkp1fHy +zf7HO6RQwQDg4/eKF+a4WsjGFz/YlLP4RXqp92VrNceI+CZqZEGXDggp86JoVHqg +53NDf7Tmj7Npp2k8yHo2Qu3zxk/xcrLlvbcI8XMi55HqvMdr9YflcvxLC0XnTeIs +oo5wiUZkesL0N3lNR9w0fE4OK8wjD5R/cJIVGBr0bdlX0Wa3el6XlqHufAA1tnpR +6hRE2ZDSDFKTsK/onM2G6b62cqK3z67YFwYJ87I4vtG7PalZKUhsNb74oZkb1F5x +jhVntF6lIOf/0mH54JdcMorfdKX+/I5S6eCcvD/ozrv6Hbj9FuvPJcFRFVTGkO+/ +9F2TwbFfygoD3G73NxyzK4itZ4hKoTR0TLYJtLEy6kIFVp7AR+/PfJPkelqEvO6S +QNgTjYahQQge4hEOn7Vtd7zyMpOJoXS+Fvxtf9QMDF+DVPZ9S2bL1Icb1ACnEkTu +m/7WmtXcJZvpugMJWdTj76dgbJ1LScxj7Kc6UC3CpkC1xtiKP/jue5u7t5UTGbmS +fXaPoNM07pJI7LDAFoy8o2r8dsiYgC0dziHOCK3XfkfE4KC9tZXwbqiQIRfYFoIc +25rE7M//adM0R74u6k6zHrNPbAAiTbsJV6Kc90lhgL1kNK8gkSRFJI6WYdzO0JQp +b5n5lboLzv81u1Cmkedi41Ie5MTB+so2aqEeCtd0uwGSJliRMk/iVf7LNhAx0wWW +ednMKDm1Xf7/O8h4+pZlZyXIKN14yK59V/bczj7m0arOKMtqZZYn2sLDEiS4dKWQ +PmgOr9913z/q9V9GskXzgf7ICIHUEn6Wfo6GPpUaTWmkcBcmYWm+eSSsPaN956xP +5oSU5lH4dyo6W+9QowtIws1jHMpUIH4OVxSF/QiyxAcvu8uyvqXOb7pbn5CgsZig +dvR16PnFxG+SD9M4+Al57R8qutP7nSNIzsPss2IRzaQhL2QkpbcWcbNHrdbjE2X8 +nm40h7fRhWaaemSRnzTERh+KLlJ9Xi6lVR1P1UhY1GKt06jS9ZaHnctfdir6EPwn +8mzdPIJmBEgy2Yo86P4M4B5V2/jLPJo3b1ONHcMaYjZf8Wvmgp1dKC7WNWFUxs3j +9K8ZxefEnOVKH55/HAehUJ99dH0+8LzmXG2w3D1e0LWlnPx/YIPo2xXTYqL6Z5Wi +lt3CkcEs0AttKXffKgCGj7b074o5U7bbnSHSZzgnfd3epMxBxeeZTYimInkZHUH1 +bhrM98angf0vgWvKYckXG5Md1PN4fxYK2+EWA++sfEp3hWN3XsbAWRqEzNzG9L/F +ExULToT4uSOdj2adrCqSfzrh2Yd++GJSaveM+AZD5X8X81hbMSqkLLeODZlknxtK +Y58PXk6o2lwAhS8H8Fw1Ji5dldSoLsTy4rQJckqeM9r0ox8qKGank681BQR8E0dX +o9CpByfS6OmV2rkqNV/fL7Lc4+t1TPchqz+mSobxhLPUzTYEOD4wlibohORls85C +h32vA+uaOJ104q5MYZUNn/1SfNfdxNEXeHaAe7oxU3Bggu47PHbX76PxcGUN8117 +rNtOh7CoyGYXj8dAk8pKTgpOJjdoxBhPmg5Zi/slbxwATEU4uaFAiitXqo72CpHj +pRc9QDoBaUdNBnazy2xtzB3ZoRYbn1ohGIjtF/BI4d5YEq8MYagrK0iEqTgYkfIO +oeDzw0ckls3kVvQKH1gx1G3ToViO2z7GR9H0R5hgkDtBZRL2wTgYbGGcsW29B3Nm +s4qNHV165UApsObaPFPcYARdbyJ3WAiT2/klLz2AxWStauFM9rFRPqOYbjDjXdhw +5NexLvsriJm6kL6SaIu9GJ6LAg8LyagaHQJQV/+5SMb3LDh5yEQQj3oDqMVOPeBp +bGRD5Ogy/FmrkgSL0THKKeJKwvKr+Lg5qmARGWlDn0FVAjKItvR7FocNeXh4Y5L5 +sEpb6y46LwV/tO6UGp/31gziLbll1K9G1tz125aF1/oOz1+TkxTnsekY27BwUJ5B +R2eYt1Ap/AFZyLmslh1G+KXxQXxVobKJo0jtNZq1AJNOgh1Iyr9UYOFILb81YfEc +6P6+p2C3O2bwWFKuoGWjE2EoBepl0EEWThy/W70u3tjTHPTgQuh2HvrEoRjFGFqx +5aszhw+RoxKsG+JqPnhhQCaszKAZeLS8SxyhwLemiZJmxOj+EoTiH2XGDebSiNEv +ac/FztCWod//5APu9r16enxnqocaJ8+/KxgqS4yZCRG9eBbk24g6EH0n97kf/yAm +NL+KH5ovUC96H7KMW2iuxM86tiMOyM+CXDEWpAXXuFE/RSEQNhwA0eKChMq8pcuJ +nYk1YGjJ1Vjm2BwUEsufQBJ+86StAXEid8iOWdLuefiVH0hzRlisWUSW3wWw/YS0 +oNo4vDQ49vVFOKFDIW4+kF5BX/iY4MgJaA60Hlr3BangtUKAXOP5aJ04vq7KRT/6 +/8ud8FwSwTZY/n4JldnzM1HXGoKT6ehLTWDLa3uQ722ikK9/GGlVdtOJl5WWDA0B +nkfOY3nYvwF6FvWceYvtVxqWK0ENlU9j4iLh+G34MwQ/rq+WY6NGYu7kT/TmYoNJ +XWlWEpXUvU1EDQgktW4SapZmupvvUetKV2/vQTczu7knpmGjZ7+WuG4PBAP9H9M1 +F7pPOpYRN4EQeQlCsJQMZyq6OgeF9pcM8KDCu8sWaPFulZ2PyeMtQrGzGIQWPlKh +PvW+jpm3eEQjUsik/rpPfKpiaODw1nvyRQLMdC4UdQigcW1llEquZwGyW/QbJKKc +2U/n5pZZPVWL8nxKLLm8RZsgPxGUS8bE0mvPyYWpWQXqcoXhxtprobGiBJltmI+N +m7QbNgo0YhqDby0Xz18G9fha4dBopXHZ/OJZ8bDo30+NlzPEIDTVII9Nt54cYPe5 +F0UaSDuwEjp4QUHvhL2ktJQp9518CIvXkDOwvvzU7mqg2Al3ZKxDDWq1UAeFmRRP +Qxt3U4awhwIb2xYm/P8/hQ+Zl7/XNs08Ak6A4i6sX0lFXSYOlBd1AgXBTQfMNwKh +uW6znrfB3BV5XkVvDoafjAM6e798B8vTxvNa5JgbLpjgPDfUXB0G3u8F+DGl1Abc +dGrTOSMivt09wECyEbtGgVT7uGZI/iaucXd7Xz1XMr3Uvq8Pk0l+8zDxuDrWQeac +lXJIusCAKDG6JOJrv3a9WyM1hqJjOjXV6VTwxteY4Tf5qzAYmFmHD0mMoi6nKii8 +a2XuDot0rKApb8FrT+CxzFWIMIlt1dK4nR8hZUIC820ElKEOsugJ5DOCqA3twYNX +QL0H2HLDkwNnvUQMEtJV7l6XwEuIM4vQIJjXrIU8zvV0tz/HkuJM1ZrmDdlTUadx +fXMOueHnEIM4p5myGK8HLu2xBTb8v1CzWFluWpBlIXB4uIZh4ii7Jq9XlDC4zANU +DYcEOl3og/cnYFmoSsyKKvd9y715cZEPhBIpoUSLaEcmbFS04pvVxCA8hjqZ2Cew +vW6PABeN7AYgCNL6CCgqiIuu7EPDLm7JDvg1M0l02A0BOmb1I04ei67TPOyd79oV ++G4xf5Sd7OGYo5aaAaGksGraepVmEDF7LGlQ70sw6vcbAJkmE3BdTp8hEuAdrnEY +DC6IU2n4CxVQjvFRwQAPr+efVKneFTYYa477O6jZHqTLGLfrzT6M0paGGSSdyw0f +2ovUfBGN/TTZNpHSC/m11w3II9UyWLyAF94Hj9RMzMBAZ+xzMjh2KZhSRxWL56BR +uKWcbqdut63S+G6jrMfGn/VL/4XIZvylCD+LXDcGyRZAbvvTa+WcLR5Gai/LCldN +CGEV21WrdwfL25aKaa9h1JqzJBUgqwhrp5yMCesPlbK+ZE7SddtkeGxNk6hpn+FX +ufBV5TD272nvBGXaRiLisTxExB+D7fjL+roN9wnS/GSElDdoWD295p9PU1bKTpPh +4dOPHOayaRwZ833/9z0JRl2szw+V/+pKADEwsjZKNTbnb26UGGwqo0eB8zoKvF6o +B3AkEdbfOOe/qlCOI2+kCj4pOjploGXugh8R+4GFe+A0IGag+8mRU484quPpw8b7 +quOF91IFn3SsH7EUdUcSmOTh3b4fd63jyUpiBnz5nv2KtUzigXDvlCnV/A8fxRgU +gTL4VxX9Y/SnABFWsuO2OeinKb1FlG2DtjBgT2YQKkobQ84vOrFszyn/viiomqKK +T35VzT7iSd+UMTTzEuYdg8nnMpH9VtC7ZeXKRwVefvsrFZik6cr2Jp4jyDwR5GGf +PN1Q3ur4cbaIom7Zy2vYLLDyocKbu18YdcHaRJcTVGF7zWFHqIY2WJfgkqR06crr +uAVzAOst67wOAxwblORSdozQ5GZrm5rkNixM0BEZ9q3Hk6kLr0o/gZiFNaD1v7uo +ExH6G83xX2IN9nc53C22ecRsdayaXAwL3MDxR/0ZtInyDr8kET7hXLpwo7PR4QY9 +RpxHICVotzNM9AaSad5s1zUcwSJl3peNGHtJx1reXpQ0HG+Oj+coSjIj2iGTxZiC +RXupdoQc8CLLTfRNyQKpXIC15nIi2BOfB8dgLoizJz6R6B21Hiv3ZlX+CsBRbFnZ +PKZDbRooZs2vMneFsPxI2byQwb/xw5ElcKwjZqh5NCQyWic7eJf8KJSXz7uc/kxN +jrvNiyoZ75/xIbRfxZxcvnzm5FY/nDLw1cznLYXjuZoYHf3llhvOVVDTYu1QIrpU +fQBSB+OIe1U1HF2abOOCWSBUE715r+xiSmS9N9B1pXo2XWzisAmjpZ04lvGqMDyu +oJ8RcbV5uqZGdvI0wnn8E/RkR8jX4LtKzLldYMOUsOlpm9e6RV0r3T+Ry5+I2/f8 +m8J4+wJo0QDkZz/UAVUosDGldWpDo493PAj1Y4tWR8SJt8NSDbHVrEepA7TNi2TV +3Qq0N6T1JqPL6Ju8BAuwZCLmy6L7UBviUMjhyFyUYqMg2P7wdTa22BUKl1lXZzTf +huP2c70ZNKhYu6O6Z/ITgQyp5W2Vfo0X19l1mw+PjbGyCbWMxv4ZVvukq5KX9UgY +nfdkJ7swD1K4z6zGhSDW5lxwZPvxhNjMG+G6JlcAEhjdAGz8ttcYLrjzFH1ZLWD5 +vCqC11zkuJHtbfNN0pkYDLUckau2Mt28h2WiNGK+iFB8aZRDWocAWYSDBwJhN6Td +JQHgTdC49SaCXrldgJR2aB9zP96OBPdcK8eaW9P/DNMmbKpGU71mbFsVKk00nZxU +sVTt0tvHRexJILaLct0Oq61qSgLfSsDXHC/gFB85+lMYSMjXsWlENxjrG/jvqOon +LHrpRp7GFgOK3Mz6qTW6A2auXelfPlGB2KBjELxzpc+UbJOI5COdsq6H/Zg2+NsE +LR0SygipMrnZa/9JREF9VwO+kU7mMDsjJweFaJtHpFVjolFXPcZuVBC4iBMyEhU1 +Fh2xpbFksGRDRrp7k/v5acTqGnC7JzM87hThT8g0spIJP3AdcYeMVIqzPwRspETu +OHRt6AwW/WDZX0tbd2CI5wbMILmb5PNvbzG+2nSF6l1ukHSYkQS3mT3yY13K4krn +9486M20swZBvM+EgaPZT5HPRTvPIvuGDD1uImYYJdc1Vx48C6LfchGiM0OtsBMys +gKBx7b/DhwW6O2xLtN09BExIDYqZCQGIwJQc7ApFLSo7UB7mgg3fCV/7Q8iVflWO +0JYDkdn7wZSbM9DpcKnkgcYuHkurf76lng1J/gtI26KfOwAz9DydpSwcuB8uYpjX +4a9SHKepqaH0Veue3qWXTMuMr6SQRI50gPfkmViqEt4uNGPa0SzKjQuZMpvJBqCf +pdW/BbYYcZ0RMbIjwYeZjO7VqDj4ASpXGMZqE2NkVweFEDF4C7Roc2tz+fmb13FV +Y2WqD3SqLTB2fO7XWjeF4hNM8FmgBnd4S194IUHbsMKU3XW3YmffJtwWLC1gv2Ev +OJkoF6aMp4x5RCVHIN7ZrX0u+qT2BUPcvKNvlqdJ4iqjLLZa1Jo8eFkHcUEElnWD +ZCNe4c7rGlqxyEdz41l3BqSf77WZg10eKOZYRbyIYRVeMMzQey6vXAztqDPzbW0a +AS0paFJV4AsIqaDi9QeVEd5l3AxojaDTcyU0Ara5Ko/4smGDpqBbsiYLGvDn/YS3 +mkPkwp4vXzNSe8u782TmFFH65gcz2uPTA+NtYphswrbdhdaxAkoaMZf6x7G9futD +J2c7CBOBjo+DxZG8x9+9Rnnp6jFwf3BVAvEKQl18QkriAzAlpVDKdFx2cynwCIoW +3Nf0nLkxNDZgerD/6Mbe/L9OINqvMHLe+64ApMGyJ9kBZLjs/O3wge1qebuuhWKM +IIc67+1b9wlj2sJheBcZupV9nMGbO1CKEdBuNgXIwsfZs6O1ZOlJRvn2wscGcx7y +JJhfrhWQra0nVqL0O8HqVWGtMGlY2IQHABXOFcOMaqftKhyglnzlwhWr/uWM2o7W +6FMkN92FgdNwvzpn2C3gMRP+cwcmlRi+TrqvgghGgzFWVcV5uapI44Jf8jMZSbdx +Q5IC53dP1XIRWAUEJgiwP8SYZPX2zLWOMHt5f/rdKVuEgBSlLiBbAIgaIj95wJDX +kM8wvJNNjM/MRMvH9sQhGD9Iu0qMWCzwIUTCcLQ4jiakua5YbPdDK/HXavIqLq0X +xcOj19bvlyG8sjmgmH9229MGigD+gIII9O0YRqC/90unWce2ysxRUPn7m9PS+WuF +G6zUtSqI6yiNikjG+3en5pUvSxVu0fzwqh0NYxCyQSzbCgJCx8xfGFC3sBiezBdZ +DZHQYyiwxfZOQtkyiFCpbEVn4BsRh3xn/YsaFrByf0eSKkPhBmVYL2K+dQq1rTj3 +plIcaKBNdYovU7b/thJnBezXhdwH3+Ku6M30ZsygB09BXV4zXdbWw7fBhbqQB4FL +EYWAcWoOSaLB7CFjuni1oJskfTEYYX8orm+ixTMyrZdJ4C7UqK3lGwJFoTNT4ZIn +GHaMecP4P6e3DocF3iFvDL2iRYdQo9rG6s2YUAut97QeVunDZFoHxM8bTyQc77mr +hQd5wGN5utBrrbro9ZnMUjXTjmU4CgZnSZ4mfiW2u0ek2g0AVMe6giH60XM/Ew4c +Och9ikOPRDfzfcSVHUJj6VGWzhR8MtYgmKx7fGt1Wv8/fz2o1m/XBHdTshyk3sD3 +qZ3vuBPwulf49AfwmSne7kIfqPnjkgtiSvix7pFJKzDq3LQr/vtcgUSe7inD8tM5 +AsICgAVYBB+S+hcL+DxOrL+BR/d0sGek8XJzhymd11ZBsXWF5brwcS3BfAlKydil +jvxAvTzBEYApqRodg2M6t3vDOr0CcxVTrm4fMma2prdLK/K2ADMEwV0TXAGdn4lH +GAn7pw+45rjDXfNQ4pK4gYJpx3OQD5qxpsK5z3n9vVpCLkTlDkaQ1gauqym92DM8 +2hE20WWokzEqhiYE6PXSf0UlbQsHgM4pJxF8j4ZiWvYG7YUAwPugU2oUJ8gpxXHB +jVGPR7yAEq5Mgwuf/ASr3BDNDaAEuQ1yMYUBeRc2mYzeGGbcJZBllnCOA/HxuGEQ +sGgSaOoX2uMzGRyhU/dkUUa0YEGNEpk21zmY1TIBLov0kiq3HEp5NmxXV6lX+G/G +cUixQZB2JZ/MT4nIQYdPKZ4z4eBDMgmHsyQob9yOvvs7449hOmRBj9Pe7MiLIa6K +8s8k+LgTbpoWK8xA1ofDFMBYqeFuz1GaF5gehUCxoyMXy1CO7Tnw9Wah8mJebVOv +vgTsh53o1/dI1fBqW/QwtDAJOB/CmBWqLB/9CsnDQp0BZRJHEAgIQdisykckomTH +W5JvmdQtQ0p/d8BmFX+SmJwiC/C35vrqJnlww0pnjRx0BgV8BE4uvSLlSs8zeFRy +vO8ghRmNPuEftIWIvzEoiEOn9bPzsCsebw79z2Gs35uLjYlyFIj1VqYRAbTY7KIy +fcVdxV48ewCnnGt7jKwrcfP7XX0+aC9gGrwanhHbMbO7bSlolYLmCUGXMydhYKLX +sZj17AvO3gk6tK0xICBlCfXfM2AG/lTsZWZcy9nN3+7LsyNdGueRD58U6cvmcEze +bHuLWCiJdwy7qdJGeqAXfQuie7sTa0supcriid0C+UjU5P0TlCfKwL6sRf+a4Ea5 +1cvriN5XcLThUO+OcG9f90GKngxOhskASVMDlQsR+vb1/duVpODEw+pJ85QyYGT/ +pml4SV7S017yIJuool3pUi+oe89OI8JiwyUVrR2ITvgHkD1BktoRWzoY1v4X1gip +UHWS+ZWwoK7aV0mEUAnoE+2QroNZa7tzvFMNG83360YYyzfk0WIuoxakl5KoBlGx +FgiU9KcZCQmiTUuqpEQo1VpfVcPtnu13O/iR6DUwvC9EgAhqO7W7u1+rhi3Lfef9 +ZdelVuNFZgCRF4hfaU0TEZ2qz0Ve3GaIvwHoqtSB0k3HZa7p6YVvyPxjB7vJ58Su +2r9pEbVoo7857vW8iUy/gj+7Eua8E2Cc9vR4WTUyQwzmpdio3x+ru9as0F/4oGzS +jMjy/Se6KYwAxqG8LjSP4hNLw/wHwuS5Nz/i4ZKHhLBXPxtYWmnqzfUwopRgNnmm +lSeL/eM1pqsoyFsYCImNZDOYJx5t+DZTNA5OcDSJortfP4Qh/B1poyauURXE0y6y +jygxAUU2Dl+IE29wtLtmffZtWvyUiiBTIcyyZiJyAmHDOBB+8eprbG3bd/leBIyx +fOGQ46S1NX/uXX41pUrCP573U32MIYtvShtuG7pV8JJz+9ZJaAf3SayeAAe1Gp2L +5iVqwaQHGej2oVmzqGUSpUdyzDoRzJc9MUvPgK0H712Kd+PYUGB8TenGTIlS0nU1 +x4n/3gep5148V8aCtKQDExXw0wEnhlx+mUAFnytOv7z8ma1DZqcnR+qo/PgZkWuQ +By4X7KjqlHPpuJh/gfZZ12LCSJ8Z1kSBh8fmIFbNHfA9VRRM7fbFllzJO9+U2We7 +AesuNIQFVy3GOFczAHWT8knwhi9C9WpNlT7jZquzFQXc8othP/vyGxDaHAAhfrMY +GUpDB3yNewNbyO8RaB7glRAtRhLXcLdc3A+sZRm8H9hhn9KnU7Xunq7VWnMlYcv6 +p37BP0COD0axKS/0VOiQBI2eBkKs6fBfxB7u0jbm1rI0gaV8l6GlFlczmfS5/zXD +Rdy2XjKeXQEMkzyUnsF4+HbFKn+JoBKJgPVkB2eTj3CyPs9Ttsb30V0YxdISN22D +650u4KVHpjnG8pwhk2pSGQjj68Bt13pllmjuBpIZo53mMHbuM7Ha7ee+gkkXjXPU +VYHd5hBQU/pR1p85dawnegRPU/u+b2O2CnZ3YTvIpY6nEIbmbZeJAb7O1k6W8uVN +xRHN530g0fKqUtufmUtWQZsVRivH1lEaneGTLol5/yKdgXLX+7YJi8Z4M2e8akJt +cJGFLkTEcDAZLOPQHoOGblOfCmcET4KgDOAo+F3EiXjXJ7WTsMhtd/9DDixA1dh1 +3FROQKndJRQRvTLDIIL/PCFqHDiALQNljz0HKC6znXU8vwUiNs+q7sO8HHO9AJdK +bT+rx49i0i4dBAZrIGvAwx4dXJ1DuGkbFWn9gFxWLhH19wK6pnbqTLTPchKTxEJ3 +ch1JOhzKDA4X1IiHq3OAnHBZmYFEKJIjZE1Cci7D97GQVW1hFVQd6+JlNO1boLhe +4TB5i8EFqLlfW/9MMN70cq1alfjJe5hJJ4EvAqVHMDOkGa3VKl2zfpE017ehumBW +D9aY96DOLVa8bYYXCo+JBgirr6OtVjL2bprPLTXQRbumXd0miFFDEs4AhCG1S1iZ +BAebxfQJJgIoOv3ICDdw8t53xcMC+hR8BAszqcpgZStFPg9OrzCq5UdLLKZU3/GJ +7ATi5YPMVOnvKYSCO4FQtCmohY0zLL9NP6YyRl70nDxCAthMQYp5BECtzGQvu3RO +Qh6J9/XZvx/SzTI+VFEkimG3QIShwmV7u+l7i3f3V5m2TgmTCc0GvcBSwqz+unc0 +T334HaOd8TYvg8b1xu9hWbjJ68plz9B4auzIbMMzB24qDNSNp3dNCqEh5H1W69v2 +KtpQ51SvCTdOUdrpy1oQb0TSK4DivJsi1dyByUl9MpmYIbmOqucqhpcDrmOenXhK +q3ixGU5sktgFFfrB97lJECyPd25nHbburJ3XIt4mSHqWKh33qLrgUqqNqReqkDGH +y6I7Mw/0w3wHiwOSxbgJm87wVLm07Tgftt01pOgfO0jXRcABD6PNQMAyzPBqWk9T +8UtDyQterBykAyfyF2/sgLkYjbs8EWJTImz2xL/YMRhRUqTht1th8WxTsMpG2KIz +Z5QB4h6Kjp5RrWk1weQReICL8Q78mIoX5lIh6vX14v5Baf/D8vEvSshXKD3tmDe0 +Yf0vrsqu2l+GKXBzinRRDKtvE5ch3obspvlwcWurICtBSb6W0wvgcMhlPg/MKfg9 +A9MIfJX76gszq5tuPbQeMX0PGy7PE/gUS+Z8W0AU+I06AKxJIvbp3Dx/gTEeueqq +62kh7ygbN7sltRNfPSaT7byKSv9pMV+KnUld6M5lngwetWGV7IO8MvmorcUaK0tu +UW3TsZ/n9r53dSxULzNVgPX7QDaXl7yoESjaDQjDUOUYVf3EalkXYMJVTYvWGOWP +W4B/kpl76sp7VLET82wmdjRKqkOzK5C8jcVPPrFbwBGTUXTPU8pjbdzrMh7uUaSi +2chf0rEJxj/snnRuHE5elGV/aZ8Tp9jLpa2H1BooPfnin6BgNEwhseIUjYlpEAdG +vA+tAvI44/bndPd7nlsUVFDCV1lX3DQ6t/bsIUcivvPcqvObD8R62BPjtU+0FabB +5CHUUlNJ0r6BHteEx+6P1KL7P3FIyY15HzlDWBNKIL8u4dsyimRvTk6xPTYKsSY/ +Z2989hqy8Xb0iC3zHioJU9pyWgszf+8eipT8jD9MdFPHcVCAJZ8YCAxqd+XuLbzi +PzdMD6RxYGDVlwVlIAxGMUNR+FLkPhgjFWjl9Ty4e5l+FVLDDFxH5s/IUEIT459g +saMTaT4px7MIKLcIO2b4l0jekClVy91NCKc9fgKIk8Ep8Jig+JJanjs+TOQ9xP3H +hp639gy2Mc9DTWmG9iFoviOgpjDOtJrZTynHHWAJwTdQ4Zq853+7PoajKKZIk56e +bJessYAI9GYYsRIdiS37TMWV3daCOOVmqWFYCdOwTO73SKgvlJlnJqxqyKxEQNt3 +BlwlpziNXz6ByNQOyTai+bRPT7VtAx5IALaSxlmCGzedFZqiJZTadasBukkfmkOr +iiOKpgHJBrokRU3Nt0hzeUOvghnymNcgISjh2sf/DXRo/1JbA9Kj9cn3/hvsELqh +TLUR+skKTon9dlZqXInyl7s5fGeXE8APmXV65r327G2qD8Ko4QLZT9rOViotN9Ve +J27OrDiLJTMEaujyjDS8uHH4o/BLSRXZ0b+fnULX/UinoiNVr1gp7e/4RTL9nq7H +kW3A3SizaNsTxcV0oJrVdfLOI3vzqr69NjG5GIzUaIVlEhlpxOC2pJofX4pO6VAO +LAoQhy6ao68RsLPXwSa9Q+b76IAyYiNOy2oFEyW8Yh3dgPzlyzUVx0wFKNmSzVTp +3dTzggKIQxjnq7yTFY7yGv5Gosjb9EcMUE0q+0/zQJuobHvMizp9cM4jpKX91zfE +O98xmoTGXAHGfNjFur4UTGfk0acaXXqsZx+v/QVW7YzRPXtZVQfc0DuErqHcTqVr +ZZS65Z81aEqOrXc+m8nXTEwfTbsanc+fiturRwWtkUFtFlSxE6rVgDvIZ9qhbeb9 +bEUIEHusp1Hs+/EA0UhjjVjGAGgKBLKzlWz6ObrRnDteJhFKKBpSq9YofeHtlPC3 +0mKgPOLcqvqrJNXGiKUrC80ZQrNXL6+t2xP95GweWA9cxRZ1V69dKGUpQOzFGsmB +Q5UiMZ0xj/C//D5ekW1uq46mUkAvWo4IPAY6cJErYhcr68S0r1sde3a+K1747A/b +cHeBGfQLHujQApkRI7YRov/0uVkiCJEbAQvIpvNAJNT3Hx54y+9z5+ps+/JwfJpF +tH21grjb9JFWJGGWl2IkCNiEsdkSjbsAb6E8+dzuWFib7EVD4JCsKZsmFVty2M0N +URflkEYtqP3hpePi+/Hk8j/oW2mslU4/mgd8vS/AhGK6a6pwMd8P+WOEKOhFQjiM +6wzbwaCA4fvK5q1uukVJYCXmRB9vfKtmtauCo4XHU9R7XcwN2L0KK+vufarethgZ +lkCoXzi405WKuz3gmrW/GinMJRNcp4FhX48MvN3Tsb582ikn+GFvJyqlxDmrw8Fj +GBnFYwe7Jwb2UxYzB8D5HEqasuvamfAc3TGqnR3ebBfUww27qZd8R2GohA6NLm+K +GLRZGhNvYCNAGX8gaFGkLjW29bKmuYDSitrpP2Ij7HH1pxWjoyWqara/VLQmIX37 +5SpRrnKp6Az1XD3UzlSd9HwIK9g9lTx7nc2Co9r2BXLU+WxXoLHYgsqs+OK2IEXR +e/n3/upDBG97+DihH9lgZ7gFPztXhp795zQehs1v3Krbq53BqIlqqJwBcdgzxmJx +yg5E7ItfeArCx0VCMbuvcabexTCXaaV5BMRCx45yykJnMKR4n2eL80Q5dMyX8JOs +QWbdPb4f6tdwVt6OcXnLASyPRvhmvRmlrI1WkNAVxFuJgzlT0NInpbnA3KB46no9 +/A31vt2ftSbS7n3UUNh6NuV5Qo78QOC2XRE8f7akhc/yXREjPAN+QZq9QsonJ+xD +7FWSegJ3P/0ejyoeHGJQJGqxcpwrmm3BfyyMca0VyK6393o+/qHJVuiN5OJziCt9 +9VMOdGmkqYuOAv0hk4XsLv267qEmqY3sc76k8z/wIYEG9OyPEaB0PmWlFAvXRGu6 +u56BEFdQlV1s6uMsU8IVBohxdSez5mBlq6Ei5Kc+n3RP1MFRy6vf4jQ3P3Qgz0Xl +/pc0RJctPH7W6qmtBwlCtZRsGDvaDXYfojNzoNRwN07U0IO17W76Y5K5IgvFjJVz +U8Hj4JanofycZIwwuvmxQZ1c6EmUquS1ZbwC4dxyZ25ZSQOei4oqSn3LBpngWqph +TFpXsJvlUlncI83U+ab0YAUDS3/ocqYA6Wkutn56MB7vcm2UHU2PQSXthFPf6IUv +M0qiUOWpjiqGbuPsNFey7oaSAlgP1JJvicxVgvssXlZ5gL8WQZaG1d+ikop70WLY +LcQx+0+57C3fV68XLtE8/zILnlU0CpD5gEOmYttpYlA5jc+eO53I05DTr9GP5EyB +cjfoJUVF0LGKb2s81QMTLbPI30dFNuJhoz3G0yGZ6ekQX37wYoyT8yKSwtap157l +vBo6JVi+rr8cukMgmzHY5vu2cDhPE+OChu9Nm/urDuoVpOQT68SejuAINB6czvWj +scFCisPyx5U4vnAv8QhLTaJIExxDqwlq4iJxzNA+E0avfPvQWiFoJVoFvWQf8WEc +TEzYF9L7u4pXP8MybRpa2xK3XGidYmZbRJGRgGIA+w+tPUt0a1V1pZWfm1jNEUL/ +CkdslLbi/e59slFUT36skracIXHFyMLn7CP9Fwuemi9NvKp85ztzgde+gPHLCPWU +Dhqa5w51DhI3r258X783a7Yz5PBRgofB92pth+2D2+/5Rh/Qj6TfUVJDBFBR4OrU +wnWSC6quQUKxhjxFia7Iip14wk1aCz8XyFHnJqnVp5inv40IqLuf0OUEUQ6gEB3D +vsTCmBrFdY4IH+KDlCmmv5eoL2InDgxuTajlaReqPAdlXbGd+iqKnWaYYJXZWwkw +nhUFTTbkEfj+HA7f/qnVxg+eQixi+ENGlcaFqBySiWfpmNno7VskxB9ufMx6+7bw ++W20mhVbK4zanIIEO60GpltRyr3DsZ1K82Kbl92rKaFAdIdnUKOzsQRfwljLhOKR +Lx2hauK3bovCmWkgHOKKM6P1wVW0ZSEQWSLQyqqeVUX6KQ1pBtrjN0eAGfwFDlaH +Rc51jdVbFDwl8RG4t/4Au2MBhGsxlzNtLelLSiLbapnOW5UQhCQLt7Djr1fy/HKs +4X6t9e5y3Q1bgcxafFlUunyOvyaHwEfHwLBI6u4RXys+7Q20asSR6280PvjSggD+ +fjffWVrrZST5jjV5bjZca6VHdABsaO/VI7pXVerJTNeFvpejBKzbv4/C7pQt04A4 +1xBy1zz+56F5SZKWsmTdjhm4aBOUKbEG5zsQxrd77qlK3sgIvt29KWLfAT3kswKb +xfeC6xcjR2w64util66VKKIjTfZ4fc5Hrlpq+jO9xWjyLFfPFd6IROUDIWRxzZEN +WfkwB+NYRLj14+94QvCcUehD5ta2LwlKaHQahvaqiM0er0ePHN11l9y5JaRRlgtp +karNWPPPDJbKoHyIfgYN2RPcODnNCIEI3DSd0YyKMpMASluMMqVLsUESbPEiJBEZ +X9CXV+WrOh95Td2IJ2aOo6oSafjQzW68RE6livE7ZYzuVwmvlhczkhzlEap1e0a0 +oe1ZZTQ6UVjzgrUECBFF/oa2u9yQfKrnXJ1RDG+fOy8kjZO6pGM7KA/xPIBF3lvb +6H+oSZhwMGBZXvq0/J5OsHnXoKtqle1B6KXl8s4gm+aNyV1aZA4h3rCJ5itdhxQw +YU0TGa0V83Xx28UKTyG2HR0uTY7g76T707d9YS+eQQOSrguMwB3rmPkx82m1cxAP +2DDCWlcloG3T/OsunQNu61yCMzM1j4HgexVyMtejGlv6qukp7SlQPRU+eVNuwTyX +IKdaxMAMIVYoP27BgeAnw/Z954hxj5+r9LTv5sKm9jae1yi3ta6zKoUv9QkRfUB5 +qsKVXgf0A2w+KeLMi0/+EqL2OGV/qXwQqMMINLL4Lpulp1EszKWmnExpiOcMoVDG +cbu0HvlkrClMO8NKvyhSPZoW8IdanAIIuqVWFaac95Y+HFkDGN/FyT19JAdi6egt +OsfzhkWHdp4eeNsdTg0d282fH27L8QF+kOo/WWeiWgXUpgLdcGivzHujcMrW+fKc +fRuxqU2zwi4FgudZ+dJ/Dcz9QrXhF7HPXdOrYC0RlG7hk79DYOvuw1m6Ar64MxL1 +Lb+wqUVdR1oGtPn081q8uFUBPCbG+NBxiA81fovgEnDacFpQ9nVcTckqN8K8jcs3 +QZMFQ864jgvlQ+9GS89/2SDadGrGLF/F6xv+ZnOaAM9jrPUI6i6viZ1SRBfuDw1l +FkFSNePGt4Ks8cCCP/kLtaWZyxMRRIMVb1p20Svt0Y6E3UItDnNP0fFZN86JCGL6 +9HAhgoYhbRHqFyNJN2Qpq8L8JYi2hIPaUK0gNMs2wUmgZgjHWzUO4PY8sw8ZSPBj +qUTDl5QlRS1c+MvCocwWI7uh9lgKDTmU2zQa0lclrvafJ/ukUWf7emMx2Z/wsY6V +NfOC+puBS5Cjwpuseijs+9BloUGjkCD5g5GHm2jKzjiLXyqf7jc8kwZAgkhRVTn4 +cdISFGNPQiPYCo4mnw+psv3nJP7csqtUkOVBguZIdW0imrVbYg9Hn+N/0lFoFDca +wZaKurQaFi04pNeTHV+N8+9kAKA42BzGYgJTLW6eCtU4u4zrFZ7YVF6XwsZGsDps +8Hf1wjyVu56TkEiMB+laATo0MnTY5plQYwYqIHgeOKuZaF1BHUInQWKfafTaBCGu +bEMyfMSGa9P5L5YHOoCbCVVwHXAEDo+msx6a43ra7MclK0uWA+oFv7hIM7xyNMJj +e3VS+ro5Srv7Ok8OwpLRxW7f2/ZC9eK3VcRO+bIypcm9dmyOk/VMgyKr27cM2DME +uSi/IE7hT7SfiwDgw5F+oMwFTr4oNhy2oMgdOnbPy2fh3HwMEzZepL1IN/41DJic ++Mc2l/mLmL7kvozkMgXnCvZkxy2M97oZh5XFPy2h4rx4LWdTHHbj+It49t+qvACh +MdLA1nk8eB16BrYXJTBKpOnCPSRNL2iz1JyLtkH0p0V3xW6BHUvkYGcNt3cGGE9N +xwgPJbyro/lvK08ARtGKadHPXqHPQmNsJJOxKmK5AeN7UQ1VKMzvapecoloePRjN +N88GjCupSEpx4nZ3DVOV1kWBgJpdegL1WCQrXGhUfecpgjq3dg/C4CfjKEyeNlRk +8UQKa9K7QKpixUxxI54DgrFB5m/oyyhUk/NJT9DRS9R7YRoQZNrZCxTZypmSlhwk +dXpAsTeNCCqFCClcoKKQ5Yp8McbPc29lXBrlFUSIKftwsdF2ghGQkjIMjHpeTVuy +oZGjM6zIjmn044WdSOriqGmv7lEsDkSJMHzRARd3cXlnChjKjDPOcBP5SaVkDZO1 +G3ByFmuwBIzEN3lNvQFx2VutiTLZCCVPkqqLRTpacnEvsoJUC39S3YbgrjGYLpj5 +3FCxxDuuztJ/nJHeKy6A4VrLuLz5KIv9lR6Jgf6183nMib86qt2MT9bvtg+f9WPs +YTTHCTMk9bqVc5f5bZsX4DsQ2PdoU1KMbI6VoVJXS2lGaahLHjY88OzqBz761loU +rvhas1j58Plbo4FsRtnOoscvOk+xg5M3P9YbPQLEsUXFUiUbuR42jP45gmE7ELyh +Czm9cFfSrr2zFkpzDkf4REP5XW2myxHBy9Bl6JJv5jJ9sTCpFUKNFimLsTTqvyQi +j3nsHELJHf0vuDTMU6cneDO9anSxnrgJs4WkOYlGGWUDWuD+jtD0NKr3HQWuVVIe +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..d1ec34e1c710d34265ab9b98577b6f3f498c0cc5 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g_h.sv @@ -0,0 +1,76 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +kLZr13QLguIANDar9SulmA7hFf2aqzrtRVOIklmFZVPadAAhrxt4F23s/2iYEhJH ++o8fhXosOcAZiHnzyKq07f1YCEkRAItSnQrsYPF8j0hJhn1DM/alSlcavHIXmH2P +FdI/HIJng41AFhkct+wjpUFz+wmpC/3EdHZZzPUP+nQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 1920) +eXr5AcWAly4w3dXx5sROdvKFBwCeLZmjp4KmZgUCmiAASwzYZpa+CpxNy5KRl246 +UArn5xaf7nwWYuvttlWnNL/lJJXPiJSKywVKCBXil6gc1JilEHik44jiLB2Ftnmr +FlKDz3KmHWNUf6Z7as8hpZHi5N84HGKAeNBOdECxkB1ve7nEve86gFpRqlAhZ/eN +0gWxXWmgGVPjYDR5yuIeplE5DOOozIGWXEfYSzPB79HwEgtJxjekQei3KF28YuiY +WK9S/2zjaIBT0ypOiZ/yC2z/aL3JDyDye0m1K/+CSnP94u1kXkNS7RKO2db+pM0p +fQ7UartWkwk2zitV2IuY+HCEs/T8/y8yor7erWjN6mRCWRQdVpKVKwI7t35rwSbT +zl02Kzat6XDv5E5rQF4nUkx70qnBHSgxvM7ZdJqxbguZaBjdjvjOGs5Z9o7jAHmp +DNWihVqTEpoQ7r6WEzLDyIVCmIb1X5MBbi8R5DfxFCeh6B+0jxMnCUB3I9zrX1Qn +CZ7Q/0DlwRlLZDK05cM1p5kYt7wh+cKXOi+TcEO35EHInjlE/PP7fJfECirl80iZ +gjeHqqk+zWm4R4l67RJI8hgyfNt9H2BlCc+xBk7DRaw5Q+Gp4+Gd6TyPzCfabbDV +CQqi+dvPvDNzhknWtiYJ0do2Oxb1FBQWFpM4fcArnJbu3FtRCXN5gNtV6pxMq/cC +BghGxhD3Ya/7ORuRCHD3rjSSQtJo8TcqHlg0qXpNM4b9f+z2xNSbC9QdI24zGFTB +qblV7XhcafpJ5tUZ1pPKnWL97FLvaJxZB8T0eo6bilAjXOrExEGfA2rTxDsEmzDm +vcUNl8AX0olp4CywtwG6qFcJ3JbPbFBW+JgqJEOFN5uFaCX2jCZouDovihKUwJ81 +jnlGr21/HnMxAWUK4+rGmiRQ9zHgYBFF8CsriNVqsicc6SaPaoH8Dwh6Lax1nrO9 +SK04g5xL+YE53jVQDB1z1V+b1F3Y59buUAeqaoXMYVvgtDXgxf2VeLqeaTrbD773 +PevRcqOJdD6u50wp54Fuir6BqeHX69Dr3hLkl48SaNGw6fnMYTi7ztkV/TJ1nKi7 +Mt4PogePZNTxoUOoqYppY6i6OOMnEqJ3dwD0kTSEF1UYEg7ebVB3ISIKRgO8SlV6 +lJcbP0K2B9RBWgnWpM0U9kwohgRnpbyXlSa3rSxLs+WaMisDnACzz96VgoHqfX9f +loSgmqVVgqKGuToMt48nEqC2AgQZJjeKa8eEqu3hJtLiOU4pUKCFFa1DPaYswdTz +7C2sKWrQrZOBZ3eAWJ8+j6a2yHk42NAkKCEWf8f7c31YyatYGnm6PnXsQOCkLeHy +a1flbxwGmKDlGvOpr99x+JdqYiDQQatcbJGQXhKHZqHK8JKRh48ZAhDKQnSFlQUL +61N2ykiEs5JCgqcslrUKmG3y4x4J51hPUYdfvK1Em9PIbsxbXDhGeMqN83e43QJQ +guDaOLs1ICisP3ml32/EYFkePgNgXulO2vPteR7jFnuElyOryANeeTzK8yZ1ANnl +63NoXohuldSFdiUUxtqnpuqjLx6igNk2Fur4IbYTDoh1eONPsBylZwxZrFWjmd5X +EvXoKET0SDLMO6DDqToujZxcaOU4yXyEMWULBlnkcXgomL7wKc+6DhvN8NCUA0hn +p6pptB+MHGmXRvHFGVdCb3ZMagvT1jcyG2lW+w8b2gtou2iNCOPO5OWXioRPEoFi +Byc/ybepK+gxaYNYDOdj5RNoC1Lt6X4tBC0uIebHtkeP0QA5CPiBzV/oovzQJ2KE +j7aZPLLPjAfs/7F3EaaJuxMDioky9k9XGcisg2PMn2DzDP9SfQvtD3qendZ77VQA +GqVNpa/uwAnjIhm3Kop1mWlzq4Q10B9gjuEsTdYgtx5SRNO7ZGvCmC/SubeAmwaG +J8iuvppYyUlEVjjQPFYXWlzGDbeM9Lo083BCVL5ySvXVAwnkD4RsvhoT7M6JTQGt +nKuHRv4qbUnSmrZv6ferDPQfxN1AxZD9ZVTGyw2Yy9Rhtykd50KkZNCug4xDl4km +qdPGl2F8EcgJ2XargNlhQ/iwTWQABrR3mnPa+tDr1fi8HMCUUHh/sr3ygKYm6yZF +nd3BqEEBwF8qNfFFJvseWKR1CRHxrWDY+YSqy/uKzl1gH4Np/Q0yFQioDRPP/OH1 +899qyXiX6Pa4WBo2v+IG+Gix0Ys8hbbfFBRMWRsH7Zad/67ygyMdlYds3YkJE/ez +FJ+bC9rkl1C6a1uz+DSyvVcCk1JuoYvz3XOHJBEZQD4WnVImWjyBxvz/1C9PRYMn +O5xtRurcQy4F7J/upFQv3BaUuSDCGlNS1YLFRNj5ypHTAgnxWxgso6J3v3+vk3G+ +OdRcBmv1/eshwVb1MEFgLLYTC2S9bH93ExFZQAmI/49d4zLx8nt+rqAjFtioFop3 +wMD5J7p5iM/a7F8ebpH/8e7758WBtzW/1x1nfEGFH0QHG7ZcKEoxlM/PVwhOr5nZ +GiZqz2Spxk9oi0TZXSKPEan+aOW6Cics2Wvjm12oVHFgcvH/elqkI3BmcnSEC7Ia +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_selector.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_selector.sv new file mode 100644 index 0000000000000000000000000000000000000000..801b179409a7cbbdf6cad6c84fadcfaff3a6c41c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_csr_selector.sv @@ -0,0 +1,131 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +IAPQvGS2WEQvamIfKh4zuSYeYfJ+NmmR23TMuspMGcjny+aZb4gLlECCuBo5Xd7j +7QQLNXwK55shecFungD2wjuPkBCkOqgwd3dDsPPplKlXraF2h6E6m/gJW8eLmk+C +8cWLA40AHzbLMzNX3PnyyyYLRZUJHUVPGGQDK288CtI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4544) +Y7XQBxJ0plA0q+Tk9dQjVDHiNA+wDX2JmYSUPg7rY7QWHygqT29cx6W6mIk+0iHw +kbh9yAKt8T/JqqNd13y+e86jW5yAf/5VH/krhVUGHnaLnB2uD++awzjY7/3ibN0/ +sA4/CKxy3hDC+BjdhGwOlJ8YjUxdPLbjgKyLHQUjGzNrEpVJFKr5BU/idTuGzwig +OBmV/tuWN23rOReeP8OPbceXFLwS/vS64EPXN4hnvr6PaVsWzw7YTGa9lpKNj8Zl +rFNck3z+Y9ahzfW6aORWe+WQJSfId4ThlKD/7S8T6SeNpNOsZgf1Yzn0/JKaA0j4 +55Fh0eV0vFAIZ8kRtyQNJhaRkVyx9SU6JGRVXIls3IXG7Ogjs8TPj0lGABK8XSO4 +I4UEhC6DcypEc1j7AYjtE9DVrX53Y4t91m5Ank9lMZ4EhtkuhZOZCJAs9VuNGFM+ +LcI09pDMD4l7VTahE48uwD3y8mSk4cpqwQ83PwAVDs9XEO0s5g+MC/Z/wmxCMZwE +gfgUjGSnz8fep0/2Uwq09lfSilhsh33KyOUt6e13rZ4tF0u7WJg4Hq2vKv8fpsfO +jpc+flqJlj7LpOWgl9AyMzyisGyJwOxuFgbbLx6SvCZ70rEpOXtB4OEjiwgw4MPx +4VNtC+xGfI85hIDGgrjQv//8WM0IFSkswGt0OGnf0ss8so8YaTYkcEb4avSgJAx8 +yYkJUtUyGkuUAPNy+plUgqNzNEnbEDOyCFHKxQ6C1UpMC4vV6oB+dfwAi2v1Mpwj +KyW9P25SkVZbhJ0pt1uVfI3Cw6iDimmce+ntDRHm28oI2nwx+Qfz+KjCnH+Gimcy +VZn+To2AvFIWH0QDanGWLTUhbnyCobb+lYDn9JOXQ3JeOBj8sjyqZdgNK14dR/CS +8r0U0KDnrtJWLI/QWztSf7yxeehvDA8XxlEVBudCaNv0rwaXnkagjx4oc2oKVWCb +VyUKtU9nQtyAHZ7bklMEsBJj2WFFF5bzPOwv4xyj3VC4yyxjckcHJZEZVPOnsVE4 +o0uQaYmL/kj4aWIFhGka/KcLi5DK+qyKwv/3mwiYueqbyT27djcU5gFm5M22+5rA +wpUYXj7+qy/lcejwCbL2UU0WPyTM21S9Vqh2gSGvvH2jYWtdZryRktPIQL3v+2p5 +62SfmQxMOGpsuUrQEq58vz8tiT0OOtppBjp3gAnkh/CUC69/5mXV6+MNx3V8R08c +m8u/BukY7iCQxb0t7B9IvW73Hn7taHbYEnY5RdIZeEYhdUlP9WbuPAGpAAXz0yYC +4mjq1yGe1NCnr/CoK/iRBuhQifmvL1k0apzFu4OeKNPcqBkjTREzlf5bNUVoVx1D +ScBFAtWkA7WkjFkHbIWVw3Qw9CG+9Jvv9kQnSabtdmbt9LkgvAZzIsYA8tNt/THL +coS2lSgXuxU62l2pLwGrGn5LsmQrXOmdBUUt7sZC4sM/9ftgx5NM8gO4X63evcM+ +5PghJoALq8lHqcn0FxbVoPQdLFDLBbpbTV3frVJMWUeGflbBy+96rOavFOC/cORT +qkVhPIaKYmCA2kwoTrn29ZUpPbWj/DPh46ge+k5410tGrM56zueTT5k6TPT82eRC +eaQP/zNDbxTe8rYDX3zQbtrSJ/eM/tHMsLx0zJNQbkNOqjyQqxG+KcwmK5ZR/UeN +x4Owp+xGvODeeUghWPhfsJJ39DIGlJ1zP8/LVEXBD5sJZoOWNha5WZ03k5FC6zfU +dlfU0Y+PoNK32vzVuC/NzKS9nIZohg1Nv9AguK0rhRmBlpym1QSPiV2Ep6IxeN+k +RBC76iAEs97AbwqW7lwaAwGH1SasswqBDraFSGEmtVOfHt75TJCMN3TgHMUXOpg4 +TFIe4l/FPZVOuMLkD/Iuhj0Z0M/ulHvLlyD2PTTZnxEdM5vthS5DnRpVR28Po+gL +UKDBU02HeUmIkWLhrfWT2HgJrkFn553uKVloLZ4l10JAPSnMtiniqtVLP2C3+37J +90pd+XMt3blfKNV41bjsr7ZJxV1eKVHR+2P7p9QEBGlUg1KiENhdHwRsflAoiIjB +LgDyHdwiFnsAbeoWxr6qHvH5x5XauC94KWd4C09VLhGC/50TNq/nucvQpC8PNxk2 +kFcQLeET+YzJ9PRAXALWEjD/wsHGj6s42FXQMymrqSbLsVglbNTGd53uPV4PZ76P +rwgB57DxpD+YNU/UvEhHDl0xVrV4KOmktqXUeAtn43sfhTlLYJsEOl0tfEs0Uqgt +S5X90oMJ/4tWXWLbN8K0Kczw4EA46zsyOFw4YRHAhZLu6aDjNxHIq/o41362TO61 +xDI1Ze5FKSqYsMCyMcxQH/SMXapdtSMVQ2BhDXgKLOKj60HoPb8PjGRC2Q1Dox2o +NqSBAAoTytLeiLAOq7AeJjeSxogHlbYkj/zYMEDOXij9oKSZqJG/l5V3CtREjFKJ +KtUYNb5n3x1Bp1e17lM+bKQm2eKEAtd0tbjKPRp0KJfB4Vp3Gt6n7Dtnsk8LodqE +kfSZNfxWK3sSqhr/SH70Z3UzsRA6B4arcjQjBnT31BERmmXrPLacYf3HH7duIudA +3paEctEWyyTLFyKU1QlebVeptVZDcGOnsgS9HkRf1TDgCthVuB0xZ217TrwaDrFV +S2KxZbkJz5jpqBk+oDFNIFYfTW4XkCDyu2J37tpE0kXo35UJUxy9pDcLTNZcW+ut +PGdZK3Oa6MjgVRZJALlXKfUM8bnL1YZH1aopiVlRMNUfKKhxHxph8vpTX+JzURZN +SH42BkwZ9z7ymNFU1NgDsQSz7OViKNm8pBeDItxjGRRFcda0+HClzLrJUIDwdWqc +3ff379vkEi9wrGnuciX99CUPNAb+41Og0+/Lnr8/AA5FfXywyjmOgIv+f2E+Bv+V +jXSz1jwKLlgk2Wz36pIwJtKBoqa9L8om2YRhHcOQ2WRGcJ3kT7VrxMCUtzWtBu8h +tBcaCs4tZXuYXbcoYzfRH067E5UjLo5wqyZTpLEg9v6wVaGdqmt1NrbU+O7E/odF +Ec6INPmXUNoDxJh+V4+jrvLzGH+W0v9YJiUI6sh0n/260Zg/cgzRn2Hp7v0ywDzE +YDGwGLVovLwoUvoBJDg1SvtvmT/n5ZzSz3fNoDPtb9+whJoA4ruueXtgm4O2Z60T +KJ/15NvQMqLLVYJa49+r3WZa4Qxf7qJjIGowCeVcy4hKmOWyrBV95DItwYrp0KVk +mJW1yjWw/O0SZgnAoN7bi70P2VgJVsAsLYSRyRvy8KhLrVGFV4bMxHShPo7uqnLa +3Z1OWMZG4Rbm7ecNIQxkVuErV9wDJ54xjLrMnmDieVg0A3GgoBn4ICdhfF0P18Vw +oyesqJJfyx7ja8cVyussZAJ+/E7ZWD6Yht4CTg2VVs6YpP+/UaisRfe/2Z/GNz5r +ras+Mbylr8qfoCwsrofXK8SOWzihapgUK9pDienGLmnnW42Ua0k6R5xASQcGu11X +ljQpjRovSdjfLYIqyrYY9Mh1Q1XINhT/CDuZrnFmjBbtrHGvKPRmYWF91YmhrZPh +fuk4EELIKQ0+Qd5O6HlFqZm0l7R+a00BQuFx0S7BtVzSE8yp4BpnFzq22oakUxiw +fmCq4Lg5Z03QNq/wISNlLEQzmnTXWEIRT8gagBhtURiAhSjkrdSDMRD5BfgdbXH/ +8L1LMzEd0oF3I0ACdDOHC3NM5Yb6s0WlYDQoAI7k+nwEpmnupha5EA9W1Uv6Wz34 +7bDWJ7533JjsuQTeU2YYHGezPw/Ie5GLh/ZZ1PiqJRzd2YraU59DUw7gyfNn4GoG +Z/5UrpYn4P1sL/iQkBL69jHTD/dK1hyBazXMUe4nXH2ytoLUB9tuW4WXxgPKkRLA +8Qo9fBeEw37v6qGrCetR6GchBK4tJN02qt5wCddyLPfQj+yLw0MDsB+vAfk+QLIv +7d0TLNcUVSUaV7mWqiROeRj69IiyT8H8UsOYMAPFxSY/OfmCnSXKMNqQvHDuOlAb +HoRwcwG9vuRTYR19yWH1GVgvLo1kxIIwfoli83ijjpNGwho+ODrBrgUdn5ZIOV8o +I88+jc+1SYRccNHRFHabMYloKor77JxjAC8MFj9SPSSXMgbZjGfJD0Sba8ttnlXA +mpprZPa1BCtWCwnXYidiMSny3VAr4WyPlLwtfwFyX8U/OWGjCwtGW8TvGu3/woOA +2YLadgAXTGR52dOxU+xUgeaCD61LckXoyejvS6fSEG46QSw+zRqnnCL/0cCQBuhV +Vq4/vYe84d4wv6XPk2eHxIp87r7M7MyTUzBIEmpR2uNoyXbql7jn0X7SoTyZk+1Z +2ERDCYKoEgr76R25NPS58CgqkRUrPPoQhA8zolloFJajtWeA5JPy2j0ReTlMfnGB +0lZyfZzoXhRy6pxJcYaC4KQByX8Pu4XZS/1JrpmOb+UP+sLcYZzD32y8aFjKCMcL +P26GnCrx13a6i5mBm/Hs7b2ZbQdDXP4OUZ1L1geXHdo1uvOqaxP7VcmU1xXwfXIZ +naR0mUabvQTruUHI82Z8/cddqvYgBcvnM1lVEIl2kZ4Sz8srXdoJhOj8coeXs3MP ++cE/vjuMV2gnYEnQEKHjlcOcR4878/dDhKyfjHK2wFwOxM5yz4bunk0OyReAJ6zZ +CkrajPXAKj+7ZryFRKlE1BKEYlakKRb8ZeKbu0X/vQP9D2L1ufC3bz+wRgWfSedV +ihhqPfPT2RcfvkrcmP3emZxFKmEK2OZwZK3+9l5jWPxTpYinFXUzpLcw10w/kOia +A2K9VqnaIaMwdyASveij35HqdsHqsbOw/wIO1aRuCQl3ZbDP+9/NTVoHZkfPRJwc +p4oc8kJeXBRN9iAhDPs2xixXiqlWoS6s7xR20yMMfXUaojvFW6gEPun6QP5iajiZ +IYzJXvAH3wXvpltSdT5mXXDiAd2PLhZrVjCbOov6VMJSgAqPF0CYl4u79h9lUeoW +3vErw253PrMMVpbAM35XYw4YIqPwMHHYVyc8y/SNG+DBsYe8x+t0tF+gtLA+kFSp +dqyXzggaRoyh7rCk4cbKJvJC4eIjihtAtT60hita9LyNuMuuTd20NI+Mbj0YrDp2 +lfWDrKxAvZOFgXKmTpCyFcDUk4xQA4AtD0oiGwfF9qo1UYDVXY6NEFk76++yVgNB +jgfjBgJ3ew1kCdLnkAorI0VF9qDwzImiBBfHlmT41Inhppk0j4MhKIoVyguVK5FJ +r4EWnbVj1BPG3aJuczkj6P8PRoGaqJkmPQpJN+JUc+Bo/5Yk8vBNHK+FuOcJNr1m +jJsxlg/I2DGbYmcXscgabBkfzuqzX6lrI1pmswXGnG4WgTQ/801jVq6ZZDxO6sdF +jGIr7LlBkGfbDIGf/2YBjjTciHiDScbSs36sh5fDrrT4c+ndRuea4sohc+EKF8cE +VvJtMkJCa5q5N22w9/hNaY6FZyBsICFSr8cFgOqpSAuSBAtf9NCFiZsZc8VIu2fy +XsPnqAqhEQkKSF1yzNiQA61a6huyMZIHjNa7DpepDZroy7gsXXWyKkLwHDhXFftb +ArhkYi1llPG8qReNPiNJMz0g+iWUayI0pprH57F4M2/QGVfFpxN6CBPOc6oZbL5U +LDESOjw5tQDF6JyLyKbooEEYeBI0ey7VNfQ+v0iRQitfjfiu2yLRKwfPMqjU6R1+ +J+51f6EJRoOzVrxlrV7aHDXmGLwEzcys+ZVHZcMwkl+v4pCDurQjAcfpdLu7L/EW +w4+T6S3/WJT0rkue0vwclU9pX0rKS7MzzCNvKlby51n1po2TEC4y2HSzvj7j4LQG +rZN0bhbrY7uRG/C9CmFUNmNR9KhYLdFSVMNkOEac8QguOBr+Fpk77biFuCG1yVLf +Nm5Ch9X7wFgwCg2+snIm0uYQsIxbBmwHaFTgetPa4k51+Byh3S21D7VbKyFamGo2 +q+N5giXiKIZFLXQ4buv6xtv81PKAkTILORP0GAtNHsQuhoQtY6caOP22kvwNpSUy +N7PkD9iskodfsRmgSSb2fozEPbureyJOsdOGggFFcMgLzBBTGFjpvumIa1bvpH01 +HOZjIbPnkEBDWs9ZEZUKrEvQPKmTDZaaxFatmEK2+jc= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv new file mode 100644 index 0000000000000000000000000000000000000000..6fbcca4dbd02d0124af931a01c68765c9fe60ba9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv @@ -0,0 +1,90 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +HS+I8naY9DPGVQxPrII2Frh1VJghpPubC5j5F0w2tZKpYlOfA9o38NqMkGQvPRYb +ixx79iSmdoLQVAlfKvGITv++cqXK8yAHwZm2NwuCEOeEMw5LYL/hbU8LJB6+Ckv9 +Smz71GMpWXutaeBQTP3kCIKoCml/V7N7Z/k/VCdY0lg= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 2592) +5r/HMrfpfAS3xARr91zeCBVm/Wg9g3j7Raz2VOHJb217xDWTkdu5vZR054h/UyTO +hJR6wJ5QnUa7ADBrycZVRXbKLvima8YMM6zVBWTOvYzpEXH0dEKX4Ja58GPR/pXG +hfFpyv7WNbUV8M03dtroGqE/f7OLCfZwgaBv2V1vF/Di8etUrmSDiCCcVtQ0m46k +wQkUDdeKDOXbtCPvc7nFFd84cwFKhQDAE+bKjIDEGTM9/UPApa59+jkk6IRWN9IK +eZFbJDR8XhnQoye3/G1CipwgMVsPjEz4Yq+FEZVNflT7sreXf1LSkFhp8VjUClnW +PCkctqapAFY+8F8BCOFMMGyEOdQc8GKFL5OBJKQbE4O0R26grAu6MMEpyS0EnopV +5M6k7ikzKngRdJAe+B3ICsjf4f9QqXKOXWKm+3FqNAZGEWoga/5EgaW7nqvO6kBV +x5oaZbrQCovisxQUSuh8zD3jENG4vw6f8I0pofS8b/TAk2JUWa284HyE9YtBJK1k +OSzOwoIrbpL5xYecFEaewqy2TesWYvLNiou4Cq4SXxHdKwZTBUnHikkow73+l4Rj +HEcROG8/Ko+WUUPRauXRTtNOCL+AAJMSRWldYb1R74DY/lkmps7KJooJhpQooffF +aY/dBUj/OhQXIEa3h8RUx4+h1MVJS1p2cY/r4IzrnCox2G1yE8YVDa7BNmzUOOUE +/cs6fxceInzuez9NTRz40xpEh9mY9bkYFFYJPYy7PjAEZ55J/kYJU4VpTAI99uqC +SOwOP8XIqpPw0rEVJZ7rFg/8ksmcsJXOlw0YhlEK44stmbLshIMyGy3shbFZRH99 +l72KSiW5POrjbDg3RqPkRBAg6+PlDzN0aABsP9LqsKU27ZxIZnoLly27jHc9XAtf +4h63uKbsE0V8VrW4nvMZBtHQAgYtnEn+s/Pz4uEZLQUITS+61TuAUyjhCEXD3PvY +S6XICQSllHzpUq4rBR04OEEVVqtVflpGmKmHyzFVPET2hq4v1Cubzh4QIRjx7eX0 +Nrm9MgVEjeC0MHjmJY19TLgveX6CIPaTa/zx94lCkAu8jOaeztxqkiOR9bOoFQ2a +sKWnfBfMKjv+JOhbAZPBQMNMftViqCC6M202C9rKDS1wFk6pGHn1O/PbsTLV71mi +/ev/kR5OuH6GyCs+27fwl2G97+2KwCCHTSf0fFXv+B2f9JCFBpmixlbb3HIT0F6r +FEVbhpAzpUsGRwOVkMtcT0f9ApZu4DoyVQ1S5yo6Mp8e21T+DXNGH+F3ZLrW9vRh +0erUnt5dwzZlT3SS/GGlGQ7FAp1uPCHsdEu9frQ5xt/RwZ0SkPSK559xmpV42JmG +pN+HWG3VtGXzyBl3Ym97NB3XLcYeSyG+mvGvoFWxRRly0Ly2aTaDpOo8gsDzujiy +LNUxevFtf1gJD8YDpjuf6/m8MI4BKOP5YpUXYu0L3JuSUScV+bsd7o/3R6StKH+i +my09IkI7gbqCfRsu/aqUJWl3QWkGEiT97/+q4TdAmOXt5ffDd4XiBjYJg4ME7Bgv +FdeeFSH/3/TRUbBqLZPjN/SUSakeQY7WJVcyPLPZ4wWIFg15ABrqHPfpZ5TdhMC5 +hkX2OOpUx3JTm95kdbgOUXmh6kByQp1Qgts2HuMAlQwHnh5iafiSS0qZR2gFtYpt +qQyYfyvUeG1nWH7+wJa2mz5evzoM/de0OTXt3EMvbpDB8ggb+Qd/VLLvp/do7LkM +tpA9ExBqX0aWCo8S+sT1xInMdBKH2IU9RVBJ2XGuIjJA+GjCE/TYsePGYFpX/Eq1 +2OtjnTToxn/RICLwXkEH2uuUS7iAn6ekNuMXcPm56hwX+2wElgt6/6HkzQT8ApL6 +HJjSfWQ1G15xJL1b7/m5deplMs2Gz66kbjYGLQPYbagDe9S9rRGwBksPoQvTZ7xB +OhddEK0oPgztj4vuYFZOtTJDP3Lgb+/qG6aZ1t/d+t7GLke7OMIf0bJ3lJvxghwf +cy1S/aGXr3ASxfcPJ49nSHKL0A+zg6D6Fa1ByzdbZnk5vcrz0DHCaHSj9b3Hgyy0 +IXnHA3Jh4GK5X5Am76PDE8VG3RXAZEAbKibvrC/Z2glhFA8I0OEit90WpwmCB5c2 +FAixbpmM7l7JcatZcrQf8GAoziqCdNxikvKlHXRLVg1MtfBKARsL0cqKCkEiYSHa +z49FdMTlhj9Pa+IwzZKzUblJfGRItdDeOXDj061MpsfKxF8xpuBhIEqIu6VP3kSY ++C+GSLZQmFZWF1AzpWxuz1jA7mPcvLKoNgjpb1MZ+/3nco6gUyyfkLuCD6H9Tipi +z3+VdIa/2wfiy8rQ5vQM6pVdRyoqZI3Lwb6zuN7mTYIHDnrvxlSbLfw9OsohvAuf +mz53uRqYJ6Sa7x/lidiVkHXpbsHeMLkj/zOigtTWSbQtAclBVvj0ou+G7wKcTRn4 +J2diVGjKwfB+1TQ6WUTvZi9dHnvJl6klk7duOBXSxLcKuZZ8WXDPiGHfPLLKBePJ +8fcx9wwuEqOJBWjas2eEcXMMJKzHJ52idlNEkmZUljnS7mTZ86jAsoZYn4op+h0x +HnuxOXveRLpPdIgp+QcqGzo/RO8gyg8t7yZFi6/7+/3/dsFCjkSKzyTJLMXtAPOX +x+2I5+p+jfQ17s+N8Fq5fC172qhI+hiGzoiv3JM0xSDBPkoF42rrOqJQrqRyhP+7 +qfy48WadQvNQ14kVx67Egxc8gKniRkan00rYJY4g5FVFb6zMBtqjjgihywZX0e/A +/BanTvvhCOYbuJZjE+x75XO9q1gXhTMMfHyi6ekX/7EokDWaokOoVt9O3+dXBzCj +6b9DLkK+QPfiN9mrQ5nkPhvLhiFSdL9hzTC9ZJOpdXX0bwYbR5vr7aOQ6CMKzPMX +AOrjZpPR+BqLf+KQ58OdXAoLGr9r6oFf1w5XtggPcxwhipyjS7iKeVmKyO/goLmc +ykVw30UhDGci63qwCgTQLN48RNSrQmhbLLhWUN77CEPAV418nZBbzagGH2lMDVLH +dk1mxvkuuA4P16XhEhs5Kz47C9re3E3L1Iz8DIVmUcFjAkV03YsaXwlg8RJFshiZ +oMoGztc1M1A5aSD/+j0U/5+VKu8gnC8/vFs8+6RLJ/+tuef+VJxiYWdgB8Rd1XFU +NRyp5pV76gWTwOkLPuAH8okEjyhAV8QsrLWKU5QneSkvkvMmc/J32tv63aeuA7rO +OOPEEN7f3rApbyKLDd2PZSCLjr+uiFrr3EJRLwjwW23kjUYvNs4mG5tSIJ7SUqiA +Cbn34YzwYpxkbCgN45Ap+Ly4DnDb2ZB12dV/S7RxHeI0fVTkVynOa8uoBESTvDon +kCZHFr8vkcuRKv77EiULGBuZa5A0Q7cWGtkncjwe1ROexeiAjJHQ38my3Z2Jrqyf +iDgazn1HrvrsnvccMo9FRJm7jmQycvGFtXczDfOHUdwfsjo8NfHeKoAVtCpGnJEt +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec.sv new file mode 100644 index 0000000000000000000000000000000000000000..708f65dd161bd7db8620762a6f22c0aa9e2a3ab1 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec.sv @@ -0,0 +1,122 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +AX6zMckUnfpntazoc0TCuNuo1AHRijgpQG03o7u16pJdmMpU47d/qW2Nsw7ZBnII +aFZgSRUXaGiOMhOVI0jI/kDrrYBt8uQkDRfB0q8WTaIkRxDIovL3s7yVLnhOohP2 +m3n1EU+tPJ6e1Bo0jc/upKK4zeA4L/m/zhi0BBbmE18= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4112) +ghDx/HYtD8BDaeFqUmn37HGAHk50x7bTi5Gr3rbUQu5qecG4d5c7T6rmEUqrFitj +CSVB7apP9nkHnfBbb6LnDPjEssPqtz+kFhogNGcM+9SIjMur5cz7XNVxVa189SiC +NnwdYHwKgZzfhqqQ5laHvsx2EhwliDzuUHqJk3Ze+uQt5/oNtHL8LLfJNMwfcEoN +KQ/1ymncc3x8KG+TW8Mk0R4D5lsr6I+wxrP+dkvvy34ReNnXmOD4u+pgihqQh4Rq +PsPuvsAY/nzKdgVM/o5oeqEudJy37zuxYxvBsbWO4EOkz2fUZUws1ioIliS/rIw9 +OAceBKixRRwFOTz+Z6MvzRyUwOzRtssPvBTbpcclYg+fFw3vY/os6koM0kRtRIJb +peV80149a/KpQbNk/43mBMA0y5mByFpjbP+Dcm/wuE5J3KOoftNUj3spbl5H3BOw +y1Z+8yiMpv0p2hAycyNqC+/Sj9YtCgWAgvNWGlGk/fyPFgo12XKzaYI0LgOfa/PQ +5I2wmUMm6Gyx+rgJ/PLJ5einzjU8f8JrCFFljrFWckcoDnGHZN6s9qWvYCIk5/Nc +9K1xrogFeE7rnu0AlhnyHc4pbuPEpSAaK1vU2D/eKwsH8PLm0LCHQgzKNk2dfYTX +iDHJ2antXPFCkk9+gZp9jSf1uNhckAzN1mNDmZlUo8xuLE3bQFUkWJFPxBuGgMdE +AbReNi3iIDAobk0kOjgHMmEDbXdozrZmz5cjAxM/v+H+3JCFK5OCPIeufC8KIOui +3JxEiJaS+iG3L7MBcG3ffVbK+Fjlx7CPjc0YbXB08GJbeEKWZq4w4ntdYQS1LYRc +nTAf99Vge+KFmc+7swgsZytlmqbL4TrUTNXkCJB7HMcntSZc5d30RkQhs4ZBUFee +Cfwk3K7xy+0wSTqQvHgQ4rHIZc4o7VLATFH6Hu1/UI9cZlgtZB/uo3yubGHwve1Y +KCYn0K/C2oDUYrACn+CQi50ipnbXvTHEUbOZ+Ozax43bK/FmOmRyw5VfaVNdyGal +ysR1Kg41A2vaRP4m7bJr+Nb/aSsMbNW6wug+tSkN4rpPek3czIsWQhYTd19If6pC +i20jkFb61MTMryHuAUUFZBrVpTIGY3ynuCkJuaDPi+WJlMtNS7hivk4MeWwNtga+ +WuLXM0TAalaCChesAq9BjHyn7LoFXOjFoTKPbN4ZEhcvTl7XgeCwKmayhcM9G69z +em0NFREGQ+BitmzU/Kvj41Lb0AZZdoR4Bb5R+8YWUaoi7twYN+4074r4nctwvSDv +g8XQUL/8JgJ+R0Zr4o2TqwHJk+4eZX+BNHaZf+HKpBsBKpQ2e5H5lZSKMsvjjbad +fC9Butn2Txd+R0bw36ww4fNS18JkRTC7IaZUSYFNT8tkpMjGeSJNzvOQBdDkmOy5 +7J7x8SFaKkOa98D51eu/ant/eKvcJqfQA+19Eda5hsgWdJmsbCrTsHi8rGYib/bl +BuVY0PqlsjyrCvtrcpkL3U2cSfOmCHYDuWXTW/eM/OAV+pMe7Mxj3OsEJZpGZPtq +q35g4CPsnox0BIVyhWmp7eP8BIHgEmhE4dUysB3VLXdnXpTESXRAXVekku02kQdS +LBkkwjHkfSVUsDuXfsU2AffVuM7NqHCn51Of1emUeve8v/R2ArZ5DkJyT98JMQf6 +Ntb3zcIu/5vP8Bv2CABCatTMws1GM68wprNorKiqHNkfFPHj1zrkm5w1HRkVTiG+ +bctNIc9IZonmQryWZUswCeI8EFgOEudIz+3/9D3yjgwTmg+9beA4JLxigrhqvQ77 +/6+cNqiDp4lNNUCw2C1YRWBilPt6yWBKM9crNf38pIZJNifEPVNmUiUxGT4CeAJv +fy6H+j8ekje/RaNBC+hwEM4iVcrKK3go70eO8xOvYE0Dnbbncad65dGORjM9j5lF +1lsCwAeS5F4cyrsI0xWtYlCPRKJoaD2of5N0Lsos04evY3O0nc6jexF0EeY0EdJs +BvapD/IKazpsm9ofFJvAuNWzt9lNxYe5Qbe4nVS0hrBysCqBDTDiSmYKY3Erz9oa +rVnPF+LxRnovG8d6qaNEIQnmr9VnLQm+N4TrfxU1TXtTjAt1eWmaxN+FF0xB7ABQ +wGFBBeOOukXu93joJwv7ctfMd5IIyGGX80/G9ExgjupJerZBCeSRJ/3XbOM5rWva +bZKh0Gytfsifd2wRoThrDnkBT5kZvPoE3TGNFTZj3/a15KD8TwkVymWDqZ2BJoOR +GG9KWrAyn4Gct7mlmKX++DLJHmiXkqdrUOXrzwJbvxzOEUiFNAmoYv5V/oIDGvB3 +TWecryw3Nyw7Z4Lilo5XUbkPdF5IyUxv50P+domT6OYdHT6bGK0r8BNzX0pGAcxs +9zKtN0ghDwRU7DjcLcxyf65kT3AxwCKk1xUWQi85TkeCodsZ8GPzHVH8yhOo6bQn +ANDdS2visX2bkTDyyjOCpzWRd7kywz96YPMLRbxTLYb66H27YXHQbVM6rxknFcvH +3fnZnaDSrr96bDkmEwvhqKT6qdHS/DTC5eDoUSfGkLlEmoFB1P5PAXZexGt+VUyR +8vIMOyrCA1gwEAKwBkED2ksjj5Y5tgmBIozW5Dm3MUb3aPU1myyU/+ADBUZk9FNy +IcWc/xlmLxC6gre1+QYBxIKxzpo1ZLcsB0lYLe/ICXHL3uHlheVsxIP740C4TYmB +cX3YfUbWWoEK6mzUTckPP/F6g+KMD9q34IPOoi5pgK9rpkH/iNgewj6ZLbinkgSy +kSFC1eRp25ALvbQ/Y3bRXyimwJ5U0Jpgcf0XNyNB2XepAD/MFVoBKXRRki32QV1x +ht64FF8kFqZxYmkiMv/DVYD06kH7nWjNpGdsv3E+NWCnfgAOPKhZGHO/Jym8GEgg +hHJMicCMeobBH4ZP1v4AeAuPgNRTr619TTeVvSPvs8GNoCHMEgyqDItlk/ZbHQvY +JSwXlXwGyUxvKuYQl43oMmF7tNXgnVdCBPO0JrVh6qsFg9xrc9exOLnFovq9h6QM +l9Fr5OJR30ZULC45tBYUWEuX0GFMwokVGSuFWdNIspv6r8BEL/v8e7JtGCdIRqen +Nnw2KEgVNCnAUmiS+q/Yq714kAUHXwj70+1sv6ViXEg2ziFj9X7xvCduiJv2gejb +IPU5ODqbaau3B92PBk+Xjbu3UB/3p9LnOVdlmZertXXSs2esUC6umbnQqUITDZR7 +QJUTFWlGY3Om0vyBZ0KnjHUWATMEG3KmTwbGHyd+5MWnl5FTZSju+B2RurWAIK66 +vEfxqkije9Jq0K0hHyRROnBUBwyGXJBOui0liSBkxjiL0SWKMUnIX+CWdtySc1M5 +pJ3p3y+YWSD4jCmnx8WVTdepBnCU5zJFIFLIkCFpkuifFeAWien2OkLUtTsODjl6 +BChM1tPC7bUNHdjb8ZzzIXz7+tjke5gWkmfU+VQhIQ9t9kyXwrzFji5FijMtAQZX +kv15ElaI1HaShzzjpSDYUfa1lRn4Waek5E4YbV3/hutque94iyaIFnfR3TiukB6w +Uw7OdLru10xglNJJjRT+jhNmW5oeqL50Xgqn71nFFJ2kOto5kfRhwRlDZ0y+eRc7 +hX6yyzuylbI3QQ8Vkc67qy+BuJfRmCROMc1xjl1Z1joylvWTRtemK3vK83i8MJtQ +CNwJlpGp6OkqAkXdt4lPW/a65KSpMZbLb9vdXUh91uN6d+8SoI1NvrZx93JhmYiB +Sda4UGyQOrSHpOZGvFjhdnjCgxjXFrsPN3mOfiLlL13j7xp6QCe9DKI4WCFKESsB +EeCqjsx4Eg11C9YgAR3nRr78IhtOK4Xa2SWD2dg+8NpsulEwOx7TEx2nNWPMOfWh +7jnwgukqtq+Xvm6TcR73xs8B6xRk6be4c00+Bptt4e1IiMkxPBoz8N7/hNYZ7Pa5 +wwk285/Eq/J5ElFtst7BNpdy98YcNThfIv570yHb54QPrIzAK6xhHnmfZ6Feci6B +eNKBX49Qaz0ExmuG/0B9dXsSqjLEgCP7aB3j7MaI7Y6tUU0jDwGTa0xKTlZ8mBf4 +fJO8yBkIOW2ZjkBdLD2WDHwfehjh1cGolcFY60Kb75bxFif1DzDxzdFeTLfpIfDt +5uNtkfsIuSpON6q9TxEXt5FUKt3uJ9pzGVK2yJ+0S3nRg45lxiFeqT84/uNh25u4 +YJTFRFWTgz4gD5+v7AlUY2GPhARNyAsy83CVzh1BpMdxXpEQW7uOhUSaaDBUJO+T +4yNLO89k7jT+1GCihbh9En5ZW0uxdaM3S/XeY+G6pt4RNscoHFm1q5xycY16cBZQ +lwNbmIR5hDb71UWtPUHgM3x554JGdHzWgwyprRWX1eTbL9DK8FUzjg1IOQypJG9l +TJwH646yVKcfTYT7zNZw1H5M4dtBURrqJAPriQjtPrwVmw83KTegEK3mrk/5C/YQ +Tu61tSWnT7xXNPQwhCvTaSejDXEXxjPDcCei0Zd+Ji02ZeMGmIs8x9NZ85u3yWWk +x7sxd5MCokwal8bMIAt6okBUx4i1kVqD4HBmmgRER7kUuk7Quu09zhvAaqBVfikk +S0JKawtxIuOBZtpjzggRmPjALDBkpUNyaWO9AL9D4HqkBQDOnEGfoyElU2/2YNyi +OrlwhwOuaJZR1ZO9qtaqG/PiqBGgDegtFTaLsmJiGvTBD/s2RGqdWqML//QOSkfr +rvnSTY7Q5bVaT6e5pZjbCBhaK/bZo2mHT3t3c3BqUIHZsbhR6yoHsX3hm825riMx +o9zW6LsRsc/5a2XLX35G7f6ul2OO9R0dMiia70YmlQLbWrqifKCN++gb6iNqY8oZ +4czoy7V1KtS++AhfSTQLkfaSvwlQa0Sz1m+9N0DqR/It4FqWEY88Oa9KUC1/Tm88 +WZkUECnrxutlk/3t/r3oe2ceWUHOrdYsKfYy5sA3IInVooj9NdJ0MtzN/N99Fb5H +PkD0AZhRCnwVWaYsVuyH+fZ4IDTaBkQQycqUTHJB+9yNzRVnVGHMZdTM5yQfg82H +A4E6GLPRnoAH8EusThsImL5r+z3o8W1o/n57MEc4jEL2gA7dNwhhncCvOyxEgjnL +TIRPLrHZZsl2h1rLWXM4sr01/RZlbevL90AXP4lqOntFqPk31rFEd+00hcPEVsbx +8ZlNEAxcsvTjK+4rJX181HpmfBoJhxytzcrJICm7YS5R7Zf3tfKwatuD3WBRAejK +uynGRX6yGmn0agkYoG/FGs/OqVoB3WmZDKd0efmLnv56Z2KvtBfEwuQQhkmET469 +X4fvybu8uD3tXnrI1KC/ABDmb6784quqDWuLQrgRmFuAGJnmX3aFSyV0AbQJzBdx +jQkbQii04IuIMJgNxAHmG+f2/1OzGMdbWeBMKU1oEJqhlmO8ZpACSspnlMJkhCZd +SiLTDQ7xKeAM7rpk543819iPqPwUX2AgVc4VRFR28MUw+ELpBDNDk8VilGz6+yYo +CPTSgMbDPK6EBbGuS57DJfprBMzWSJWDYNWH38jj6g8= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_phyreconfig.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_phyreconfig.sv new file mode 100644 index 0000000000000000000000000000000000000000..d6e371c82c763fbe90f5485fd1aae9abf8d49b07 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_phyreconfig.sv @@ -0,0 +1,141 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +tFCZXwk8Rq3QQtQux0iUOMMGRU5o87KhTSNANmeZw36sDa5puP9GsJKxZtKYCczj +4xsB/RdeWHt4yPBxnLNl2bQkGCeB3UuFNPrh3sHqywqcCdepGSwWJGtnrgUMDc1d +DW8bIvcE0XPt9w8hiuWve2RA+ANgoggGXrD+5b9LhMk= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 5024) +rat1axerYpcTTe8RNpuI2tc8skvYsfV6nhynCho1Yuj1hm8b0pZwLI++b8miYVGR +LmH2t2Co0dgPCH3Ww+xG5P34AEhb6gwGD/TGF5VAmoFUOtyK+sIcnqZAfAU4ws+V +biGAiQL1AX/hV+yQ6m0QfTQ7afDEUeOGqIoe8ZWpNb0pa7YMHpTGVAEw3FwCsiRT +zbDjKkGV2mV8J/9d+kRcB0bCcMB5YWsplrGsjxniC89xlNsy39DUyWvKm6BNSbRi +waUDnf4jCN6Q9k5vfyopvFNd9ZhlQi0gMnZOHyi51rpTyVWqXCt1hRt7WH2uxA5n +WYL/ZEcJVGYP64z/1lEYzNqYYsXjjNqFNjAuAsNFewhSGUDxZrrswaFfY8VHccOs +HiVZY7+VNKxHPUttAmqzle4V/MYRREaA0lTftAPpmzkeglJdwLZxiwDkNu6en3VV +xf7HC0RZHo/Niniq4c88mmMQHgkCZT2pBs/Wit0QFgsuoToLid2I2UMLytkz+MF2 +P6xKSQVoP0OKGrYsRYF2JYVkqiqbTwswHM5Vb4vrZf1g+XtfZyxDaAL/RpJOqU3h +rBNLiiz+c4vKrsZYZoywc2WAy586OfMK85Y28aH6qNmRVXEZCOCS3stA9T92n0Y3 +g2i58buV5PVb7C7hrH9KW/MzgvvrD+F+mvqp6KQjflkJ4k9xNQns1yhPFOmiMtoX +t4tRg2BecCf9CYkKQoVrKdFaNLJ9p3t6ZqKMzLfDdKM5/Byc0zXNVOufP6RDllpL +XXRpsObXPq2/ERe5fAUwHJ+hrxPEOFHEyx0BrUgy6K48w2Xx7oQSmccRJuVLXOog +N9QtgBtgZhdwvRg4YJR9VnebzLi/x5n8XJNYpAE8NW+B3n7XtXxpJSNYpeFC43cR +XSqf45bmLXk+pj2/oT801U/JKcA83bEIu4s57ep0bEN43irWRING/hURyq1xOt+a +t45KKsLnS8xx1v7UWFO9XF6lG3V/okJhXZptbALrLawV6w7E3BriBCLwlG573dqV +gHU3C/3dzuej4oQZEe2VmGMJSZJPY8WNLb1BN6aquUSAqUfsdjsVwKp6uvRTHe8n +h5vwtClIbCXIEqEFjR0YF1py9DflMnrmi5QphSVDuyRORxnow2zrqdenHJAPmbcW +rwbkx4QDqnWKDJZf1iYkRAixgtUkJ7u7cR18FjkKu8ZA7lZIMGEVje9JBwVLKsRA +31ebpU7gE5OpkADjLwlb6/+9r7o37vMuSxOGRQnF6AzWbsYX84Q5BxiAbORNevag +8+Ki4/jhKDgPckmoqZcddI2gNl7uzRLMifJm6XHIySTTaCRAU+/DPePf7kuY4tjU +veLcWg7WbEZt4jw3Q9as8TP5cGDxWWtprZbSAoSmJAf6oFBbFCOKSd1wErxyjneq +kK7rQT5pNVhPOGsXeb5jyk8Jn7+7m+2FpQgTLrWk7rCHkdcpsRlHf+HLdgYX4/S0 +fKO1TEstRrYn4+Cmr6JNSyih236kd1VYwfQWrdr7l2aH/gX3JVr+L0JONZ93iGee +UkYan9PxyH4zooIo9xaaQAbCr28ERi3LpOU+sZdUjARfPA5X3S8nnfCia2VeIE2B +HAlzzax5mj/m5dnx5EMSekIWNMQGAq7AMGe8DruXsJcXP3iqd7QlkjFoxtQEI/EL +4W5jkdP0v7YxXQNHAQwwKGIn1Le3dpwAet0nf4R0BQNigIpmxDEQNL1+jHEn38ZX +QyP2FFS0t74Q/EpzjE8LPFg7FXIDndka/xGir9CfeL0ChSHUE2UpweKd54Ih8+qv +A78ad6cArs4GKcLPBNY9NG5QbYVWWcgtY4zZXI3oLFPKds24s704HwbLAPHpf1JJ +Ns2+Dtw+uLQoiN1d9hmKyK8mUiuvO21hoqFqw4WN++FgJ5bIQKMsh3HdbGoELt0f +Aqw1ry/wt1+9ZWFs/Pnuatd4l9tM5pkKLPFxzqkr9xM5ojARX4X/01AxFC2lBxSk +ZxvmVDTokQ6RjYtPI0eQv5qIHJzamD7Nla/XdfOPjXv6Ki3NpcDpYOdhlSD2ymLh +hw1X8vzTVeyuQqPow1om65a2x2p8zLhTU/kkO3V+4U1y44Dsizf0bdoQbe8H39K7 +n95/I7o7vF/C1yaYxX2BJpiXBLEsKgJTeswzECSLk36ayHpd7opcHYwQsTdIyQlu +B/+54VQROzT4DLr5IKICPtrJDjFAwdnaLwUOPIAh9OCyEC1R1S8FuLOC5mdpDC+1 +rm0mikPvgWWNalIMHA9k38naVPOxMD+aA8ntbSYA4WW9vV+OLC3OL/c8Ap0ZHUoM +usBVlxF/qMTIx7pmquzk+f2roXChjuRjSk+wmVeipMK9EGQeE76EjRnYyHDM244T +Eh02xByC8LlV19TPaVeAnF1gfedu2V9KujVFaw8ZGHeHHuYw/p4wYAs4HDGqaIiG +cTZSLvCCmK5Pe1LkEuANq3eHjcMSmLH/xu8QWOtiK9/7qPCmRnM+0XB/kRgZ30Ft +Jh9aLrWKELUi/V/XulUeT6A/PKGOzOUQHsnADEM4TQzokGHofBu/6+TrbHv8LAbI +HtlEdCowpaYhSMsSesU5xzQFYzvqDaFlTZQsqOjSjH+PoBsI0HIMSLRA1VmNZFFa +VZx4bEy0MpRbWr24pn9DaqYnV7Kw08/gpOyBCOHZFt0YSvc2vprLjnf+AiQYmS24 +ZPyxjWwzoV3lGLwYHA6OhnWZU8sX0LpXXWBkqgF0SoFpwdaZmk5f/C1RLHvZUtF3 +N21ZRTBxatzQc7/M8O1HDgGcsQFveNjX2vicSen51ENwtdi3QgXnjUCuJotZGK8s +jE0dCUPgMN0bzLGHemmVz3CnZ9DHmBbiSn2N2MowmKupUlRbKdO+ANQCJxsHy6ae +MySNmxSdM+C9JltDvs2lYCOPCbFe6n5knPF6UbpwmBJpgZFeMVK4bcSLlwf3TOKC +XO31VbljXH956hDgn7zeoL+hGL/QwbpXSQhZ94uh49+HWQrRwhE6VOefplGKl/md +7MhkB/DYbWigXCa8sgniIbhXWs+QhclNy9aPTUWCf9Fk0aSlJM5d3En/U/+Z9G08 +UL6F4pZEmupueXx65GYhCCqGvFXs3qrOV+aeytI8NRIgWgY+vxp7IFCXU/6cNS1u +i2DUgudiA/KhrbEJOOZzk2bVnJXutsjRcZezLPyrwG+XEBPRetU8khuQEIhrZ0nb +nWYNTCPaaJsLy5de91fQN5bwYbxQAbWgFHTC0Gp5Ed4fD+F+SB3PB+4LK2KzO2AG +oO4BK2kpiTRnwXl8Xds/QzSkI12PSmrLfMLGgE0gF39n38ieCaCJzE52sKb2bNVt +g5M+YsB9oUvLCvE5sD4JrFygsMiGm5zhEPa3nS4D1PjQcvoXahcvSeETt2Asfv2o +JAkcHB9wTr1J1ZW2mAICUbz4qGiO5AaXlZAqGwOeJsn1JeG3/pT6pJsSU2jpMknG +tXbc9IviiBesaNXWnkfjsQMUPEFfiVyj6cbgD0qWJwQ433mdObV5vmaKlIMIDeei +8450gRosRjnh4Bb/pvXD49+VSs1MWVAbNBaUBBUE5DZYmEw4wP3GfmypqGyXvAE2 +lHfpTPxLl43V6qCuv8jrw0M/7wvjpkTEI1g6vzN4tPmdjuZLUShT2Zxpz5FKFZJW +O9I2whSvGX+BNdx+nYbke913OMzY1PK0arG5fbNYOi/0eIDLxgk5hWS/nVO5tbqN +9ZCxrWU9Zsmlk1YbcyE+FcqSj7Jj5kR00YGg/iLKkCFcG9nH0IDObFkSlJDvPini +XsvDr1lE37PPC0LCSMLQiC5iAX+FcMGtu7dhKM5SKzuZhGi5mco0VtywLJ7fxcDy +4qmjf8achvbzeYgpMRqCkWvfTfAoXaNPdASFoy6v+DIb+K2LcesfiDyRdphjpHbE +BSQH3dmikFFyeS0hGJ9tZke5U0bWSrF/pKEEcgDIXSzLiltnMLpQLyyB0ZFZBay4 +p+R+JQRzlLbM02ecjAAngqx5wmOC6uY/kuNwhwAgpjzXRnwezmGuiiRQnrNdNxzq +GmK0D5nsRBU/E/Mmcn2/51Bw4NknymsWtJa2sjX8pktycjMLsxiEz1NdRAdCfRHl ++vGcQleCrly5VFSmzREGrmf/pzZ2HLjT31OXJi2xs6B96ONDBiT3FBxQ3pFBracE +YTXQvWPHRaSP+SA8n9DLfdtjxWMQsvwKlqh4FOJXJ+2VyYJOPjEED9VoWi4oKefz +MK/ncv/5Z7x89DBJKswwPEr+M966xz4oBhNbJYbv5zJtnok8yUYEIyezgTdDXQEJ +Y5nRrkdiSYYCqXmZuXvADptblEK7G3oc8AhU9kffFDV8F1Ah2EL8pldYmEbRoElH +jzS59Y1kR/pcdi4ePvtWu1fRoTxJ7gM59EugWnZzHysBGrPX+oi5aLc0hyB3XFGi +UgPBgiN8AkEIysPO5dNM1rok9xVE4ysEaoZhwiFwkdBaAelO3fCE+f/5IRqo/RFG +mmymD9OLIdIgv6TbL0vaeIU2/HGjPF5+8MPWpYdEpbCyJ3CEj0sZwlW/ovgFC2bQ +XVWyEYTgUOv6XkwPscPsOjQwNVhFXOt9esXEcsIUAWRsRN2S4SlOGGAisU5XN22W +6tQkYJRc+IkGE3zJPBwYdbohH9sbeG4dWd3ULfnkU3T3EMP165CTLO+cIoRCzv2i +ZUM4Vinc/wr8kPTZaHgWN06EjxJy+8jay6Wuu2P+c8SnXwMNbhYthRXJXXJVuo23 +A4trDCUZ8nTeFTXXfIOQGAb/0FkJtEGHj42X4kG6Wa4FuwcEvaivbqEPa2BvN3hG +SQcd60ZdVLhmYwugvRjAryGFolsB03N605eRJ0CED6rihaisG0mdZd1ujtCATgMH +LgOi8EIQf2qft9E8ksT11K4LnuXqqac4WM/PffgF0fglknGsgH0sVrWT46QoEN/1 +dij47l9YmPKxzGn2maJ5mqdaEoF3SX19CChbAM3khXqlKBOo9xfr7m1URIlr+kN6 +MWhy3KI+j0TSXN6a0C1YcfwIgPJ/AZv8kJTXwVn+i+S5RpxcHVqB2ibgzzzpGdtc +qx5v9UUEkXcJyS18ZA+ezBLxZv95LRrsJAcF1oCmVzyys4BZOE30Q6DHmeYekYWh +PIuuJI8uHp4Pl47M5qfUWLwI7eV+Zwqdz1zqJnywOQLEG5PPHIkRSReQZgNwU4fy +hi128ZiYUZcl+r98dPUsaUDPp9w9aycD5qIvQFgkGzk/e4d26uLLssz4EdcB/QpO +hiZ3B3YjjSEwvUG4EN9vS1ytyACTHpcWkD7mV723Cy6T+T7D80PVlWcyXK/TeXui +Z28+K129qtQCWWhuyx5x6blvvsYImIleu6+dx2KeT0moqu0tKnrRBtagM7vVldNQ +WyCKuJ6Yko8LNlOeTcz0P2fb8MdnTAoY4Vd7grpo0Ce3aJid7KSbfAv4IBJgZlMA +fqX/CwRm/T1cpnKar/pWXsCgEMJg05LIHl0BVAJkdKBqj/PiLUP65jh8Kf1Pfp43 +kRnAjJHT9XD2eqAxFhzjLNdg5yyNXmg5wl0NyfDak9DkXJ8Z6mmE8q7UlCO08Tnp +o+g74/gbs7RS6VXQsmndk8nZB6avi9cPe71Tk7gIoJ/n9nOpwbatk5JUQ4YPGRGX ++zG1Z/F0HEERlVcv03i+q1AjBysnigb6IIj0O/z3sU6lxyFEuDjvwxzzGTvUwWeG +zm5kJSUGQaXjenexPzgEStO7//mMdNhraUUVDxQ88WV3oPic41D4pDjR7wz7xpgg +ShLSx5wtAVpmALEvWePfkgJxFnn/6Itfg6UJ67Jyuu1g3DfTUUVALt2UlJgnu9hB +2yT6cBNx45kirfXw90xdbHC94nCkXC8CYLGzjGfw4/UyNQEEaAtrQ7tr3zo6e1st +Nt49CGDk+XdZgIB//ZSjBFR+pEoQ/kuXgzmLuyz8+1TjHe/RV7orZ5COxGB7YrJv +I2hyJDdehHTYQKJL1T3KddZjIE5ak9qwCHFffb8ZQGfZkW9Fn+QqN83hmCuRlqLM +seTYJUs/HbX3nipixSVEJuqUlKgeohWvHc0zXJrE6DW0QjCSzwhigRuWohTmmplG +BJr1pv+EDNBLrAS+3IqKv2Iwnfj6CXHVSv7SDOqYcniw6lsfhgT+Ovbtyanhl/EQ +gsZEWLpsNt18Fks7OXBN6gOEpUFJAKDlYsD6dbTmK/CXLsW1ttjPBNXYdSa2ckki +iFr44ohVRuWRKwenolIseM3Oa9opuyBGC66PaZC+o5g/UTd/JsKvaMLIfNkEVw7t +xxf9GDfLt9ID1qSWA8BGmP8JCPYim+DgLK97gdC0hDSFmpGQtxibX7GM7D+YBHSJ +TCuJ7m4RcSqw0n674vfDbH1MnmNhRRkglO0CS6mVsoq9mG7k46gG9uvWAa5L52fp +8enEKmrLE/g+fKuhBZ67hzIm2SPSYShn4s8XoHYYXJZiRJOFi0HcA3ovzToYMddS +o3+WDswK7lBf8+pbjRupUmAv2CJ9KKyaCFCHwq50RtTRUDnk8y+a5ExwlK+1JBSU +MoQB8gy6MsXA3xrtWg6ahJQH7le74FMytdgq8hZfGwWgpQcU3kXIF4dIlf+Theu/ +mutR67UuGMUIaFVz4ULLaoibsSmL+rh1jjQCo3OZNYU+u7CIEFeuhc4D5ZIRMGSW ++YlufscOZO9Q1Rag0jxgELPaqAnYrS3hqZHtYVmkKWU= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..4bcb992c45e33b9053483c24b99e6e61338c37c6 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_xaui.sv @@ -0,0 +1,167 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +ohF/Xi07cU8kfsk2OjVtB2rIjletcPXserr9qFr9XPeQoAbNAEA8eqIPFHXOrcA1 +DUyUkZagUvfSIRMnGtYeGix6T4CgRZH8VfznTbMwxvG8l4UKG18B+Sopt/y8cOzE +V7PnVomR0n4dIXNb9BXWf9cC0tK8I+iQTd71qEtTC+s= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 6256) +5meq7Pjm+bqPt3CnaSO/q3t9mtpEZ8t6SctfFzV8Wwn5W7QMyjJI3EpGNAgyMjjf +l5uBgsx36Niy6eU3ZHbh+3J4lKYc28eQIiSKSwNrkOWonW3974B35z9OWuQenqbh +/f36HPQFUQ3IVCZFDbMzL7Fw7Zf15MHHU2ryjAXfCPj7oCMMBL35hGbXb3WrKxni +PMr02sJOXi/WDy4Z17zo3VBOAip3DVnOLuyIzOgALlt0x0yy4FX/52x8Ojq/Ftys +/Xp+21JvzFI37OW0nx14WVJ5hgoZWjYqj8CX2HHOzLtj4TwXYTeSWJbQLZ8ZVcOG +Xto8iFy/VgPDGcOSjp5kqxJo32wB5tI6GVJxznv47BsAQBRHnapHGEJAk9UiPIE7 +LHyRUBlimBQbBLLlTJFoGxp083dlAKWwl6bijfdPY+a3SpdafOnQE0RMJS0Ofvv6 +fPjRX+vOrmDQstFadZ/aRO3BzkW8vSwd4VU/CpBT3zD9nRbM46PVodRtMLH6giVl +ICBShFgk5rGxFFiWJtmIeJwfLJhJh5gtKkVMxyoHh5q8PTQ1//iU+jhiQSbsuB8r +XZ3/HivpbykvjZQu4V47rB8nmmmFs9GWf0hn0uhsRkLLkVaf7sftlEGhNzIwNsgs +rT9jQv+Pl/pDTzAJKFfcEHhnC56x9FWFpWlas8PvX1+r4LfL3VoQa2fOPiZ5ZIu8 +8Ab5HBDFAAWx8GgsYFIxXJaRd863Y0dnvrWBU3JZdHvlmkQP8+PjgEyvKeQUDOAm +5kupxX9Ty5DYgOr5kHhrqvYLvj6rbZmtPr4asSgVYCDtHelO573+3Z8UWdIWQw37 +IHsTB19y2R3rBDTg3G9dHsJkXVs8qvMJFVUMLiZkE3rRBKD9x3/v4C0qUVP6WaY2 +c4io0A26SWN5xediJkAc+e+2zPYpbGeeYCoEZ1UXZCwv7IC14poF3cvNlTseQZnN +0FN41LJCU0D2qRtqAwngtkGr3OHR+iNGVy5vI1BOiqtKQCWp79Xj3Xla4oZ8QAih ++uJe1/oL8Fktu3SzqpyDiS+u/4qrlDSfDrYc/p+dgeYTfcnR5m/XnGxnUgyHuz/t +kF3UbpGZXW/+QUiKdZ8o152e/fj9JS43CF7wW4z+WYluSwnOlSNN1QRTeyYrEcaH +T7N9K+5qD+zezsg+q9cuavcI49EeNyNAmTAwYYnq423OWhSyClNw2LERJVnPYPWN +rHlwEzUK3TiV7uWHUQ3seyn6xh1BzXkkDDzbVeWAvOsFoWGnS8FPsag91hoz0v6G +aCzjPC5S6ZdvgVpuDRZb+DdG9fo4cJd26qQjrMRbltgMBK1kTYUF0D/E9YGTe6I7 +VWUp04a6mCR5r1x67lcu6y1OS2+yWLFBoK2ewwmCAetpzeXD+95VhYB1ZnoHXaQZ +ETaQ9fCSHqn9HwF75wCYmtxiHvZwuv9ZID2YdeIrh4QryG77TZ1Sm+C+4uOXz/1+ +J6B/NCQvB8KBFYPk1l7MGpCD41FqefWiYF3WqVclLEHmBL2TYdt9PbZL4c7xqeEE +X4Nf/8KjBISGgu3PiqsKSWshkvmsnOJe7E8z3Av8EjPN0FqAYJb3TJKBDSMmvtan +e2k2W5ieRJiY6ACeXVDQdq4SikRiny1PdXN+54ZAJaUO0vjIDji70wxVwFHr/BPo +nrmObkTzdfSm5+QxGo6qxtSwBPdcSu9HHQxQ/DQpfk5dpgG0e1nsDZbj1a/HA7x8 +iwEYw/5CSuLJsu8ZHuCYjRbIZ5ulNfWwrU5AuClzCaSdU6Y5YcvPzJ6UNlgQ/+8r +I6UyGx9YUk4GgnnJIp4tMqKySA3Yvkk4ya2bO5lF4YCbu6rmAVo0bYio57DmA2rd +K4Qcq3F7yN0wWOx8KmVAZ/zAkkGOJHYq3+7cspP2gecy7SXrwLkRRtC6vbG0/h+g +YlNn0c6QiV7fG9NJDeNbJjgimSfpWrdAwGbOgxRy66fSDzS8drA5RPmpXZjbpy1x +sfx5cTw2GQEOKe5ncZaSHcYmhql0z/d4FnbJw7367GOyM2HhVccMu5rP4dycnAab +9nMDtQjpoRQAtMDh9m4KFOLQqj3deK1JX4awAJt7rgyQD8LI+UYzpcmhZitOK0cy +4IS+Z9nuUqbZLtJXMwngQb+RrtP5wDgyEvWKVQNnO0eGYqQNvEbmwIBK66lELl/L +q36sfLyd3UF5C71b/Fj5LTA9qb5njVrLpKEgvOuS9exGN9fXUR9HI0v/o6Yz2dkB +q/cQEfAUqPMoBm8trbvtvWC2e9ZI33blrD7VcgVNxTABgsK5J7clwTgneuGUV51M +4ZsEYcXTm12aJh6ZQXzj9RT6NQJ+QQkjVZUgTv0tgKtPMjUFY8EzKoYmPIxlQ4pE +W4ugdi6zxeXm3RMG/4pgcWLnYpAgrv7/8IqmZPEU98IWeEtZwC4BsYjCvmmILZTO +fIk3VbMgyP4UzHGZUUvp470uh8Ys0XwY+vrFmPqRDbJ3J6SgmirJM17J3/II7aKF +O46JcBk/j+X+IAX8f6OG/NeSJujmzUI5ffzpm2FoKFtFRcvgK0e/bWQanHJFBbTC +BfYhS9hUGJodamzSd1SBeXvhzzw++rd0BO6aZjpLYkCK54cPKUxaJefIJ0bVQ6tT +3Icak3WQ7xryU3YUkn/RWdrJEtSC7c2bz8a2bka1AV/EUcI3tYC41SVSjkW31XJF +WrD7bEOiRW9oLNnIxEu5fNEQ22ZLO75GeuusQpUJmyRaDdAQ8J0qfKw4DPqp1HGt +9iQFpwP67btdcFuIdjR9H6jp1wCqKyuRtGZJWgWherusP0/OilVhUtk9W5xJw4R9 +B+bmx7gMaWFqmBE0oKwfhmGKI86+76mNCudV5KgkcWM6lTDhDiTRkXCcvVBTCgA1 +wG9VW+9mOGyixzSYqk2pO00qprCNK5SU318QaMs4P02Vs1YhV92uk+GwkXZEcVtF +dop+g8JRG3eUR2SRAZ+Np49eV67n4uqrcVxF1BcVqoV0QRhJ9a24rIzzv5N0qDUy +7wf5EKgcZrtmpz9P1vyj1M5s2ALzQBwCThyz088jSIchemAczJvI4NbX/WLdXS2j +bjhOkxixQlZz4RbhIhBrdx5TEhPMgqwHPMmqMnToMRX+ff4qqkySWeV9O/S5NHXf +j46KcKCPTj8czpdnHGXz6q4L6mCufymYNbvhTjXD77v4qg61BLHamqP8ZmkIiJm2 +sHC4HtKeU+qGGqyDYJev01irxAkIvVIAetPEVgsXi+ZQyhGJtlCr4L9NbB0+gBBE +i9v+h8DHJYW4QQwL6e1mszcNKJ7uKMtD7rA8QLabkUNL2Ud4/AKdpwx7KjnUUQHp +qPEP3Hz4wdD6/S5fG+UiwIMMGZKTfiusfZi9Dd0UQ6N5E/BCEkhNww5c/iBDMv98 +4f1rELNPnqD3lkDCn1N6ow0oJfm1oavXl+UN2g0DuJiGSqy3eUSGe7gU5c2otUOQ +rJX11Xt29nF0L+ivV/eXUMP+/47T21PLWNhta5ZVXUmCPl7Yt0tFl/4TcndOB569 +l+onhTec266wBJm8yD5SReFVRD5MUCktqumblILc/udtowSHvsNIv5KiXokS2y8r +Pq8pDxoa+JQr737zYiczpVxibsa3J9mYcFBXHvu8EvQJpW+sf2gu3mpAyraQ/PYA +msmJtJ73aalCQ/hFViyh/HwP8MHHii/tgkmVA0hWQDeqTIDyS4c2iPvOZhT6u6jH +2veLAO1lf7f8heU0cg62vgq51t9nTUnEBqzRAmzFuK53SVHjtI0RyxIwu9gkuN/c +kLxOyyfJFtMMEr2M+SBjBTphNVbEHw8ltBdmIoYl5TePc/Q8jkVPwc+Qxrm3XvMQ +3lKgK2x8tcGljU35JiqbnqvJs3w6oP7wILZZT/Sb72fWkk9Qh99IAxXyhJJgrUTQ +Q6iRSGI0HOSLdK0G4gR8GHuxBECV1j2jMaugOJQIZ2R0hWGj8G3ij3EkXURuVIDB +CJwfXdbotUuJn+OKEXNlf8otMOieNBSyXjPV43HwvesoTGhJC7QqJHNJy235/jKP +OrNt82FPnvjqAj5b1+jBIruxDCInCl/KJN/mk2lj67r1mLRhLhNohBV5YY/Xm5OA +FMsQhsQMB7m2zIV12HSIfXTeH3xDFCnZ2YBRQymLoyUchNpIyOwnVw/UMvNkB9fs +n8wgJFtn6IRn21c91ohJXA+3hZfSFRbyzpyDw/QesJMXJ3r2Ca25bNraEV2U/5Mi +QyvWob6oK/ILRcxRsCShdqf5HaCE4F5XNPZ89cNADDYLTdEtuaG2KkUic1B6fym6 +nTWLCbriA634bFvt5P3mQPxnn5Wy/7RNk/HPNLRMjcLFmlw2ZSnCTemfsUY6tULy +GKRy4jIStG2uLtS8kjm7UxN6rMwprl/NSw6HQRYMwft2JRJGl8nMyvYFJHs7RdSC +fO8GIqIDoLa/YOvUmEqkcxxSWpSXSyWbxnNg/4yyw0ZsVDM+659k05GTYVZxUUoq +l/ManOy2qdIowltyWE2pJ3trl/fYgvm13QxRZ7c38p07nPv3NGPoPuLYKH84WN/Z +pk7py0T+ll/vSfZW4fvUefJcF4qRuyJg3GkKlG4LU+dhqpefJlHOh0VIRfWL8DxR +gQ2+8so4yzVsfLrdc/yS9QH8UNEhi9gFVYOh3WxKONIKOc7iViuYn4Y5jx3/Ka6K +kznQ4TglH+a2zBYamF4RrRIUk2aqlms0khQ+EDad4IqznuDEoZSPWzVZXGaQSkd+ +VsKjPuW1ub2tyiUM5/4DYtrwufs8gfsJt9c4f/ndkLn6sc7ysV1IpuLQr/CVSdtE +Fx9f6s/ZdEFnsLc/79TVjKrA57dJBz2LDQvGeYUWW3N6HUyYSImyRuCb1wfa6U6X +GlJXmKOgcY2XI6MVcpe0G6+1HmkUTiCjzjv/hfwZPNRLWHhoTZtlwTUApMR0Bazb +VjfUWOB9pKl8WDXmVe5x93CPf7GpkFYYm3lxerrDPtzIZYUVtvSzPDYWGvEevhrB +N1J1m0PN+tl00+8eDXW6KWjYUqwMbOJ4sdHTZhwUBMkBwh3T4EBi20KZcy0mrd7w +VWB7Gcne5DBwGfFGptKCANQWUM1VUcRb3peUk+2hiq+fGRz6VfBeSzYFkhjY+Aft +WFx6vyaS19avj3fD/CCT9tzFlYL5qtTtZZOCRTRmX4i0qbZbtMIfQolAfWfoCttb +phgM9SB2Os6pUZ5bNn2YWsR8JeJ7u3wi0qQR4yLxi1vfr6jDs9/46BlQdhVV/XVG +05v+2+7qOq2mYadv79MTsHmeO8Fz8R3/MsBg84+Y/arqHm/Ae2QvpZy2u8xGUCb2 +QfMGWlmSTOSziXhblVMSC2HWlWfcyZT/NlP91cgLRrRd0flvvDW1eME/f1uJVJUk +XLZ4w+NeUgwj7eujbLOrKHdHxqhQMUVdEFSolc4RzsXpa9zqqIBoK9eSrBJ3+nhs +yEiwdlD/DRCvBZVepcHypz57Np5UG6Jc9T7uh1DkFXAO8gQjdtPvh89VYnc0CgUH +Uz34TrGTusTVyZ3gRj1D20YL9oOXfuCoAkn4Og2whHzBRizmyhAK0cPySckX0IVk +TeA9mQcv/W1QbB2pUGThcUtK3pL8lQuXih94UTrbiTxE8j3aBTba8X/ilEPNsdey +hBmB9ZWMXHsCH25UShIh0d0jJkZUhDY6tUePm/L9MLzIVGsREVvOCloHRooUGej8 +2rSKONFKE2xhV+bo/R19P/6qOdVqxl1KcKiNCwvOpI92rIfRNuCEB6+xs0W4rwgR +I/I2MJczaNpYYTbLUo1q32VCAZXw9DiAKAXU/ZdWo2dmAletzQkPBE5bX0hqqsHH +TXHdTwJbZ7s+jDmWhOKw5i6U+rplmYC70sFyEYucchHWelG/hrHW25nqcwzrfAHX +QYhgc4HB5WckxeEtBfXxuL+cQL7jn5XZlAl1PFG2DqR8lADwXhTvTrKsuahe9xKA +gJZk9itQ5eootU4xeR52AQAXCECpp2+OkTASfkwl6NNXAqVaujRAHqssLJLTcG9B ++79xnUqhxq042cMQKEhtIbou8d2Atw2BOR1ttfG5YVvxyJ5Ovofwpd3nhhDgDiDU +rq8iK7Wsl1defgDb1Ai8qxRgXtp2wxgro9Uo5nwKS0mu3/Ke5JDT4W/jzE7l+KQy +liLBZK65R7dnB7cB1DVLTn+eXoL3j24aMfMI9NHPLQhHufBeXe9GQZLFcc5nDB0o +2UiI/fK7bj8XRUdR+IJDJMp5QQGLlVC7EpgaiKmiSKO3nGn3GMyAt/4B3g6DxhjG +lRMDoCD0jUFfI+jQt2TYYFwHK/paJenhp3SA6XoomjIAzQ5JonykqnliQyOIHGt3 +w+vM7eiygxh8sgLShkCCPVTifUQ1r/Ma16tD4QH2fgxBZBOB12ULOa6nXGqE5hMM +3uoOeBToG+gSuZphZDE4MpJ0fITlggyj2pF4prfDiF8tNiW+QkYZ/EyvCW7z5/vE +w2jDNdEu+h+LWhyeNdEAt6Tv6IdB7IpW3FnJbMNmJraA/EeoBM97BqXR12b265eW +gqRBb0fnSF0UpGSmwtEVDkqBbBhRLpM6QDIP4T2tC28UMlNUesO/A2fAgNDCYyDs +Mxhs+oFk7+Y8BmuP5Dr+YkbYOydYsYIkiMvS5atVoID2oXML+HFfTzRfmK3im8hJ +oPvt9dswGKlIwmzHJoUVmX2PzdXhcHujJuz1ihZhyqfX/AG10t4kVAHnx3Ml39zR +PZSuTtRC9zd0Rs1CnJLkj3oNEPOTtzQfPufSvwjD1ohSHsEfpuzFVLUTtUg3dd7c +g7SAfdbMlEG6H9pbEqRzRFtgH+4zi23AaNGqjMPdVrO2KqUxsevXyO4tK0EV/s89 +Xh7qzxtEpIplXvb+PI9+zejnk129e48So8NrlniGXNzX/Gcbj9nlXvqOZ6s6TUgQ +32K5BsRL+a6z5MGDDFkY6I0jcNE1FqpUuD+eTvxB48dhAqAmYNGehenejlJMKbIz +4LzQZcsQraO6uKWlc5llDw8izubutVGUra06XQobNYDTaGGSZObxtgh8IgFe+U1J +yNJbfrVX99vlNXnXeFP4NvPtpT3UCIaFL0QjeAZrB3hh5oUlZeIKHya9x4rqbljf +Gc4cHWtjB5fBRVMGjviapvEekjZCiJE94ZzNONE+iw/RHXc6EF2FOUNJ27qZjwZA +b0VoHjNCKU6HY+kyblxhjWx1TRGkbd+d6ZSM5hBDJuJtLKHvLFAP8RbhSWp0Ak34 +56RPFzCBlI47+RFFM0Rf0+d0SoKCtBggrHGJdWq7t/NeCU8c+RzrhAcxnjpgHv5+ +KGM/D+GcrLkSCnAq2j380oz/pWkjLL0us0DuQ3f3iJxcQUK7xQ5+Y6wG625vh+/b +mkPrndE1II7KDr6a2r0KO2ZyD2NpBaXkCOlY4/HcgYJCSf8Ex2H7t7vesEX7HaBV +Anry9XIXqXXcUl1UbcZqm4R5vYkrSGSKYRYwshdavjm95m93tsIqp6CLOB9WTYdd +0tFAGTlRlTeo6p5pIUn1a6JJbdwpVgbkL26Ek0v85VHBjVO9MybBQp2b5D/dJZGG +DFGJa6i04Wqpkcpo6HVcnKD5nxLK+jqT7iXgZPG21/RKAXCXuTmoKPhg0eV7NuO8 +MgreoDM81P6lbnAJJAgIJ2OmWcO15ugJqpINCziLqVPzHrt8jD4Mj56BaTXTAm+V +v8Ob/T1LHc/o8TyZ2o/oBnG41faumiReQwqASaB8iQxP0MpNhwjriSsb76HLNOjF +sUWln1K4hi1LeWQhoEswkfdS9HLjVfMzuxd0rYrGok7MatigsOfrfKLtvKUN0Iyk +8V1GOshdJumhfM6Uncw+mLO4p2lnTIvzJZXryZu+z4ATMWlC6iq2H4D3Artx4CiD +uOZDzPPJn5KI+zUWxxkVQIhKPkglGGJ8iziI/xCS7V+PtZXCGgh/cZ4T9CDZN1YT +n8GNXNW7GFcKXM2a4NJoUMGu0owsOHw7kYbb8MKh/HUlTS4vq8oxTR6ymP0rV9P6 +9WZ+pLjwWOEsSuWaV392Q7O6mf4/MvbM8wrynz2eJMmsaXYT6bv0KGJga++ukWQ8 +KTq+hwAcWbvU829Jp07rNv+yEst5xsJKY3xR5QT9GP4PKOmIMw/4iMN0azh1BYMS +14RDQ23XtJfg3hYi72rd9EB7/PKk+DTrKqebS3ponz62xm3w+VYb1ikyNhm+oo0K +hsGgaRWgLtbXDl2/kn5Y1mU20BHRNRiCZojCKv7i5Djk3Yyh+/HbSalvatRlTngl +CJ7yd+hi7KWaB1X6yiI7ra7cVMvyUobeGxuAfWievR6pXVWFrKu2c3qv1RdvwTBM +TZpYEC/KzbbOi/vgdrCvAw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog.sv new file mode 100644 index 0000000000000000000000000000000000000000..2309672b77ddf40b1462ae30705167bbd20aa885 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog.sv @@ -0,0 +1,185 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +Vw7RRPXwuEvsZ6DuW4Ysgo70e95ei/v369MiAmAr2pgYm6K0JcyF6+hATUl/+pYC +RvaIfLtmYJDpZvREg0GVqV+b94LpAIeOSlyvQV8EYRz5gddml3kBmlsysImGYay3 +E+gpfgHhRZQOG/0r4iTaX9/avJg7er5L43rkus0ujlY= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 7136) +jJ5HfvwTkMdBMCRVn5HDAITZ4kYZMVArtG/NpYgHR0paG6wakPYbzKm96skIOsRE +gdzCzAxsuJFxKVmZvLjGdTyNn+9r8dkiBdUJXIoGEDu/W21goC3KA+smaPV2RHu9 +2SIvjT//5uZnx9N2vwDJ+8taaSXnXCQjZLMGabafBypQC6Zt73pjp3RfWziyAmx4 +U8gMBEwjePRe/fZYp9ZRCMitdLqGmdRyR4FxHsgmdhj0ilM8gL6QyABa5TMNDn1J +4SRAt07x7jgloD9FOYBom+sZhQyRHpnR7+pLSaRLOueV8jS4MyIEO1YLmqH9TLbe +qngJPZgOmOQJ5ZhSoXp9W2WmiMIdXogQ183ArE3Tfmkp+PEWWcyNzdMvtBKnTMXw +J2EvmUGRdsU+ZcyjmO3YZJi9YvVnrf5K468RPvBdSin2/yL952XJw4r/WSw2w13m +jUK1IyoSqj6xJC6h8XFJuIHRzFR9LnJn+Vog+devcgNBUKnRKtG48KrdOdW8ID+k +BfFdPzictfeOh0Rava/Rs7moD6CvmM4wyP58D3SdzVeEiXuR7RHu/93oIh8hDCQC +/sfYuDHbQO2IStYfEM53pDPAFteJL9eSZRujdB3LD3Gbvazxc6/xC4JB5X5F96NH +sfj28/jzfLkob9hZO8Az3sJIlTWju0FkIQSy4PawZb0dU7slB3isWrde23nwqA8J +CcDsC3EBcZiQqwQIx6eIZJlzXi1aqIzxnwYa7oP5lLLqtt+9AWto2soujDZBg1Re +bx9U42/5bwzSqsUyXPJ0uV5ZfyauOawpw+ElSfMaDw55ttfXDpLYCneOp/KSzmGo +KV56j7rdDuOTQE4V36KXc9UzgqL5RxV55OX3Ajflc/3nWKrKKdA5++7whtvBd60v +eSmYYx+oSveCdYM3LhUektl6qvgNu0lSxbaoLnOfdsKX7uC1UwiF3lYiXujRMKRD +5kUtq04VvJlOyU/0vAM8h+tooVBBN0CZ5unlODsrE0c/aUZannYja9va/ptnVlSC +lcrKMFq5jfAGAtuuJUV3ZOWs62FqF7QPtblf6TfLX5QxAF+jkXJHj9V/uiHlrp8P +tVqMdfHRjp+oBNZsOxQ5CmgJNwt+rdUCmimfgNWKQYMyspX4sbnfQkW4U2Ghu61/ +C5GlluhlA7gogqejEJV9UE/RPg8ptk6A28SDTOHB1+hhUv/dWsziamDRNCHQEf0j +GVimi6syHtPDpO+Mh1w3VAPAO3CemdisksSxlSKOnBCnyaT2Y3Y8V4sOUFY6S6LI +5cA7smcLyta83T6Wyr/kMu7i9hRNiFQj03AL8qJCPHe4seJwXot5hKMKuxa3X+Pf +LRAlK+2NO7gQWbpc0e/a35UVNsaFM82OrpanJmp157TUm1zg5qbHhzu4eF9t5Abo +Jd/YpCaZ1b+zEI+qbJ1zng+1ePsl/OVoJUTFtuDIHNiE4ecyD8MlIc1u2+HIWExb +rHnSmkDIP8FoB4UrtAA3TboaJByc6LDo+P3LegjVezrtmS589qFaEjdOoS/Na06/ +c6uOFjKwdab81+OTwSuMaG8pyxeONHRr7tiOEQfqwDWAMCB6io+B8qExype70ziV +dLZ2T83qGLRcwosjyFgmp5TCHl1EDQHEiIEt6+hVTcJ8PT+XSWgddUd7dcYKgCaE +zqEjE/p7OmIfN+ulvKTuzCmHhz1DoySPIGkIwu4uzK6Hz6AzH6EnoSGjcmnZnDa4 ++b6Gixt6AAk+/sMjdQLrtAlTGQfaJXvg49d702nU8LxcTZgn5V7KNhbdJFvOpQXt +s6bomq0Od06euwBmP1ygF065ox4aXB8O2nMUJm3JNQHoimXleSltjGa/U3kVxkY0 +ZI6JULWWMDdS0T3Od/YsGYFyFlU6bAuGClkiZiTWRiA9/YZVyrikdG+Vy0Dt1E4y +B8zwS8XI7sl4M0yu0fxkYZ0wz88q14VZdtenQlTxto+mW3xSTT0wpBk1Q+pJl6/k +ygn8esIllZCaTmA/Z9iMnLulbXkNgx7ZE5xDeF6+WiC84JOZoe+2APDtSlVgv/N2 +DvfjM7mlgkolIYU3AphhkuSbyKSyfl/Bx1YdI/ztXEAVVpnp7aR2RnzLhEmTcsuy +4zMVjqpQjsbupfTCcTMy4rJs3i4EG8Bktl0dUvC5vXXtsPz4yotmuo5PY+Y81ZuE +LDHOMKarMjDHtRGZ31jQ/RYGrTbm6tgeg12Z/5EuER6K1eDnrGndjy4sdL3072Td +sQgBS5KY702/38Yw0h9LKdoKrrBg3F2afE9U2uGmnilRSJC4Tne60B2F1omqYtKv +yM74lw4n/KxGPyO28HsqYwMZXZ1WuUKdUMQR9pxjMErV9XQCvOmxDR5dboSyDZUB +WrNlCSSDijK1XQ1RpwuEqVUn2vS1CCrpJ5C8y2DTqSqo7Ov+SxbHfRnIc3ugSerK +deDpYnpp5wlF888mwqg9QdBd6nNS9XPKOAsKAxUlgduFw1dxKFY/3dCel3lKCvWy +lJk3oXKEBSpNb4R3whrawsWRd3z+LU2xUPAphszIcP9afCqC0FAVfD8Iy8HHtKp9 +7FfCNmlxQj57Aywh1XXgl2zRgTpzOF/fTwK2i8LX2RFyEy0YePQt+X6f43mksiER +7efX7z7cidZC2agGkCP/qUSre5i0s3xLsxCRM+yCX7LxhBtp1E2/DHQ1b1EWH2Bv +8X5jZs7OGUUsRwxIP6iPR+qLvqWT8+7Pf8kHW17ckBq8clhsFeqiEZ1COaiDCkMb +mwquoWh2skdaXjdkd+ej2G9G59nO3F5/zss3f7a+zNTQeQyqE/Gg+jj2OtlK6ad4 +gfbrYnq40EfrQTTD9izKdx0FEuW95mu28892v3eqxtwfy+39+U/1uMev583KSZF0 +vqoDcRc0+WIAfb/iNeYRzeAtKJ/R8PnHi+gK/Si02fWXF/y+ZwaKeaH3eADNWgM/ +oTX50L0GMullp5Di6i2lES0kjcky4He7LylOQOxYfkcwh/Y5ufrSb8vK38g3IXkm +vM5T8uZvTiQf3ICOXiZIFmhrwFRW8FKK5fLdspcKu26yy2mg57wz/3oGx//GK8Xw +kCBny6SH76wsP0PhVki8AzKsJ3lUEmoQnLATraCi2Hmc2ee3s/qAoDmkX8DWCgRu +HZL1h6hNrdCB7/URu6YfeOL7eofsXHEWJx8Z05v+jV5abvYjk8NFUWSwPNrz3sIH +gdbgbjnlTTpQzW+PL2KvcNeBopUpH9y1g2QMCI0cPIRSHyHtQePc/T3ytnAlF0Uw +TCtu86nSslE2E7YkzUyg5qvoy+0g1CWVKn8HugHSz5cFL8XSgUdSmtA7p/heJyl5 +WV1kASCKalWHzGG7RiMrzRL62vXXDX+afR+jWveLpbmHh0+2j4fR8LUCDqtb0Jlx +NJKTnkAIMVDDWQk2E+kRDVhq/0dfBwz1iptif0JMDUx8yfSfkb31hrSYxrMiaoBZ +/O4cEDNztefbK3HYgej3fwfDQg3dpKzYqT2MqfntR9ksxPSTmX6jiiknfXEXfMyk +eL4s1oq6vPc0yqFupckvnyxfUalNS6zES08stDpHfF9oEXbOtSIuGezwvOqS/ajH +GhlH6esDYd+T3GvH8s8UqmLGEAtX3O/PI4nWUp+jcwY/dRy3lGQ2lT3WEPKtncj3 +VHORi0Ox6d0QO4Ld7P3vKow6MJW2ZdEW3Nk3GKLehfY8NXjUsp1QwgElKEZc6cTk +0C4hOYvml2gI7GPD46J6X1o/vClbid+jvj69crT0UtleaQ4B0w/SffQdfymmbrFC +1tKLClQ39YEjJC9/0p5qzZLaWZlyqlTT+5t3AGD+7qF2JHiyd3Mm1uhCRG8hfCJ+ +JoVrVfELWUumdpZiUauRsF5588rH5qaBq548sQANYpGeyFJv/es+YVvK9P0vQ3Tj +FnIum5k5+eqsphphrCayqG1Ooi6KAEHoPGdmN1Oyluhlj5mNpDDDWIM+/zGMmwps +n2DaY14fwm+pDpcAUls/pBoBuo3TPPF08TinfbtzGyckuD+8UBD+QMSpvh9vcsan +UQUqupCOglgZkUfh4N8d+qMnv3s/euKATuwlCBHCyFiELOcXadvUFvbwNUwMeYAa +kriDNY99nz1Fyz/F5ofW0EeZnwBbhfz8wsWieS+xu0fN4kv4Ss1oaQSuZfywu57F +V9NIAT+H6esckShiDgwH4D76qdBqnX8d5y8cCBGyIeC7dwbUR/XeylPv9K9vy43W +TIYr66eN8QS/ya5Y0vnXbuP61Yxdx3v1k0UDrYDMPOf1kUkB+wpE9ZVvwBjsLD3d +6GTh1/1Hi0qs6tf8fGSOoRn3UkTf/nKz3I4bvZdZzGMekjwZ+4i1xlBlBMx6ShEf +G1hPINIjimlhfPkeQNi/WPRWC8mtZwqGJxHbiyo+6y8Vmh0pVhtE98XNDDJtcvhX +WVsVuMc877FZNiYl5j+UXgBO2hGsDIF4P5uewsxm2ZC6RqBtSpM6GYnnAyqUbCzl +BZ1Eu3/w9TuO53O/6zRQT/IsyR1g3L6vUGkC9FGSOHtuPhdkQ8tZgc+D4wgvol4/ +luKHmqGo9lJJ+ywxvdjWMCg0tSqAu9wjWt5ifAWwopJehk1hz0KnzK9K0kyvLLJp +gLoRPEJpfP+C725IN/VA/Ykat85DMS3hXWunr2U97vA1F3QuiB/QV9aoAhwipn5w +fLuos7Bwr0jgVDfa+WUqVYd6DfojpJpjUsVZjZ5KEQom8UJhKOa2fFTi8JWO9zV1 +XXRvk959m2CKbpKVJsK3sTtdA86uKooKZpUPZ7dKj1KrM+VOx/S5qhfQNp6LRLTf +EllYB5CzMrEO1IUylcq39PXkGXlv4OcJzDLgXNqY2AXoXn2+wXCOk0Qreiyjsg9q +TXuQoy7ymZhRYi3pWQadS9cMz6iw4rD1ElS5f4FyPTMxeLiDMgZnh3z8huWaWqoy +JbWmmFqoqLo9q/FPfYVj+aFVMdIj8VeMFlLV4ad3VEwIS1aRSOJlRRjaV3ewnf6G +vWrzBCCKMdHLpnOHFGd0iDrHMqwqx2m8pQsphHUl2oPvKIBswcmbK4q9mqaOij3z +wFmy5iyaL8mm+gba6Ukpcjo5/AU+FuE1jsx8ypkuvRt6KhJW/Oy77XSUyb1LsQhG +Kbbbuv1B2vlZfXm79K38D/bsJKXLEkk0kWpu8G1GiB4POgBIJj23x67e7FPJGFy2 +EguBg2xzHvMdiDu1S6040X+LYbyCify8YePkswZ5OFqx1tr3zbvfW/91kU+kuzNQ +fYblgbvJ6wh6efW0l0wd4Rj0v8/fbgU4td3s/UaWwcgF9zKXJHG53UFbhsggb8bS +ptMOfvD2lTtorvmAj1Wh2+ZAEmzP3Y41TE6+rVneKJol8fB1m0Yw0/AeLfQJBqZz +ZfFbR3q4ocgCCprMAslp4fT6WkPo1h6c8S9alUw33pzT7x2ObvLNr+c20Alq7LLb +OAmQacHyZiwza4PKG7u4eGCXsv7KkY71ZirqffSIYNdcN7IOqKIE5Aze5PgG9Cx5 +s2DQzENAbrLSyHBymYV55/7ZF2I/QPUwwZWyOnoomjbnBHzSKPzIOaWiknxGmF1A +bSs5yxEjDfIt03033UN0aVGE/Y9qbIfMAslYxPTZ0eRaCg85RzMdxEXi19XQcsnW +ycfQ+U8lXgCtkJ71oJwaiQY2NoGQukOVsA73eL0Kcoi6Sf2gCSP+17k/rqLHaV9l +W680PgeUwC2XmRID3yJDBqjALLV8CSiiMxoJJ+DH8fuGbJM/sKVmuoXhNWwcrrEz +aN+7T9zXw/GAn8CBhRDiqJ7QtNaTP3qmop4ucvfKsfFaipxVeyLW65KRUGp5PzLu +5y0a199Wl5KH90Yvud14sLAEbUZxb7MzH6JH41ir6ilEDuXoqKMv2EoS6GhPkmgh +4uotGpQK+ZixIHYNkjxj3jZeYnVzRg/TCWUXCl+2lGWM1dLzjwMVSYrG6RpNlQ24 +EGDBPidNB+KJsnaMYWOI1pycphAsRKxgWmUTVex3v0VAGEHNGhE7WRUxC+9Er0H6 +BtYvmavb/Sh0kM26bQPtS/EiYlvmRcx1MXDVsq6ac6+bm6Aklg8JZINNKCWPM5cM +3VdGelwE6VKmU0P38Q7KRuDn26Fxl2t+XpfArQLkMJkMM3bYrI49jEhsuJtp/kzO +n/Nfb2VH6vgb1Xq39riuEr7G7hexfeUq42XH5qnMgc3+oo/fHDOKriGa+3uiJ1sP +BxthfVTXv2W6Mx+VwurakMHBDE8aEuZwyim+8HU24fGoG0tFnOJ41+TUMF0TIYiW +g66RbgMRbD/SAxVc3OtdICvw7hZ5lNWiuhJhmTtycBNObYMujlcYn7hm5NMw7Ozn +++0Yx3A9bzZxyMJgIVb1QQ2TzYzhSWiv7L5YJCb5F4y7L99DiFY0/3U611b09WkV +v+KcZAaPFujqE8Trv1LAx4JNwtvd69num5/awpDf0hto0D//RIZ5F3QQE/SjZAYj +6pucaswe95x6KeyKlZ9ss1MOrb5dv4jb4j7YqAwa0BklqivclHMrW6ZWYWdIC3rs +pD0x7P3h8ZPJYk0fyHuG9+ebmPVaDLJnbJAfpKpfwcSr0+8InG/OsBMTDu2+y2XO +mpGBYAXYPaojh9lPqrkZcKleChbWJHKXrZpHLKs6nMhX/vqqGaOcK/JykMQHuUv/ +lrtwoZ+STf2qYdlb9QyRjt6YUCwfBzw/Tkk4VuFga35SUOQAdiQd0td27dHvnFTq +j/UJ5DhffFAS/zhX/eWgKYAfuFg30oD53zLidhrBRTW+mWF1YtUIYwKuu68DAtxV +F8aNRoM9DrdoXzQigcb/HiRS0ZAl2QCY2J51+ULSyM7Uu/hLjBLdPV4WJ9sPxoj8 +vLKpmDE6ULGxRpa1ANDZdPx8Uhce4u+o/GBk998WREn5pi0q8wtz9rFp3629s9Bs +cbfpG5l08TBq1Z8sopO1uGLoIsS+rTO4/pOlnP81g7U+hIc7jR7UIAoPqY1Kgye5 +I3IlyzFjCrF37kJqOFsUtHTxTsnOklEJpmY5zjseN7kveOuUiOHxo7jo4veWzh8n +2svT4ElPJXOfdodwzlrPAo36Y3kJ63tqiEat+7rxaIVxqMIW7oygxuVK6JHYVwRv +AvGV3NB16N7RLAKQR70wpyFQ7JTIxHGmJgNDMTAEbjNqpp7VK56K0/T6O0zdnlIT +98uGLYBYp4VDmEJG3JlkznOm7Yr0GCQUwHhSrvtYI2XvqXsRc3omiGakLnPkZijH +aWUcFh52a+R6uVElUiytx8pEiTYZknZL871luOuUVlSAUrYaxVfkOMaaN+Kaa6qV +9AZ1//f4GYCEWNFX0FZfzjdymC9RrRqEKDA7YvpgeL83jSdo0NFoUAB/sqZ/VBGi +xdj8X10CiIWOXn4DvVTten7j8h4cD1SHVz/zbCQznczoCL/IJO8NMxDIv+0YlVDm +pRFa/DFcJH7HDMkgKCULKtxNk4LiwdFde4726nnNPhU4ljyVk+P69tXA6DDTRFbs +Yv8XfSp4xV5EGsUi8k5Rs1H+3ZUETGhcTSTOIsTlwURcOwqg1ujYXy4uurgcIvkI +fJC2FKwNr4jrDbEGtmB/ibKUFtBfm5tLXGvO4EjcUNSiTSXEnMihvFHfGL70iSta +MKWL1XtrHCu5ses1uLv9dd74PhfMuROVJEIqeckNXkGLeK9ie5+A0JlmteITaG51 +gYO4CJy4UP2ix9HJev5QuOIGXtlrv0QqiAU+NTzzuVsljv/vJ5u0fLfQd9bXPQ6n +zOTg3ZKEDsDOkYaLigX1/OyR4ziPJVg26a3FCJ0b8TX0sn/zbaB4Y1BNOQeeN6tg +/SxwulRX2Quj+BIvpjNQLoWE6Y6WAGGqx08BHgUouMTxdYFZSQmHwonIywA0bsRZ +6jMfJlPw3TyD3sBOdPAwgLnPDhEsvlFzWXj9nVIfpC3Qn4dVUj3OYCLfEm4zcuHW +7AH6Wefnbz+H54cO8wu2JDr55zmi4HpIeWqgFu6aVSV3lZqd9xa3xIhLt/4d5k4L +XGD04qm7GIwzTHHPGKyt89uZFntVd+fVSv6u1IAqEpg13Yt60zGlZ2MarOCSBv84 +yOTJAD0KmK/GYoc52lZQoZfqFY8vduyhts1zuRxVVlGXbeKFooISUsbN5UTt2ucI +qxprRrM1vsqF2FD5sKuHdyjunF0y7ZJ3RTOS0KpOfXhQ3o3rUtcJ8WXQ9C8jkaG0 +tb2ZlrVYxYuhaLAnJYAoqa/T9ZlRC+hximVvGvDdp5egeLM0VdY3Jju9jkitMfhQ +1YRNNISdYshV9w3PRXQWbkYUuxTbYs8bm4aPnQsQYxZaRZV824yvQis0joA2a7n0 +k3lNt4I5FHailcXm/44GAvzb2vvbC6og+jZXQ8bNg7CLbYI4xTqQ72TtsoOOT/Qt +mmUu8+I0QvooVGxPm7NMTKMm6OyuNU2mqrQUHwkA76YJDsm0SUlGihlku1KvEtJU ++4GUHQYi2CTKpD0vwroGNb7bGRzJiAC1RX5VP7w7th9kdAUGIO50ruoRvF3VqH3g +JDltPeEpMgg0rl8AqWiQFFhvQLIwnfGJynztXrJBEK1Io3+jCKXVrik3xY+1/nnH +XXC8Sc7Fa9ZoWvAIL/pqgEGgyNRQYxOoYT9XIHMLhun5YLU4QSVBhZkz1hEYNRC4 +hW0C0uISjOUD3yFVy+wfZxU9VeeYhyYB55Dgfmg9K798vs4C8aVDtpWWwZwRvEHy +1W9Y/1X08tEJHg7HSOT4P8RQNOq8jRLGlfPKQ8U5cYDDazSkNZlg6BVUULDdmLfS +oyKMp+l8ChuBvhI6ldFJsGDMxzytTjuet6DmE+vXYRINQbGMJx4fyUYgMb1FBe5w +6vRbm2ddQ5a+v7+KGhRrTaA6oEUYyCYDHoo1KMZg4+l0f2fopdXyjCX3MyeHMh2w +IgKxdQqT+O+FWZ/xd5oFgGtAu0ZoDqWe9TFBv8XzsYh0YWl83oDPl5H4sTM6HjFz +RirtJWEvsgHUAkNp++OziLO909U79uwyaPIwfZ2zu+poeACbWl/KpWfmroZ/MkTz +D6dG0eRg8nB5x+FcrV6DtHiZMN16dXArZTGEoJ7b4g5yqS6Uf6YLpD6eGLAyp63t +8Togg/QaJq7xumyF/H5XhCUXcZq15LZcpxm7GhQAnvmttdtc/QZmwbD5OyQqUmrZ +JfrIjfcWPTuySyR9oegUrbRO0pjCMp8xRaeIAHaKErSIat+zUSaloVIl/28IFr9z +Fq3c2ivW6BSdENG75MgRcd+lsoo35DyOyFttbBIcHo4xrETt7o+U2nos+Gl6Ziea +Ma6kSs8CRNAY8Qt+gSW/wQMm5UQpdDEg6k8jvZFJ2B568xo4aBJl+znsUxogyIKU +xq3U1guzMhPIx6yybcnW8slxIoC0L5XUZAUJP1+jjbYZ+P/klBmHehBwlx0xrsv6 +PJ1ZUP3LjSRW4KJpLYF2vl6JjeAARxBLyzAov2aInx0rPmIA/pyLx56tzQ3BDqSE +Kr56imNwFlz0yVhuaqH3mRDnKpYvUDeRYslysuDxCcY= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..e586ed1dd708be01feb654b2d017ce915dbaded8 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog_tgx.v @@ -0,0 +1,795 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +barXowpsF7MoZWdH1Ii81LrN1H72GWBCf65dTKxZCzbmHnRY6p8J2HjVIboVzwni +xWSA8x/33y5+tht8A0rfGbi3HQAUtwSfk6nLy+JCZsI4R/7eAft4TdpUTzwTKNh+ +idGnFyF81LXOnIl4SsUpHRnsLw/lduYOy0t+iYTdjD0= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 36432) +/d/sdnfl+IQGfdTBfwx/PjZO9YFiiD4Gni47dxu8L8tzbsxzdTr/568CzDDMu1Nv +QmkWMpDbkGCUTVDqm7+ErAlkB8h0SAftGXTjbwqbYfPelVX9of1b+f7hwM8S6KuF +ctmg4bT3hCAGAsnyifjTteGJXW36oSYx/gUGZxeSQgeCB7qMg2sQOsTgB1tk9Al2 +W9Z9KyJrMg5+rfFtGPYKnDMMfn2B13BAhttjdambuZ9pW9oA68a6NXMiQlhNKDf8 +6LB+Abi40umPJ3pxJTOD8qGZ+ogV1vKO2DYmHxM2b+fMmXkenI+gsQC8FnmbaSPP +1kztTmaz29p6lF0CyknleszfssnMuCnj8PU2YWAcUFZpcN0vavip2ZuSQn4RK6TF +uEFQihUEti5W3O1M8oHhtapvJmMK5ShzL3na9gKANd9RDQSQWMcyZLQZi/6ghksy +OYgEyYYZHqAEhrbO6tQaxJPaAD/UC+lcPQe7V3vpo/JJNCs3ertcyO8senv1j3X3 +073MN20YsQjFaIrb61F7Ej4pBe86guZBtoy1UZnZ6mqC6OMafDF4+s4w4LHCOs4j +4w9qQ9iZohGrNZSWGidYIhj1+NT2ttkMGM2aX6JfToRLNAN1KPcWHaD7Ta02xZ5h +C5nx0prqKuLQ4ZOw0OOKN4ZGsbhs2uIlYjk614PHg9xHCkpjsAxqEjuDWJOzT5eI +y9T3YuC1lFQjCfUTEwfY9uO8y17TXCtQLoVC4Ycfkzm8lsYTgaS8ntGgEFmPl/ht +nGhN0+jcR/5FMLa+Z6sb4hErkSMRzPeafdGMQG1qCd2kRc6WjKBHZO0Pdg+//v/D +6likOnZoaFPI8rtf1LIMyBsQMCRV6QQtbzGoSJU5n6tA1xMTNW3KjTM4FLtckXbP +Vh7oBKH0VbjhNid07zfZyEr8WNUTkNCVsA8jbvHvLnrMqawn8XvMCr2sCA2PwjIN +fOyRv4Jt7roC0PYS9/PFI0CEv/+s53Ea6yRqMF3orK/LL631tdYiZmKcZfcFgpV+ +xn16fXSMscIaavmfQqvNYU4VxdGpY6P8tedsoUMbEHBlZ0bM2bpeMgEgPn05eQYO +YFkn7JXNDBvwNWrEM4pLPoyLXviUwTohn4Gz/LJxpiUHYPcRHvWCHTNuqgwE24jq +d/xsTMLuqUoTepjxq0i52PzBjRkkIVa+eybbTQULe58qJvWRbEbUs0V0at17S2+/ +bfO0ncGbmJbsBLT0TrOzg7qe4KFpJ1MKoB9qbDYTftQYy7RtbjdL6M9V0MIk93sM +NwUhr53pMBXnQIStE42gW3IL8XghSBOEZB0Xt0iEnr7V57FjfIQRYKIQWN5AWmsu +BTFxl/Um2BbWkc9oYc3S1t83YkrpAN/aby9jEk9uKANY8PymWe9rn24tLhAeITR5 +reLRifCSOXo+czMDeIuykQA4CMoJhkZXgD0AEsm+D0nGwMs8UCKG4gRVsp/WazSt +GwBPUmnLWEFX8NgySDUuO95VjpdFuhVGSUe544g63ZqgXiRXZL9k8IqNaYxUJjgt +fVKMk1zDtI7YFPjrrSFw9Mh+y7nx6R0bQpP4dhaEpJbFr0G8m8gJIHS6lMlMp8wV +ggCx9xxNanukb41Y3a1+YeZiRGHkIteUJ7DT+k3XC+3TqazDsMjScABC+OChx78I +3gAE1GByQWOnA32Mpn3T3UZoHpiD3U4gllE2iPuSQOEfO5e8qmiQAZvpijVjaB5o +he1pQWsxPngVtu4j0+8kpPhfZJxY1yc/uz20rZ6S2h/Fg0JfiP4SUpgHLoLMtwSC +R2HyX5OTHAaeoFb3xCRxQ056Fy3n78VKui+9Fldet2KDdx6yEHz164ypi6z2RyQO +J6neDs9kIJe3epl70faAGW9kNzK7ummyCDdYRblzBmbxvBBH5j70mPad64+Er5Yr +LV57HEomfZbtJeu1+C+Z09p+vfpNPoW3H0VchscA7Ipde2nTgp5fK9Hbx4qqcsWu +nBVETpusjPFIPXiTxLh8wREoNZkY7VtWCB8lXBBIowNpAmGT++5GInUVjVqnbupm +gyVurMBqTR0cS7YzAFPINpp5ZjjVQsPoO8fZzOTdGrdF1/oImWnvgDqxUApJW+Av +Xbyy1hdCvwqyGB/sTsP89rkyDR2s6+HWFcZpRkk+npfRZEm4ToGpzR3H7isJXwJX +gdfKFrp/LDlrrL2EaLLmd9nYSwAIs1vGt1O+YI/7V+Z2BnNYOOMYiseQRvBVk3gF +75EIpM8OzWnCnIcP5L22S3yVKHNJzAffWBXfGENXAMp37m6unP4cjUFHj8I2oEmd +kHq4G+/OA3/EnkkaSLQsEZmFABHo2lbzaGmCqitOUizt8nShcqt1sXpj+Csw3nmy +AQ5vfPfLrxq9VJ2mnxBY8ufAliT3CilN5dAfOUWkW77lgroZ0pWJPMk6jDmi3YT0 +xND4paaNGM0g/Q+uol3rmnr3jkK3yAHlMTMVlMYBNX055HVLO7s7c1mnXlR1BK1D ++j89HJP0CJsHyU+BFlLKwe01RAjaUN4/DbqREUTFW5rYMkwCLj9A6Dm2OViYiaZE +lclmiF8lEJZyWUFMAoEXcHMGdYaeac0N6vjFpqe/Pz5t2X7UwuimbG5Z5clU2izs +A0nB8ydKJq+u5+m9qSNQOppe34OlDHn2AJ/aUw1FMmRKZq61w2vkvLkF4i8zmd2r +bFHXmVOLjs+b6cBi8EbjD3JkmGn4o8TrRIKha0pBKvlfHQB/4g35BYJGANBTE0nT +L6uyepShL8SD5BQ74v8j+r9jk7WFw7lnl8qgtX+ow4louOeBF7aQBfHRImkYRERN +vELtkQBFTNF6xBGXumE9qI7G9wWzBEYqQL4bXefAatExFSLDQa2aHEVcv+DGcOCU +qlS105kViLf+yNy2Lviwd3ECvjKnCHxRWlAfcQz7vFvchwJU+NTNxKY6rUMdzoU3 +9kpRX7dToHwlcIIuGGpv2aH11led35pOQUBn7/PQW77u6KJXjgC3bUgzllJkZvFj +Dxa4xi+xO6WKzdapmccSuq3TUoO8PrMhetqEHiZL8yxz2hWBXD2ta/xVYL7nXyZ3 +lNudawpWXc9qpFMYZkUHUf71DPfI19SxMOk5AtW/5knrDD5ZlmRCaw9RnLjYv2lD +6adIov8x8yoLPUZgXflMewYNeqTCZo5blsu1Z/wsYaElD3H0L5AnKB+NYz7h+efX +AarbY0UD94snWxHNj55FdzTf3Et68/dtUUq/kcwNQMVfroDiN/F/zThM1Yl+VjN0 +Qgy9Tdx4TZuKXV3wGYx0ujVAFHD8LqP8g+CA05FMzIa1a5VQ+SqUdw+1eCcTChNp +pMl7imSPatdM1Vw5Vn6meRFxzBIco0vkI7sypJ/NCURW29kyd9aaJMZc0JnufiPY +zXWdUSKBS7eE/WKmTh86eFSyO7e5aKKP+XT7X+4EJ1caj8/2LkNBpsLKIByRchE4 +2UZZZwoZl+FpJfq3Fj6oj8SuX3gnFYf89GgSs7DGjK4Pk1WYSWyuueTstct3Uo37 +492pLSRPsvUOxH3bgR4Ll5/GJzO5SZ1HyEfVXAJX4A3ubOWxh0FdVUwFML3EbnGE +DDA4Yewtxx8eNAyKmV5snPk+yCTsmj8OiQ2Dsnqb8RShUHxi9sCs0prmeXfBe1He +uBomUnalKdo6WdlUe+ncnIs/W37DBFaAE3JelJy373GQ356Y+0S4nHVCpomdf1pA +Rc2Gg7T2jGXKovlY4kvG/CuUh+azGsxOTaeJPgl98nYeZXfK8ilieDle7KMaoqwZ +0Cybi8GDc1fA+citeWAw5KBWWmbf6hhf7VbwdRNH8m8N3CnRWX0KDyFQUdd65Zvt +nXHY/FS5o2CGv/PeH9MBk5sfeJ5DN7FLmLalXvhIipUw5+VvXI3f6cvFmsZ/o1Sf +TNUhYKIr/JwWwVjzdvCiZHG8MzGBjcQ3yxgkaNDZ5GEUBly/2qZAc+Hi4uVVkp75 +upimletEZCMnbzt+K/XPlee7L93fTlu7h7gCMSTA0C29LV4lWmYNl8yfY8DKU5jP +wwIXyDtftNdYlIJxQNojZC6b4uj+mW9Hv0lfbkCY8BkQi4UFgzpILl95HLY36EYg +XDnreU78zuEXg/Vjl3S7g16n6O5VPVzS7mrjQ2wS013/9tOHVSIQoi5+5k3ZmPdM +C6XfiQtku6SMDJ0wnNe36rnp44DwyhpYCYlUBWTLbR8iC5ugs3BLM0/g9pYTvPie +OUxrMZkfLWr95vIej+8J7l8orE6mMCSCGN+LKgSFi+WyaVMCMs8X5oE2odpIim9R +hBjydZxNkk3z4N5kAnNpieqIXV5GrM50y/e9aENYEvoPotQ0xKlLOUoJjOF97WFQ +TE2niBtvuCyp219E5QA9vCX5Qmh4A+kWloz6goVNwukaCzB800/8gAPAXJ/8lQNI +gxR/EwTOt5Y8R0MJ5XTYR/cDtTEVhuQygZi4bW6MwVVu7p48gNVPCQWSVWRcI9Un +arriX/ZOuUHzCwRPHgxjhUta4jIrGmp1+aqnYHmy4QIfp+XOC1Y0k9ui8Zxfqtyc +507ad5tjDu2xPYvhd4oeasVMDPwL/K8yvhC53iuT/rcn8e/AdU58o5IqkKZZ6tqi +9DH0Q9lOZWyZCDZvrO/lyDcbkuYS3HJPg7qWhpXZEI0e+IWxvQnaD9DT5yqLXQau +GCuTe5asSywrg2st/NTGWTp4JtrZ4S5KAb3UXkSzQ2NFzQqUNl4GQRV7HYmDJjnq +xdH6U5aA9wkvc3jQd/zMc6OyrDkE1IvB4a7tnVm5TUvtv/39w4wcPTtb+XtY9bxh +ZardPiqe6Ef+zT7YafxwEuNcmyTnXp8JKWIhg9rowS6pSZDmEh15rpeFaFfNdf3V +66cEFI3zazvmCfuMa1AOOIvXyVyYlgcfOTPl/zlwRP0MS8lCE2xHV3xGbLbIso/o +l6wQJlMmpPdlGjhS7ywnrDNiv3iOS2esjkXaYBP6EeTO4rSPGqBZHdme7Wree6sn +IMH/AcYMJ4GAM2ytpU+MjTja7MCqagW+tPRB/wS15Xqof1GXO/bHKFZslIC5QtLI +dY/3ZlQ385GwylBIEfsD962srn/WJ2F9gpldUM6bTFdtj/N4bxMOTymJHdbstEmU +FjDxw8k6bFxxFTF/vz+A+8Wpd2djsWDzQYxLz0Y/KcvAMA3nedNcuUwfCxzxrccK +wJ4xp0qUx24OoqL0ZPUjNZkmY7mIQ6YFDzy2LDYGSnarcKmyi+c6vbZbLfLkpYBF +8joSOYYavFGDQF3eWIZejf4wT75b0eb3Ibo50oNt2+y3kq9bDMf2mvDDNUw3K4u1 +cu5aejj1NT7pVIHdHKTNz09nBr0gnE526skkUVttBxg27uYnGiLyTSktc6ekP+wp +6pFJBta2n6AgzbAxEyTQfom5J3ycI+Op/P6A4nncg+XQCDKt01GbRq1veiAXVY9W +4xoKiHR2qKtsABYq25qTSdqLnurjaNV4XIF03ZdSFBqWDjL1xRHWXJ4NhBNQ04SG +O6giYfJp5NahTqop6QJ33NlLWnse5VNB2Nx6SzywBe0aY3lMPKNH+Tvd+TMz5Xwp +Xx6z1v0rF55LRLw/FOlto9A38Lk+EO2e1lEznAFwJjqDUf6uUJSOKTGmuQYjsP2a +nxLMbk/52EfQhvjA4hNZqJ07+MZ5sB33Xf2DhZKm5wmBEyepvLSa77Ik4a2F50UF +p4FbaOxFUfqm7Gxg+Lfk6LyLhSsNPmQoUG3ie6klXGiQFHO4BYCXFi8SQ8ylGXYQ +VtTrwuAOdB19xOOCuS5H0X1pUSol61iRr2TuWh0H582KbnzKktnklb/12wqtd03Z +7lPUw63oN9cqMl/vC6hmz5mGQDDdwnw8uHPc93GDg4LhbiY0Ivcak5/wNugQtvcW +5fxbdLsgPzDS+Tm86BXEZVYrVLsH9DNgQtm3Clzy9ioWGEE7OzVsnKbDRIPJh/v0 +jQKtsmGKvR4yCj6TUbj/WJQwkoP993WQUw8RPvo/Itj3uVBeHURnCE9qBsWxYgHM +P+TzL3nxGNk0MWbK4Ft9laPc6mRCdewGB2QQqfkcIPuVBqgzhFuzqMpCSRyJAtgv +K/m5WKR3YlWk7BrW9t95R9IpfjZNorcgYZVBas9BQxykXnOYnA4GA+hcR86laPBq +x4hxTyLpEwT+l7rK5UH+jd5IzZhnda5BfyYdLzFo9xtVM7M/yskYcf/TSJF4B6p2 +kyawYnAZEPtV0K4SItahzmiDoblBserSIOJ+fIX2/9RQlgXGrROiMi2Uaw9eqLn7 +RwG2LLeKPReExJx/1jKxXJ9sFVot+Qz8//sjZYDAQNoLRVIUTG3xuWJLlHxNt9Lw +4sboMhIQ6L9mbGUSDMqEjIsunguxZ2HH8Y2JOWTUTeAGmZBMb7m/uC9mq2zyeu6t +okYIJClzaDZErAbYQJGpQ9aAw4UpVhfMPCdv6oCpxqhMrOqpe0LHo4aoMSMTjljF +LeGrAoM1+Meo8u/nr1+Mp3vSPU688wkSgxtgHPM2hVH/v2yh/vGdS7qkU/az265J +Ifdd16+QD7KLeQ8OzVJSNAqdtzAW514BBqPOLPM0r8fawMhTbWT/DyjTsBF5tuSO +RKjsIdOyYjP8eScJNfDufGXAFsbJxOxHXsJBCCtINs1CuygWQIZToHArPc7dqgcF +WOmK5WgawPoEO/JRwt1jUxewI+6Eg7+tRAvDpNtHx1aUx9VhRhfQhfdkJ44om5zL +8xJHl09Tnm5cW9zG/TIHXbhtp4gvEHa4TSQdzksKwCBXlJ36PLj8aadvJbhEF+ET +V4grGlNfLAN343dyXHrWFnKKYygsKUV8WN7QWUQ8vpzJqMfFQNtNH2glwAgnrdyw +7n9C0kBpqzbI/+xqKJmIMeIEr3Q/BOGjEZ1God0UDd52UQQKYsTZiWYJn9Yn+llu +Hbtu7lRAyczLAiJoNodANrwED6Ve/eSXf8xmFwzhSKHzzTI+N4oqD0smqOdSeVjr +ehFq6IkpU5qyjBek92tcOWZVoqOA14bFvOMgsJSdkRU7UEhpbuGZGmDL9p1tyT24 +S4Wt3YgHsas3uFTJif3kfIU6KI2AAuWby8+vpLjQ2EYTvvVlrmcv9f9idryOC8K6 +nSbTRemk4E0V+eTaBCmJJh5lL7UYnQHD91MuymqXGA6doXKkz0d1fx6l9BesaJeI +XIsLObjlL0Vp38gXf0/uAbnc4A8EWPpNZryXlG/U3BOyqBZOhL5EnQoa+NeAAKnu +XnDE9NcpP9wcAUhJSvGB98aOKISBfIQV0WcDnOz6ZPg37YWpyBBAZV3h8KmCtNpk +gaRBS7pq1rMXaPAzjz6RFK0rQeTCv++nbtodK2426qqDJOJ8MdJG9HjSljPOKPYN +7i1RXaLsZmBXYd81OzOK7TB0UJDh/3goZLL6aBLXuvBO/Lc6u8kPVi/qQsfQy8Sh +5ZR5wwV6Hj9NBdcxyRfIW4U4Mu4gOlAMly7h5owvOhPx+Hi5GDdg/3gSZ15Iqc7W +HKZxNEfnGkw9QymIxD1UdvoG+J31xKPCvO+uej2hTvLhyg5sJKEYZw3feHQxKwxC +EsLzEdqCZnpIeR3HiHyP4UsvHVGKqnG7IjDJ4i6J5Avaq4H6+LxK3RZs1TErhV0J +52TFYjUajOjdQLLpbtVlOCQztptvq06wOu3WYqgoLuoD7snvgDgguMfPHPLZ3mcN +RcQEcUspL8tdjwwqMpm4maTuc/aIDMb6v5AUSQT0qCSZpZtuqN4/LMYhwKFXql6x +VvKVrDj9t8MbEED1cItF4QKZBr1FhyBsd8L1Mh27k056yK0tf2HXERd41XqRhv1U +ktvwAKlb8ARz23LP8wsJLOJR3ZQaUiowM8o65ZGo5j8PhGjo+m9bdX/WG0xZGnlC +HK7L0v9ludTMoNCSGgg4JpbxY7Ypt7Rq3gL5+krUMI55c/4VuAZjP4wkRqFm9P1x +9MFMWvb/t9s4N9D4z/UnVhEM1TQ42nFCJHma69LeJ7CFeEvg94KsLAqegMwKrWnr +sjx6zrnxyX/VqaXHqCTgdFVFv7L0+N+VhzpCIjOjwj4C0+eJSWo76I3xf2sggiDN +exHR1Xd5DbAGXoKTADARNqMSIQlBNdkM5wLnHfQrvX12zqB0NS7UH/WcZcu5Oc4S +CxAq4nLGcZYzrvUVQjOupnVYEpWdM1fbQUb+KfU8JN7VK77wFYvBBPiiP0NDbsP6 +DAsThtRg9KLdspMqrSiyEpJExUF40E/MdE2lcOrZt0+/tBLZB15V3wDZ5fjcMF86 +N8Z+MJNjybiV3XhdzL+1YGChnmojI3kb4Eak7HHS1uHDLRql9s1uk3bbz1hb/mo0 +tuH3KjIFmoe+W6xUQxPFgP7KYKKHKonCC9ixg+AMl8ZGlemGoDcjMPKa6ylZExIM +puxej4v+mhY/3N5KZwXERnc9dSnb0wC89tfE+e84LjbCbcVCVVlkgayYulv/0Q7m +SgOaP2dyOAd3Bai32oGrlk18anqepiiOrh2+59D2Gzq6k3JV8BsEDKp0eFE7pGfy +R+fTSPLaBxqFVRMgTM4AdL2z3vswwY4T5ur2fda5UZnD4/rE0EYWt+SlJQDSMhGI +ZTVdyvq1OIQdqW0lCHzNNWHM4v8HFypvHG/bsFOkx+rr6sJnMA8fEXPojadDluow +1UqsFlW7cUsVBdeyPs5+TS5WG3FgEHG8jeilorPKSYiXE6qd3XS58/3UeSmq9C0q +sbRgmeio4jgKp5tMzCjWvYaA+cOgJZROs1rnnMWgtv81NaHAU526mSSzadJHv08K +aRu+EP/1l8CMlHuqVdsa1Mm9Q9qJj6n+xmm4HAuU4u+j6dOkkynGdw4dINkw4GSw +2CJ2/QZlb/NbIW0ZIU0eHuf+KnWRN8svUat5veEUV+gclT6bUtkYxJLBhkOESojR +NDYN+f9bXhH+SehYjtHWuDOAjwT6jm50IVxmpObx46762EqQAPIAd7dDysn/vjyv +d1mlg2BwhqNf5ZGP6WXEjYEuNSvf7712T9zpBJL35dXsR7eybI8NlW1QTG99IWp3 +osZ2oUmWvrZvKy+aqsLE9ukpDkScdM56DWHhKhkPM4cmq0d/PZgZhua28mNQbZnS +ZyTgQVdhy6CCUawoVugVEoHDVJGZjWckATKt+bKqrmCR9t00LytFcFYUxyh5X3FO +DEgAaAfCumUIoFJa/il3MhrsV1o/m1CZERtMlXhjBJ3r5oG+CM4V7TzrYui6Kceu +dHNXwXxsb0c3WcFPTa71dyAzY8GubBmU87e3lwrYXxaZc0DLyJz3n53QaacYaeKl +VS1Wm3bUWhrfJVrgsskwm6Gty0SdTJ3bmIsf7ZTZar2B5DR0ikWwfgil8HEn7no4 +/XOflZ/D6cvAFGrAJ6U4E2m/LhsUloC3tmxxKeIipL+XijBGrldZu/oBWQZVTCjh +fZ2XLEipyzfd+9OwQpE+vbizrpNFkNaoI3qxsXbpvYuKzt7jOe8EaodGJ9KcQu4l +VK5ybVj4Q4EJ93VFBhuSC86AwPTLpdC4uURcRYOqnqVg9f4P2dV5MHUmJV2mYhMv +vLXbXnJk5nYiamvTErJA4osPJSumWcktqP8yhypEGN1h3CzcPZRe/kDlK3eufAXy +nQAnaSvZiL5jpGpQUw4+ZdsAuGjxA0BLO3hopw/5xNa52TxAUZWElxOZCqPtMSpx +2muYeeTEwIFMQwJPqVCa91vKBgMoVeN/TmrHiGaGUFEPpbJNu7uIxEn/ZPIL4FmH +Z0sDWjKIsjW1HKONZSqVArSyaNW0ajsl9LNuLrmsJXUY/9XVsb8oIW1AGx1Wgtw1 +408nxuZnyQuRgSNxs4gqipSwN6Dk4mFyPrT1fFp6iQNgRGxPvQsg042sbQRLdQLW +0wUW293sw2lEtM5iF23dbuTyRwMsuVfcoRFLenrAPhu/u6JeNyOvefYp0CAhVY+Q +Hp420vuMEAPmVloDh6m0/9Wmtp1Sa2PsM9KfZxdu3oySgVXELuEDHvUDP2HIxdpt +EJZXK6EkKtul7J/MzW6yiGRUWw6PE1OjhNJDa/dUj02ZKQU7h32ilRZG6eUyKi8s +cuNwnUQOSVROFdHbpVyNpuSbz18tkzfDHHiz9+Z/jneycW8pEiwRtqx/GyFvPLSZ +Cry6GfllY9XcBAgll6QIILPP2gE/R9BYe/CyJH7SpdE9q1nTHKHrEEohaNEfOc/I ++wp2L06WDqtt5Nj7HdIiQ4pyYMWtLC9qmLuEDirrTZzaM23oi3fOIHaZAo6HBahi +WciBuFwpGE/UEwQNzF0oLEqMluTzVtLtJJcoWyG0UpsORKUYBVCjFFHcWiyzVwV9 +hBnRchHbdu1p6GbqEvyCRzJwZKacTDAPUvKb8tAFWeKZaqCYgKvYDKr8/4pZjyJ/ +itCbyhmtQjMkJX5ADnUUql3VMP+vEtXhIVf3HTL0FjH4ThvAmXwc9Dr6IAGkdb1Y +A6lRr3q5Yp0/HkBvHf4WQcmTHPY4zJ+uYpEmczGAqtP7xEHc0aqax9bUcePPvxNO +MEFkngZ4ptjEq0sUdMZREfUsFxJfbkv4BVZMmiD5TlpF9IG8GqafK1n9V+ojwGlG +PN/q2hB61f8pMo/XKSvrKie3b8YsWL5R2VRZisPFlRF7vBKZEN9Rq5zupbF7cHXO +hGykHPfpwCILMqMUZgPDT4OAogNBqLy226UFFZ1VsgGeVGV7A/nqjtSsqI+gMxuF +rs9eCquYmaWReUJVFWP9n9Uw9jq/ytXXMMIeiwNNrkni+Ama3lYV7b+MQ454zjuv +SdPoJ8fvpk86lMrBfVPre49PyR6J20XhpAkN+QP3Um9ECHB/7Kd12okjr+RwtKN4 +jqcXG8IVjeyhgCWnevVlvu2WmhqzzVviLVLfp1XBlEf+ObicmGM30CL3KYqbo/08 +nm5M7DwY4e7mNugWh1GieX3fKiPCM8fRl2Jlqas4WV0yD1n026+Inp8PYMqMqUK8 +9CHhKsJQpiqtwRf19m6stOU4KRkfkZE7ObKUBgsW6giNUnqKNclYUUGzZ1wG/Jmo +QjhxTPM57jQjvu8eO5ciEJpwV63Bsax2Jv6zJ8pC2NVpC1r1DSgO6dImZtFaIJ4+ ++hrIgoI03uVQTyTZq25a3bHowdWij2MwIEZUmsgLJ1wlEpCLR17v9jGirUKV6siI +qa/MyGucHlt5BOer0ghEh0HJHZxxlF1HZJep3IFQ2lSS2JH2F0mV75ekLfPpNk+D +iMgyOfFl+ogPNN7tIAWBhSZhDwlBCA9GNE2nBFGXjsOiWkZBm+cGX7jWzFJGvK9Q +BZuDZxZU5fgbr6d+B0s+XM5B4n1xQ95r1v9Nq/YozJiyIS2/Lsh0vhC06/NLylcK +t+L8sOw7Z6gyEsj1xGhFsgOwbLGlQGZNfg/a/u/W4+MRYf2G+EoG2Ihsm0QrtQW3 +5FrT7RB2bHs8wN9vZ4aST5swyt7eA8cgjmFewRz5p9EUef/nZOgN9gOSSUYan7rv +XQFs58Ib+WHdotNig8kF771N77rIu9FeSVLyziOfBg5fzRG2uKPtzqxnlU0EZMyT +zEu4wKoDMrxXGGmMFXgMxjMUSp6925aH0e0M/dLK/ywABhQhL9jzlwmrk1u+eNfX +RNrILdrF+tl+2TTGl4eyN0m9u+uJgCCNYEaQPe8BrkDBTjV1zsTpMTsb7pDje4Su +4eeKvK6CajF7FDYi+zTurnk9z0JuNtZMR5J8+C5XdPcMMgYM5BWsvKTtV6Fw6Qkx +Az75nE5jXZQf8OVwbX/WPeNf/SKwv5ot4uzOfRymcAc9vTkrxSQ/T9e5me4vQyea +yXsn9gi0dhwNow4XAvD36uQ6dIuZrvQZdBkK4UIEo6pe6W8WvP338bC9eCtTk22C +EFd3x3nbuQlZmhGrsm9XxQjShJvyEwXwtDkVhwWxLSLCVsukRXPkQ6Ifun+rYb90 +hXoMZFD8cBKBJSqAuSwNSnyOUV8gvqAzge4wuKTrQiDk3zjzxo3FTj0wEtWEuWJY +BulkqvB2AoARGR/OcKJul0FXxWrB+I7VQrLqE4xO05PVQ1luTkj82H/h29DrROCT +O3VcoMOMJl3lp01CEqRnt3N1AGfL2t3H4iVhqUcaFVXlIDvhnaO61FGQiAJijd/X +MuTefH7PXBMlcBvp06F0acIFBjXrrYTQwzdm3Ti3SkbYIOczaavyRlSpsjkgkXWF +dFuchLxrqKNrSHthoU+AMQTwdthEXCtsjn22r7GaY83aeOczLnyLc8s5pTuFT3gf +gOFXAO2T0EMbnx7bjf7AaH2IgXX4dG97Q2Oi6I6ZO3k1U22hPbGKUMF7dDx/3klc +R9N5tPecqbhNG1ra5SKIyDjYM2bJmhxPglzrYEH4Oed3xscOjim6wYXejUwnyZBq +64Rh+NMqphCuXRwByUG73uXlvjE1WsfJWeGf4O5ehanRgBsNkZxhNo+auteWLsQ1 +JOn4Btv8ry92Ey38ACQzPBaPSxfH7gd/Ke8/lD0BR0fxRQgp1YZLpbz3/4cQK+or +C8utKhsw2vyvo8kziQn8IZS4R6v6LfQ/1bCIR8G9rhmD+iRi2QlEpZvKCNND4g8o +DgAdc0sSLz/C8Tqy/+dftk4Q+1e9Qkdj0obdXXYCeh/jcar4nkjm4aTIjiEufgdU +N/YTg5G9uA8kEHnAQo6SE3VMVj3kZWF7t0IV9H+RFEAxFuXjKkB0vahqRuej2yyp +8yVXeFaQo14F8Fw5HvO7c5eHggRWc5q3hM+nAHSw1S6Kb8JAydGWYajEFWJN2vkI +6oW4A2iNY5ph74ZEekusV0ENiVrqzqTtkzUPYzqcU1uWL7p4cGc6z8azEbMm9wzW +rFecQrKclRZRchHz87YXcXpAIWeSlWA1kBL0nxlUojoTkGOgTZX4fNHkPOZ+ffxt +KDSsgq1LbtDq7z/ZUgqFqbAl+7wmuqHEtGXcx+yFqO6S3mYDWZ+dGpf/i9/sOrob +PZ91X3+rc00SBIg73aKDg7cWUeZ20jBgOdOGpwcb6HoF11xhbU/wGPEb0OBtCu0V +qLLWks2m/iarymhtTXTO0ITS8sdLI0EQJEtjGeOQi41H3cxaYfhPXLkz95HPKafD +ewMO5HaJtPfURJyva1I1gKi9lad0KF+DKQjBJKhcaxhOkXBREpE8LMVy89V/CTZz +piY30P/9WRMijFML6xnhLBr3CawmXOBupMjlz6jOqdKHsX4BfuKO52YKKc9BO7Fe +jrsnksj2nNVnHyZ3Zj4F9engAfeFgJYICiZYtL39EuzPfxu86IwXoFew9z9m3C3j +2BgixUcVE/vj/QPq71aMN1ybvlCe+vyuFwqEf0Ny5JsqAsJK9l5ShLp36hkRe1fO +RgPpzLr9M/ho9FD5Mj6T+8vCULT6IJ9PTiQp+3HjgcBydko2sKGB6vKxKss0zwYe +FndSLXld2jKZ2IbRaVrgEcSBfnAk383Ze7kdMcETYCQ8wT+zTcvE0D9NPfIfM6B7 +gnAP8A9zeruRgOVoY1kOUUB+kYBhRTtJXvcQbMZDcKKNtNqDHMD5Asmk6AffiC2T +sOEbx4tnAAC/oVkLg5ke0JRitTv4v2I7uOwj1GMdpdfOux+eHpHhteMFiRQDIQS2 +znPG2MJL+UWumSKB2igmYD8cmGvpt+40E2OZUrx7ggtmk/TQX2Da60i9+13CCcYS +I9q67/gOqesxN27ubG4lLanN/CaDZB5BucQbFF/QJjOiI1xlmA7/o5I16e1vnzKg +dSSGay8czorMz9are5eE1kCelcZyqXEeN8Qt905WQXxP0sXuGFa8AYAt7+HllIK+ +b0nvdIl/ZP8ZUGzu9H1hTfgv1XLQw32Cuz5D0YpzImESzruxtW+ABQcvviOhPG4s +yI7k9BbATLgnXP6dQMTz4e+K07+wqC30HnAktiuKLBEHK/7nBn2lOVxuyuBzapdt +IXDUUeFCrYVt8kUHrsZ3NqMx+y5zMayblFbFdp3SUXoXn505ij1jjVe9ZMFOEvDX +V+p6Mm501zuLvgj5hGI2uft40QcbMr8bDgnNThNQuZ1IAXzMt5ah+ieg4nbEZEiU +woWM3YkPgD2BWEjWzo8p/uiABpT9XHFERzp9ntSnfs29P/Wf/qM0SR8Bq+VgmCqE +OwjDlCQ68RLQB3D00XAea+50AWPDvsMt6r27bNW3VzHqXofRDrbgiSLiNfSFarce +OqKHj4TvHUf7BWPXYQRejpmhtfrqW9o5LMo044bnJOihjpwERaVD9xIPD/naJFxk +bRW2mPglWMZv07tJP/2HkJHhuiRgV/L86RCagaWW5w59KnWAfFT1H7kTD1JM0TZ4 +rTPEakFvUxUTGRcArMwHRsW/Fbc+aTj4FtAuvVqy2JOB4thWwAYgZhRktuG9BK46 +T8iYCneJab+U2UXtGLCKlIHS5L45McVVC2cviKB4y4fy5Dv8dXDOEFYiJEWx8459 +ANGHz8iHWjbD4F7cQ2lTfX9SMcB8QQ88/2cxP/SecGRKXUG7vYkta3Z3kwQyzPKy +VKnF4XEgc3Wfk7bAXIbfe7rHr23F/6KtIaso7RA9rcAfVi6grDEabzajmO8i6URW +xUeXjD10hx5phiNhgsnTheB8XSlyltgzkbQHdTrT4Mi1xotRON1ohSa516dqkl8I +L308jr+Xyo4/gzeCghOR63W4GSHNCVer3RkbPqad42zpdnZKZ3ASSZWCy5qEycLN +VVb2p+KwvGcXiz2+mfzMweag01pmRzbwcH6sJFVztyuWF2w1NvsS7aZ1Eq3tyMLb +Fo5BwdkzOy/NxPw0WKg4sutLkMA5CDhJ9/2XPnx/NHwI9NeXegNHucPFt00CFNSH +jWSrz9JNwoe2Vu6qrxOqZs7genygJHZSJ35MhjXmiuEc14cvBx/x5W2DjF7GDhaD +oZw/eNGyoalwP5O6D0dG5tqdTgBGtnT0UP6ubo/j0royCQTXmBnUaPhYamLoDC6y +rQkwdtF+bc8VdD8BT1f+BSu11Xlt6by9/Xg6bQuP8Fr9wAx5SMbMXdsplUG59IRJ +5gZmQlD+1XxOZjgn9CIRYJrt8S98He6+xoldoBi3jnlSBauNgb8GOlBXezkyka24 +LDLeNALw3HUX2sjMnWyGpE1ohMo6I6miIqC+m/ZgpID6JiG7KQyBte165hwqUDp1 +41yHJ+DDzRcKINGoLTjvT46cQkcDTUmgK4p/vJaTy3C4fym1B2MZHEfwepn9srbH +sKM/bdfWjfQMy/toe90bymzuYBCMuYL36hwDb2eFsu5Qkzs8LlpPvcZhdElyc0f3 +dripIKV8HmTk/U2ZrdGshQbF5sfhs/X7ZuGt5j7RhGH9qFmNpR+3KL3M8+MlMZ6V +VRhdxMgmVqbvmdrmxY33L1mjZk5M3sKCFuNgzt8ddC0eCfNnnrGYthM3TfnZfIwc +fS15Ez9c1A7MpRuWo1k1XER71cxQvs0klCl1YurjntuG+BtXRhK2M4My7Rr0uM60 +p26cfMYMz092iy1OGTK4YHxWZZ3RMsnPUCHoIdq3P4NVKEJ8cTe1Mu5VDrh95DOZ +yrqL3ttp8dpqoun3reZ9Ke6UjIQaK/Xd8Zbxemi24QBdRLoU61rWst1T9JNv5QL6 +kEGaDEV7c6bD2JFs6bOLRu94C7F521Up9MckOjuANH/f2zeaqAgSoeb6Irct4MgX +1G0/F3BzJ1aaHUE+uF3HT5BI7yCYmXdqxyC+IW+H5oo6eQ6ICRY8ZxlSK2sKMAgK +OoPotJ9E/YizpJO57xNuLxHkRvx7EvX/RWJaQza8pws9/tznuzXAAJ8IKsD5n/Pd +WYVY0ZN8vuB6ptnwTuMbT3oOHMdB9UP+GzTk/BXUUa94ABHgcfeEBrCshDLSWAvv +k2+UimsksUlxvETQhxY50okI/DMFN1i43/14rxgRXGHNilxwv/fMfYyfR9BqqUfY +SCZt+BFwrPk0R5ERdndg5vCIBbcRNpmzvH5q+fQhI5xN78sNghkE7y0w/+Bbi1/V +AadPPcAirBCwsA6FHRQCdYDrmQy9LB4Zyk2pn6/pP26NRbYZLaxzoIvsEaO9hrO2 +/BphgTHgquByX9VXo0L34n6vw7cOMgvfiY4fiDYCzB0hPDjD0BBEExF7PMdaDgWI +WRkq1XaTMrz8E6gV5DN8RNaxGfbj0JXOfTdYtjENWLIjcKRSTZap9Mm7zw/kuh2d +OnChBqaTX+DsUxoBb1YFuEg3cdpE2FU5jfPKXlMxdgH6xg4RZm/Jc5H8UDPycBxb +eVIuVP0bBfBxYhZbYTQbvu2yDgbNMUOZO2Tztc3SCRxRRLHuhFI/y9lm7qNfIpNW +Vz6EKRK4UvD2LjXaOt0r9hAs+FlHqWnCulqNvi20oE0Da4evDUZBEjcusNUD9vKd +q0QuQi/e6+JxX4EEfPpReG8F8pkuRk687hjsP3halkkXRCCFV7z2k04RanfVBpVS +ZyCofP3S5rMaU4NbtIc4StI1osB/5PSMMwTbBKcjs3cOLFFEAtCu3PcJ5QBLlmLV +JX869TWeaAGg1fp4zsx8h062xfN+09RmCvvQ4yoFUPs3KAOLZq+2Hp8W2ugiP2Xc +kWI7yLH2nmsRf+8bO5oQVKwvio82dBxP6N2uZFzCWd66H30RgcPQOM8PMKaSZ3B/ +PkAG8md1heHErkascRN4bdkEQqv+g849AAPP3I07mR4AwA/av5CMYda87urEQwvp +XAh9vSJeyLCBg2QzifDkMw9NBsQGNsUw6Pj+ROhV/MHpAtL24KlZOktgcUqdE9Hm +2FShf23wp5RJsNAWvqzLs8BrpFXQoJ4dP5ydVjvuuyRqMuIe3qiuMt1VxbCN90AS +oH3uiOZMovQTDry/4sP3uNpbhwg5QJeCQhsL6zQ92eqo+Bl/cxkZGM3TG2p6QGxJ +6EJ0eDlSQYpPqXUkylBGDly1Vqv7dQCZLtKVZdAZTBkRQj3OCom/My8gCNJtQx0k +otFMGeBoHYzsCrM3g9GNMEPE1M4XSDJJIH7N9QwcIUqGZ/svnkYjYgE0TbEbwtzi +37kdB9zijax5aR9ZY3h/7WrLB3uGwYGw3I0Ccloj8HdNaDBMot/7VfmtZo5P5T5q +kq6ndvB20qvEFXHCC1oEYTHAQkmJwZym5QJtT0a3WdqhjQN/dPRKerOjPZmOH3Ts +1A8sk6uaPyjet8OvK3913OdRqIMwFOsVpaAEmItCVcMDvJyzmbVVAoOHiaBwtFoU +3jw/iqCbb4vCUFTe7DnV47JWNpAl/+PGT+qrOzyhix1+3ek2gk5RXyL39VoUbtx+ +Iwj0jmdHkJdgA8sB17fUpBh6pdAXXpAS9VCjfGJWr3DNF1gMKvQz9jQ9G3tX7qO1 +DRKQVs3zg3D4rlUbW/ru/dYC8Yw50AWhQrcYVtrcr315AghzcLiy2vlW8U394bCy +PTqNMongGSufHB6mf/cqW3GJBjQ6D8bZnG7dpZaNxTiedLjvaW2GDjFOf1+MSJVi +OdgXRCwwXe8tMlAZ7C0+2ZBKml++9aQpjarrwh/BSrpgqFTzVJ9hFsJlEQ3z/nDG +Gp/dne+VkJPHrbIbapN5YbNy5qmO6VgB2w63LTumM9E0y/dJZTUzW248gbowRpm+ +MexvQS7ryRSnYhY0PHizCpKwVtNkwqLv9GCHOqD8EUsUGHDuP20IrV+T1TjiFf0z +FtG/djqL3D5cJwVdzZttIHP9UirRD5YKKAHZqscMQ9HEfvVyfbwK0wgB0PwPfijY +wdoVS2OP2kGCW6lKhMmhE8uABAEamF9s6TvYW5hejlGAAZuiNi0rkk0y6Wy7v8fP +CH70DeC04q8vxLgzRsR/vPswelM5hf8ZaXKRkIjo23gGOotNcsopUVlHfajEtiOM +1koXTMRuu9uSgFqKQu497YzrrKkxctz8KbBNoUUyEN+GEqZkHAgEFLjucynUmrv9 +E+ESlp3ez9wPzhE+4aI+B7CVdHQdyTvsjFVulctY5t/XRN9Dy1d+27ucmwMI4mRF +uQWkkiJG5IAbFphuLX40QG978iH/B3+f4OtaL750n/NImlpEgr0qDhHP7Z072+fd +TgZyCaA8dLpLBTvYJAijACrogv4Py04/k81e/1Q9peItJKi3j4vqnBw31hNuedhf +mNn3a+TRdR5W9eHQB3Pk9qBO5sYeIQU+Q8DPSDYH3dgvo3O7AcrQbxQQSi4LncTk +8vrAltj44CaRPAME8XnFclYaj0/voNf1Xl06xo1m9AZEw3CCklDLWXtl8lCxj5h1 +ZemwEhhNxiajNxbznR5DZaqwgfXY5QZtj7Bx587PQlmzrp3vs8ZgbE0iToKMQytZ +A3OFaBeLfiw2R6WrmQFChYG111PCPaFhuIqFVrU5JYoDEP1eeuIptyqQZYZHWFxF +EAZ71yp+g98WPUDtIa+VQOOl37VDEkpjg15azgvlVqYBuZ9PWZE0yFiNWMTAfgyt +309dz0+Y7c3+d6HIdvTCvBAQFE4VX2aR+J0hEYQ3//Qi1LjzzV0L+2/jno+ON7xj +Dkgeh5qTUW2q1BZ1f0h4WkS0hNzo1F4Z8u1ZPgd4imfn5ViH+J785fqGY0VVtELJ +sW+75h/cWil0DLB6Nk2SRUd0YBF9pH7YdXu+5nTE5ETtUWw4fT7cVaMLTqFxvptb +j3m9iVrBDsVPu9XdAKtLsyFTWLHXxvOtUbosYhEj6JBkcAynXqj1fuoctFlHqHeh +ZUzY022bH5S6zPRgrTzG6kLctT+4ORg7hjaBjJl+Qp1gMOvcb03oUxJ1lzmfn3s+ +mm9pU5MuktSVleucIC/r8Uf1uAOzTp8JrgspI9YBML9+KKL0Sbqye3DtdLeHHhpN +QriMZBLxsYN8k/5Reqs0yX5iaS7E8ZDOuobdE1/KIbJTXrJag8MpmQCtFc5rtDjx +rWDtsRaN5kM4J1stwgjjMy//T2M1corOEw6un0tWYY9eDoAyVCEGbXueLjEIo0zI +2TeuDLupAQqYZ/gPczylA3o/9dSMFPYx+GBaG6aGWlDdAeLa2Eq6aPDFgsxrxBMz +fPRqCp29WLLC90yNSjP0PLzHwbcKSP/rFt4MUyOJBQrHZud+REszdIBDQ8nXbyoR +Y6jegm7qO/Lv5MpFvqjCbdG9wUA82IkIwq0b7xXd4t/OyOtg2M3uCkjvXDes7udE +MF/LIPdk7QF6TVpErf/Tx3XYh2ovrYTs7lHbHqqwgiEOhgOQq577MGB94OUhhz1i +vsY5a6rSa88kayW4phvNHFLOZTQNIJsRDt2cePZ7GnIvxXo6EYO5jgIkWZjWtlf8 +svNV4tbmjkuQPRAmkcZFm5mX1xCCsUb11OvcOG2x2GHqD+57NCfCesw0Yhv+XCUy +6/ibWe6zpwO5lITr01DLTs0MhW6jOWMLU7kzWj7/6lTiA5x94UGSUBTMCgnd8Nc+ +EeGgJSV2e+Iex905bqa5HgbSUtvOmZzXXBnFpVZ0LdkR9B5XiUv22d7LF7apdCmO +o7gjyO6okCuo7z0yQnNHnXCsZvBr4fIWg6ZgZA44x5mkQBmHoG7wldVJFG0b8qXh +x9NwriX3RmqXdyIZGm6xOSaR1jFYs6LdpSRS9t5Uai1C8JDDB3osGoi5K+lUPl0P +ZxEVo4HQt0t9t6r9XlR1zIx2PXpk9TkJSjzS7fE1iJbB5rvLNJbF1H3+CrlpMyvy +OSfdexwnA7ilu+3V3zCxWl50/M/kPok+haoyAHgu402lQU3w+2by7cncY0Eg1iOU +d6NQPPhBralLMWG4kOaE35MM4LrZAREn1Lyk1vUy+YhI7ITr9Q2Mk6i53XBSTUqj +RhHrpC0i9YSUK33Jq7slmE+WlCeRnu8B3YVNLHLiVaF2p15FIUjIkheDtR0buvma +1TOB5kU5YkmM/6GWoKRvDv6OiMF1EElOpsomXSQn8kqlosPgMDdWpUUH4I2XlisT +LkkV+xySU5PQoEw/HyIhxBHwxIH/i0uvQbKEleBDCshoEp9YfPoaPgskgPHCXg9O +d4hkXrGbalQ6ZxY+hxrJL4oaCU6Z65Jhyf3TS+vmaB2aZcr6eTc/1a0JAlK+jud/ +bD1lbYUnxU6u0NFggTDzqdbVwxkpWKb1hnOw02G4HIl/LetaA8Ctp0K2Urn20Eek +WETN6ld6juGY8u0x7FCvDUS5XhqW2S46n+pzrOL8iVl3zmiidJtwGdNy7RM9FvOI +4a4bKACOzsADaKRXutRC5nwdWscQIYOiPMc2TAdnrLqnyviDAfQWGcroTj5acXeG +vVSpRYz7DW1k97INevIU+kq4rp+FI3DODp8YTi1KUxHQW8YEXQMkKnE0SDl3Ikha +DglAnw0PHr4n7m41wXqb+rqvR/K556VjlIm32RwIdbyi+IleMPKzmCIsUFKXl6Mw +kGbosZVfg1ULynJ47RCLtJEnR/DwDhFwVMqFfb1yK8V/T3u8r6n3+ZJuVsSIu6Ot +G1OimjlFA+5fSdyI8868PSZQTIzMwvSvJmcpLJHr3e9tp9JanZsaBiAZVaK0ZS4V +vkWv/N1C12oZYJbKwEmrulIpqcVayNlTUKL30ItFV0mdJH/aLRpAGVHcA09vH6WI +yvbLJaYqGAToIR7q6H5zulhSLxP1t1LTugDrJCRROZaZvZuIoq+BP4DFsTvwHf2Z +WyZXgH1RFHc+u2lamCvqmk1q6ADfwp/o6Ug3nTh8KrBVNRAcc42edQQu6CXMpFV/ +KwBH+Wk54R0mfDYxKTQ3bA8vPw7p2YlSgYDhWgF2jOkfsbcUu4vNVdUK7RlSwq/2 +efUrUP7PbwpoADzz/Y1lVMHkoyG/enPhstx6AHD0HmKq/XHqUzRFvdiv/5B04JAd +HfTdMC3Clx5uMZ/zrZ5YrDrorJa14v34uZP9Sr4qctLmYh+g/VJHyKL2vcPFKEEj +3OLDP0E2sMHCGRrURYlHll5RjeJ9GJ2/QWVxDltxOMRTiCqNyZunRB/lzagK8gjk +ulu2GFXMDifey6NluAivetkKG2eO63n2mO9WXFNTDZhHZMqkhkIDmY+PhOx+gyvH +tvQV6AoFRm5jIXmB4qk+lARV3uL5TDjacRrP9QJJmjtgy661mB3z8YghnJPD+Fqk +MSglc9bYTrMyNlSzewp6T8PUWYG5UKbMHjwA/vqejk2zsSs/s/d9jUILUGtdlYb7 +2zjwYT2/wKjAMrlA9bXIUC0CXqRmLTokmIpKWc8TnceNNVoQFWOATEso56Qjso1j +u8l9SrFQDIUREPB9qEKtGJTSIkdp1tiaPM2yGurzXI723NliYg4iHFZqHAFcp23m +B59Q0JF5KVYdMzTjQ7UHaP8obliOzvWA8Y1uaV+aVTu5bgjV8EFlco8NFB2mAkGy +/TUA3X1pMYTmyzTgEhgFJusTZ6VSFbMJC4Yd/vSYF5OxjTj/N3/tNqsYjBWlOP8h +ZhZaVs0r3T8hJwX50l4MNjUcLNDgdUm7a0YPCC7W6ItfDS8E51aP0cEOA2g/UzyC +Dga8VC9Sv2aDsbyglSMlKyLvI0Xxi7ErIAS+ctxbHL6WTTnWt2S9xvNc6rWg9DDd +55IxBjcDNR1P9s+gVRGyYOr9ETtpspinuozYFhzQMjjP1kP/62EyN4kDiOPa+/uE +Rdku4mjp2Sj3tRxUU/jBOSJgY9L3m3DFwAx1wfewQvlGHqTjdJCJzJKzwsfDed4e +rRQsIo9YeTU1pppmfWSKL11q1p/T1pq0i0CTPcq3eU8fttV7F6XMF8AjeecBRP/h +t01+OmhFqtq2aMSwwq+GKjx7ek5v0Y6xL9Z9E+AehvpqhsEIoucckraibXnnm121 +zXqtnb1J36brBGotGub0b98oTIwO70MPbGZVbzWdfjt1ZBvd0hc8qiZjLSV2LL12 +udH3XJpaDfeRbnpW991VSvieswwII6hftL88Wx3pqmju/TZs38gBImYoc3hmfsHh +7L1kcIMmTaomubITyo/Oo8dZ64DV+tJkA9m2F3YRPVWJmxXlZxeD2lh8chLs4krZ +a2C0ISVFs5wNwXF7amPlhdba+KgZSfs63ys5bf3H/xZfarE+z5Vtjrjhslqr/WI5 +NLk52Sq98D+Dmydrw8vTi0MFD+O+Ks8pFgjr1Kj8UdGIJPizlcQCq5IcLwhA3v0Q +YGcOPWcdaP2EFYd2JXkn2MwkJAkkxocudfdFt6avGc6bFgLN+N9E57wAd8FrKwaC +7NasTN6kX++xkkd92r5XO52BEAkT82jpsajV6uDptaRWVEo99iHOFL7IK2QVfx0w +v6OsCOK2gAsdwyhnEs1eSjLhypp0xlvr+jnccj4T73xcRFrkDAsHdt2A92yfNT5K +DGnJ7YiRTq+mXgNrnhXft+NFZ6LXhdQ6PnUECk1zCTN+IetK/xgEQCeXBwgmRUWL +XAVKeIpDhXLL/fYUUz1ETj4nhRWK/wy+ed0BfBshr5PB5K6XdA52p50g6Ro5XNDZ +X14m3NuJetuApnPpNiy5r2jMrJdNLd9RJhJi/Boc3xAcRdO1zdGwmPeGUrLnS2nV +Qhr8xhEKdATkCkanodYrlsbC/AYeFaDYmXfGR/aJneVAM9sw/yIaKaIKDS2fU41x +yg3NT7yOTYoSgaJuSFUQa9vMteAQK7g5XokA54Atg9xVWm5iF9mV/c+GG7MDur/m +gaaLspU7yJAAAng1vsDXD0Kb+mF7ZsZqibKOUaJZnf3gi0/ZC5HluBgGYU1n5XPC +9S7+Tq/ovB9mnU7H2pXjLQ9ZB/Ze7Cp8XOvuvx6xbFxx6i55wZ5uASGxZNStpqEh ++peeIhcCSOFDuJBkmFtf9vyrmJIPhRcEfxw0jPHqt4xYP/hPfdVrykxO2Nrtl28k +I8HF0jJlgONMyoxcmjFRL0v5ZVeZdU0MQstwSr5FZ8X5XFQysRLRtxCBG3RdBOXe +4Vf+3kwYBShlk6vcYBbfMdoNv+hJA44btLDvGH1FjjFXg7hJVmHcfIqGmZta/Nlu +FVxBIPPiB50LAyez7wrbUKkd7/EOnvQT+uec71hPIUeS9o3KK5DCIL4CSqxL3iUM +gKJoE9/HYaUeLPC2BqAmTjYvftsmN3HGu4YBQ7T2RfoYkoyNJW+xzetsuncI5/sY +t7GMILZXmUzZnBu3ZUfRQs+yh+XvE5K57wdg+alGFFP6WAbJk/feL9qwULCI+fxj +6sgz/Uvfk5hs6cWrokBeUQOUZHz6BCg898bfOb18vn0fQnzGcXx5eCKBfW5lVvD8 +FbcNo5h89bFeN1ntvH1qer6D0P1T2r6hwejPCR8bBcIxK/6PeV3ZHAUCiXHcCus3 +hXu3lyFz5QGRzYqkFXf+yXQeaMIhhUrIGI4DCz+zCFs3aTacaxvhu7UPe6JgheBc +95zG5sV7N3EqOV7MK7j/u2Vug8Ps3dBBwuki3jUH2ZYyylhQZIg+UsHmY9BPNnuF +fQpkjQ19VtcEJH3GveEhCX6hoagHNsjA59CmPwqG+en4myiDJzCSpadButBro1DY +EfaVs1ulm8BlscucZNjHIa2vVATPSXrTu6FYlqyJM3H4vtVZe05Lz1VJegFIy70x +KK8Hr9nQRM/8jhtpbKVFda8PlemY9NccPsjXmjVYjOZaiStkGQA82LI/T2qdzxHi +EByEi197IzXUXihbmt0nhnEFxQ53Wu4NwjF2kFeOSxDRy0s34Ocg5t1pRzNsjseL +gU+n2mC3ua5lf0x5vd2g0V70+drhoDsv1X1g15bf4jwWA06Lzc66/x/QosOpGK7u +0J207NqwMIJy0KfU7GOll7cQCGm6GyL2qFAKD++Ps53px5gC+AiBgxzVYmMSNjAn +t534fZMkdBStAFkgRUZlLH66T643qqv4L0zVsmXEoPQ86YmBmzWoergqozDSWu12 +6TU57h8U5mBzQqTwtUH2w7l3W3REjdQkgaso5J/ftHZCJTkP97B+QxK8Q7K64XJW +lEOOKQiYBujdkXMjXATHGTtvUGH6ca5LnlVD7WDWpf3JX+y7ZeJMGJ9nQn4c3NFq +81v2HRK3Xr1XgBHaDEmGbkg5pn1Ro5UOx+NxqHKwW4SRRmVvOUSmkmN+VpiLxZ8Q +nm6X1Px7vKtTD2WAfbQ32sD9j/zjdd7guTdrZURBqyEsJSCDcSDxCJcwIeOeZvr8 +p5sCUusi6fxexeyLjzZA2f2p73dL6m24aRUiF7+j5WZdIQTv4UqMdlXiD7UvVW7P +GwO5V2KabU2ZOpantSXo0YgynptRj8dBc4nnI3HdxI2tDFVE3+gWXtonKGXag7I6 +jFgen7A516D/thQXu6UW3FFnqxRE/mKSbAYT2KY58uIU/BYQiQ4xM8Isc0ZGxTrN +why1gfaNKTXX1OEv6GBoXH7YYG/y3K/UwwzA9GGb+qcH864Id5ntf7i17U6qD63J +sl6emp60JJV71BFrLnIXSHqgiZ4RFuBeg0SWSZHkRdICsFpP//PTyGC3BVflV9RC +QKFDwTh5KCQ2KFeA9XcNiCR7Y9Movro2Iz1tjw6z4XqO5bHnnuB9dZ1arjJgRXF7 +0zrDyoGCgP6HKI4ZsRtPTOJ1bptLnW4wEnlpA4h7SAviOarABRb1s3bbcvKdAQ7G +Jgh3HJ8w1AJ86qtWxvoO23bGZNbpeFYhM2Z3CaQGnfrE45T2i4QoVcuFQ1uf47U+ +H2WkXJVKLISDI2EoTXLTdOIqz2qF3256dkOM9RsE3aJLbABl5pXVGGDymOsf60+u +TWGU1iQizaAWnTdBLh9uDABwBZFQbe6fd9O2bCxQYR/gyTEIC2iKASlPJWjYO/lr +Ue15T5uqsVlHr3vCunW9lumaxMnxH3ipRpJL4Y9tkrls+q+qfTjf4U7CYtjfY52/ +ZzUyzExGSr6Awol1zvku/MVhV2KnMrm8wX54kjdL1ZnIcoWpskjrsjvxpy9vSl+D +OAygqJkUgSPGpuMo0oGn8SxrLK/GUmXm4GefrS14GwbMcOw5A7zdhS361cLLXEEc +LgI7on3DyzWsGdDcXnxVm6yMhujJX7f++nuwoZe5J37/y5qRhyE02uLPQbr3BH+G +rkbzhWY0XQYTGD9jqmxOrm4crL4sOt6NTW8FBsa0K576hmkEB6gko2GKrVnAPJ30 +I4b2Q7P+JCFUDLTN6QrzQVQzGmJTtrNjSKqousXb9yBt9qIoQmr7V+nMJPAgjL1z +hMcDT4kXGU1AvzKIletoci8cfSADvPgq8oB3XBJUL/iczlOEuy3htdwuYV7r4E7g +AZSS/+3zhG3ZOlP7K7I+IFF+y++lMcJoMmiUNov+LSNaeeyUeW8jEza8h3KAtRCp +QfRPvmCxkwnfp8g/oCmJVTZH0hQl36b1NwploTiyMmy/jJ1yM1J9BX01qqfaotim +AS1vFCIOVdgySjFDskZ094M7ULk8rnZbhkqpQ2JOVVtc7YKC7696U5ZqSP1d7Mkf +sV4PPBxGk143An8Wlf1XntxU9cbAf9oM7uH+G54Nlee/jP2kqYMM9t9ECHZtFA6J +sZ34RKSWjZPaPq31GBin0Ll0ezR2qBRs0yPliXDwPQRAuUUnPChVTcM2VJ4nb1e2 +Dwp2ozAmVvRN8dOyHgqeK+W7IILed0/VJ38V9QDIEjaBIaa4M5VciRFuV7oUzzYS +1Lj+T6Ed47eClA7CzdOg0USI6/LkNfztVHrJ91WaOq108zQLW/D6ar60jGAsg9AG +rzjO1dZJRK8NpCbrx8siG+h5/1NWyX32LcKfokeQ7/aGYPAwB5CLvOtK61UICB+d +GYwJnuanwsNqvX0h2vOK5hDV1AtpgcA+VQFCtymCG8FZvXMBZBq2xMJgGzI2vNA7 +D2CeNcJ3vkxxJX1Gy8ByBEyqmC1CB8hxKFerqDx5MCgaahLvTDQlruTMc10KcILX +Z0rZ73I9ys23xtCo5MyB9tvz70QwvzWe2zwcUQC78hZgR/8kar8Y9DkIevne/dzA +yNEJGKpXnC1/69GgcI6es59aIVYlDlkXjoPNful7JSJ1NdumaQQQvP9F22BBiEno +19zPAwwR1kTGyaHp0tuTylOm9zxo90Z6kMgg9Q9NQZPTXpXMjX3GgZbs5aNjbjJz +ZcztwGetwqDeFa481mMTJc+l0ETORuBDuSQLsdXOFHXJTmvVzTboQG2wfKDh2NCz +2lCX9CNVKPHX2lqeuUELBYge0zYXa6NuJl3pfSYrYyCbpwl2KaUzgrYqtFVaF/7R +M8wUpOYWZwEq0RmKtGlAlgY+uqR1dnKvY2uAuAelylj/Wh5qxuEQ9gyjyO/MX0j2 +1hFlYpedillSH5VwkySMLzLKjv2hPKVJE+SkabPDMoHZlkUKu0disQqrNlWqN8SK +5dLA9G6IOFz8PwOoI8e14dLeoL18IPUWN10BASDMLjfVgG6ONhgMaojjZ/8Vs1+A +KjUM2HgR9q5k4NLib3AJAkCCeno4rlC6a+DawY8W/87Wc98+2cE8j5wtc7AzLaof +N+xuMivKQjOJMN+duwn/9vXiuJUc0i2LL/ihbSxnflCzLaAzHNPotbemPYagrsM8 +pFU6XNraBL1JtU1sfgBFGzDGl/Bj1a/JEjZzHJChJVXDkFztWl6zK+jz4y5i4KhM +OJMw0vp2BxdR73TNOdNvgVrtaVmVcIfqBU/fte+U13MfSPU5P31yqaHIVGfTZJ1s +HtdDpLsQh8rwk/Q4TmLjPDGNuzgCU0bfvzxP/uowawG2vGxka/2J2w/ESQFV/89b ++TZtbcnhaOdl5wtuZ2+kzaB1MHYV5BYgUhbYqhSQwytf84DtmwD0xv69sJSH05DS +kJXOqF4r9JBO+iHB3l4SNII+1tGzd4vhnie8JgZW0/ENxv7dOshlV7Guf9dYGXdX +1w4/XERJwvvVqvEdjz+Mx52C9/+hmf8aOTAkUAJnHT7ea1W2xED5Pf+DyYtzPHco +FvZXU4bFQDuTDZrC7G5WMrH/Cn2/yAD4sp80rmKOs8WWag/czvemicbQI2QZGNcY +v16mB3005VmBF8XLlUpG9mCcL0zHmbNfLL1W+NAj0+xQmnzhe33gYhMiyC0Jbwoj +mGHs6l1zxt324n75aPDGEooytotF5wqA3NDT8LzKLJcsp5LRQDCBLeSrJva49aqD +HU+E61hyn0PkyIwNjcxw5m9mnT0YHf2p26uJ2k1aQuZUW0nrSSDgInYgSzOeGMTv +v8/dWQ9Dwj7E9vSypspK3lqzmUHkfxp66LomXkI59YMXjseOSA9zt7yE/cSJRVWp +681ba1scCfD9MHE/t1Q9vx1JUfPF43AMXfjMv8g7DoDIavh7Yk02Hse0bsXjWtZ4 +PKvPln5HdizihNAuOsibymaU0GP7+UYmwODAk8zUJptYPg7oo+Ne/u7FHM/Dzknw +PzjGxmwltVYdtIevbGG0Y7i4h32PrNsMSvOEP0bGoJtQdDFKZLE4XblC0x33NIS5 +D4mpxwdQlmfHgLMepg+jz5NxlNOKo1bSYaf2L83gFRZfFLP5twH9cUI8XEFq77OF +hXaKEjmDaAbImnfgWMR8ZkDhNGTT7eXjlXFtDV1EZMJj5k3SV5Fz9/NM6/j9Q8+Y +6iEcLpi14tg2EB/mzH+hw8AZSHpyUfuTOinEfQK0ZDbSKiz8l3iOfjTYMIWwu281 +m3KBRtE+vQ4i8EWN6zthv4oGuQYduhS3F+hxOLTHoJbNr8wilffmMHVQcBu7Y4Em +rdYMAXrEIPsaigweEiQycU1zfEN4kNXJq4Y9QcwOwWolo/lDwsM6XiVExrk/y1tH +97vNDi5lRmGto+r1o3ezODXb1cJxQxfVRYjjTl2UHF96eMg2tXnW+yI1GAopK8hV +AZIQwMLAad9sok85CoZmdceDqFLJUVYdvXqsQn35DVow5DMVNgGJQY0HZd++hJF9 +7esXMF3/bMQLE86omA/vKQVVKhcXnQzSd0M54FnjqENNhvBrJSLKkypBxxvb0No7 +LNQvSHNHA+6SwcixOYRzZUEJmJD90LhN6y8S9C6iNyvwrEoIUZOeMxQjdgMSwPze +W9ic8eTGNdcb54/FoaKDa6W7lxaGg4ixtO81PI+OLR9CF4egGtWGWst6/oau35BS +H9Iv6DXSFER8x5ooc87/+LmyGK6NACAc86d6xK7br/QSjCp4J+Dp/V1TqKZr83SY +hGRPvW0Y6Zyoa5mkcVJJzjN/ynuBdWfkRLcbeaGB5GvaRGnbCv5YVgnZGFzhazSt +CyYS0XRDpgbG2joax00roRS9zGAboJ2+U6IEwblOVZwSh8KcZ2j+vgjz8To7HR+H +Ptfm0dj62vto/JPjyMGhTW12ZefXYB11Dz7cH5tQvs334uO74+/GDVpmerJFCtgi +3XmrYubdkNNZpbzcOOBpTlNk3QapSZaRQC9yxl5Rmsk1NtNu+U5ynGeZfaHtvpSd ++la9MC/fuq4IsmUZNkjZncM/P1SsXxkQWZkihpxUOXYUvxVkBstWWj8WmA1BzdkG +9zEv/AK6HBEdzg9tOFefGRcHrokdrP49hJNR0wfbKXVYDXHGOW4Va1atKwD9Z4Ei +0v53DL1zLA6UpGB42pi8r70u5cTBpAt8MZAi0OGL8piGVCrK/2pNmbeEslLvIKRu +SPt0hj6x5PGvro8nDXa7os9SMw7osO74c83SrBplkDTNU7aV8XBPkI5WWe8Auh/H +bPAVdiKDKY9UhJtSVzcLMcomlJ6GDJneiEbzfBDhwVlTjbtyXb3TeHAO1RH3ik/W +EMNCQaXPuvkfi7x1z8E6LQHxiy4d/fC3SnTU0g6kNceY2k7wdOXhUIv0FXifkQbW +Bxv9vzxQ5mmyA3QGiC6aRSkNV+uTCZAhyBt2toqoxaArq4x9kX3rNGRvLCG4AQU7 +ddzWxF1PJmF4lYwOHJvx3AyH7KDr2w+nHHceGo/MLjxRRy3eJmvDkomqOPVA9AY5 +obxYXV09Ff+W37cGiyNSZfIvRC22SXyJWJEyvX1x59ZxkiFBp2+2McqpG11mXhxa +Fcg71bwix2x/eE7wSbWLoccAXnArLwpQwkjIVHD/jF2PUZMp2+zI+LotF6+mGRfM +aozcKTI/JqJD1pHWv3ANun/UrB76fEfoi4ll2HJkPt0t0vBl5rhWipgxTfnKagSw +kdzBF683cAhdN42+BSYtmhDvXyGyAizbZfSHlruC2pxemtOcNn1GzFuYvIN9uSNb +y6TdPw0tlaRj9KDB6wUQQgKUJ7kdaXO6GmoBuNwO4vBZxfgjKb2ugI8bh4rK98bo +qBH1Q6IxLRTZ1tccVbxlBa2dhxHRdvVCBMyl+ob4zN3k/QkDs7syWh/DiChY3tvK +nWqDYNzKXBY0rrX+uXkNqFrN6h6l/KsgQYHFvbEDMnHqR2ZN0s4Vs4rnEtEaET12 +VykrKytKtUT9yEwM5t9nCVT7p9v0VDVzj+6IxsWeGF7Zzemf2vDEmTpGU14etwgp +XMXAWbVIvwx1qvPTGpV7/BZRey6ugx0XEDDoUoBVSNztTmOJsZJzdSlb8NY4ocBQ +iO+uNbJUMWhUQ9oEiAz1UuSfXE7VcfEHMR9OpQojxBDVwzcCv6I30VHdVpUbB7iA +ue6nmASkyoJfc8COa3q2ja+Xw4l4uS5Pun8eCTJPBk2bqAJo8wQgUPP20tRej0L5 +ShKIDqHO8L6b4bhU+rZXr+8vOAmwp6QnN+Hby+7Zwkw6bHC56li9VzYLyeG9e5cQ +aS3JjKLVqhMRbfsmzjC6EpGKg87OnALb4Fb7uzHeLjG3jQ9j3wGvMnWkT51hVWmE +ZxIvF2vJ+gpM4kqbtz3naveD8fpK/9EL/5o9O6e4rdVw+Hx2x61HL5TvtdYdAI5v +X7UpLcTgIAQnhwLWxoAmjVmGLGIGZNQCrUj+uBbCTKoPw4Zx341DeVQNhTp297pJ +No2ALjNeOpiamDMZDTv+VwhAcWj8JqPJ10p75r7D7Ij5Kr4UkpBPxmnPIiJJKxm5 +S7OZ9rSpK2t8DkK/zVzjmetkVpYT0kbQ9uVLg5GkbfsxjFoL/iPkEwUrNVzhj3Hu +bQa/02uR2lr2ZmYpZNu9DJ0d3WWbxPDOi1ASK78tJ26+0jcufvgNLl6gLQHtJ4bC +wHkO6gfRe2O+5TefMCV0BmM4CaSelNB++Sv0ywXf8bAemZdQno3V7mKa+ibkKuYA +Gmni010NnahnZOs1cMq6n/amKFeSL/lBWYacJJRXmNC+vnt1KWznsnLkhJpn9p8w +9JIA5pO3q6CBqmPmQ8iEwonTeq9y1QMo+02UIgFmaqK0j8zScxwmaeTu/bchFhH4 +f4ZrP1XFMGW/+CMp7BgLnT2kNvqfceZ9NmT+0YemfMBs0zoK3qgsKkASlKc+m77h +6kY68Cs7fEeZGor7jUB2Duv3DjkIzpkMS7B+Gl81qh97kvrMkiTNYZKCuoV7uLVa +/QKNxc/AHUuI1HfkAzOKIqH+eQ5CVRg96y/rUeviqSR030YPTsSotGbpxnO6fvoc +X0KtOVecT+sbx/ImDEi28IEZwRXVIrx5ZxsnasqjdklnQsC6ylr5b9Kzx25xnjdQ +um2wm/tmw4E7nuGjVJOpG67+HR5IB9hlU1pGj3e0kIIrhtY2nwbAyJh8BDVN034D +Ovnw9fdmRvUqwmSf1n7xPtUp/K0f88gGppIeAuqEPASwuohTRfU4HgHJnWTGZr/n +KgZDaQD8SvARtpj8+mFt3BV9/XHNUapfFBhiCmvY3Qg1ofgWXpTwlZgOsGMs8hO5 +1SbSlpKZ/pE/dG0+gLDf8RzMrq02hCQCfj2BpG2nUo91FoTKP/1RB32x28SHCrHF +jqjw58swYyE0nFImCTjkYcxpOORKTebcZW13wJQjvtGyXr0j+URGVBQtustvbPEu +G3gRijiqHxDTviy1wAbBAiwf6YiWbKVsqKH9M+QbcrS8vbs5VVifoR6/Eanr1/fd +H+8/kJFRqVLk1zkIPH9OyHCHFiyaPEbzj4Y3eAnGQK7cb2NGO8mzkY3nxMHXpmFX +6TJu07y+HN20cHAVbkJkCIK3F+6lzzju1Dm/pZRm7ARVn++XlvC2KnuFO98mlnFm +3HBqVP5lYEFim0ujjm1sjrmtT5erUfVBTMSnNMARDN8eymb9dWXWvdmNBTap30Vk +NxGi8yz1JWL+XI6b414uFZxcdOGkkTJN+63mru4iAXcJXb/BqX1oiduROI0h6gnn +e3Q2v2AbWn5IJCvMEgCfq016HgQl85yUX8teUKOSR3Eu+D51AflwrzoE+VyyHCs1 +c1OUa/Y1AW1nK0Rr/eCsilSK5okgtdpQ1KJc65TMNDs7oLtMXA5zwSCW3SxQW7xV +i2Nhm3MzUxW7q2uvyrXaRBkVAfs2/9xYt/Bw2yI69ls2EsqX7ufMqe5teuzKbfVe +Lpmcdf+wNFI3MV+4fbBXCNI0KjzHJePMnKkMU3+fiO+6jA8N1/idXBaK8zTxmQHJ +H6doosIv2RW96AatGHn2GTENT7mYX7/4M3+Ft245qfZIiLDiIPP/6F6ribzYjLQ2 +ly+CiFF2YoY7A6/eLQaa1uuBc6oQAIMIIQvIXp/JxQUPTNcCKzmBV4dpx5pH10ys +XreC432ZFTF8AsresQWuBG5RmQD4AXBWOuRjEJr19+j8FozRYS139LXD9K8pNubv +rfQgnpVXaPkgu6I9lVHz3UCcfHvDixTgvtUPIMQM5YMsbSFqKSBYExhvrib7Xg6l +g3ZWZUM+QrSxBqnk/ec2niQgFLJwvm+om1GIEvZLkU0Fcrrc4YTrnNkr+9TYMq90 +sCPCI8PrNBbi2cU00P7sD3Ysm3d8mM8SrmDTg89Jkq7Upf7hfNLlnMEYb6HB3QRT +6UEelN+iR1xW7o1myg74Kwig/p012XYJpq91eFbuOKR8z86E1uRWAfgRZsw6QISb +gp+6G+ko/ONJkgEbxzR69gZrOdJA95bzALUhCKN/jIuY7NhMKxIKbRdkW9d5jNb6 +IOgNT7C/v9CUKOsYoeFd0LJKDNgL6FHhFyoC0LZqpgLLmgsoaL5ZOArzt2eGgaQk +UPzXHPI94bYzA/u5ZzWOqAb7K8+buF0hAYN7bx0ydBVSMKBugNTis1aC1ADN5tU3 +uBHqVfYSRkKFRA9Y0XkpXTk2DjjUWrgXpmo+aPMkt9NMtuzIk9vaKSheXSrvZD8b +JoHd1scRM/uV8A/D7TJFwzQTBR/uIDt+aazX4iK6BiBPrzQmuIhCc9+I3OnLvBij +/zm8ms5lC6AsYobsYazkeNGGEXpa5SOiLvPdB/7B77G3O5bkCH6k6xtvZEooKVai +Kg7PIhgCG+j+bbiVOZ7PB2qg77Osc9BnXBTrU79kEHvmlvpnzkk3i7nCnmrHIH1u +h2Wp/Igi9W2RFflbQUo5lFf9zMTxUQablTeIdpIO3AJID2IoP6ETIaNKHjXI80dM +Tkud8kFdTuKZGCoFxQflKhuOWB8rGQizfTj1bKWoMbQqm4rbUbIkWxFwojodOqy/ +yepGklYom7rWoCt9dZKtUSK1vZ4YzUOPneTwQtjYzWAxgW4IvRe83OqcxAUxLyoa +fsNYuJJUOqcUYITnMPq4sOM6UrKhRoGv3aoMhpAy5CEP2E2Jea6+lQcZeWn5hZtP +6Nsd0fJX8YdUccyqncUXq/bVbHe7Xf5aipMMYOWjXZ9nVbuxFPU7FHmVDE/3ug19 +fSCBnGOY1S854oRSni3MTCmDbEhj6oy3Y1PhyFTOg9HZwBAYJUFsIGeN+CLRAWwN +fA496zajzvyinFGHCizvVUoC1mHeKnym979Wzu/ZhKCfw61jxQ/h8Lpdy8zLHpbm +BzYtTG2S/kStyuUUKwj/Lf8MbZYiy1Mr2Is/PJZEVTC9hMS1hAZWsJ9dgYixHUeX +ULjzbFZ6T6WvQX4kEeUxVO73SKcoDSN7m9vm9PrGDfG3j7sa7goiV5ghexLTXgie +admRcjCRBBxBU5chw1qPE5MLT+Ehmb3p0nlaTLYAClHs8Oghg1XI4pXMJHkxAHdR +uYxw2OeIkWrHu8Ft5RqFDB2M/alSzp60KtevhfpKq99u16UaXKhWEV03GKqX3I6V +nZWXAx/sZ17L1Nr984Vo7YFf73J2RCdAXcl0Vv++xVK6CUJaX7aXoaIL/rjCDFk1 +UoH3v2S9GtliE+hVnGl7mLCihABhRwz6PZvVHyRPqXWi33weEO0z48EjEsNgAASX +Mn+vSZros3qTBFKRbenrcCKL+6Ff+rLZ2/1ukomuebEcnb9j0onimAbsAa+uU+Hm +Pihcy3Z5vl9bnHle0oSLfMsxKrnMfE0HuFVSIctk2mcGAxzns1BROb82YM7xCDsI +Gep1rORybbI8A9xp71jdIjFYac1R83oMOwz3ETKwSO/3MmiLKLPKynb8ha/ICcpG +SdsVOcHGzShr2mbH5sCiOyfEQppEGDtaxrUl0n5UDo2W0YnYTod6OB3XFW/+UnT1 +pru0ycJ/6RU1KCRORcfCkqKGcXKnLCAqFBRrUkkv8gfw0qyhiYkjUzo2rM7ZaoJp +CTWMkjiXdMzCyg1vw61owggD01SwvnADOfAnsJgCWOHzt2vX/vyAo/dTGum+C0AE +Kjr619c9QL8q5hHs6ra49yKIBQqEHLMYtBiOIWv7+OScBCBnuRvwHJY4R6w18gDy +79BeybQM5V98HQs2hU+vF5mD6Yr2+8ZqeZytEiB8iYKR7cHIUVASz17f8FsIjlmR +YV+9LOBVgTk9VLRiJv8qy8b1MYzpwAeO+zNe55zcH3KLx13tsm2nZLA8NTRaTIFR +n3v345GIBLnW9R/YVmbfgWlVdq9IX8broU0L1CiYlXjn3E2+Jhb/ODce5fIkMTGU +yQtPk57dP5/pG9ZLohEoE+lD0h/7e8H9e28khS2QWZFD3m8nFGruHV2jsTGtnm5D +mBGHhyBbW7wh+n/WEttZFotFlAan9uSMtOLnK70hqYt9WZIg2zNIjGqiVOUAOHeh +dHStxbVZxRHTQD5QavHvNXA0eUOShgEQewArAgTIMdQDGX26ekO70ktNML6Ve2yQ +7FPExaecmn+s7bFmr2dfmUsU1Iuy5/bcr1poIngCxM/DdP5cpQqz1Rzu0cbAFmAF +4zkO5BrFe6v8yODocCcfx32WjzZtb6ipmSWF+5VZCXxNIT2f2+PTgh7WLwejY5A/ +sHPKRg7DP8lhjr7DePqGfmZ2H8wEiAJ9qlTO3fN8jFVyDITND/IE0SabXuGBI7S1 +ZkZVbBNoCgUydxiLqyiN+Pxj12u8sgPtRnkzZkTotJB8V19DJ9UI5AXJOVT9FPm5 +whDSRJcG1F7VMZA31HloVgAS4RKcxHrQui+Dbj1/mRSJYu6RZ5vPf3RTX/5wzjpd +IurOJv0BYz7aQhKs4RZwBoo4pkMVv4cIE0UQ53DimT+ZTzNUy2jtTZzuN/IvC2OO +CnHF17FXTas1ph+PGhRkeFH+ZjZXlR8bYlvUQNd0G1Nx9lH3ybw5gmu49s9/heHL +KgWXgeQQtO4bopoKkH6FOL/yb6ojichxjsQ3N0XlqddUqlwbMtaomvga+CZrTlnP ++ykJBGm3MjFmD/+6nysXvMmBbQalXU/EB9fzjWlKx56UHztSZ8S9r8j8ScrT2dkP +fzKDApsWDOtFTLlcTHbiyCt16WK4KrLMuuPS/etfGibWotcfZvUru9PkX3X1dNlY +grEDryC19RqPIjViiWFXph26xITf8lx9yhyGankjtza2bzYB9IBQ9Z+3AM7OioWh +Cy6wIA1WwZCB8eR8c50JpGl0gBMO3CQL+lnaa0i0g995mDjF4F5ul2BzIjT2LBDZ +LU5MpXl5rdmL49CxFvj4CNKNAgbfjfqH0rcp4mu6jwE7T0hdj/xKWEDngw/Ir5SI +ebOaFv8e2p+HyhxUzh05Kp8yCENyWar2e4NVs6Z8bvh9nnfi54GcVLXpY+hoZ8Mn +epkDuoOO57WTlXJ9syCEIw2yveHghlyDjDmX9609fyuYNZtNYkkvBA4uDFKpNgZY +t2BTMzmrlABjMf/OgHcofBN5PmTCG/xsrR011szcO5pkyQVeYao56LDQJXno9OgP +vowqu4fbcsRrBQMn7QVeXg8wZW+3MAAHTlV/xAJJ//tmjShPkTpVFqjqw5xXaJOI +IvQShev+oxE+lEHa3vic5jnesu0aWHdkOGxQyaxqp8LWjWU12Iqryo/ZArVh5vur +em16qXsteet4aL8dZ9gkRBav/X6BN9BK7J+jtnBd1lPNCjsnSwvthxdM9FyZVNHu +K0xcJ2R2aDNKqDADXkLOqinZ3riOCyH/0MmF6q4kzpxJ0KVAB7W0psQlUOtt92NU +WK3WkHwybGfX/Idcm8B1PxvfhSdz/wTzkSXE5EN+8kh+UUzjfZspWgaRFPxhVtvv +XLDgkKXF8xyF1DTh+M0SGbE+8BzbY/r+Dz0tjCkOoa+5Nk26HQS9VdHWU/f+ywvb +UthHXOS1x40SNmupOl3EDg3J/Z/pEzhSNgf+ihyOcyACEgGLGLpxCZzEzYvvnNeK +5SgPpXOD0UA58oWRVZ+9zOPRlVqbMw2ZpXqw0uuyEDnIIko9r/RntyZX3qQBNJKq +TkcrmIxiytgIx/88CXCl6emVVdaFd4SmYNmPksQ1kw76d2FV+1F64tSnnd/L84SX +/ii+ehk1ErzF1Ulb/JMuvtLyW+/x2APTlvD0Vrr1pER0okgs5W1GNSxDgvNyr233 +50UmK1A9JrJmwVnP50ZvKXhOP5IngjIRpbXMUdrECNDCL1CUPKmgp2oomOo0kavs +C8zpKzfEvn5AG9ie94LRy6Pufb0tB1qYf116pQmG1yraINh+zM4b8r+MVezD4y1K ++vAUhdUrt83EH2+Rj07/joRW7mLAYxUriIZPpfVHgzNkG5YiMq7yBVq9PMEgR0sk +bTt2sflw3gC8gD8T3aerwVY1gLsEJB6hn34n6bBcnLUg98I6rGg7pnzLNaDvy0Lo +/tpVeS7R25Y8/11Me9uhha+HQTFd+fXCFIRC2qh/mHmH/XMSD2erbUdrJRzduOwq +NtHRCSZwuibMSy+qw8N+EC+Ag0R2/blSuCT1iJoQstyQMgu4MMmeaWNW3c3hElYt +JsAeOIHfifZ+NejcV5G1vzDuwl+SyMgQRrbf5IIPdylRx1LOdYO4ItoJNYJ0V799 +8UYK/UHcX13AfBcOh3cfH8Z+2XSLkb0zfklBd5GGkcWhTZq7VgTGzE1T8RgvU3um +UiJJatlesbvzhoVpNlqNkNhvm1jSgmVWbK9fpt6KvqIvNXrfVmyMlaKVrj8APPbA +BCwShg4TvXQT/6oHfYjPthKLgAji2fZL0I3rLllIgSR2o68x2dE8P3ZpB+J6SWRn +tQM0gvrncD8TGPp0vCmiwmrXzGjzlbLLXQASC9TlTfPvTJ8xvMlNGGYX4ma0mJKI +IJphSaCUTYt59yrJ6fHuyDtN7z8V7ER/DEc98tn7HXzjI1OPedFzlHb4OZCHprwW +hyUOp5xxCZhs0p4wVjF9t8HjH7ztMGCK16bQSNUwvJbJ9FnZqlTZDb7Gu6cBW2KT +uBA6U7fZo2z8uXGHDrPrKAmdjwBfVUcFM2LkvekgJWjLDN/XIooUuynfFfEDL3uN +ief8/FtXkm8LfrAOq3ykvhSszWgv1+cvp76qAyPrmWmH6Qom1ZEjD4J9+hOWdOww +Scr8vbmkXRLHS6R26YiR2LDreUgTAi5fmMcpKzo1pea55/sElcupWyIFDZ6GIlHS +HElemMD4Ms8HKPsxgiXygFeYHuAKzE7Daai6lEZrqHK4yenFL2zNizob0CRVYyLD +XPBKWPvLAT7DqCFA9orqvvOe4bfWXCwGPlIPlP5tNxQIPREROu6R4WfQ4EIk+8/J +wdW7A30hY3tCXOWJgQ5ZN3IVpiU5QQtmTk6bkiFltbS/WQ9Mg+xxduFWmNHXBpg8 +QmAYM6H0egiaakopiqSb86RsIz65AI7Yaug4jkgRfDhyRRAwGAVSL7WVh8aHAwdK +kSRtMHGh4Qo55imcKrIxPKkYikjJuvv5JIdIuZKV1oDNJ6rJ0Yi6fft2sG66kj/w +YwHkyj5hxUFphsIMUj9ygz+OvrnEzQ9zQFlaRYNU7QyZtZ8X4cFsWxcr2VQjIBE2 +CEfY93X/QT6lKeEIJMYU5i1hjoXxXmOA6w+54g97WlUpmE8fnjDd29Z77Y7eAoX2 +Vkq123BcQjIeOug1Sqjh8iDUiSiIACCuHsyKyJKmpOugdHjgUtfOHkNbVVT+UXkz +MRJKYH756UteP05W50AGu5iJKpX0oK6K0JmaTGmr2W+zWnB5jOTn9FZrWfxVRxT7 +tjeBFeQ5yjT7ZmDvKlGRdXuqbPMPFOZAIxkvbu41Ln4z18UrMfIYCumKfL6NsYtw +7H2+3UOiykLVaAx/CqZEf6MfgCCknD2AWl1wQq99cipS2vG69FyzQ5WReETnds2O +V4k3j05XYiitcdihKv68Jh7vK0cmYrx3/EqRGsjad4S7x26lfX+mMef3Z5OB5XPY +AVi38WAr978HYattx0fI0lpkQ1FqVII2rDOJrcWI/hiUfJBZFCoNVLlFCnEn4HPQ +FExU8IztEajHbIsR/QhGsBzZ2seWcwANIKxuQuuuVtZuvFBVEQvRVroLYr/0PxJd +rjUUmTP2ws98kfFozwZKZ/tN9QU+8TydLUiuF9atzFj5D2OkuhT3ZysYNP1YYP9w +WX3Va/cqkIVBEvDuyWd6YDBKYVpIBIVHMevkYDteoIXq9Ss+D2wpmvEcgGp00QR6 +3NV0JPJkdenbdJbVmtBCRIS/2DFxxz6C3EI5bFzbeus4ibW9F1pPSsorU8aPwBqJ +HocC508Oujd/LuTApt168D8IkTcnWZ4sN0ut3JFj8L4zVSVY4/Q6CFdX+aGKY/i3 +ifLwFQlLJyjw6WgV3c27dM2U0fXcEEvQQudsng/bGEx6YCC2qZIM8Ut4nDDhdBDQ +BPlZ9+4DONTE4QcSbmR7vXXpz9MMhORGVRb/kdsmBBEVrR/GaUDoRAqhr13JyLyz +w11QjebRxdAXl4FEKk2HAV1zdet0bBUo6HO2r9NE+9kYSaxYayK54MxozSAsUGAp +zsQutTL+2LujRbg2JsO8oU7npTTmmLVgGsVAuMpabNtQBJwMHXP/VsMZI5IkJKkI +5a2bID6VuMuSamn5U85nwBJdBj26918z5hnsf7hmWhqjGogsrH8yN0cnDKtc6wS9 +7x+7E9qHLQiDBQxRjrADXl6kIzVn4OtKVCIB5dzEdHc0O6mV2yGoha88bJcL0qO0 +XhMb7JsdJsCUrLYGxqATXIKZOKs4wfieY3NdZ+murT2q/FvskQIW4IyhsPmX3yhE +tj0Ca8i1oKkA53iQE16+NPXWpP4PFOHITmkO9NsePNO0xZZVn2FFMAhBuzc+1WCz +3+0k0C4T/SbCXFlNWsHc40nkPkERhqM8BdMTgQGxUJp6yL6o+xBjzXjHag3N3s+0 +/TX3aMaYxMUbLyVpAjjRurV5z1GMItmqLDWI910q1dOy/L32b2QU1bo8tW7m88oj +7uZqWt/3kM8asTFlID8Vg7hgNgxg3mpOG3TyFCDuxSPcuR79CA72sEC25VorYBA+ +wItwiToh6h0X8/aGHRdxuA3LwZ6cAKlNb0gxlSjPe7PZ8BkEEm4owGc+/se34LdF +3bqwGv2zHwqGpUTLDgCRd2R29U/+5BSFExR59I9eeZXHdEYHaCnyEGZuJRStqO8a +QNG6bU+yM8lq/GHge/GU2L8plvQuXyUtmeD91CrzWsunONtv2R9MkXiBHbQVcCpB +bCPHP86Vfkm1ROoDfwKBSEsr6GvuNnl0eDonlwBMyVgwKP/RfKQAtRIf2qDf8gtl +jcwyQkQgrNi4An+Bd29f66LcPvCdmjIto0e58q9/d6J/c+q7XUZr3pmBfiQQC39O +v9WxP0dCeMv1DhrCm16kDJsrfQDVhdr5s8D0byaTvaRag+Gx+gyxrbCUkzsQR3tj +BUcWDxQoeg6rM41vDy+mndRakVxU45rZijkk7HJ8LK1lf63E5ku+WvI9YGmhXhqn +7rTZfFgpybBPCP9NVsVwHhfq62ablTqXRJHSxqkgjPXnZDae8xcfD5t14mLZ37Ou +fPRGemxlYj7InKHUaLCXfcUKZAEsYX7Iiy7oUvVogQJQW5lpgH2Wc3dGsF9M0ttl +6RgKzYTxGAwCV7br//kBiZwwSmXfOfyCLMgDSNM8pVgwQIpMPPUbJxMuSyau6JmE +E+2NtkotstDIxoYzIpogKzowgMxtJEifd0ZY0iSGvksMaUj5V4cj3VCbn/7Ftq8C +Vp8MkIWbuGw1H9OL9jOnAE9ZYqQDZHhqRfh8P1SzMi6U2HQmobU0UWu7+1e2ePEC +4rulQK4qyjgzPaptclCOLC0xDK+nu+LmDCqZ1YTkOpnb/Hpw0jY1giOKeXPaI6Tj ++E9OTmRBbWi8G0uQhOKAicZvY5ds+GpeTuz4Sv/yEMBsUre7DRTtNjN+6YDYtqmu +n0ppYEBdwooPHy0d+A9/q0m+q1h1Z3wy9+omRvZLUur7fmdGYTG/GCfybrsH1YZ2 +Lxo2D98cKW1GheIcsgdmcSsn2S5T+e/YJ2YJHm5FrFuzH5bcavtGeZnXgPB9BkgE +PSVNU5xQvbbR4yJciT1p5k5xO9mUeq1ATnOg18vNv5TBbT8NKKWRdB+KufYJJyk9 +Yj/pRmQCHXimuDG/rsRIMPTRY9KoFsbHmO5GEh5TOmc9VHU5fcLZrzHhB8XoaZd7 +p6RZVOlFHhzyOyYtZvxhXsmOazGvcyzwiaP6dDRMy9uFc335Y9iAU55W3JpCbNpo +ldgQf6YcBMR3TNk/RqAxGBLPeqUD7ocFeVgY2F0ZOjWEpjaetq1+TUdz5aVpELro +6phr9cQmX3jC+pbctfKQXp0fbSkxwpQJ8V5Wq7ASMb5Ez5LctSRQeywRQ2SgkzXl +i8P5t8fUeU0/U1oMxAoj0Jr4i9HGDtqw6Drd9S/j/V8Gzolt6Ek2QBu4+RFMBJp3 +er5Muf7sOlH2dodQZtYEX746mWPyDMF8IzBcvIMwjKtH1L67MTjl+sxxxOl+4cZD ++5wKZdmXDysxKj2OMpnsAT31+y4uRGdKrhcgp42nIbZ7yemgKgdw8KBlJOXMTotL +b2CjNt4jm9xqg6QqPfiUGL8Mmc0ukY6m0Br/0wEbIwwbrKBXxmJjeyS/t9w4zL2y +y0bQ6DVB9xUJN5GCs03rfp+uVChI78G2Sr3S/XzLVQk0dRT3RcmGTmLsI6tyFfyK +RkRiKab8+pdbgfsXnzjhWhtKSOm7TlDBk1ypDZXI1pteL9K1CUXZsApgpGUuNa1+ +Ub4gxPX4h+E8IgpJleD6bkJM5bP1ui2Q6KqKEC8i+CyKrAU5sw440zhryyQkFTm1 +7EVDtE789TtpF/St/YUETBBDgEIVE0s3tRoAHxsHOatiHSCEGqKsdD/RZ0jmpS6o +Lm+OXw6lzbtEeebjz+azLNPyQd/d88zOJR68zPKXpwInfvoJWYVNMfTX5pymVi66 +RJuKH3dpXXWRrdtEF7FjqkCOBw7iyliXMXrfM/RPf+ubRK5WQ1tc0shTlaf3E9vO +z48x1E7la+gcTIGksFKUJJrKXaVwGH05J659OTSz1RmWQbQtX1VNNLGKpVSJzUfa +CGyMuaC9VSWyiVMSb/GZoN9UGvcA+H4ewEGiYhvSGU4mmN9OyAuIH5C8c4/bqOhq +Rqoske1zTkiU4cWFKvNmhCdKFHfUckNoWwEruEK8t51Plzs7nVXBQvRyj9/UgsqP +mju1I297llvfLWvoAQWJzk7xPEfHi42rurQtLvcLmcK0IPYESd8otm4H2kQh5Gvk +OCRKO1OiGSokgai+NT5t5l6Uk+/NvJxBrkKlx2oVhqQntULLO8MxZYdkjRL8zCLB +Vh6/AcI/6mhfb5I8JpRwsA5thfBQv0di78JYPMV6SKnoskOz41oyud2Jg9iTjg4r +w9ifrJ0FLoODesKAQ0+bh0G/t4H38aE4VP9ie3o8phzff/Ol/0h1SK26MNEEdeSd +CXZExDCraM6MRrahhQPJL2Hoyjv+7GA4O3uXn7DaczCI17XrKxpnSy2pi2HzFzwN +TCbnY3fZbBPTgwtlX3CO4sOdibYoj6EL7/OF/gw3XVUBGxcCpI4KI34O5wnPy/41 +gakZ7jq4ZEnpffOI2j6KP2mqhoxDo2plLslU6FtZxGTCdtB4u/BcQPpdU1ImEg2D +6fxELUXd1+blaTfnwQ4v5Q5pv4kFTuozGoFeDxeVROxXDEV4gYIkFC0ck38jUcV8 +MuXfcCynN4pMgMk6SjaeNgsoepbSgn0JZqvGC3ruDFd/XmhuNXZASk3w6j8fs3EY +UMpvUkgndMQddg5PsCH3WfTNGur6S7YHTTEqJT5QQJTn/FLHaiwdihV9hsugl3bK +cmOK+ckw7q5csxcJTxKn2M6X9MlaydcRxvJj+WRKhdVTIGYANELh/E8WU5pu7vOk +8AvDwCjDNmqqENWCFDAFfGkj3h/ze7ZUBCWka/D9LPe5LlI85snQRnyH8tmVL1sf +3vTSe44qhMtRF/scwolC1uTDrorld6iZOASrR/zQ/zNfB44rJLcIEJkyxjJe93d0 +ft/2II9kob0lzbnrr5O120VJRTCDLgUdoe3v5aUBm3hP2iHI1kS34UU7ZgYYtSr0 +LH5OYne8LdB+BcebxPv2vaWeFvmrO9OoIu0xd4txrT9B8ueeASG6Gi9rKoTsXPTe +o6mmk7p0+8XH4H4y6eJEktb2LM7oEdTRzjTuV7hLorIA220zSRJoCJLwY6DpX6AR +tySwnJOJl6gKg7RbOsoUBOCytceaQw+QXrG2iBbQXRYER1O1xIyR0m/9b78sKTzr +ERG0StQrIjGr1OZr8MiqGK49Ulk2ftwEpg/0ccJk+Q789lLnYGUutlGFJnKhF29f +6KpmRC1RG4vYd/SEYa6uPsXqQBpY95yMw4BgMAd2lARgNgdriBUDieLIL6r8xGpP +EWf9FUfvbp9YfftDtH6FSsgG+h7UvonxNUR4yrRv5JzBoh8EgM9Q7askDCyHgKHx +XOh8zwiw45OCWRxyLAKMc3QDeH03p83xa9sCihn/x+eJH+6c83S7IwFumS0llW02 +jicWLB483kwQ6yW78lkFOcIKnKLkUZM2GKU3prhBQb5CNEtJSBO7L9PGH0//AJEd +Wrv5CW6U9yxxKkgFLd96RutRGrTVJGUuIJDKvYadE7Cfl9zwzFULrGXr30epm3pk +NSA8LsU5TCpSz5PpceU8spaQDog427S1rshLpJ/wWvNlipyqLAZ8DdVj9bvMf+9P +/f3Dt0f9t43UvqUI4HvaQfgIJlBZUB1xKPw0MvAHJwfKpceha2rl3j2Lbr59XyxW +xcFtsb1FEcz+7CTOM3pL8GltUkhq5YcUs47WX02JYqY8U3j5x2de2tN7J0pKjdUD +nTAtIZvrDypURsWLzwZherFehqeV1GNUKSHR7qgSOgxsz5s2U/2/iNq7KBxYGlir +SDOqgZ99p6hKP8JjUlsQzutdlHGiBWEPsl3lExiF8TXsr7LewKbTtx0Bajz+wjcc +mWzAWTHtDI8kVv2ft86eNP+VSHAMn2mMvhcWfKJLm8NxX+hKgVOciXdtkiAXsxmt +J0BJKrTTeiNNNIcjQg2hFeT2Tlfeylwd4EV+O8CUvudF2okd0frlfsP4+gi+uKBA +VAGg4U7+84uXGDn6m4Ot06+4x7JQb6seTKKyH8OXEKESuEB/+rnEjp2MHtGOte8r +FghMMwse3OTSjXij/Tq1rjvr7cpSX5bp/0K2K9ntKY/aee6pMVpDOkg0pIV0Y8z9 +csJvwYxi9SmJD0KLCE1x15DtxPobD3R8uz+iOFZJNpQi2SyQZrBozc3cK39rW9ld +8Qn7DIGSDWjWw7KwPqMEbk1gG3tND3HFsM/2EhsseLBpUXerhK+A14GfuO0ClaIs +FRcAjDVOj/jg2W6rRC78HecF1lzgLrGjwdKt9z9Byc57Tscu7OxM1es/rJhuRTwj +MjN7nGYHRXKPUu+DdCC+Ckv0DNpnAScme3ICh2T+Y530Ok29FuaCLim3n637neFZ +1/S/yPwSbeHXAMDSi5K0SR5H3cDfwfksg8GD08pTFhYsX/vGCSts1nR4a+gmEqAK +km0Aj2qgt7h4YQCZhoRj7Rme3agtem6+U+qmc192B7R3Tv71oVBpsKLCEwuQGtu9 +QgTnN+ZikWLrgPqygX3MXJZsEfl5JhjMntPA1nSO0iUxAWBNDoDW0W+EPTAAxZzn +rkB5HLBLF6kc4mFs3WklyCmF5FySKtjuYH4hd0jT16JTpjvTqtOkcisYt2M9Z1Oj +mO6Szmtx1XvYUrIO5/NmAzQQ1MltSA87BSV/rpfeTGpCPf7UwuNxA3UyViH8/AoG +l+9fNqyccCABAm3NcU7OEYt+zexU30EkuO3gbdR18wLUlIPxDJVxxfVFFUsxTB6U +o9p7xjly7DbXOdHCX0n3k4X4hxWtcEFIEWy4GviWbwkTUQgYoUQMzgRXcZKYsAz4 +rXLrxgdsnRMRS6n4zOnLjErbq0e6Nx4hK5jKjMFJQikA7DfzngRU8ZIMxd2rbHAS +hM7i70QrhPu5IbZgN5s5HT3n9V1fq+HhcATkbmm/o6twE8031obuvM2HFFfyFRfi +BmjUrvaJItz98psnMyFgVva0W2YDsifhvAtRATFSeKilw0eFTV/L3oiFhHuZfbzz +beEXW/g3JjulRZ+IiUaGeEgHZ438+cX90jfSmxvV6q2cCh1KWyf+pPsN41ujtm+F +M2XPhBkkYrwtVDEGqT2EN3mkY9DJc3j7WqMecfLXE33N70r8TbKGjlET56v5bm8c +s2uXB8eWJr+C4r8xrX/4h5sV+brKjn2/+u8mDjXm6E+InHOCJWRJt28/iJLBFXbY +h1ppHiVx88k/dgMabVRIY/Q6GGZtqF4Q8Y1vH5mXugbf+3/OPHWHly0/ixSjPGbO +GnwVOK/TrZm/gF76+Iwql+oEN1MVbSJ5f0d8CJ6Nqwjbu8hC9qJklO9iwQsJASiO +F/U8wboI4ptyFCiANnP7gW5VNbs4XKvL6Pcym+TIbE3+pV/aOj76LqkubQIW1IHt +FW/2kC7nAYQlfI8Gl4VAdDtUdQg8rtZIG0UqWh7b2DC/+uVQiHyh54gpNxmVQLkQ +Mcka3hMeZf9W+Wunqyiu6kj7gCpGt0friO+fQ6eSxP3CSIIcowfgBKa2BiGT+Oy1 +zOy/VVirsEmSpEy84rk0IYPKgpa4V8ZGHUiYN3IaTfCkLky39Y8h7PFNmH1xJjO8 +/sVEry+71N0VZhvdFseDHKk6/l6gLrX0j0tADBYR9gTH3CItohxQJ208BijSLGQO +bwlydhO1IgZZZQAINcqhZXNX5AvelWmEcmt+EotYfMjIQas5XJZ8ytfoqwhN6Mv/ +h6BUwtqAu5JqdJbZVHJobbfizi7cENDTj1Vgp++qDBg5x9bhbWvz7+xQjakLUph6 +yZOrt7qUeqOrd/sPCtJmNzH1NnzSle9kwlAckl+QRCw5ggIgKPDqqIA9oxsO+0nC +umX91rjnqzplga91kYLisTeDb6YJksilnidGA16ANzcQA7HxPOnESOlBP444JZAA +x0fr7OpqODoyYfpMDJtMHwL24qpwPoFxEYlhptJbsbDLg+AimHlUE/3wrp0845F8 +HtArsdol5TM7TPcBxPwijkPsiZYh4V0D5PjoSiD9R2sSZNX0dPV0qt2U3frzsVT5 +YmBYO9z2A9wuKLs1C4h5xItdN/XgQ9jebc1YQrYfCq9mp0AEzkHBOqpPKaBPIDwA +Tzt8nmZxMpXVdazXKaT4ElKdm/y7BMinoqcC1JevVeyyTvQ2tXiw4hGiOOJtbe9t +cHM0/ldVZAwpO5xBfSQOBXm1/XBmAA2eaIPks2hVZYoo8ylwd1MsJ3z4eSLJmUv0 +qRRTNNgDN6BGWsJwNRNaus6l87nYVh6SJBRLBlD/qb3DX3LtdEoLqqVTOn8pEdnq +Kmu5raVq5p5XCGGwmpJMk2Fdx35iKE715Qo3JY4FFGssjYA6Cfv624sMDa/+kilB +sngKZJ42FzGJw7oIKStc0MGApvzTUaOLzvsw5fBbowMMa5+h5AyyHDQLCzaw80Px +fWmnuzm/ZYrHxNjXmDHvTElRhyYmqKqV2jilb6JQ79TsrPgUa7tghDKO168t8O3O +BNH7c0xV48sfiQ/DsSLzXuMFpko1E88Qv1/HbK5sgsrJk42aA5ifotAxQ8ovC4XG +EEb6aMAA1Cmlf2jTaQ0mcW/fKfXl2Yx+zpgbTVF8HccspS7NjIiK8uMAWuD3nClc +XrchQWqkyiNjZlO6qy0wU6HcrLX8LZNkxiN/C5tXQ+HiKamooONvRTjD5QW1wg6K +nj+lc9CBlV40NY9yTdoMDkNq8gG7JtKEhSQdywd+owSR/STIw223/H6130UNktB7 +iinxkSyJVTWtgIy7/s5pRILFgBTZ9WS4vV2YGEhvNZcawZ9dkNJZFgmzGS8v9uJY +siUjHzMBs2TMyhcfIVS/NXwVsYk2a2P1ekd5OKd1b82MwDUyv7wOlsyAzgZ/OZuv ++WUfSnCWEN8m9xcOX8EeMc0MrQWOHIJEQGPMEJmh/tHkxGLV3DoZjSGQhPQ1jHVU +ZT6rBzNtaTBkj8ZXMZDt9jO6tcf/Z0AlgOdpiU0Bi5Ivj2e9bVVPngmA/EvNpISz +g/hBE7xiY4GSzX8L7l9yigyG/fpvCnJPPaWmTT4Eq6r4haYGxPaY4XF3T9dz2Re9 +HxS4u/sCm9veggtEHxof+dX+KuIE0n+xbID0cu69oC48gomwRl3Gd+xLNbm/BUe5 +0nzNPIDzhwTybw43TueJlMpdWpiSTCwE68esvRYVn3RiLvRCKsemABZEujbfU+IH +MX17lIhu+LT0fQDdGenedoS/cy4GEW7yBAQm84qSMGJFQ61kHnph7OGhk/I3eSCr +sndQnBAAjEn7kCg4M9BolKhqoBzM96wO0WcJuv/CyPLoas+3OMRfWkm2RGLdToBR +pvjoLS3MzeHr9x/VNuGws1Pb5rQmXYa/MaBYFqLwHmNW7WSzO0ByNG31bvdp9EI/ +a22bSBGTvur8Ob4zh1LgMH+G3+etFDY93y9zbl2quPXQAfrnLfdqYyH0+/aJN5yM +CTW6Ub3rUSeOnMQsSNaDtfnzKe+JacIZj/uWIAi8Nw5SBV7X7Oc0WKgVpee0/G4g +W9dT5ZS511C8txVC2ZCsJPKSKAlljIb8TfdTt7fK8PEWRjK0dcd64Qcj7nao6Om7 +f5sh9sn8qcyAbEPrBhqUblMKHNm++k/LHxoG+sNha6ROwVCgDuwugVAVbvnbZusT +34UsiOyqcRC0k5OaM7eVPMNynHqNpmSn2gu3x4IjqazZ3ezIU6alq0XEQkRlUuUW +4kdrgdqQhAhe+U9AZjZdKSv8bEITvIDuHJAjwcV34j9NtD13NCsTeyKYJ794wbWl +e89/5gK7jsPASVTN4jH86gqh1ItVy1PjaFT+UxdN372jIG2BaczfzE/6+cgAoSJO +HUdsUE2sxs14t/EVvMbxKoB+aRRDZ51To8k7HLloDCocdKbT6sXX8NDuoeumJX3Q +w+iJwNdCG4EAoJspzc+QF/8tWYxA6Lb9Bkq3nhO7UF85nr6ZMtO1TOcPWckIQ+AZ +cx8fgx/xyMmxTDQsfSzaUwXMzaDTsZdKwe1UmLstglQ3JjqW0YZcaU/Nmm4wp5/t +U6INv092T9YWPTbkpwzDQha4/n64fbjkyhfp0k5Ydqn/cNFVxUL/MgJAzAwgZLmQ +QN3KYa3zgZR3t85nwf7E05ADOXn8ZD/ZRksWW1bISaQ+I5SworZpS+tdtP1V1tHL +BNvs1BN0kMJo45mOihXvIWE/dit8DmfWwQ/9YdqP/sEykiNmQ/bmMUhDl2qdDzXe +lnwWf2dkV39rRGnYlv92Tj8Tcz537GU/5E9l+KOdRnpernSOJ2pVjPMu37gVvGCt +8QmAXhmScLksd7sjmgRVHmC6aM16o5S/DGLPqACFZwSGzgTimJhet9o787l8I2r4 +lUpmY9xaTwOrpPvKrLifWAuYAAMpgsLMpMmhEMXYawM6nUJ6M1gqo9AbCS0Ivmra +5AKNC96Bb4/iLznJ0O6oDOVjY9bbpTiUX+CHwR8PVNf23BNi3gGCPKvTh/MBjPja +lvidgBeZEGFDwG3zh3iXi0DfKg0SEzkTOECCGiLsZXO3HIzT4y7EVs60hatSsAUo +104Kgzt6vXv4U+60Ge42tQlTKj5lihUp3h7N3G3k/dl1H6EBdAkfaZG0j9+tXF34 +k6eVN3yCVKdnQB/zUSjg6JY93h6F4FBIgOe/JdgvpoSrJz+OQXbFyWBNpoauZZ/D +3uAwylqmStnKDpVTVqs9GROEG1cSbK9/CTrDf8q1GVVTWxYOAaH66jnFGAx6EvOX +vV/+WCxo1d3OR79p8R8BttL/+h9ifk2aPyAmDSAkXyvr6ffMzt75VMg/TXlwqBL5 +IWJXmaFWdmgPNw3YUtZRDyCizoyos/0jY3shX8YCs1QTPYHthNT9H4l3t7vTM5KI +r4aklmEqP+9mVVSHRrCd3RTaBiu0OAR8ASTEZ+6NlL1Ceqrr6pdGAZ1Q3aFO7dGK +HiXiBmmQslXkIRb3PpJyZv7gMw2Ih/KrMyk+VfH2WckXFnI2lAB9F7f0BelFUFqn +iS9lQWOU/ixR36aJ/pBls8rgs36ddoZ2OqGYqqRlv/4YorKK80NbK/bN5dWvPXxw +KYUYgx/YuP6n4ehrj0Vcfbi/FK0JT4/XCIvhD4ljpx22GLsBGUqeb+sm8292GtM5 ++ODSKUgWdBOtaQxf01r4a6RQ6cvgbpi7OGIfI/ZDh5/8rrvDcCtDMU94bwEY2OwT +/zYxz33U8ucHvwEdEwkqNTtAzvCK+rsY3x1zdC++rp3vS8gG83cG9xd0VwkgkmIG +TyFffkL1Z9BLVu3W7WnKpp4147JUckStLdc70j56iWKI32KN47n/jAVeSkARvXz/ +ahmSGDOSUXwZugaW5PaCdgWCsJ8rYHpYxjD1RLvqZOs0UmlM0hY2U/HBu1uOqgjM +4y6MAfkaUfNaOV17xoxeH7jteGKeWACwBcKXaBZOqC0lUuZ0HFNXNTf6at6Bno71 +fT3At8uaKdTDR/D0I/Ri60xEn7abWmJXCfwdh6LFV/YDuHBOyOmolSYtB6+2uaLu ++j4zhkcY3vDY8SBF5+uw28H5ab5fCjBTz4hSt3YNaFM7X/7uYme5sLlXt8e7G1vW +61HYHwzg19MWpkTGCmjQju7ZTUN/wHlUnoTjdcYikWa85kSFQscyc+v0ZQq0N2M4 +IukmvP5dUCRoVKOfiYZYBPM2A8uECpWdD4bIbjZPWqNpCtGeFw+5HzjCCLQSF+LX +vXWcqACoVqQdzT/P9DZX9hj86KcO4gjTcsNge5K89RoBVMxkBBDLpG+GuDOWwDmZ +FrBMtT6Vf0zqcj+2I5Q5O3LL7dNggpUWRZFYRmqv5cC9smtJQTZX6sSpCqbTuwEt +TeB/pAsp0SJ5WTERyzPBDHKcZtoPRmIGxW7fhvtcvuGHGD0jIRqIz5JGccT7Mo32 +PQmcvEYrNKFYSyKeuAB7SRn8R3JFVyWsFnYxtnYMsLEGlrWwryuaMSu7abOADoA/ +ddVS5TIUvCqBuKnk+ogiuS7FFi1o6DeFESvaHEH1LoELkLNgso5kXLNeH6/yS8pT +Mco7N51a+hI4NkfW9nLsTbcv7XSAShNG7FdCTL5WESl5hy9xIFcykqzSj3w4aX7o +kLwARsD8uH1Ru4j5Y2P9/GuMGuo301PO1NKzAWBYTn7eaeWKhNzkHlkRLVM1wObx +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_basic_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_basic_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..c8025cdc92b5ddad3fe624f477ad4a8466f90142 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_basic_tgx.v @@ -0,0 +1,375 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +g+nL6ANfSk/6AqQhVI1ll6yzbIhAdL4FbHoB9h5hnZ9ZStxMguBImnjdOqj1GN0z +lK3EdvL9oGBuZ1MZypzIDZiL4OPwar3AtypijmgwOwnNnx9cX7U4QUQpYYdv/QZ4 +G3wjUMvysAkWW1B75c1wP+grIbsbs9aMtH1MRSTIw/M= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 16240) ++uK7yCAGnjUTkRtnbl7oWJc549t/dYoQZoNOKVPvjdaLwal4Ns2SlVGrVTg+B+pR +gxTWByuAahE2dgPr0e9mQGyepzfnwiVa5bxoWzHgd40KSgpFF7/GWTyBOy9RwVqF +uUPWwQzPNfccC6dvhHylf+XlTGyeUq7KiLcuw1D20nbVcuIIQULkuvH3Ew8u/nzA +ffo7UlSbHL0qH3daT3R94hViEVVVaPMaSt8BnA/lFBhgvm6vKVD1i1S7ZNM6nxFN +VBJ4/foN115PVJYtLh4lN5UeVX/Mx+I+KDyMtrlnAzDfp6++351WbprMhRq2zeEl +pt+8cHArymSvCCMbAibdU/0QyrBmE2yQca6a8tSXaI5+ROoj9n+pNuXpVfgonioN +W/Yg1sqxD1OF45Xo5dhFi7peljxGWdelEMXBccocfdkUC81z01HYe6b+OR9XP1+o +BgmPdIm/Zyd5k6jhwfITuJgtVw72qDSu0IfspufElv8G3E2HNWnbBlqd4zEhIp8d +c0fG4uvMjuBn5lZV7l7Bg84a50065m0UORKauyK/3rPK3taVuhmSCm9skNjvO603 +t8WXA/flucVeeFqvj+Q4X9+/XvLKQYDTTQfNtF4iOZCeUFlTCk5dMDWLehlcDoQr +QyZjtetoyJfoDHUuaVxiB6rZGrp/Va0uugxqfs/olhR7u9QFUt1SpNeuiYzxOIZT +M1rzFUcvQYfe69cz4MKhcIhp3z0BDSm3mOXv1mmzv8/SFHmb4DRLAUcd+BfUcLg4 +KC8ZvY8658H8FV32wFXbK5R8mH6CHNLg8g2BZcMNLrKmUurutS/IHZFCAOD6GPCs +BFglSSexyFKQLK0HsKe0hjHC058+LB4ChmJTRYcO9kFkAch+Ai9tn+ktXkLwenvA +xgBZL+9Tp/XyY1arw+an2uknNB0TzWHlG14nAcBbJ6jcehEAtxV4tZ99um5IN5i4 +FrhDkUAgco+teakLmrxlLeU/gR7D0ixbG135+i7y+5YmhyetjYD2wJp9RdNJtD9O +2nJZh7y+Srm/v4Gk6ZS5leG+iFrLKeH0Gq8pNKaZtsUj+qzTS6G0RITmPcxpMFlc +v6E0U2YqPnPV5v1lln6MU2OENo9r4ZylkhlObHCLtVsBXZALItPfYISAZ92LFvi4 +X5h1VR6gztze+0h/G2JiRiBpWd6xYJSZ4a6kxidZeiC/joq5WbLyiGLPWioYMPFz +AUq5qmckxqMeDgu1YWKZvU1UXYhise2LS4qXnnoXEa9tKrEmluxvxq5z5gt52UhS +l9Z16N8lSc8TSAc3HQQ+mQNlL9rtFfBrLd2DCmw4TRwScHxAl05CvURpnysCZ9MN +i7Mbh6XucXUytV/zE++1bpRc/q240HHMcvFl+bWiitbXaq013QenPbVbQFsnIyUS +5+2umEDWH0Ua8nL2hvQyy08dAk522ioXJqCOshFNAse8BvBhFkmbja+vH8p0VlLY +o0hbiaWdvSaLriUviXrbP0o6A/P6a5+lJyBYqtDiMmNlNwOWgVCnYjvipE0J+9HL +zDMqHFlq1VMs2oKEVjDIFy3MVWaOj6YC9MeBTAO5g9x8Z6JHRs/3FyPcSf6793Ei +MccI1hD5rtps+iB9gAAl/0XcIrzx37STw8L2842wrfApIMpr6bkOr99YNniZv1di +vxyT4eC8souJTtjajjdd3PA7w1vJUniJ3odoJlRoURbh58AWMK1UWj0/gBWHRMbI +m0Calnb6EGFCgCPOpwoUi6CgXMkqnV0HQ8Fx5Gf7QSpVUO7OLGVPnXFcEn/74PTg +2i5Y/fikdqTF9bmN5h3Xx03QLd0B4RVJ5nYqXZ/ZWUNd+/+f5eFBI9WBml1w+uQ+ +vHN6k/c/tjcyQlq+B1qrH3cq4b4xxii+Er7D1ynJw7wU5tAaAMt4NYbJA6k6RcIb +40BH0C+6c5Xqpa5TyucN846HMCTalNpYeI3lkv9Y5Z6l8W5I24s2wYbFnb5Q78YA +0NnI+zMKkwVPVb8U1Ba/7g6Ijpq+DC/D3iJ3eZNOuYu5MR2CTmgnj79tKAJaW86Q +F7GKCx38eBZq4PUPJSqeuHzad2A3TDNdpGDmPooiRHq4FI8/Zex+bZ5k0CXILSBo +Rc3iqSNh9zkRwDattrlV1l7+B/ApOPl69p/eRhvElgvRYbxKzcRJCV+0wgw4Ke46 +xDt11EpmmYdNonxZe0UgiMlnn85/KdZX1Ns/rU3s0taWK13YcSUwB1gMrygEZyPi +eUHamExNdn/6w1IUBGlZGtAppcby3c60aGiPPZlTFPErdNM9tbZYuSWD2JlJP+g/ +L0GFT47cdSzypji1SjPkbg8a/5NfFQ740Kesv75e86X7K+bRB5c9/8iTncPblbjj +9QanNee1qhpaejHUts7tK5akP/E0LJvHUbc6Mdl6HdcPSb5qDtFqkwSMa8YC+2HD +7tg0hjoOhznb8pevLD9Co+saMIJ0cuKaw9H+cThNNeMJzXRPzlT0lMw+vKf9Hzbj +hmlksKI/K16pK1yVRechctQisdpZOnwU+y59mvATvhs4CB6P8FmOQQHaHnBkNFfA +GlITQYBMqm7nRbPqSxykfE66DKw9jb2J1dBrYAfW0B0LeaDR6NGwCfJH3G5dk+/z +DciJAb5tMWV7XWBO52ukpEqO1u6VdSkDLT6lOVDuGLWqw+/i07rmI3RMuuVQiHGU +ZkNiRM9KKVRTEbPiM54B3GhllQjgT2cioSZgpt/z9H3Uw6erBjQx2JSsBIppUFI9 +b+lRAGKzpzNMnrxUI9g6mdrfCkvuPq6MjBFDkhiZDMMkv1eN5ZEUjXd2HioQqIHf +6WTlEl3oSnsqXTZeKCFxFJuM7rczfnoRx0qViL2cL3BRJQ91WeOTAhJBRL4zGd0n +Z0j69AT8Z5YK5NjVbT0KTnMR8z3Z8SCjXsl/sBpirnqgtnaAQAwK3enBt3TiXrfo +SoRjA+amGi+ZbkiUDwJlxGx+ETiBNLQxek/mcXmVGTY4GLJuG0qBNNYolDsBevGZ +JJKifu4NUl6Dn17rkdOK+LPwe0zUnmO8P9JsaUmCYrfbP44tmPsr0U23V+eZHPNm +LDZCMxEpVxnykHzhbv3jUnGXUPSBFCGMqHEHHAIwlItPG/RcpojciNbriEcM7skq +s8RY5FjMIOaMaxHGwtw8mLdOkzWV70fZnYr8zC22yFRzYaYT43EW63QUdncQHKvu +R/n/+GkJiq4h9qbig1wun8d3vyFLrKJBuSq51Z4qHjTX5HPhWyzehgcRYEWWReyO +h7bawItVbVHSHmvbuQ5X61lswqiWvufhFWXg2z66QFmWVzYzziul6chN94YoPo/f +t5/sSngVyQpb7e0Sm9GWY4+r3LBJsppIwVNJms6tpm2jzFHvXa2WZWRIGJeXsj+9 +R8ZZnnGIbiJub7vcxuas8X24JORqdOpiPkyfLgaf8NrgO0kn0EKXGQDHoHnAuxNJ +pH3BdrzD9s/aa7V3zw5lUfa1mPFJMbTcEa5pH2+mGayf5qq7xT9f6wpxHtSQkzuq +vzVJaLN5BmY5frpYHOZUjOcHu4iLIhzKEUZqzbtNlwqzJylK7z/hGqMVguX4irf0 ++kL3NQZFArJkjihFjY7dvJ3ArQa6TNhaEXtLzaZZKnb54rUpiK7v7OxWHiDiWPUj +EWcnJ82/nq2/3RKnqvnDNp+4K2V1eRJaqYmuLPsuGouP34R+hhRRCyBMlALA2Jc8 +yqv8I9HLuHBFL/5JrWs4RwmSdEjHrnf/zMzJAXNvRER/d1bEltURNBElKsfBQW7/ +PwZ//aN2hlke7WqaFjAfWlLaXgLJVgwUDPuSq/g5+NkfX/34YLW+iFLRoBmEdUR4 +zW3+TOyWk4+GV1zuDWAJdz+ImavveZHFNyqatwe8API1q7Ns8A1ipuO/XNFZkvUm +sAIeDvB1tdq8vJWItJ3SrS8n1ZUb7N0eX0vLMuP19iu/qC147ycuHpwlPKKcPpzR +8rCgFR68DWtpSyiRr9b5rRtYMd7aNYNfq/8x/dLFR3+pcNZdUHNDckYb+PEbls2Y +/Ac7vkPFND04sfWIzFu6PqzjPaYVGO1ayUaypvSjXsYeKS3saoVF2/Saq+FCcnFv +habrwaGyDU9ffyh5ZrhPtmYu4a5Mfz8ENxxTxuDjPODnAj5lKZQ5LZqZ7InYj906 +p9qCeEP2il5kRu/dcFAa4EQ5Hewfyp+SwHZXF9Zfvr9mXsj2L7RRchqr9z1u1gsV +6su4Gpqi86WhT8XM5suzWWwF+C3sMkIHhm94MJbXAZywl6svbEZ9q7lnyLNoajZC +EPIqiCTmQrO4bJqSFkJB3yuaOHUbNc9gjfCQJuqegdptTL4s8uGN8qLW03o/H21z +MdjkhGIQIm9w5cBK0gl1qXsMa/XNsE7UKn5gbEWO8L2+3pWwoZx6IkjpHLRzpTVn +F8fs8OChDU68K/iN+CkWHajJbBGBJ4FSLHBIXM3eumRb16YREinoLp+M5/MdhpQR +yj87YrOFySH87IYqgyNkftpc+xxwvvrjhhrkH1IpMMKj1YyKEOgCHQEd71SL0e9W +VTXGXs4GZk4KKqs48RiWilX9BGiYvq8LrnUelvI4pGmCNx40jriOlUOg2SabN6KR +tJarl+xL/+jeAtj/Pd2HUpNc88FMYJblkR3jVH12ohfnu4US7DgL7u+VESohlVWD +s1oWJJiGxqdcjlhVh3c5cWI1KShoebjXDvtoOrpweqTPckGO0C/TTkgEM8+0gDtA +ac8cI5AD9YucDZVFMQw+7bwjngdQaGRA1NaPyzF+eLCLKzdo3lgQY1+TQjMb1V1C +ANfsblz5A+PGP/G3rHHZgp9eFVQ8aIqvDSnfHxXmRs/HhpKjGpURyrPUxh7Ikx3G +h0gjEcjW6IoP7NLQT/haOx4W2aQ7e+BGxntvsXaDoaMI48LPZE5/5PxlyVGhm9pk +CdTJTu07cyDsCH+V1Q7W3nmiUJOyuyaQ/0uWeRaKsayBCqtDRrvxDklBdKJaYM0A +lmC0SKertVokoLiQ7lrhYTHoyGNhNesa0meGo4japzuLLLfmZCl4MPRrqdqVIpTY +JhwJboxI2EBgV+GWLTHRs3tKuKYrwSpe6PRlNgGneN5K0O/fFPkESvKeYD3ZEG3F +tSti0PuBeV48wH3I2SMoBeewUzVf4jzsQR62P7rhW3hZ88XMFO8EJOgUHmV/iElx +LjJTX2jTLF1d+j/QQ66HWECZgfq+JCpwNGDmb2eHBofzXtcv+24NXJ9e7pCWaqgq +XLiS4MY8ZdaER34MGcPZgvL4JIZfGb3rzERgW3cVf2u45+rycW98SD3Ue7f8CBKV +AO9Yu5WdADiaAsdnqw1/3t2YSxlGNegPDNyt+tVMwewWVqDCqAoHgzKUfJRLf88a +hAG94l8q+nmxt3X8yrgzqT2QjRgQfV7AQoRaedvftGddbXwj+uPO1tjXhlfeLovq +fM0C5UZfMtYiJzllBHdJVO9h1Nvgb7cuRt69n28/joyQrFPPz3Sivsf0cl/gscgG +SdKmuGffl3mw/CNc1ul1XjLW0WkBJVrYa6xU5lhyEC/yj9DtXtr8HLD4Tb1sIaDn +nRg8IUebQv53Ef2D5g9Df8FAp28TIMwEjlYIQL9iRLSVcelUNwMXJ64aqyz33LHC +FzYwW6DJ+9Y7b0arqY6e+PfHb8Mq17/eRVS1bGIda2JM64/MdCyQfWymvda3SE/S +I4KnZ7qzEho1KBGxOTVpUjomGoRdrOsseAuVB2U8+LOdLvUpRDv6yezOYnagS1g+ +WkukhSpjI9GTK6HB+ChdgK8PRrm8viUtgvgyvwbioo711mcIbni+HpWGGDopPVu1 +K5cSGjjVPGGMn/cxnYgojBr6N7+OMSxNCObfXCDEwAP1iTOc7sqsymnBsZ+GUp/s +OU5Go3M36lFm79c+K+7PJQlVEGWj8Sj7gRMjoZ9yc/CrSK725V3RDa6dITJVMt/v +y5esIHOdyTUyfaZoZkNLi0iqbusIFOiIlbH4icOOJ6VxyMT+Wjwhj0+rQ7l82RQQ +Z1+gG9S0B3vY3BZCdDGv8eHsgc3XOcgoh/9mivNRkTG4Us6gO1Ifd2MNL61Cad+2 +ttqbqEZDHdKV+K8BXPJIzZaqZBRSQLryYROR6qPigS3K49BSy0q1DRjXDvCeHufX +0tdN/Eby0UR/9IXE4frlAWdPApssIQ6260NY6ZLYbPjxVNg50d+3UD3f0RUJdxX8 +6YhhMGGHlVQgadxebR0TrLGubL+Ls4rUuQkxbhMB7gAe6kP7Mvm7IbP4nygua1BF +yAOb7m4UJ/GDp/DW7/0qGJuLiEFchSoUWL/SQIMAcI5wzszYTygsfNoYZSUecrCe +gNvzwT62tBND6oy1jS4QsWg9WjWfwIxDYIjBjjDHsQPZBwf29RNGOU0mAmOGdRJ5 +cIP7W8crnC2W0rwjRfcRYJg+kU7LFqsRGpM6iFyQLns57RTfvSqUZIdiU+64RuJs +yuFT6gpYK2NMNf9BSxyawtAu6c2W5aDkVeDe78S7iB6LoiXrbcIO2PMSSHn/DeEA +seX11IKlO/dzdqrMm7JxdMKQWIETYWXpQNcGVJWQoI6kQSIZ5Nrsxgq/jb9WkwuA +sda9+5Uzhhi0eT7lB6lV+py9Ir6Pn/PAA48pXhM7baaeZ41qGoqWkMVl4PyYsjJI +3vxpYVFiktiBMWUwKaOB0Z3DSjpNxcEiXdd4xIHAlSBitxRSOrhgS7ITce9lislV +C+flLxFO9NE3DUtVeEvGUMuW73sZ57Oob0+bbCWgMgnh9eiGefodr805IxUn29D9 +7iUqzYFxjF19NgeGHn7xxN3SLsYbNF00q6UQUgIV1q5NuH+viMM2BxLqfKK/6zMB +Y8EoQaZ/nadXHL1Ha18dEk2kvINFsuKuH1obWZwbELTfyGzF6ZjSpkpDwdlWqQ/v ++dUpLBDhayfrWDHmJZOiAngRf4x9QNYzHuzrNtkLXirYFN5ADObGUn+ky6l3dBsd +RjWPjuSiFpEZ3dwQ2xhc0kOiOFjnfHDJhJDtq2sPiFIfsE0HtBIW7JL3kbIKHdkC +8nu6dxKiNgb13gFMUxxor68HCLGoOETjq231oVnVrUnd1O6dsc046y3jCxUeGWMo +b9CuZg2hozN3TIiY2dJ9mOh2s6fCtd+6je+O4Gk+BDupgtM/M8xytwRMnvpW8QwL +qBW9mlfXE8zINGjYO9MRJIWTR6J+Q7wDTYJpI3uY5DROLhsyic8k4+7RQSpVteDd +Rxju5oUQlCck6pQs5HGbXG+JFJRreyAVyqMy52KcMwCri/LBAlS1tY4TrznQzbBD +LivYNktxp/iVhuGrG8IPhiXGZOynqKKTmVIAemZbmGlohWZnWxSMStqKAlzwUopF +R3jHeiCyPIJAmaOSJjf4lhS9L6xhWAbJxWOoCfp6lNcyaGnQceIQujh07QnQACph +h+fPH9LE5G/3r+dSvWWYdYIFUrt9/d6+37S7UGmeDEexyblCw5Dqf23WTf3hj/7H +wuCVJRrJe6kgpQ595TAjXwp6j1lJjH6xL80M/jjjlAmiBePpP5sN/TSKa4oi112I +pKnVGv51CSOY7cRD3jGWkbd8faCf+KlsLEl3B+W9ENPcNzl0cwXXs5H24jlTaR/C +sKEW1/q47Pqen68ZEp0eM/KcZw3cTyaT2qkqJisKY7Un7YmvC7pWTSuhlX5a6A8U +o/393fJf4eLo7bCHkQf5OUWrbcaKD34r2dckbGAk6Qy3EV+Dv1R0xI0irx2oNIri +zetB0BC2smuOcjpW7IJUW4oKrwmB5PQe+X2CI9P0b5vCtiUm7sGYf/nZckYYOMSP +ATlNqaRmVQLFji27gzmUuGcZm+d6Jr/fKdoIKGg5Yi/lIzLUIqvcXdePdl/1F46R +vgeX3W37E4z/B901Jt68caUVxh7wnQ10etX2A11gcHOZrRa19TQPjmU5LqqetCq6 +bn+OvHFg0lKZam/julJZ6jQ2BKBFTPBs16KxBDRvnp3XntjDBTy2mZM829jL9s/w +rUz3XsppcNYnjlATMIVKHPNBVkSQmFyr+jaNEBBSwoOZZOXose211qcP1i9TQvwC +YQpvyVc6scAEjbjQJAdY28OoclBW0WefsbEqxdKfw3PLUx+7sEi2RbgXVySZA8E+ +NgzRyPhUzG9JIZT7/orzjb+u/0UeItcjJiMagRERtMmeO9gvD8xg2szdG1E+JdLx +Z29QgMOiHj/HVDBBrWBQVWFMS65n1rYGQUJJe++VEuP5k5axFJ9t3VCNYrhr4Kra +mnRq4XD29ecLeKgb9sg2MokvPQgQqD8A/AKzs3hK+Eeozs+Zitn/KwedJp5b0+or +yA/LfZTLBezcO2vMyQQez50vKewzqFy9+LxwvaRxs+G+VrTwVWOWSmwTtQlfYjYF +SSjjuJrTXgvi1pVc6l9dh4TBtbcw+5mrM5235vCWvkbI8iXL36lsXjAs/k9hyghJ +DEwD5RKrS9+gpgDIxYabWNtNAkNRCAqCQpoQ9l+IGIGferLpNVUU8B2PI59LRpYZ +3u7P6pkU+ATbOgCGrarDO6W5+cJJh5OROftCgeW1HM6SfAvwntRQEhuriQvBWF5f +N1n/C+hYd4vGB5F8TcZMTty8ejg0WB8LXxFssYfx7BC/wxhKAchnyFT3kIJHlQs/ +q7JYxgOF+iKLSXTKi81xff/3XemioaVepNjFRY8WPVnS8W/GyUTxWM2Kvdt0xuBX +dvzZQOXnKAEeBYM/vP345OXAmDlhRvRh11DrUVkzg0do1ll1jHPenaVEr3CzCp9r +mj5oeR1BlJ2CWSyCyYxsmnEeY00O0PIBJUPGZZcmQ7wowQTyQsoOH6S9goLdWqgp +HCPQieyipe5BSudqHlMc9M1v8gaBTRsEnAXn1e9Cog/sxKmdfP8CLI8Tm9KZCAVT +mdd9B+tydGrE+7rbH9Gsg1z4+KCPw84vzJ8R907yBQx77rYo4stJooMAqnhMyt+S +cdDpjBqmq5JOgkfyZA0SPKkvgcHta27EK46giKTqedLlKjY5PROJJNfbl6MjI3au +RTW9Iyu1cDbzNfCOnUh6VyYBhcgqy2yuI0wLRIGN5o9mUVLb9eUQyYvkUi4voi5o +VTEzoV5FCuGnlVfSPIVOsHmTBvbUMuG6yoBgJ3mevxgeSrGo1hg7CvSTTPymK0Y8 +Mk+2ymfpHGy/+/UTk9nCwDQIyY0LlohJKHnSRBn89+jTyiqGEubb4HLUM08WgXV/ +GHYbmFmoNE9pE3srlhzKDOQNhrbkGj2Hdi2DoCsvWOBGV3KJq2NJwPvJfZFl5Ifp +oxohSd+POjim5mtz8sCQcw9e6pfwkdb/5xmJF00TgzKbwxyQcv+E92CTZwjAfQuy +tZYYBnRRBTaKHRnm1MScul4j+eeWcF/eXEoYO9NnGYQPd2yFSFnc+ui4UIBcK6EJ +jMely93h0eeMOc41zlAkJA8/MDE2Kife8I6kxYKFSGFLn/DkUDlZVn3C0EQVOMgd +BOBVkSLytTWz617getjt4U2ftpiP8vCya8WmNs/Wd9JVE/05e2kJjCpTckIkGj0D +1CO3NVuQzHk7/Ribz8nQaQs1pGg+3V7ItwyIq0pv6Nwz12t/e4L2kg+MTeb3gJuD +vjLl663Kp/vs4/SULmOFBQlrAyVAFopgiRz3ktb1wARWpyDsszxwcLOOke2+qNM6 +guFJ4fiFqNqNnR/cVuVKuLTYfQUINj1sPO1LdFe8ln35bWCzQfDg4bHRyAKeMiL1 +Y4j3chvGqcdwQ56o/kgPq/HZlasvPkWTCK1PvmehF20YWWWnFICqFN3RgaIpJNKJ +6wfnzAvYEiMHAQxAqq++SaacJk1nIJoM1BiKOEIjRLDuSKURkYLD3lqaberShX03 +BnbHOX+vRDHtIlHW++RfRODCubhZ+Wz2WoMiCeDTdnOKG6lMEyQ2cMLxbOoVTk9W +lrbfOy3O8djsnHKvzXhIn3KlzwqqiBuBeYlfiFPfU/3dcNiLl1trwWgySZ0WkNck +8UoJqVOTummnJQ+LxVncaWGmj6TmSfsHVYQu9g8A93NZwOOU2K5WtzGlykTcdBXJ +Pt3Ng4z8CZPuEqLq4M8pQFSj+NehR8DyvmKa0A+UneiAy1oXHFeyzK6EKwJMlILC +oGQYPjkZ3BxtgTP8Puwff7f7izFxdw4SR4GNp49Bv2MiSL9M7UF7IwtL/QdbyNZh +c5djMnUKbOVyOQnehWgEAzpplb6juyp/iNgSi4NFDz3pbD+1is7bK36WKDXX9ZZ2 +U0/8qU5QoHG0JFzQUl3vRXWDVEnioRslH7V992pBb+Q0eALUhmadrM5zitLyupRA +pA/CFk6+17f/CzIvApgTFin8FKqYhReNL2Kd8eEkxCfVfpQMNGykaKA0DIyjtTj5 +zwPywAtJmWrxnv3Gar0xC06X7DWjJU0qmD9mnmIDIYMSwfbRj58BRD4i9LwImQLt +WQkJK3OhjRs4AfTKCU8QNPy+9dxsKBIhAKkIvBewp1HFcN+sGL/yuTwiGcYhdZsk +w3VrTYcIZReFCFSuDd0/cGhUMXZYWxZq8ZWMQtlzdHaYqU34Gms21OR+9TpuQuFq +lGgkmYOs5k02StEIHOoz5m3BNzrdeV50f0eXgAM6IU892FWqKjmJu6zkpetl5rQi +umA9SOpIwAaWRmxg/pN5UOYTnpisc88VfXPyPG4k1QrfKN4+/gNDJlh2uCkQDJ7h +H1DoRgpuYjeO2Nbaq61V58K1zjIFCkGNHVKAwptzQEScOYME2tYC1baAReMRP8RZ +EJeoTXpvf26iDUfRKp/uPpwpbGO8V5d5ulXLaseQk0fiVtYhdTwt6QAXdEkyqRjI +i3hG4Mjo/mjUtXabvMq/C4nbP20gyvXjdGOL9B+rXgITLk2xWI3fNqmTrTCr+x5F +cAj6JeuWLn5wCOKRNXpDjcrzwe608A1wOGUzFz631dp8My2Kl/pRXD7Bq52bxE83 +50Laqqln85BtLZ7l16QWsX0996hrE41BtV4xivT8bmV1yZtNIh7mlpVHGbCWWDfQ +XV5UKrhSUXLGnW+jGNGVGzOuLlm8qd4LHqsyELlqj1aTasxpRhNfWQ2M7IqlWWTw +fUuSF/byD13nndO+e10QzFkdkEawmuYjaTwLTW94RplnDeEgQ+Dqitgwf0+d1E/5 +nlfU9z357g47unD3FZAfzuyWhyh8/Bixlm/6yyPz4hjRqs/+di3V2mYsSeiNdkoY +VcVlshEEEE1/gYGyE1JKedVxesFZCgsx2VgWiVniss9cbKR4slFzAmVkX30Sk170 +GlNsISoLMUB/hApdTCHsftd6btMPfG6HmwHdpKrLa33d630uZSTPsyEmtTG85G4d +Oo0D0BTX6ykJHkYxeF41X7WyDELPQt0JSXZsY4rS0tVcn/jEvd9jzBat7r8iXova +VIdGP+tTPkFBI0mouSg7ZY+SpzMu34uqd0mkoV0Owm0A9RacOhpIO4KU/scXBvem +V0WE3XpFzo2bcFmInsWO15sjHrjsnmTx4jyem33UwysgWWizojv4Gxx++bQCj1Dr +EoG0Zn02Q+bhJlS0GcvOgVqHzxIcCjuyOaaPNveITe0TzZPDTKhzqwL5W22rRQLC +XAnZ8iDnn2Zxo7DsWINbWP/Uwge868JS6i4OcK9JeWwShIDNkKYDx3Kq0McNStHG +/v2jarwPlseAN7+P5bXTyrvUwRIgbrWnlJnvyVVLNzgrV2jc2Hn5XH4VbeUDVVXi +HvPD0Y34Y+JdK8Uc428lhG3dmmGZWSSZb4JzuGAk2dgrIrE/aqiGErRKTQeMyJlN +x0t7Fo/aEWzDUHEmMoBc1ygotHUyFmShVpMOllI/JOanFJz4dBfJ0zDt/55od7m5 +oMiQ6XiFcmAqZcGZCrZ1zHPSQSN33sXVQGqcIV75tqmfbtI4LTlZIUo8S/R5QkLg +WykFLf1iU9gBc18aEneWgaoJcYo984fdjRXvax/5PtHrpRUuFl+MssvNnBUAncd5 +03GIncpfKzwGNm3cXcqu/UxhG2o7blwXnVK7wwyAiYudWjt9Oax/fsGaTyjKqjUz +q901rvtpPX7k7Uy/NdQ7U3FOourlBJpJA3RclC2RajX9ZtemJYsSehg4Q1SMTKr3 +LHMrmezvlpAQr54tUZl0BAGvv6vLlkUbMQ4IXxHmZ/igR8zMB5Uk0zzXhp+x/X0+ +U1ADA7OgkD317G9wojkSwHmR7LHK+nsrkxhLaTv35H7ss20RMA39X50zP30l8QHo +A7skV9zfH6ykpvuTDpJeIcF1cJN6nDsBl5MC24RNE9lp/o0s6ynekstuStXHaUmV +C2kl+R3AqdzpsE6NxkWgjYl+Uy7Tp/XtmzPi9y1gs7eLO9zqLA1yX3MTKaRFp9lr +Bu2YAxw0mfndYwoNNm+pJhGP/n6SfJW/XdD2ba1cnaaDZ1B46bHXMNN8mI2uGRFf +Gn7BkdmwXfmcBPhPSCID/nUzzYbtSdgVowYOmwAiKtzVRhfkWUDdyvw8+YPmQbN4 +V1qVCf8LZ4DrSXGG6h2fT3npntstmQvU3HVXz8WzJ4UfvbjntH1O6AKwXMKj/ZRm +uosoWWX75Ytn+BbcngQVGfppPE9ftWFAN8QYVmsqLETw/HTk3v9VUso8XS5LB7T+ +Eh0QctmfGwjp1BTJPJxPmYyHRwmPersSpIYGo4rakE1BOUDrAbME+zTTxwYc+IC/ +RERJOjLn3fcB+M0fPH4sMCbqZkgY1LMSB9k7B74C3h6o3tt0qpl1h3f19wdfvtZj +mitXtrJWPq5V98GkjKbi7VxDItUH/Ws2OSLw16PDENTF7c58dYKVeZorsXK8AChg +pZEDUxMTK83JlpvaiEh43g1Jpbayb7Agp+U5LLZe1m34cXnuXq9KxIXwXO46R0Lh +PunPhBaVsJOyV7Pr+zD83ta3N0AfybIdP10ernOJ9uIKcfsh0fsISH4EqMzwQF8N +Lu9YZap7JIJ+Qab6mHMhBQVY5i/tXnb2fFXVBpnWQ/uRQqEgXCjxYoTr6Dn2eAAM +DjFSvwErw2Kr2KD7wDJEilJoqbA/vLILpBzqoOH0j4J4QVfRBga8rdiPdT0kYy+x +m4y+14WxselGGCb4LDyRbiWgnXIz9fX5Gd9F6HFAO+JXmKVPRiFa/gQ1Iyj7d0j1 +9r0nlq6ZJWUhQzbXmEmtB6vjdRM1ix9bDbmDLgbI8hBnn3PWAcW3sLuhNyIS8rKP +gMQZ3UsOfwJBcw/WOiSqpN5ROd3a9KxM003wPhKwRFvSQgboY83yveIrgJEm6UuS +hOkTiI8HpIDc9CAq4JoOs7FU0yeZRKDVry6B/JthowAGgAHVnRpYL6APtEE1Eazf +8ZhDTUMfXiIip8qZUqkOmQVpBXth21xvGS9sGKIJn5YNSgC3ORsNjZSNTaX14JpY +3oTW3GdLh0gzd1N5DHXiTvB38kJruJh3nK4ol8lXXuoe1gAfyHVWS8axeqBu6vu2 +Ji3GncIbEGq0cA2Tt8lw9zvPCaPFmek7tBEVRy/dNfcQyrtMBbqpoahKgwTN0gma +k5um7sCPmlAuau9tS+/63JiIA6FvqcdwXnpW8MsFUlxj4YoCrCI/p9sg9+B9FU6D +fE8NOOJ1DLAq0k6nDPUVCSjw067yGsKWp+N1Jzl3ZgAWwkCe22NhF11aSm/qSwU3 +vbOkjU91lxg1jLEHzOJ4A+0vzPx10RPukq+zXgPx7+XYt4OSjwhyMkJP52bO2z+o +0NZJ4UnfPQlh+vdQnEmtw/3tNjtnucHO++xXays6E1JanZbPMuHPKJiK6cFKA+0N +KKMbB29zMsnLyzuTKXXAyB/K1g8/AVCmSQF/nsKaYga2Wqp1KCJx92rmD6RwiPcy +XjrmA3R+BOevLpEmJ17t6spn/gPkTjTRy8SuoqcLsEXXuUnrEZAUvq+sxuxwMBXW +lmvUarBhH1Rw3iOhV/DUSoX831cOhgn8GyR0hi39HJJ68EenI1dvHfffM2F5zTKc +Y+EeETswLUlYoNI5/fU1wgeAiBXJcbB5QPOEZSRQF89ZhTGpTAoabc0ecJFvolPr +/2qGOrbM8i49HG4zWkvs5ms2JHf0FceZhndjKltKrNENImssdMpGo8VZtkIh3DT1 +66xI3b+xHh4+7MJDBIW/+khPE9cPOAWqDlC9Byvw0rtc2V24zE9+NxpKbBgCnvCL +mF4hbuGMxcN5E0obEnVXWqZfNrc18heOo0sSqcq504FSKanlTSe8m6fa5P3C6Nxq +ph8lavTgQZ1+s+qBoAL7s697gMg/i4lnztBpjZKk4M/TDc2q2J/gosI9kDcLP112 +hR/hlE0SDhtRhjgWOV2Wx3egmIpbhz5mVphe9bjfeEJ6VIoJNCW+pXyGx3yGykb0 +S2jU+s3nrZ6FFbewQMcKs2nsrH7OjF3TguQsR4BfJqAB+l5yaZzNvZy1FGafDf1S ++/3LERmRStcT+y4uIzcL5LrGUyJ0zQjCY5/2m3SggAkfwqu9zV9dOOmWP0cLPqAX +kwdEpm+oCj2SofdEgDVlDMC98otx+WsLW5a4xqnB2R+JwHC2ViueKqIzGCfonZ78 +2wA9cqYlhHv9cZ2IxZLLO7OHKQInongmLlnIa9feUjxn8Rlzt3sjkmfYBeQCGVC8 +huN/J4OMFXr39pFsTTOcMwmyCa5uWIv7f3/Qgf2gQskRiF6aDGyrQXJROCLsJXYg +C2+GQbqgndVytGH3nAprHiu2yTTNOAEiWYdNKkSNvD95f7SsjPcGLkFVK2VB2vdb +Uf6aaVksEN03SQQ+Ku66zt2CMp8IDkUKYWU06PiXnhZN312ZGYzRK4v6kqeP19wr +maVtHqpAQdS8VMoakIdaeqv/5yTwRvE3Qqs4+WtILuosO57ISFkg6M9qIYSoDWX+ +1BMlerih5y91BHogrNl7YzgJZ4RxQ02pgqJXU+Sg/l3ImteVT3Lyl/8is+fMb5RL +4Aoux3z2FHa9YtIveMgRlCR2/E0TfoWBWQhvHvaQJZ9C0qS4RsEbvJgRaYFGObze +I1qWJj/wO8Tqv8scb+0t9hV2j9Wkm94zfRMF7zXT4DubVlbjQJnNLP2hCfzdUYUq +/Y3yQXOBLXrsaxt9AyB1OhQDJ7ObqeQvobeC3OxFdGY42eYswQVlZEAe0YS+uiww +pQKXRxlNKKnu0tCO8v3/pcJF8+HNsM09tsiFmRHqhKgLuKNga/jRI3StPEoJYnDC +pNH+vO7RPGCeq0gYGcpxTTUfrUU0aR03o1/kAhi4odDzdXMEqFrpybqrfigMlhEp +toKhmRtY5fPZM3Gwq78+LP1DoYcVbJABZvzqssHyx4NKJ+ho5DnCHB89mTBuheSh ++XdUt2ZDluiu1WqPuqB5zJgKB6dtrgoHXBrDzFwdK1FSZWtF9BTvWAG7CVcXjczX +FR69SWq0ecbf/vDD3pY8kWIPbRvjBCEGvG5Ng66d0vK3vFdgVkd9wBDWgb9q9+Iy +zqRWZUfNVw/2sb2RQcDrku7OubTpWDtwiInfznFW5s1H9Hn+b7D85IZfwCwaxN6K +B6Yu8wJPp7+VCSk2W+KwrxW94rEIEXoHx1URymzRRYERZL1vn8GJ15iOcA4hj4E+ +ZLrOJtiM4IjiED+pGzAOSIqnyf6p4WRz8EAVN6DXCKupN1C/clDzJs1xfKDDybgf +18npWM+Y7HWDHEfW89Q4N6OvpKpd/v7xAK3SZN0VOXHq3ju96N7LrFwfCm4d2RxE +7oXisHVcU09zR4lq6uVD6dpsu4ggLNGmxGkbRg7vrTMcd3tQtNFpxOr1E7jWH5ey +X11cpt8uun6P+U6hXw48onf9HaMAH8bKTbQfw/0E/bYTES4meevHWsZQ80OSOFfh +aC0Zq8G9ibMSvv+He/jee7zi3mJC8iiKF9bLS9e3lJmyn7r0KmhsbB+RzJ3xzpvU +aoUDxIi1Vm0UZMiEaElI8SOtqasJpQHJ7Nq5z4xVT4iGN9WGukWbL8IWUK1SWb5A ++JcMAGkyK4TEiF+ni+sbp5DV9wyid3igrhP0ZRUtyWyi7NnlsNnAsoFZo40aC19r +2qZAVkoaRE/ScMgeIaU/qOLG7BLf51nn/Cm48aiPg0R3W41DJkLC4QOSRPp0Ki0m +cdbBcKIkFkcbJMVFr9Tsb5wsiIqCXH+nWEfskbEE0GxPWMldvT5DTtCP6o69LtDO +194KC/mNVsWxyMa1ybQBuN60N3biCAXLBdI9HRZZiHrEIKS8crR/UKx5wMetAyEp +jKspdHtbV4uk7Qqtc05T3mRbKyPzizI13s6fTZnBKcdhSwT46ZnzUpjLOqDVJpQv +BPIuei2rgjnjjfJw38vIXO8W3DTV0GtWhKPooIDPNR1lAyiuZJVLdF84YnNbUcGV +jkYktUZDYJ0+0hdYIJyrHeKH7xxv0nmqm3oY4ErHoo6UmGqkKIeFKiDTyJ9hTDpy +7f+EoAeGqp1PzyHR/HsDZm77GdmDOJDKuXcjGB2w7FBl4cFpS5+nkCRcm9KAB7um +tZMSvIoWec+U+TIeD/ZKNLoqw90al3lT6TuCrgTbc6URgptEQABTN7JHj8LN60NF +Se2vSZIucH9eIdId++O0xw/Q8FkoUyHamBbosCgYdd7EKsPCuyocGELCtA7mFHf1 +s1CiqDDzAhfaO1r53V6yfX2hxxKGOsTXIDBVlBPlDVVV0rvWZAuALiq4475aT2z4 ++cbWEqP9rmE2mWypB9J90n2Ntu0487j798i39iRbUA1agiF4CKl6BO9sYWu/ttpx +Fv25wG0yZcM8adZX0i9g+4zVnFznFBjzhDQ4ZnbzyYQdF2FycWVI8E0qDrtdXtEl +BuT4yDEg/uWC6xXz5Ltmp1crO8RSC3870tH1hJcZ1bmZjaLoaFV0Pg5LQRTIhy3C +V+JUP2akgop00HnXsdVwdlGkMqY47BfZaj/kUp7H0gsWFTgsjcBH15bHRmI/6xlc +Q7fRhPyIrP3zwQjstuxPt9ELAyf/1260sxJZMVt/Np2PZofXS8pIbmMcNvu8p8j+ +VdVBgAuMlHlYh2MUzoXPtvsDgATy81yfLxiN36xi+mX5SefIidxEyCKLxx2/laaN +yiWlb9hB9zymW4304xF4xHB/mTaBOukJ69NVdUU2nuj9SHpojLOLTxbyxaE///0v +sZKNeYiBoXxRFVYAQKkZknxuDf46H+C0qzIQeojl1f+qSMpnBaJq04oTH3kicqgV +nqrbuaA7vR47kqAgjpHSbWu/PGXDokX5JuA1VsVfAEFoqJyYfRoeS651M1sNaOoS +CPqaCI6eQCuAgpn4ZcMTBXBl+OfRNLc/lCW8WhrW9QVyoG0TyfJZfdI59qye8swd +vacah/tygGLXC6nRSvjyNdJD5fY2pCcgMdNAbC7f9jjj3jEdEo4wl88lb9CjVQCn +SnH4SVO6lASpl6nVS5lGyDc7mXv0bVBTWP1z1lb+NmaIZp18NYAIlwjKqNPE+g3V +YOQ6dNg1iMr7AYCkaUp+Su7SFVGICvBoHbCMuOjony+DNfEqOQ7M/ia1S0mqTDT/ +gtirIi2zfNId4b0pWndsMTku6/yTFq7r5pN/bd0V4GNWGMx0IzIqnivGQGGwIBu8 +ARF5/S1a7+RMpaRsuDxW7rdiWnR8fYb+IuFaFCLpVremHa4w9Qh1wEnMyRPW++Jw +Mt65Gk5zeggewbiWavG5qeFPtGOm4F1+ALLASd+vAhsjEeeml5Lxn2mhPCyXUhLG +xFKw7PkhwJDIT8KMksFwM8p2Q+7FxzxWqGDNSDHjYiD/+mZdlbjm/8rZ61k4TKJv +jwFnCuBGA5cNb6hElALNgfdDwSCfX3oPUwHkG9Ja+2JgIy/LQhmSBAYJWIBIeYYM +LstcybB9vbpLmUlzAX/c4wBDHZbCVzyjpb0iJZ0nLlE0PP3r9FcvCDDjHYjhPdzQ +GulIBwSbsb2bkDKr7ohguDTvLrLrRcL5SfAlO5K7Pw9aTViv19NmZNCcsePrJakA +shDTZ41WFYCabD4P/LNq3PItlZ4wlyZ6Dbuw8R26zDRfZyfYjb90AMDH6yavcif6 +F+fJ4vTNTq4IvOJ6JpJn8bN5k0mEmPXCTkMcuQ9pAGVqvLxJ7PhVjKu+3yS+aphV +J5HQgwFbH2j4Ft1McXwmCf2LzVYqVWMoXb50XcPa+6g/fs5WZJZqPdQXw6jXU3OZ +vJZz9/rW2XoS0nSzZ8U/XFly5I2Pkvc4Wm4dTHrdYH+FRI01Qt+HhGxjMC62GoSy +ScfbUPf9rws4FHVSWLD9C8qSmq/wETbogkeptq+fSGQPrI4nP4aFEXEXcKlizhS1 +zeL/EMJx9zhF40K1SJiK/fsy6xKTqAQplMSU+8VpXWHRNs2itH6Rtyp0t3PY6K9A +p87Adoq4ixYPOeJRzFugi+/cqUXvuYs8McrQcPaaZMs+usm3MvajAXDdI7ZHt727 +vzVHOCGliKy5NLkvtFqes7WSvLIMOjXSxcmxnE/tiAajN6FBcVrkHr/uPPbjB+Rk +1VevOKiEEoArOMn2bi7mnjrjtcQv+NUbN0B561LHpy7+U+k8c+QIEeuR/IhGVajW +DX61o0b+2QLCuOi05t/8w2XceHdYzfAShhRTZaHkjmlKfNqyEoDvOYuhyR/W6X3h +mO3F2NBxL36VU1AIXBoSg+OQ4pu4/CWhW40L0ggi06hL8HdMNA0njV34btlJlgBn +1t7mMEPIhGp5JVZNSzOuTeBPCCbexb6g83XYZNcWOSARBzdH0j8BiHapPKnHBIq5 +VL94Rjk8ZONwJN3yVoZh3nIQU/AbRVQdzQ5jE0z6Vff17R+qmDtbrWOmpKTPDYRE +MfD0/uxDbuLkvs6Xb0Ij9t8xtmxvFGZARCCcEOyoqVG6ag6A5+g3VmNpuymZwMo1 +HZTpLltrSPDs/HUds/XA1qAyPHljAXRRm2IPa4ecjtSElk7hO8SD6R5QII+8Tcwr +xa4SMsv+W8bgS/Xf30V2288tGSzX2Lg5MtcUqcrnaSEF5u2W3qJuh1ffj4/OMr8h +LNc1JxJlo7u//MX4K5+AG7KRk8si0H4aGAiQ50CU/ctQV0se5okOrvL4PZ87jsx7 +5JbzuYGTelJvYYc97yPRrQRAUX4BHBOsehfHldvDjoX5wxFC0IYIgfkZi1pHPzZC +f3BDBwVlG8Li1IoyCTB98PQ3EyQQSuQ/QqPTG1t2TGcHtjddan7pGjG0i1GdbQ2G +j90EcLSOT8c39si7WYv9oS8GXoc8qwNQUwya/6GNOSuC/cbFPVjsm+DEzXm7/+R9 +ggq7cWVV6Z/xtkPxDVARBo0/OG4wX5Mrxe82ZhzSSqvibFOBplWEDHbHiLNbXmIt +Kr3x1+Kaa+kC6mARn5cR3+GrltiSeQgaANoDW7nbzUw+L2LrLeoEAdx+NDM56xd1 +H5lRkVkMsUs0F/LGrZit6bjO4clhK7oS6rUEBAByLDf8puUiB0Lm7sNZfY+uCCOO +76pAZ3CkKZzcjobX0jN51u6bwIzOj0gYkCjIQvxeFKOizlOXFlh235j0z5aC6vvD +V370Q0muKhTs/NCYKhiaabcb7WGaGSV4uCUt8iMz13XVW47iEJhPY8m7FMErrWbX +4Dt1CoSfAMmRNK4hUWft2Rjqaetpb9ELDXQNqAt7Ie9pRO47ZfbwiEocU80hbfOD +rRf9d1UZBM+Xaxmj+r7sd/MKyQlA4M0HA0SV1PVkRAymQ9ZuzUAJjC0YT1RMgP7W +KGjCiBl6zE6ENebiA/sfoK/ho/uYosTzViTwiuOhrfbRBJPMT8Rugstc4+pDnC12 +o2MN3rO6oHnDZab9aSmKG5JxKB8mYSKmDAcADTb76iHsl4o5ppYKs9LpygOg/ZIX +T9jrBmukwt6vKDhlriqlPA3/bsm6FpJRfKyQq3r4JBip+okjM6C2MyDDdkV+HD1h +hCsgRFjf+phvLpxCXGXKCBenJCOn1j1L1cK4ZkMI9fo9Ozk4jXaR23Cv0L2s3dA0 +JeUr2S5JLcwJRZJNw62OdwS6dym5hcBwPLPiyo1/aSPKfZrKBXtnmyYGz1pYgyNd +21MqxzoGAgp9YQ6PbW+Hv2eJKa9CIB4koaf8MH7FaYwVsl/yBkrUR8wIEn8gEftG +7hMjCHDicGwjrp37JaVQlJKKChscEwVcjuBnAFf14hBh+1phcc/djAXyvYNNfu3g +ELD92z3fAlohExhrlKe/dMk5wimZaUXzHRNTGUBjSnpE0ZwzdRGqIOSN8XIIJTW/ +KGxYbyB9bAEqFoGTcMjNfUVoTNHW1+thfSZGk1hEqlyhnkKqCr201EO7WS3OJwiS +QFgUr3yEJL2CXo2rIbleVRtozteo3YndjXpb1AJizegsrRiLBwgqX71uKxgsSzVW +U+dv8gqtdpEW1hNb0ZpWm3D6nqiYE0m7BSQ34Z+/5k+NEvjanKo/5NBgiIRtXhFv +LVrzoiLO4gn7bxoZKYNf6AzR0TtWKp9NsIxgc8korAA+nNhQOpULjI+6Np7mh5KS +ufLoczSG6YhC4O+4JXG3r8nm3R4fD9puXF5g3HlaJRnfAEUJXR5OGyFifor72IDs +9A6X1FZGqzlYnbrzgZZOQ780sgYc54g6hgkafE+1xhNmuALMORahLa+6bwfFuKvS +xovrjTpR/4gcuh/y7heAnWSQy4gK81hDe2gnN/rp0IhZ6GTKyGsGa3XazYYAqjyi +7D4r5Tz1WXdQ127X4zFVA2lL96qtPy9Lzk4ruxWTx1OrXXIuQb41pi9LmeL9eqXU +NMQswhALbB0lxumAkNTWRQ+5jePXX0XHoQl6TUAMJPnkigN40nMDyqvgjuJ43NEt +rpA2AxQwQ7ccUq4AkeZ6CsEP5G6hXTT5vQuKqsi2iggto/BH5D+yuuP5LDD7gk1h +/wXqomkh9GgXpYNPv6CVehw6z+/Rj9xgZ3jjpnVeAWIa5EJaNYN9kTQSH6exjdyT +wdLvQqUhqz2lJSkT1/2vOSz+Gj5pkRgRtKqkbbIUkdOKb182Y3oZoyQz+CxIxBFP +2Dmc/AwlDSKkcY+BCzjL9u6tH+CKi8WvY9uy28pFWZdnhZ3sOnRndArgJqRO57rc +YzLJgoJI7NX/F35h8CvzxO0ypwCsL7M/ldzaal8M0nEw/d+BMk+8mKGFHGFsCuiy +Cauom3XhOFQ01E9BDttTk1f/lpuRTeI8kLnBMF2ZJKb0Q8vc+RT1s6eaa2yL6W9V +CCTPxnVKCq7z2YDAjCcLbaK6qBXPwEwEXhJ6sH/kVtZD6/1SfxNk/GC1+nZ1+gja +f0gVF2sg3csvaCFDXKvOy8OFayzeBXOydf+SS8luqVEEuR386TqKvZYQ1/B/OXRl +pikuyONivji2CYk8oNy60nmIVtGSWnEmMSNss6GId006d8O5YIdeMMb5K1ZgPqj/ +IOPKThii4N2LjZqJ32EEtHJ4Iqg5raZemhpZJmq9rm9IveleTV3R7E5nX83Ags2A +vlUoO3cy6BPgsDMCoZrT1G2sMVYBCNCeoiGO0PvP16Vozz9tW+mQFQnp/WGw1HX8 +zOP3euIvtOkHdmp3X7ZDlcv9fN0d3fiygN7Sm155wUb4Qxg2cEupICUHpzdMIXPf +6Air+YC6quyBbl5F/SIC8ZT80UPh5cwso+4Uw0j6fsxKYTck9FVjSgdfeDl0u1/X +wK9XKsJDZo52K+9Iqw06iFy8cMAsDIR2M5k7EAXG+OWLXttphzA9y2zhONmKFsd/ +b7RxtNIMCTZt6Vg7HQ8p+g== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_dfe_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_dfe_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..4931754e1edddd25d71e21e04fc484b42ab66de9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_dfe_tgx.sv @@ -0,0 +1,386 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +q5baCGZTNcjDCuKvSMSdAyqgX/J5S4imUEroEZHdjhzQO3E1m3LukLvDYrIQhQkc +wDWArvYtA3r8MaAIqaErA1XcKX1BzcDuuI8QtT8DXPfA6EjQW1nK74zE+/wBi4DG +SqfVDrFG6yrlTOdcDIIXNUV1sqZqyoSUkbmI1rn83Uo= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 16800) +oO98NLeBdCLne0snh3GJCU4asgEm7lH0R6EBUKxzfWOfD57+K75J3qCrbPV25oHL +igUg1/YWZavqabUgaVPSv1JBlcDQc+I00m2brtqeQJdAfAUZLSgESC9MePcYdw0N +6Qeob8/MAVoRHD6fO3lY8s3AwoiMLceNZXMAcwSQtCo00TcK8gnWyU6PnkgEWR2o +Qbll2tWneqd9gg9uNe5lAC95FKGov2mGr+/q9D3t3U9hZgd/vr6KPxOZ5V1L02+1 +sZb8xt4wgwNW+UZUkKbTLhJa4SvPLuMO+I39FWJ7ykf6gfBBBU+6NTnnahiq05fY +XiEmOZz4VEoSys838NreRBVs1s2D4TzBEcuLD1qUs3dgvOpaNBgH+tlrwWvAGtkF +/dxgf9s5aa+p1/zVh6EilZ7o1zF8rFcrWo0f+zv89QQvrYGMZoMxx4tsyMP+2tHm +3BVjUjX+cgvN6fAZbDps5XE1z9wSvcFi5I4N2kZZIRk8zWqnDubMxNqcrJvdxe7g +ev8cCbTV3GPbHWFlYLwQmBV0ne7geZ96LGTvNcj97ddSgES5TdTwcHlTlJGIiaAI +EMF7tJCHUVYctprlQXucFrYnoArts/xn6IcfbHsHZsSCEQQlgMnextXJ+ShMzsO8 +aCd0NEPkztDS8Xq5dzIE/5e13miq1IgykFQn+cAgIXthhVr03q0YGChIB/CS2vfo +JrFEfykAihf9svWsns9/6sDP5mRAoBMd0sMfRmgkIKVlt305H8NWhLiyxr+v5rT2 +2bkBNY6d5MLHYnI6PIbJkAY5guUQekI50Uz3P3zgNde2PPO7EfNbv+qK8JwrkAyB +bSjUrDKFfOfA0KYmzP+qdxAf1UQbMBUgliqgxLi7l/D/vzWA3fIyhOu0YgXuHpoc +j/g/55pyIOsNV74LqTV9q/XD5N02W7oGO9C7fBzmP2nDTkwG5PcBqWl41zIfdSsY +2vt71oX9nqtkvyUw/Q3iYyNlqaBeYnxhDrwzevKQWl3YTV+lZHd3k699VQKZHS51 +cG5XCmcNfZU4wZi5WZOCYIEsG22exjEnHX64CTpHNVPxpXdI/l+OGwIT2TOOvL6t +xnOVTd4wtTD7o2RN6u/LXUx684R9TmeVTrm4EhJmXCoK1b0wiBwJ0i9P2NQax5d2 +BqDXu+COAKNeEmA3MkjyvrAZRbJB7JfWUEKaGpX+CWNvd/7wDn0kDBrDrrv9HOhY +Q2+mP6SGMRpvx0urxVyF6s0LnMbjVG8bp9lR0SlxWKYte3NuioizoJpzATyc6XQz +Lr7l/Qn2bSQWuCGFcSUd05yhKQMtXX3MfFfoD0NSzdT3RnLT3U9trP1UtiXxnEZy +CfJTmsjKxkKSD4AJqmk1kuWjdu7J02bwi4uaZzRebGNNjsYNBr0o3LVbxkTYER1o +xGWBo1nJdt34HPhg6DySbCA1j+QedWLD80XkgkP3cse4hZJNgbvJAnQ5Rwa1iD7R +B7KwUhI/IofqdbA5MwLn4xr9gMkqsJiUwFvwmNvZTYbiC2CnQlcMyBGnBOpihnjs +ftaYzG7j02L0wSMfZ4ELT31NBEODqEaRCdbouqAXycZ3BjRoYEy4IjX1hqvdjBvO +0msocSxkKI/mDlrAA1kX5zvIu5FGNH1V5dZ6CEreq03rmIBZrsprLP+y22bvivXU +Wk1CaqLNpvlQXxQgku5eyBHFLlMC9R82VgP+DKEvUtFtX+THQiyqfaTC3vAelmZw +vb/7p9yiQtkDvalKSV8r43o3IbiAepbabJeeqhr0M1ozr0mbV5ORV//VmlgaTSta +4MGpFZmUxG2uVMHd9fRh09+E5DvTuCV/8fXcwTVZzAR+cdN71aLnJdM3Q3SM5wN5 +hMRD8uRqUifbkRfFv/J84RAmqsv9oeaXCZ7hHhFv8JuGHwlXeEQgEyCMThmFBqQO +3IPv3oN9SMZcL09G2ZMThJGqfQgTpqCN/9XYAqRtBFDIrZ1iiaDyxd1I0d6RwrMb +B/fHZZ593S2vPmd0JK+Ujx0jO31YXntk8anqrda6xPmXXEzN6DCi73726vqzftwv +Ma6bJwepIhIXRjl1dbgaREcCENtsK5qTu4Mq/tjFADizkyddI2/vtzzL7hLc/5Lv +2pEi2x3ZYSMjbXBO03n2PLJBHcK4eV6WRnDkqlFcKBTYRiPkJ9SzV9PSqy36KN0q +VNVGKGPfLxzGJI9YOZMHyZf1u8rWUZzKFioxpeL3jY7O1+KlKFfHkQZuomX+XMQ6 +YJM2LktqaYbT5QmCm75x+dOEfWfkPTbGAyfSIELiRIMnUSkmrdKmmWFnWYImZL+n +IUiaNfCcnP7QvlMOfKrWYsAnJ3E8+PsT2Bfp6AihZK1jXncROLO7DtQpF/98eYBQ +C/fCpnCwvGUOXviztWhCcw8M+dWCrFFNi/1l799ktn3ADSeiukVyZm7ORDbU11mR +IQVGKwKHqAxF049opsUAuU2C83AbW71ZUwofByj114qv+RGpDy8ob3dhYn9jGTzh +1T9Ao5qNDTcMcVJGK8awa4Jd5t6/CD9xSqhXQJ2lYvYebrNwVCNlHMWSeS6p4gpz +wDFHMnz5BPZ3jpdneOQENInsxqJIB8WmSEMsIMCRv2kwNSwALD+qabPU/XqIUbjl +8II5A8HYrKNa9QSlcL5WzA6p3VlUOMEBvgi1hOqKJZQHd7A21Hie8iIEYLLbUxkD +m4+Rte0/IyWigW1d+A/ik7HWGwIbtdoCv/QZuOeo1faLn09lpk78nFvRu5et/rM+ +ARXW4SH1B7KHS3wfGz4aMiXPmTzlafSlwssXn5KG3IKGobiGOlm5NsygPKGaI8aV +T7VpsBlycsmOKQtXF+IlF9gGGT0lo7QBL6C1E7G0xih7QV28Gbbu9rkilu6s+0oG +BcP6LYNdRU/slK1W2XO1nrm1a5PtjCMpBsovE1IO3jfxwuYDPD3V9gNufM1wi4JS +5NvhKOD3aHlePFx5dzM1U39BIzidtpJ20wqMOxRFAoPeYKXGSoGZ6ON4qWDNtvYS +UWyvbtzo3vGHI0lFQMPT9TFc4mZdUBU9ERvkRKII7NM7CBBFkORN9PZ4vwVias1j ++LJrvv2CX5voiDpSfaBGtHMkodURdbORcBC6fglU3WV0yIsIBMwrR/UXP9Uao3AX +7SPk710LJrp8hgsXxCpaKo5GfTu72ckJ2dttIO4/vgRw7IHTY/NWSucdtF0eJNx0 +x0o1yIiIw+frOT9dIdOjtRovXw8xMJfjn0BJOYXy+kk/6chOak+nzWZDs7rADoW6 +H5E7/48ZxenR2bqlG9+E7SPr0W0Cn5X/KWWelTKAIM1vOYb6yl92sRMrLamrDW0C +1WDFJ+5qpSdLIl0qYLNrTKmillDFrbsqWHVtJIcvZkz5tLj0lYmzybc2f7CIBKhc +I2ZKaUXjPMZ4AebOVIZFFAHQBWaC6qSYtxoC0M+BKPgBgEbC45PYoweGyBb2+oiJ +XDQaDeARnue1Lo6fYF1bkv9yq2iIkvaZQ/Ey0rLv/mdDfDXub24MqI8ck34lNvXu +8WNaEDMdJ7GFK1tFu3bpdGzHAdl7zHsAEgbKF20qSPRx2Qmvq5KVFr41oLj0stYE +o0tMlgimzsbsUkQviTMVpslSA92C+KoJqYqSCNh9egc181NPA0v3lbA8+JPYfpu6 +yvyycskizanK8CIHxhWmbjHFGDBkG8iqS131ZmHnBnmqVM072qu5ogUnPdmN5sr9 +H5fHMigOkGz+5EQqORG/YY+G1BRKB3Myll8KEt8/IadSpjCu7AdjHgxtC5zGRTYj +tIhUnOuPhtSUF/PQPcjNqnCFRdS0hC2cOWIvOtz2pgQTMTPAGtToTmbaq3LQFQEW +Yyo/ls+h0m31+K7Dma+9ZKPaXDNB6MlORKD1AZgMwhhSv+HLbYSrOioh9FN8jYcX +2MU+cqIkHBTOJXvt597LaWr1CY/xGdKKaQkoDXnmKgVl6DefQV2sAN0o0nnvx1jj +aWjInnprJaYs01ZTF9ruvuGjqCf/jf2UCbGvuVo664FWL/apJC6h5uenbD5ebP0i +pwHa5ZnZylRhommhy2of7G7QwmDPofsRszhTEeZyVxBPROP1TOyHQR45g+3Tu72E +HlQGFgmbUDR6WkcNaIKxm9bbOH2M6clv4dXLSvVP06caKzUf7ms+sHmipPKWlPrJ +NRAJS46i7oRSZn/HlgbN47Os1q1hGnwxZ74MRTStuQARzzEAwEf6Eopt5wVXR+pd +np6da2i12a3B1X9kQ737hMEwjANvekmpH4v7QIHKCcDOOZLxcbOz6Kmxixihbuhw +YlSczhN2FXftVLAIJ/nMRpUfYcWH1WxyOiOCIEI86Qlrqd4BPtq+2+p+gk9N2x8Y +s2nBMOJukKirzs2TS2kwYGnRV7lTIyKPixHu2MBhBxVwECZF+0VPf7ifVBIqzF3j +gfUrX+u67IGopTnYyqgif1HaEW+V83V1PzyqHZpHf33K8lUxUCFm4zFnZBN7gCx8 +97e9A25OFSAePxB91k4o+Eh3KwFupfpSkSCSB17t5FiwUYZ6CxNjOziyWfks+TxE +6NPyW+05FQl/q3P/gspNg0ah6DK7gWKMSB+u98U2+gvuon+K3J30EOJ7Kis1I30O +Arinp3vDvYK0if//Hz8cNLdEVhRjMa6Ix4z0pYM7cJamxOM4ZdRlTtKm/RqGuwIk +sLMy4sxyka14+caEBFjaM9+mwJFGp/+nlgRNdatoH8tDfSza8m+bKg/Wk6jtgr+n +zo9JUrkwzSUYSKTSdKmjSiLsoyCBp6bKS/YAVKo1UWIaSDUeRX6jVPbwiAMZJj4F +oU0mmjaH0III/SILBpbIRYPKmXEshsS5rurCDamVXob08S3XRyyaH5EX1s8rVNYR +Mv9kC04aNalWs7YAvtyX1wjLEHHysyvA7tkASYhv0fOMBbY97Niax9XUYvGLlMMo +lJ5oFrusJXVCvMYNXQi41ZBL9o8L8F5Y3UqBCsaF7BsDZdPvTLvyJcRB1suX8EYK +PW5OR91/hoynMY3cGS2Njr2dq23dZVI97UxRnSJxLbrj5jcHOOWSCsugiCssVf+G +CNmsPv01w4WuwFo5toUoyH5m6/W27n7MAdvI+7zCzg3+3uWQjOFp9atMrvTYqAr8 +btblnJG67/7bIrgtbB3xI2cc5kqffbVzlbfDJGUr/aymwjx1JOtvR6BUmxZheCnY +ND4DBs6cuq3e2/e0OIrOnHxhCv+6XHSPeMZUPsxE88uWe5fTRXpzpoAxn50dI0Br +DUfJhNax2/oMyVOrWL/L/BEDPedNCt8LzVOJNslFFlq1GIngc18nHxvrgaW5kr+M +y6CdH0BB4/Yp8Er9kGiv5m70xn8AADcVzM0HUh1MeT+ayAyfCsOAjD9fIvE5T+GB +9Va3GA4wsqR8txE1hej+nbp2l/QnsErJs8okYOn8HZPcW/cngJo0FyGt2rvjy/vD +sLej3hBrAdODpA5EFmEdHLyzUnOaCTt95ytpbbv6npt1zKypaTX6qPuUXWL055yZ +qra4pVF6xvV4Iv879ZMdx2EDoK8/+hQnAq+xw827E7IeRBXqUS1fiCf8lJHyZgoW +qavPtXIFDeI4FpNm1t3iBXeeTIGB8hxAe8uzXkdO4mQyoV3OX8W8335oH4H8RHrO +rQyJRx+GePi6zIPQueW36kmvckAQ6/ICg1BVfHvkmiDxG4hf0Plo9fkOQxCbmTK9 +AEZXE9MwIXHRUZ+CoUdcoXS9Oxy8SW82e4gH7H1mqj1PisGCJVVJ6MENJWNBMOpV +psMk+HtDarOGKO6+i8/IgFhPkK4kgK299TYYh7qzwiD7byrpQiDv7IHWdXhePVRt +mnlV7xbTbxVKo6+Rtymp4gArCO50FvR7GIRdIbSJUUJK+RXT9ivG2n6Q/yqeV/E1 +D+qfh/NgZ10HVUOIQePBv4J8eYk1AeyhN19LzKmnObyvrF86KGONhtYA/VFA1u4V +cgKZ+EEgu/EiC/Ak/d21HYru6iRwVNJDwl/uDJXIfOciZT9i9WEVftcdX6unvW7C +agTNkbCh7UWktZv7Z2atqnDZ8ADhaQs+kLKqi6BbFDZJC4akgKqGF1Fk1p8fSWqs +7gTLpL4gAvKQn0GPseVndEasgCa7Lt5LFpQ/9BOJnmNR0CXe7jJAJsSn4FIiFjBT +ZAO518WjgVxAIv2sBCunzLKoHXxI83SZcOELmLskuaGArd1NbihWqIXDgG4snOqH +xTHdLU9M6/7btu0GhnA0JTz9gd8EyuL9W+oX3I6+tLLyHyPJq21/gQT8ueByxOyT +sGFL8LXgdD2tA5+uh1x5rxSCyYB7d5EIsC0lC/57Mye+hoEt/beBKWY667NF4QpX +/WxQPqPAeA2Hy7c80boV5zn17txr9WlNxH0DOE1V59nBtTfKtj6uWpuCSdtNe/H8 +lUICQU6NJadiB5KCgbstHZ8eCFebEtM+dBX9uElO1bBwjMouGlpsEz83xnCU0RcC +u7zY/6IceYtAEnq+ry8g+xfsb9OOV6OPCG2dgSu+qnJvwoLKx0FR4WjHhet6iMmr +fLYtDn+N9b2gUn1qSCSyP0SqbJh8uVjeh6Ztw2/iROeB3nCLfbkHpore6/8xZhz4 +fkCShvJCHykQmlR+aAJZYf4gQDNtydZPxNI+3ElvxJb6q6mdO9/9IUcLfjPJXfvH +Mf/KCwQqabyX0yWAOVJs+6Y4ujPgW7JF+ke0mrHFi0RgpvdWsUsNIb95ZPQxgpKB +44kJ2cPBPqDY0pbqZha6KF/PsY2hlP2AHzV1KsHE9sKRaD7Le0dcMC3o3B50+PIt +7+haujbltTNnWuRnj5tvCio+0XHqHe082Zj0qffzsw0+s12icSM+cZNjkERDM+Pm +ZLRJzvtCqnPj3maEaBAsqfDSDg/4QUGnwKVvywyzhtFBhAfB9tOV3lzSyHd/02H8 +DRhXY2C39jqAe6/fEHVmPPIz/RkqanRwHMemWu+D6NHO/svgEd3gmDYW97se7/2C +hAJtWDFeVDzb4qZ+a8qwmlnYRj8OHrG3o+AaN1XlTKfzCh4Knh+Cw2DHfgBkT/NU +N3oXSyRG5Dih6a/fxwgkeUGxdJEohhTEPxEW4/LCPzd2Ix8pnsLiyZ3n4uVYUEmM +J6rYAXjTpeHWED7g7WJAiphsdkGEN/tGGYkbe9FPkkeLbUfP1p2I8gDfpVo5Uc1y +LJMOd950Qkf4qHG4RyHiMqtV0hyV1SOFcSdR2NjhzcUsbx5DEYUptbOC6AB0SD9E +ZW4RXAsT8PaaNA7yLjWGu1uZoFVAZPqM/IdthQHV/tXxjDXHiic3ekyzGMrLo9tf +ns/9IpwMh41Ommd4ZYFAM1K7Snz/65ih8iqWtcJ2a7FJyeGGisYzIpywqQXRtzQF +LqevOYqEYeRaQMi0xHTUOdkOeZ6YWHi0gqgY97nBZ3daCDIdlCzNigcuqwbKxnz1 +rP/SsmuIuX5kC9uv4kywButdw6u/BfiPOY9QHpxqdCTjnn0+XjZu1GQzYwlp2k5S +VHodLQI4SjS7Op948MQRhTC0E+tor5xMGpgTzCvEBNPUiM7QFf21wvSicGAch1yT +rihkzZcQAsaS9fa7Y/k4qxWp1vQFOeIjsmU4foYGDKic1jyk1ZJmtZju1QKNZjWD +/lI/+7vpMadhLJnkHWV/prz3nE3melgsAZ4H5Bn5HV5tWTccKLVgo/blCeSonl/5 +EeR/ZdYTjWPzyQQgjLI/dAlaCVQm6wFhEqx6fybDl78xd1FwgoRGRzoK35wvqM2y +0KVM3idmaDESLYFyrjcpVz6mIa1OXSz8YmlY9wz17vZ/xNzNyK0kJCF9/4AZZ8AA +4uoMtcpHU2IoZpQUPTlVm6EJOcli/QSKaJszY+CFsOI/9pD/yfkTaSip+g7zktLh +ilVeeb9MHEuGy2z+jKqgqfT6LXJx2daNdyQyJCV3ozmRw+vJ5fBU65FBKo6nCSEq +rXt78o5kspuIQvnuaoM7558lTRieKdYzyOfkoPy74ZLjFl9wfj/q5kkrJk/Zlz5a +Ac8ltrnPOXQ39Qp0l2f9QJ30M1VXiIDkGScLMZ71WjUSaIV0UMwr2G6mYDVo9YwT +KDBDVqRhqwnkPm5fgjcOsHn6iooJxDjYXk0Gg6FuGhqz8WpSzpeggqJGo++vXbXN +mHSqahdP+EVCA4EBUxFhXoOKAOHZ4KFCov+vkeqyShvgrZV2UjWf1v3QYxqmnfBX +OVNYi0bRzsUPTRH7CNjkvTtW355WmBu1e7OMoyagnanYs9fqXRE143m+Sqx44lzQ +BB6hBPhKFqnfWHb+2Z6+rDp8qPEtQRcz9xhF49HUHJnz1mpCjYlWficjUEkevZ2w +XHr3NqkX+JBp/wfdoOvAb0jH6LWNK0aDWQwAd3paAZJnV8dQlOgcfMJpuCXFIyiy +q5GyKFIO4cq/5q/GwPPztLEPQGPNiavBPB05JsoyHJdaqRe9Wg39drzXzCZ8NKIS +6DxRQj1cIjBulJlEfAJP5dhkDkWkyY4CbBn+YdakSnhJGFAJGtSuDmyqn7czXmcY +PF87ZE/6Id2Pes8aeibQS3rZB2MZDV7W7HXyqhuBsSd6XifiNSyYBfkKOAi7OTNM +jSx2Fuvborgy1bzDgJ6qNhG7E1YrRs2BNKr8BaEf+MxtYm4vLTV7EHaciuQzHben +jY9M5NABhF6H4LMJqzg6cgkkwhxakaRolEDBwbljUb1+eyFHB+ap77pOtfYuUjCB +xNC8qeMb0/VA+Jb2ZdDrTVFdhuWPjn/x1iTUXJKCLztFNLe7w4WI027VMqyZv0UL +6gq2kN4Cj9J648v4uIPWHjKLaRve26Q7seKaUo69rC4w8CNzCskH0tQu5juqaUdW +1KmcpccdKtPOCbW+RfiZLwGCml0QI5m2rWzLM43jQYkOdM4P5jKQcv4BYhxBpA70 +t5FLXIxnjRjcpzatji70rEubr4TU7SjnWz1ctXG2P7sIOpfi+S3YSKYN792C3Ygq +5nL/t18dUBiM5VNrI4NCJAyzmMxF13ndMVf21VDETog9ZzyUoCgoy7J7Vp3MmRkY +DTTfVQBCHEQyvV8tz5wzPE9VDK/RmDVNluodqpIBb7o+KV8+hI1xhlODaSqWkiPh +AB5doe/dcXnwdh3O92I/Z86zDX/idMcRU0A6ELs0UyBCgs6GPvefN5fWLTo/wYUF +3UKnfr93ZGtNNU8wSbwfmWOfUDon9yhc61qertS8qD3V25oI5J7K4qJROH9lemVv +tHt3hFXhFFJyUL5AreJR1pnwv8u/dZyu0owLmH6HWuY7OWeFybPDRKLfPtpEbMm6 +Q181zdxHZ2WOztTCFLnRGRbskbp+0H1inkMXSLb3bKX/X+5Vwu/O5pyfWyOMZ5iJ +oGTOZ3GNOf5kXH6r3kgSUxFgUYNrIiHBQG3h8/dXaetHo4wSydtcDZC3TK0TSLuD +czuylF+p3pMCRj8eR9pTWn7wrN8tDePu5PLgZHbBt3N8fH/9s1aQtA4t7ac+3xwD +rsjo8HBPtV0F+JE+1ioN9L+l6/DTxOHywY8DnMacP5sbpdzs1pVt6ykzzd2fCDwR +VXRnomrE2isEXYxVvQXHjHM114lHYRgkxjgsnd37R0OjBi+FQg0dZ50qwFvUjo43 +4VjW4m4pQnWlidRQyC8RfelqbZhuCk9Ny+alWGKBZAEPcSqSgJUijhzyz40xCjNr +6rLNi7nNT+QusLxgg7rpgMj10sUuEyEgCGmsg/JfPT3gaFu8MBVgaDtThCkT0qLC +iZng8lzaRE5knAQvAPOIJJA5IvbYS9uShWWB1Gg3+4yga7yCvyx+STvZClS4Vh17 +06uYddzHUBg0yaVv7xwURy43x257N232ik1rMg4SiAKcf2hd+EjqTPs4GRIpUSVb +7s7qysofc1KpfbI9I9N+oq3gp4WbigAbZHqQ4PK/nuFZBXkEbIQ97qdEdAyofLgG +LYZGUE1nLjvzl2IabjipvCsgG234pI1dorirG0ZCJC/Lyhl5+978F4zZCWKxDm1Q +tgETbU2bCJHcqIxhmUktSkYMAqcqHJx9I0q9QkH7ivjgjfqa/XnoSX5Ao9I2VW72 +diXIVoejLCahJId9ERXFf0WJJsaQ6eTFkxvwcID99SOCVzr8nkicdk2PPHsTDIbk +/udUR4qs/nlDY7NCMkwu2YJSHUUfMegnFRBquxamPpXytAWol8UyS/j7aeKdOs1Y +ktSLNCLtu9axJ8soxzvHYnJ1DHfSaGZg0bQYPAw8tkFTxjQlz16BGhjiu70XQd4U +1YVc6MCgzgxJZEt5Z4De9L6LPFmiI8gHDKIZmTSgOmautyBrCWXYNgf4X0P2lh6/ +3uCllUFRu8alq7L7SGF3mSUk4ykqP0k3BDHYAAHWVqs36T8X/FLub56xLy/YoZs2 +JueNX03GbTWNSl3j5Jqt4f0raM/2DBRLHYdrI3roK4Ak9naZG0EN3WcXt9iB9oIJ +YwQiLKzB3C0wHTRcQ23SfVYfZMqCHEHW8Ib4CGlQepHgXzESQrGulLPAOObaKdIC +ABQC8BTfkljkp6PPNkleeq9sNigFBhriSIZeNS87oIuYM6MGTKmYGDq90ZNy5ug0 ++1q5JPo4Tcgp22mbeUphzglyh4HqNFf9Xox5TnHwagjxSZ394ejBmQz/7XTbap2C +T9bGzLB6wigjQfb4/UlhtU6rZpJdjyV+uYQijFhPV5T7AHHqRCsi8doAUEFp0fRe +GJXrfSfPsQ/Qb8fWI4AY+J7Ad3nSWiMGcEAo94hYn1xyewH0nmPs5ZEsuSqV8PxD +szy5F75XgQ7jxY/PKbJ4iMZC6u0PERwkIpbY2PKjQb2Jl4aUAI0PeP61xg6UO8XD +U16y/73gq7nPDnLf+3G+6NuVpyxNuUa2aG841b0/pURQgYdYrl4xeVaDmDgBQG0s +5A+lFZY9y5JLFMvQT9OHhh3M9y+nURRrQcj4+3U3SgKC7AzAoHzyedwrLvcUo58w +FNbwXEKODa0CS/5bQLwoaxElBsYpWzTBqaEhESw2Np3XIUfg+DuvudkU7O3Me/Zc +/XejX6Wr6fZqJNmOifoNe2G+vNsbr79oJQzQb9bUfwW63PGAfWFKS4XUCdVbH5fu +hfXqgHNvLgrLVaZVlHTlePmfD4eqfFLbDmsqBY3N2YWYajV3oJEjao/uWoMie060 +/0cz4udjH5GddOVgKdzwk/0XUzJzUky9MLRuMkF+cdsoatJs2IMHj3+0MiLFGygt +mgHpN6y3NeSZuUkPobCyB2cFczkBFOVWP8upVbn1Wq1yYc9TCBSD3iSiK8bAuLba +LxeRN2DEfq3qHoIUMY6jaHb5vLAWhn1baAcF73O9gXe+9Aa4q5sGYImSisTeza7Y +PXKTiOrg8rotM6QOUQpwlMIjsopyrSscc4o6PBb6rE4BjHSN80e7OadT8hv6v+g9 +eXClK83+9G9Orq0d6/Y1MkZqQ9x+0Vo6A8729/h1hzBunbfO5T4YthaZdQfmzQ3f +V9OKzPwUIbJI9zx25rm4HVLdo71quYnx9x7GiXbWcfMJyJPMsFv/jLcBeBVc+2Px +NW3GrSzow9TYtERAq6DkrBe4kPSdn1Qzvictuh3AF8uyDPS3kIzE6TEzE83rTCu2 +Z/3OnB5R6zotue7Ivz0cSv5yyq4lqicxs/sewY7/5wZ9lhL+zYrbEs/xNrZ55N+l +lAVKB2eJUJW/xNXokcl9zIXkSyuUPb0KoNcWLxdKLY5cg1ytfk9zs8mIA5ZInp4D +gfWcjahnTHgh/thyfnlRG47DARd0dFoUmXb0YjxlrSlbQ8JYBZhfI7KqiA0su87/ +R2FLjyYrKIJEKypwBShmlt0HYfUSxoQhlXBq1WFQgoMKDW1QZqql1vOK+2WQqfqq +2aNkdeSwnTOQEfVYK4BeU2nkLnyUJOoJxwUqeulEGMCsQ7RVNaj+ZlfNCUVN0Cud +VFkuuEVTFLd8I/D+yt3m6p60HkRhHMkp5PFktdO1YHgGrQ7p1SgHNNq+7J4B4dV7 +H+541xlxHAE+aIBKFLPfd5Nzt8ZYIDG1MdIyQnJbGQJBQ/cbsByM+BlF3QnZxGTb +iy1/dlmyOlFul+S4dzW1cfDTm66QdsxUGstdHuRZ1bX9IPapTAthz+4UyLgPK3j+ +EcM1XtP5z3S6rpPKGUCsxguN0msnf3klgRles6IQktpdaaFr2OcqiVbOKqdswrVi +yJZ/02uamthh+PWeukCwDY8mKyJWYtESwqtBccathD2MMVO4fl14e0yckQQ3K0Fl +BkxPNYLAquEHdYJV+VM34nIa22eu6pJa0B0H4Dn1Ks5ggrT27mGc/tijsa09fq/O +w2sxxRyfegyA2wqmSr11pvASKtfZeCrwRdexpkAlgegSUGBSsdWWkHRXFenx/5rL +V0qbp1FB9zo77xS9c4RzdJj2ANPy6NOziI22w7Nt4jTYadpEcNKxJF6tVoNqeLS+ +6iFhOFw/Oju0uVMwno9n6gpfcM7yq42uovn5HsXruSIgV6lZXH3t79XN4kizb2az +c/qNV2v2CpWSWvwNizH1b8Spg7iLOd/zvS7mRH2nUBd7e024Ia6pWE0zzQbP+ydb +xhxTWFpGbeoaobILpoUS1DzLIzHraNUx703E6vHJ7p5+idUswqQHQjuZGHQV4YZR +W/6WhapBgFFcMRdv62kQ3Q9kR9tUSnAwxv3ekqkhC+BlPIaf8yjwSmafAu0+9SxD ++9uDF3S6nlBVcOz/2XlUPVXDdaAQaCuOtmi/2xE4YYtIxUI7JyAiqfXPKiOJC7eC +S5qfD0avOE944VdDmb/b955efApS2WogcXatH9YUYK2d9+uZeCOS+0sDBQJTY5G9 +hISuMjw7lnBSqyuvV2umNU1VO5/xhsf64llB2TVzHOHPRICw9fkQDPNo8Kj/ch6X +OEzjWJKc1rDdt/FAMbsaxS6h5tiHyfiuDahf7839Wi0aLa7McxVS6TUz7WCSZEeS +wWLwx7MqB5Wmy7WMIXzeg4jrmpqplDDWjouFi8MvivSYimrU2Ht0+QAUmbK7Zik1 +fKDVM05GR7E1egQpb6qiKk96LXRkuddIMjbZ0vobV3Liwc35AuqRKBGhS3n4+Hsb +xktygn3zS6PtVlozKp0E4ju0bXSMp2LrxLXoGTP4sSGsXSCQeJ38YSfznXqG91/F +YrqPSnA56x9dRnBaj/fkQQ02D6cjE8IFBXBl1kZeOkILbFuVWIVw1SbA6H0EqVvL +fAgONxEXfPvoeZhsGWEYgVkdjf1DYHGPIg/hViSI8zCKeza1XCDLD82skCZczFSO +k2CHKW0o9CD2/3jFmvph5o5bLet/fPPD93J2tknf7oqqim5inoo7muuvmsl+8LP9 +Jvwk7RLtJp1rQkDLWP8Di8Yx+N+3lXUviCdCzk1iIgdSga84RwrKsq3mRKruPkdG +Yh/repSHVGctM7ZVMw+wrEsPkCgcUH823ment1lEgazJ9Zrt4JquCZy0jvE1uceM +DUfYrWF7UjRW6i4IngWWGZy0QWbZPbE4BXAgt4WnwjII3e5B3KC0wdgPajg7Dvkg +PfrptJN/QtJFo50eZ/3QdSJ8fZGGHQx5CS6ury3E3L5iJAu4nNI0JhRj2agliPMH +hmDKKXOAv+8cdM7j1UXnOLRoWoHQ1SFTA3Gpnzjyeu4Aep49MBG3tbFpUskA5diM +OjylFEeP9fdK/XZraFXLX1x1xCzfZ+1WMzgDBeGSPOb7QdD+/LL6b/Z3MtULOjYL +aDChhHU79dbJjE+QH8cFvQ+VAJlU8Z2SgQ/0G6wl+JI0tYRkcuNkIc/gO8BgjDVk +JJrA0t3br2jBRPqChHDIINCp2/TamnRFWA3o3BbPyhjoUOPoNaoqb8eXKcps5h3E +9hiFIveAkCPmohN7Z1x86HePk6S4/ogsIAzos1fUw24OFmRp4vQD6C7g+dZt77p0 +0z9xb2R8qdcrnOlGt7bXFTCKs9cC8yrkzSTutoiq6ndrf3Ral41skJndXTmEXhAl +aCXK9HURrKDS+Gecclcw8fMU4KOvqAEVW7vMajZX+9RNDqKmULBPF0k6qo853ACF ++r8Xcr9RVXZTWIwhXX9nbpC38gdLI06TdAYgeCwCNkLNDuK9YOnKXGtPesgZBdvr +UJ9f4SpZOR2z+J6oB0tgWns49gKsIEVMxE5F1AYzS8/4QH3Srj6fOeHLNSqqLmMV +OU/AsZEPdsEAfwH7mCOSxlnYsvPVk1+C1MGkg1RzMNdUCgCPYyYVAGDmkLFeLoi2 +ImUYKgouR2e3Rqzo9FovCvqxvZP4fw6GngRRIlhh/b9R6JQL74WpWPwuCmD7N/RS +dGaQJgSlYTgHg82G4DUdrA+npj2lA935RUKj5I4IlwgKxbs3+9wZyHeM2vpgIU8D +ZVPvljkwRxTuaMfrwKHGmOglClwH4WC0jDqW8Otzr5haNeLByU8n+1wTZadoCKIu +Eq3qwRA1k0564nciLLZbkCh5yhHgc3yHuA4dj+iZCtVnZnUu3eFfQMxvsr9+n4Jb +qNqmyFauPQ2pLliwBNRnPndm05ckSgUi1AIzDLv266Ay38OJn8rFEviziqYIeVZB ++eBfA8qoxaSgUYNqQnxDwzFsRoLlGRKgmEW1HumOLSkNA32Et/FI8Es6wG+gYnNZ +JVmEVVL9Lpp1Uvk6HT+6X77mTmWXcsi/FunMeZF5spg2ePy7qVzxot0lYnMM9G9f +62Jhhj9yYA9ZtxckhGOfnJzi+fCKoLvl3x0NaUMyp5y/Srrwp1v1QsN51hJU64bd +SlZQJIpPqEqEl7yk0/Ni3Xrc1ptwtqecLcAs8vVoVmMOrNbP7Jj6T0q8usZcgAaj +7wwUtRbt5pABZ1qQDhZ9asatEAXTsqo88hYqh9ootUznrog0O7DvesTedGmkL80n +BxC59NS/KFSWnpUiz/iJt6uNZKog4S5f7247BbnAoXeDR6idTfu3s9iiZo5TCnFx +7j/jNhYs/lkknklKW746ckgaIA98Y18Fj3vHoMvS0Q6i1UtkqZry6UGNR828SSX1 +7cB+YXvmfjvuKa11UUpxNz877I0q3OxC576kNso/pzt1plKkdYiUk44JLm1h1ZEs +QVGhKYtOtw5Pjzx9MKyoZDovgmhRdyJA+zq4xqC5aqza8xoSmaB4kU69VM95ftFc +LDie5K0TKCvw3ITnbntBdWgw717R736o2LZV13Dd6sDDSLnBXcXhe5sBI9HsjEX8 +KjITEp0lyvk+frrfYJOITOhovbTmbGCFA5q+Cn3bM5nrYdPmA0EKq/ioOKWoxlzh +7EQ86tLiKSEGz/XuIuL+d12XYsEMt50Iz5L+R3MkXC3DTUqmrecgxgAslSQA/LvA +PZav7hcI2zbfdgcdVNf0BjIO4KX9dFc9Y9tUBzfowx5EN+ICr9X832p+8q/vtk8n +WtH7ZY5OC32g1zbRro4cXDk8Z+tUS6WHWGzwe1B7VhaQv0yXQGqGXed7Y4Hf1Sj5 +Bbhfudo90PTnakl1cxEdAboi332MB7o456Il+x77LAWxztaVPv+m83FCCyvfkXdT +vkIMWd1wLdjfJV9GaJ5nhxRfljGZYZ/sy9UdN/bCLZsIhrRYRxwwPBIIIFkIaedW +ZVDcNt+WeSF6kQiYY4QAJwxMz1/bUyWihUJxwrY05H2i8PEOTFPGarbAQyKcm3IK +hTRS52Ka2oa/ykpRUtbIA0DzhW52Fa7im9DvsjddAIZSixtlPNTk1apeSycvfzI3 +WIFnVPEAlZg937NY5FNWQ15vDZRcNHYbrvm6BCYZ+Q/JiGEc41rXir7PF2MgA6Us +ciTVU7+Jku/On8T5DkBjsRX1Z2qX8OAQqiitdH4+dY9rv9yvoLAf9YpcKAmYW8CF +szdbyDoQCgzhb+kAhpLOxEXPA5tyKNqvkF61XD+W92yYF13FjCoLNlp0ejqaXf/q +jSVdjHsKqq1/d+sYeSjJZ3jMv75w0vWVVcqiIPBMdk07gymJUiVxjEn1bvBoSlqo +Gp5kePN/gPLfR6WgVWuY2eaUEHDUXEuHd03QOKzXZxraKTx9YWgi8V5r19GkcOIy +6OSYEyVB3+9HD4xJcCEzIhymjNwP4pxIKltbpYiO+/wJMJNyslEgw6SjKb0JGnGO +qgkozMCbKUGSKW8K+9OuGfbJKFYTcekBvBYeuNYj4zyRaQS1INRr3VdvSk/s29Im +tKEqHImYSzwfNWBgokEBPRfuig+OjyflIw+135Hi253bwn9fI+e6LRV9LNI/h673 +Z8CGg8Lf0K7vL7xEr4gNyhc2pitslDXjYPjll1U76jAqchRvCK41asize4LdmdVe +KnJTjc/XBh7j0UjVxe8Y6BOtoogoDg8ZYBHrbIDPmgjz2I6Bg8dZY5G/jArVHFDd +uTAEq1cqEtMLqROkVP4ZJvRtF0yS6K50GgSqhfELrrgwL8RsCbxQw4yS2OEiTQTp +p0azCnk3ffSbeIfg6kg/v1nnSRxMeQPZQqeDWjVvkSjFmB6BVZE7C3kyAhgUNxg3 +sKn/XHrlT46CBNzJtpaMYiTXrPoqkHSBDXNqxPa94Xr2fyVPZMO6faFucau3GJwY +8SBIQbGtOGMStPR0lo+R/oPPmAFv1LHtoZ5z2/VMgsD+yQuRZJIfaXwWW8Mnu/OT +xRxJWoabu2H6TzEkEflvhH2MXC7pOaZ3olluK0/J/hXXBoAklnUa8DG/RX2P2Ofv +m6FiuUf786RQ4nmOFXOYQIymO+AxLvpEC4hVaZ6XnGZjB3pj9UBNqtPG150PE3D1 +IFJXzv0VN3lqWG4zkSwkLEpYyYOGxE3rU0qmub0S6O5b2nIqfcqlWVikFkNH+/Ii +3PX+Alq45HrDXEiElJTW9TrgCjkno0UDdACj2oKU1GDVUGYYQWD2R+P9D+FUpq9W +lJ7/TOoNzVkhEDabZQ/sj647u5JfGerleF8HmMqXv8YhLdF54sXjTKSOe/y96D65 +Alh0BiC4Enq5D8WcTlgr1O/D9TYnga6Ok20azm6rsddneUIAdiLOWgFqzndOz6BX +wipEJX0sB44hPUOUUxg4sIG9tZ+smZF1opXSeOGJfxBfoNmh/nnNIY227w38Ejq2 +9ztQRdLWCH7xiOrMCBh4ZlpZKqv3x7IH2BSrPA/gefHYQfyrzdQIY4E1fCo9V/Yj +XLymwht1i2DMwXe65QjkHCvcrP/X2dFllzzZQYv/zphx7bbq8GlO6tIe/gkjkgW/ +PWB4aBUsZT8hAB55RHRJTGr8DxOKUG/RU/EOzC/DIb8rrZCT80KmBNGq0n2ukQi+ +XQN7tkPtasYuE5rUUal8g3bMM6uI0tzBwmyhQpha/j278ia6mH7GGpvtxT46QolG +8zooEajUczqtt3hB+nsibHwa5uBqFfNPruyO3tK9YtovBrzLys0xjg41piVs1tvz +Wtp52THdtfz+udrbyR30jSeiPmvpSZhUaTlIPtyT4Y0sQUyJp7KubxgVFiuxZhUj +lFrD4VLMvYPjlINdPgtPVzZghsuLZGPWEI8PGUs4tZDhXxTOpSiiX+ZnkuBF1NbC +VocHvsCA7HS5VQA3gbI9dwptvg27dj1TK6Ui8YaVCK0PR9b85HPrXBt+f+b7XiFz +IP5GVOMi6PSwDLq4OXMgYt4ECBkC7XR5LLeceeDUyx2p7wEeHYpZsu8xoP+WWFXg +nUfCB0b5R1UnL48X/6grCBCXrjMyTa78spUDIi5LDlpAcBxcaCHxPSFfMrfyb6Fg +DNHfbIaHW/erT9x4wW7txCXyzUkZBDxZ3oaAPaZxMMvVkW3LE/nVvBrLEsh88UhW +R2tZB6hGxEUpKaEKySnTmdqo1CtDdE6z5oc78pkT1xJGxcf19dNl6jQcPimnX4Ji +w8nVjdpEuV/TMLhtBYWfWuqOcttb9WvlmFL1HeBN2IxF5g4h3tn74SIMwPkSe6ft +RjhaVweGKgbAaueLqF9rM8AyHHRpDFpVomOLdjyoO4KUQCi7hTtjYkdi9zRkpx2y +l/gntbG9nvtv33rHY7zCVqVsvdFPmHTMY/iirUkurapJocmKmVkWIIQeLJ33+v7d +YKiGB0ZSFClbfCCM1Fbv5k+v43LrsMLsbJCLxjqmCpHJ5ME0EvZxFczL9rLBql0R +0gOrRz7EYp2+n8LM/Z/HjkNyKxr4/OWgL3Jr5tSpSvbJKvEK4qMDXAmlGmLB5M49 +1Pi9ny1Jjv09bYQNtfFqIdv8MjUAy3+hI6P6OTifDRFVpcdZLkyvgOI3OILkZ3lU +xihxt+c57sUeQOuFNMAVuTA1E9OaE01h8NAXG+RWNZjPF8/ruU5wFPKMasoI3hM0 +eqN2ATWVPxSSUOAr7evu5KESNENmPZH5ru4Sxyq9YuevbqAtVnTtgPiQex2S5dfQ +A1XY5LLasg5x08iPx2s8PmQz3YW90QvRMy8abKkqOG1MqFhdPQcYZyJOHCq3//20 +yxV3rM31vQH+ANIwhenZ0Ul+Gg7MoYTC9x92A9bcOo8qythVw7OatoL9v2n45td8 +fksHMdqYszc43/V4T7Dwndt+vtbW6MRCYFcHkO96Qpqma6aI7fwp1jpx508WUe4x +qGAoPoSBAmH0IjQEkyHZwpqznJ4dWzmFE39UBPOjIM1ns3r6ZWqTGzusr541odOT +ZC7q2WwwvTzSyUEggP3exDgODCx1Zya0lzT+u44SRht3xtZ7faZyFNlfIt6R8Bi6 +cUTcRkCIPCc3gFfXHJKYtAYquUyJYJ/Vm1G/srOGks9HYkL30YbGEcLZmsJxE3vN +7o0vKViFxCO1WVDRUB5kgAsGW77YT7U75EE+qMK3/bc4G/6Ekn+qHHOKM3lJzVHh +rJkmYqJVTDvdOcI5enIuh2GjDxJ+PqiL/fHuzn3vtkDo3RPRz70f2iKeg3UyT+mR +v6LyIZdKWzXOyr6W5CKqeMmQjE3G88xbtG5fAcYyUuxz4lp3qqZuJ9/E75n3mS1L +ribKFDiNgX58GA/t1szI2KqTRbgz09RUhUZ6Qc+TkeynmfaqYb8OATZRJBLEvlJ2 +OIvpcgEdVx7BFfLgil2NbBrQgNYV4kgiVzpJoYGYK2Ixoui0epmZQym4R7IFjVKr +A+K8KZzWTy9+TpsiBCYvqOaiOGnlrgIsjs6lmB71Fkvl3vVLpuji8t1aJ9lf1r9h +EBIJSkHwHMuWKaNAWxSlEJS2RQyaBrzFkHIaMFZQfRNRAPQaYIYgVLw4vztHbGfV +Xt5W25+UZOQ/rdD31DZEIjYSz8IfArZI4itFyyG4QTMIhgQ1/xCjqx3cEFbTT8wY +537UKIOcZFoK0ZfmYB7+WlnBm5asDmPyXAejFZzK9CVw359hpM61KiK49KMf/B6t +v7hzZW9aSbswxveqG4IhuLIaUvaDAdWn+QiJny11MEsyf64bBF1F8eSJie4cBbu9 +B5BCJC3rLNKxVr3Kq/qb5OjltukDvii6YkWsZ2Bp47dPnY2iOrM7Vexq34lbf0pu +3dC7oGCcuOfsW9CXaRNoDG2iRWztSeJgV3WPNNI8enBEFNv7wqeW95xWdA8SYrnM +x33fmiCMhhrP9nLO419shcV2puAyfPtvsgXvDVxAZK9Illi+HrJsUb2uo+QK7EwX +MuiDudQE3q0XkVbGuponMU5M5+4sUCu5b/ZpclI9PwJ7h41rVKEIJ68FpGUfUxDU +tNIlECQxi9WQm4/loni6X3/efYUWpWlgejK4aneJAcIXwK4epslGzpJAxcrliGO8 +3/2jl8J75wlklFiG4bVherlN3OC7DE6CvRX8nVM67wOHd8coeEQpVnab33YuJ6nA +zxnAmS7w0n1YFxucCW1r3c3r5rLXz45Tvra3ZsUaYSUGQXgnXD2Zw6cRewEN/HPi +yoQqHyzOuxaHPhJosKO75hLOgzRplKx1AmefyvNz9vBWlUpqVQNMhGczo2MEoRi0 +XZcQkJCoQZ8mGvcfCu6PM0++3/Q9Cjvrfs16akk41k92sra9zo0PhuYupmb0ey7f +SVgdrdoc1qpv6pO5JvmJdVp2STYfYhggqEd1n+FQSCp48cK5zhRI2nR56QJmgUg5 +OYR/vho26RAtVPfSgBduh30aHaicGpSfOZYAFAQoCQ/TNE0GQYXOBiPpRr2quwWD +UaCKiGa5Vii6e1rx1CaYDg0Mqx9EKFjO76yjeO0t35h3GMU7UDcXW8t4f5OKlOvW +ucD4E7y4iFSfKtyXTevwR5IdhRIaxCiHy2Nj0oyzTkzJYM8YxCC15GgmWCYYvbtd +iWA9EeOzD5LiopF6yNh/X+S1cmRxiTKHn8pkvJF54L/Gzgpzw8vhZfLXmo2tyydL +l5Oq4D8ga6Em2HCPafW3afee+zABKIIspepMkUB+2pK10pLFC4TDcEwssIO5uWV8 +NTzIxqDLuPHzYOlpCBaMK8BesYXK/irx++e2gGVFjSmHk7FYBKZsbz+QtQMfaps2 +/Ev6EVJSHvVi5/pWfvkXrbiIWDs3wPaz0NuO6vhE4drs8ax56fWK8oIQAZqQ/nVv +fYCkI9ycwvHCCRPaY1XQ21PvGrA2DFEnvTKNgEs5GWRsi+UxqoVPbqXWdNsjXXze +CxwIFMQD6dJe2ceRU78HFOzE3JtuYsUaj6DBqNONG/EPnS17g8zj9EMGgFpMexl6 +CRp7hv2c/ffxTuZywsu7ctxAbuRD5yH/gD3Q3xxzNnA7Ju0oUZIpFWgXt/L8BDb5 +e0emkmGKlww/Y5IivXkJAd2ddYARLg0nEBWAEh6yNRW4FbnwRp+ts82FOY/N/Oo2 +uwMqHVzb/aUUT3f2uc0quJEy6MdtwXu0MYhCtSEWEifsGtWFslu+QdfmK/8Nn9VD +aVZ/eTnv3K7tQ22oUdjkbKR34mVbZx9uF04S/Tf6ZqbpggeAHE0BzTdG0KTW2olk +gOQfVLY1/Y+JjuXpWhys899/WKtSqBIn4r3CS1U75CC8R3cVOZXW6WFR8q9AxbMd +9hzYohU92HIohquw8UyPavBCkA9UxB2/R5spcj2nAvvpRy+qgYs7WkCI/QQXz14B +DNnMjhKlsazr1idg430WidCH3sbNwYbmi1ulIJrKQiArXVIJh3EHU2/nDJYBvhCP +UXfMGvE6k482pv1I43oLb4F29uCux5GEQ2jXJpzxtO8NhuLYlu07ihsvcytlDUgv +ePVUMqCkDwBSMLtmtP6ZOEQZp0H98/FKnVYFHbcCi+KxteVjK6Z1ktQLaJDl2IJV +OMJAPFncdAXtEgcnm3f5uBiAfoGZ86MQF2FDhxL2qQNaXNWpFTCmim7sKaJIsPeI +VHu6xAtsr7RU9ayL+OAOuPZ5VvgJKL3L/wd8W6RCUvWFdf+wNWJdwdESYhmkJ6Lh +MHVRUPBY7jJpMkMF+bfEU4zVuRoSbNn11k771XRVzJ+XEVr5F24B6z4LThZFwkmy +dz/QqkXlfFGSO7lt0WxxerGKzfNdnNp8PpHgn8tEpdn7jVOROgGenc+Yq5G5WaW6 +M7PoeT+KU6B6Ja09AIP21hCwxGB0FnvliLwvoAjJPIMF24kjayb6RPCH6fzQu8ou +SnYR4ESWQ+oKaV9C0ulkFuVRBKM/xB5+fKMPtmA3JMfJRRVqfvJALqdJSBW8r24B +xxzBGWURMYz5B4K0hTUvMNTDiUs8C8xZnVLA80Bac5wcuLXnWrD6Npe/UCbRcPJL +saxh19T2QzyjTE8na7MFhtrWofoNEWumN7/mQ27HBInMrYWd/bZrrQeBZlWDCNou +WtrS2s59QJ6H8ZblOzuCpFfmuERHzeCJ1LLxBVysuQmIuTPmTPH531q84x/GmDoW +88TjvjRlnbJR7uP7yS4qAO0cxB+aNibVlBqC3KbYLaaajpjvf/0h0tVWBHlqB02Q +z9rigMs7exYo1iXdB8GY6OuQ2d5NH2WtYH3Yv2dl+d8F5fHf7zlUpYIVzNhjS4sc +7vUY5CnGsheHG/hItWvEWZ27YQvezoIYaacg+luw6ljnKFmwapd+PW77TLYxLDDy +L3LtSqvTZNdKMRkIqLxONFsQFMTcV8/L6Lenu1d8Xbl7fkXzL0n+HPs68YZ/ieR1 +6rjHLhIdDBXlzF37mKbWHBIUFvajqSECSPr42aUEvTDqGM1V9bQ0raN5PUMMcokT +ezNegnooFERDnyEtCVbuSKLjPXlryEnJufJ/N/aO3f6lNUPVNyBryfrx6QAece9A +jEePSB6N6KWuDh6/jP1WVTSo4Ja/QQd8WCEuexndQp8ArswgaYIZZGh+CkWiAJ/7 +K9rT+Wj8FuYseBN1MQ6/wdswTcY/k/qR6U1B/QmsnauqMfCEKkovDRF5JTCGSlZk +g+dbEd9uxhUhF2HVZrYVv4WxovBFRG7krxPBAUICfVGXwLuhqsf37a8KY5DVzeyi +9nEofsFe7fORXwiQDmLmo3oP9bMblRrxlCVlyA4SI0SCpm5GBzrqlMvdwXppNjrz +zrgn7+8Vw7OMEEu69KDDeVo8/BZH9xrpZXTbV1w6L0WxhQkR3YPKEN07GNv7/CQz +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_eyemon_tgx.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_eyemon_tgx.sv new file mode 100644 index 0000000000000000000000000000000000000000..694a5541e8a54d5afd1a0a675e127d8547df47c2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_eyemon_tgx.sv @@ -0,0 +1,395 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +c3CenVzbmvCDW2ZGfgW73TOgRJw5E1ybJg7ZlTgamv69tLwV3RQiktdfzzsYjvh6 +rSv/sKFjua43n5Rup9oMAzTxp2V9sr36JkL7Smf6qf6VXPN0EKlpzBbOxjfgnqAg +oLAndGfOexabdxnz3PIBWOWc8rXxRBPbMIiBDdBaw74= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 17216) +0QYiBaUzNx35XXRMxC2pthL74VB9dF4kZegfwGnNzxw011NUDD94+yvWpMn27dfh +3leU6eXpIVZTvdQYGDhuh8PAe4jcyCM7T81V8OjHF+PM0V3k/keKMERDPIP4ziso +saHCkX/ISE3ISAd283fl9gJSvyaw9UzrQ9ba7wH0u1h5vT7qEl81K2a8TvWGiBeA +uJKFhKzhu3djsMLLDy53OqlI2duSg8UO6xeVZQaexjqBN4vh0juHxLFTYZupAjpM +azdxVz3v/yGpY5lwJHmlxUKvND6DMqozq+M+k1tFDc17bO3XCXF1m3lA86GJJZFi +dJaTjUBJxmw0T4MVhLpbZm8njDl0Ixyt81Ljz1TL07Bs0hRR7J01ASI1TRX7wQen +Ud+5J6yYGtCanf2UwfPGrQxBOJA5RO6ZEaID0xrHjIvSt8d9jfLA6YXuMtYCVXQZ +AOsGsx7LUIwSp7fRy94nUMpRtlHhyHDo4IiZZPDgCgm6YW0r9feUmW31/M3gqPG4 +8AKzF0CJ8B42EXrJrUC4eHc6VTnLZu8Eyn0D7zm4PpDSgGyEo2AmOyU7Is18t5DD +zQNdB7/e9aXViNXb5QT1QER5q4La39UZM0vcOE8Ls/u04KgsIqjWPRol2d7j7xdX +FSioX6Y2EGksyo6moZYjB6pnoEb8/JCGbxrtwD7rugQ1UW3zge+04s/vpVHJLMI4 +XTOYiF3nlVqW6qHp1aeMtxdrjdELFUq9E74hhvgtXZnTpPeHTng2E6n/ss3Foj0I +oa9aRliFC+/ebt9CWzVL1UBvsNgJ1YEg3VkhgbJ+z9Rtq4sXInN5+Unv8y/fqLZ3 +Fy+0WXpoQhIeYotnYcgxKH0KdqVvCBRgQ4kRvRz3mvkyi8xtZQHPSmVlY4qB7UBK +Zp9Xv35BM4u931UaQbRjsDEWO8MolV2qZTGQByrqtiTLd5tVZImnqEzihDOvsAQZ +ahDDRB2EVZAO9B1LPd02wvJ8XotbSFDrn99qUb5nhLv9dtWRsB2TmeHIvryk4OpB +GaypNtiUKR4DLvbFtwiNXp66gPPSqCz7iAwNl7YyfMtOL8ESezny4WEjAT4ObJRx +Ey1GiueSMdo/NlLtIsOssHW/QQG4oBkcQHb5JpkP/JCfaHAF0ZCZGN94yib7R7IX +/UVp+cPvSwcbuvKaRl0EaeTO9QP9lLFKwC09kIPDVKzK0jAyKKrz36sEtG9UtlKH +C7Uus/PRHyZWalnGFGx2c2EJKSeIcK5iTxthS+SP76ThsjuU01+CgCzAQyqqrJm7 +GbVgH20HM374h1DztCnPKRgL2WH3BBAtcdQOpo7fWRQdQyV11ljqNXVjLVrhiw6s +JjV8ix6zsKDSBTT5jzOMIs9xCo7Rwuxi3I3eTkcRvFt+5vTeAEOGbqAOU6p+zmwx +b95CUi/CsnNhp7xdhtuQSezLfLWMsJq1jCOqdyxLfPVTkgVGB5+mP4xg/FDhANwo +QLiB25UCs8TlruN1aNA8nB7a7dk7mEAoqmDKbqXOihOjTO5SIWWAqqr6fbZU0PXp +WaRKdHDkPB+MFtBaC6XJ5aOMHzrSHgWrn7XEBCVtIgfLeCaqd9DV0gMr3SyY2c7F +lIprhAaCeqe58dBsX2lXaXhmK5sdmWDGAja68fGmU+sAgXWl25K6JXPzErp9UvMM +wrAna8TfMTS1KjQk4B7ycDXBCcRbrvfrkbciHDAbI8OyH9uCSjbDL/rqAeQ7YYyF +4l7k/6aAChZLVGzvFlNU2ewClUE9uJz48uFrjVrz6EPx6sMPgm3p7fFaZRoCU9bT +GmwS0hcILXa3+ZItti2HGPeHy3BIKJ/2vDnNfbM7bMWFH/APBdvGbeee77hiWivT +S1Ge0+EoKOf8JKCqa4qK8hsCxaTt71aQJNx2euDaCuryvlaoBDfMK5v7DH1ph8YT +mUrxRrLEi9QcLpCXKWkQ/U7g9yBrZxZaTz3CR28DUjrK4rnLPZCf3eeNscPF6Vh2 +7XDP+GT7+OG6LFSMRd9e6W2A5Co5grKgyp1R67+9AFQygAl6CH/boGjEzS+J9qQz +8RNXixwpHcg1dbxs1qt5BSTqm6uGMFQFqa7rA2CPwEZnaSoB+02rIg9tJd5p4zBn +WKN7ZetFJa0fMSXlOQ8m00mDUi3J4DLl4FwwtUPH3n7kO14d1VcqTbhWwV2EnpB7 +8+iZmjQjxrZl+Gw6dywbV0Cf9e03vnnS9nGKGwH1XZhYitrhlD4N2kmhQIxlqGTN +RwYoYo7XASLzwIxFk11s1AxT4pRtwkdKz+55jlQQl/GtIaFGrnVncPHE/yCWFmY3 +0BCMfpU4NxiNH/IgirNt0UC0RclKNIPKr4pZYRp421fHHNSsjiqitO17gXJgXYhR +n5O2ZJToDFheTImbVljMRZ6Oav/FJrX91aR5fMoY5i69qS3SNw/Xpq8NBWfH7fXH +a+VLhk7kgDZChEL6dzRn/jKp5J6U2Ws+b6MEzZ0CM0N/4WknYIUwGJX+S/Oaj31t +std2eZFueuG3LW+eB7q/lBcShLPtiraDRZtvvgKavvq004QLCUdAPXm0e6XB0I/I +QvhaMQgzrjd+LuZ2PYdp1qctaXHR5RZ+9o+YokyIf/eSgUXEBgHOp5YU9eI3MIgF +mKibVMXZ85zuK4azrcCjfNH1SKv1cmiI5baowIzH6TzLVE868n6puOt7lDPDujUQ +7t9OsjogMKTkgSnHhhPsG0CDCP+CN07iMs4RhuWMHJHw2odvCietmqWsYNl65p9I +gH0vjxJ9ubs1HohM313KKEt6OFGEVMKT6E2YDM6u8e3pFvUz8/Y6Vn8ZMH7l2nrf +ENsRTDWyjyVGSKN7793qj63hnArqeNf07cplGDiwLbFOtAOpa2UUtgcVaX5/sYt5 +HchqLFm0NzTxupJevjUUNzNlhfuuybUtfSmX3hX156Vm5EQAtbXmJnQPirVl3Sjc +/JP1MKfOfLswZj91pgn7lg40a8hJL9Aqa6z9+5lscE1kh75a+PAGq3+sdMXTDwGY +IZLAu0i9c8eAzp8MmsKwvkUklDCDwuFJIuna7CcpULavi7OwVXbCagFZ/Hdk+2Z4 +5ov0bP7tcniYygOqjuV3x33yGVk2KBCNoA0YbavnHr5N3ci33xGj0FHSIW0bZ8D5 +G0TstlbHL81wXLZS+3xhKnortA3C9Bf+724wafIknsFSUOEX3QA24gpCQAZqT0sb +77Rh7FCd/XVAn2DFBeW76cnJDFbH4+pLlX1g89CumEOhvLbcY7vegxfOqP/Rv0Dx +WIUkXrvvYDxvdb9Aiuda/edYGv9RKoTr8ixzcNcspBafAIzr+Ird/QJc5GQQEQg6 +78Bq3j+aCnivsT0aaCbzYVOFlK1Ae40quQTsC/1dmKYLhNJ6UMR9mXX9l2Hr7JZV +qs0KiLAHHvs3/KbmhOXmc/NwzM20Dcf/DhLdmkyiRs+n7Ma+QIDaUNzFzE8XlpuT +3Srw2r1Vlt+bC77Gxok9yxIw3sq/gcFxO3/O7Qtxx2U39zKusuw3O7ZQNY1lf3Fm +hIS1By0bYz4YAqqOxBa9tsIwNdoDmubDT62fcMAS4vxsc52k1LkWUyBj1GwIRzKI +N9BwFWESGFBSDobGBywQarlAy5tdEjSaCs/gWaZi5QiRwg5YY8AMwW6Og46sB6cr +H7/SclV15S+/0D4SbhGaUuE0zJNo/lYhT5Cxqa/6mVR1gwpl4UqVlB9rjgKwKFgl +f4pmTxP6X1EAroRmsbg0REWlxUFWTl5O3fZbtpkHa2Wfx+lPG8XrjxsR+AWn4t32 +llMgy/rHFgXEVlKJ98Gdc0Jux3frmKeqJjkKM39j+1dsVWd69Xl9NfM2i+G0gYqZ +eLyFepw2ueQBQuFwFZpL7TrI1Y1V5SvDkEfWmI4iYr61es1rjUof0raxRPLPeJal +5HQ+WrdPmFsWPlirmqR7lkxeknQjVsUzKgBHPBMN+ANsx+rCW4MNWzdLBpm+50r/ +XMLMSLr8LwS8K1b4DQb0MEajQcYtkWe8bzOhdg9ahSqgh0gl5CmE5vNlALTGB499 +zote1GMyAU7Chv0VmUYSFRxm0yajjV32kRS3CztF6SsaDFe7LAjOsVGgNy1rt8j8 +8KnGuR1L+6in1vsq5I63OYyyaC2hcHD5e+tqNNvWah1WK7KvF5/+pKRnLZKZ9/+p +DhTleAKzs5dyR7f72WO0XNRnwW7yKoPrzONT/5XfsSryO0WP0+9WWgkT+9Y8G2dh +5F857ouHJ9O2ofMdbDPV0I9DUqqBlBYJ41dbKIz5hFt3d7EJqvRjvXVB5Q1KJ+sU +byXEqHbXvqaHE9DbBJWY/pT5wM/j3COLUOdeInBZbcsRM3SU7anfdsFcdQ3dNA2f +N4PobBrq6MRiCqlQWhpZod1Ff3TAnrxwqfekZ4krG5IP6JzBHCNLCVgUHmJVzGOF +BS1UO0iL9b5QfQeNwWdhtzXjVgpvob/Z7CLU5RQN+1hXu5W5gdnjtF/mkr1W/FdZ +kF+Wxrf9Sk0+7j115jVswpbkMBKSpOup80o+OyUlv2Pqgmequ66fREkcYWGMhCFd +KoMPzbKzEmgsu9Z2LOXDWxCkhNyRjGFnsQlXeZzNKbRGTvLUvIG5i2xOojI4viuS +inu6JoazgMhM8aeKVP3opxcHPPLBuAVjGHQLTlqCkQNrxiS4uvT3IHgwAYabcszE +qx2pCwJOJN3dHfUk0xLDLZLYyoJWFnmEMTmKS/Sqa+j6+KpCz2gepvgXOFN7eAa+ +vVzeoSL3kGaB3VAGSGM3zJQsfUOdpeH87r0TrR3lfKwY5gdv93Ic63YGfFzLhd5x +8BfBxnizPNiTO95gD0WGO70yn1FkI0r+D2Z1ZDr2iseXxBlt16CRXhzvxCgzvjsM +rATHoEl+UUETa9CEUOpa1KSROPqbGLSEP0i8E3Bfwk7g7xYEdf/v+amO6GOUrrlw +rJ4/6hFognbETH3iiDdQhMJtFRECL1YJYpPmgujawYOEEIAv9LkBlCMhnZk+GyvT +OjA8PUTXNXaeZLhHDPRxpJUsf7kZxwRdyp1Cd8b6HReIAsoUavggZD1+98g63SKc +z/ZYXIu+74Xiie8ZUZjHbNPFHBv8+aFiLiexJ/LEBUu4SccrXfoxtl7AhD6Uv4YR +Bz8je83OVSTiQKYqmA0ykSK37AZ6JUdF1IEGjWluVpw1HIwqodY1lFOvp18ODX6D +28XSy9fNSTnE8+QW8fk0+IfX4lVlj6HqAnaAAB1AgakRgR+TZBrvYcB42wKlcTaj +TLt4LMM6XCU3I+51x1DzX+2C4L8tr/FBBStZgjpetI5Ri3BElo6AMGgGAjiVoFt5 +d8aCRZBxMhqCmTnMURerCFAUJiZgUpX4rgXVYsXtGj6LsbdIIRFErLlj66ZJE1Tp +u22yDXjpCsc4iJvM38asMhxzCIJyyY9FDwiuZkoAH+2WCZi4uoLYikOLhkQJ2tiN +N+qX/ROMyS6RhK8wuVtR8l2m6RgoneUjKQ41o6szuLxZ9KuhevWK/QWesaabEGML +AxDoKVgYNxqHwE5X9vgPKJ9STSR7OsZ4MogXXg4AhqRHniaO5h1yOcU1ys06PxeK +uK4YbZf766m2vIxWaw3sYJGRM2EurLle6WCLuAnJpWZqQsEkhS5rXN2/rcka3KYy +JZlL+BunDARmvMVB9RYoulaBrfhtFhvtibNSu/uoGl7GLpnMg5OfFpJPMsNqwolt +2FsC5uUU4OYhmnyBPMIvSaVX0rwCZ95kIeK+IN5C75kAhbK/sdywaqdYGWp42CU5 +1hGXbP789ttxjPsHE8C3TnHZdSP62LwM/+qAODzCkQhsZUOvD65SdrkEoIBJhih7 +X4ha1DmiB0gLNXeSq7hZFEvrYqYgH3c4rGwrYFNKz6QPYFQrHFTnBv9aKfKU9GmA +IHkp1a6SClqQe10hfO4Aei18sX4Tv0v+R42zZDcR1bnwtV3ZKwlKfJPesYYX5BBh +QZaLx/KbI3Rg5Jvxhc6Uu9WDAYVMA8w99JL5/isQnMRjX0KBq53Rw+tZkv8sKS1Q +16WBN7Or+BS7mKcEoh4GV8sBc/YwY0+4Isl+ejqxqdTNk0m9qQdD6yMuXnPOX/Yu +SiNlblRSlPC2JJbHohSA7WfqDA5suKNTUCokMWKvajvn9m3usz2rPrGjTuyG5JoJ +AjgcDX6HY2RPHm1q66jJHnl76K6dTChr3KjwQVUgWoMzRrXldkVYdE0i2MoGtqCS +rRiOXM0/J+QQHvYKKbnmNv/zPnCXEhVomNJ94A/k+9IrAmI102xNq/tTSrhMsfW0 +ERn1pBiAxoP2GWhlPG7m1nRPHm9jg0pwosBYLh66Ch+YdiL6t5NQD/pwxmkLuo/c +YzbE6LbUDnVo6c9UwDuXWxv0Y2kCXvbO9L0tCzMUpqZaSxs7sFJ96cvuV7rvZ/bh +hdqJeygiQKoreSTLvjti5q+GI6setKBMBnbdwy37zSFmptBBPHDDmuueldlPqw0k +hvXmZO51ifYD24YA+HjcecAv3LqcANWntNsft4E3wwHtToVdaFSTV7jyjkeWFaXY +Fs4Iozes/XfN4rSR92lJ/j1jgPkoRuPXoX9uaYQRpRbQKBPNA4rm14XZEkUyBHXr +XyZXZf79E/XtEVhrRiFNTv1inucVFHIBotTuWnZlaOqKBV6TUY7k+pAJYCgEEQ7M +xosg9pTlBJXEPlChn2sGjv/X1klrknSRlEd550J/ouvVn6pbLuLYgkxaoTJnN/PD +C45dk4PuUa2Oqh9RNazZETVMd3X+yCbsoSOGZ5GDnwQffAbZnSpPCpcTRJZjvqH9 +0rbCl5KmcyVhm5gWPdR/gQJqlxOLQDAFj09OGucfds5B6TYhwWX3fQUOm8cnr3Qz +pRJZd5oc3w1T74AEBBhoo4RxBrffsMPVjTgt5vMWroWl3wskFcehya4OsNk6xs5/ +llp6+Buf5E2nc2qL3iCmKxe1xYp6JlQUPMYVIen74ozGc1IhQfXXXP6i6+U9sK9L +BEqrFJOG0yz+saZZsXAMI+PGkSAzDhleubfp/boRHBmwb8KUNgQByUffOUpSnL0n +0Q3c+ediBXB4tsqAlgFAPHqadYfXvz05lpLuUYxzU1vjOtM5a3VG2ls6eCPiR2t3 +7PvKYvFUR9GOp9LzDsGGrr0/A5QFd9yfKbT9/khCAK6BtU9yhvSedZNOXpcwSht5 +HvDdsMQPHzb0knDAg0+ukf3x4Rnfob5S1xUou1sQG5r8LIlhg7JfKPE8AN4QaocK +vJXFdNPXZvSANSIFsBP2NefV9L7Lxop3+PUzGdgX9tM+YqEJ8oJUIlNqiVWopNnV +a7yY4TH/ES68qFMnFq06d38kr4oPZ1977zEwxbxJUa/7GBKMFPcPk97dRmXx1k0I +IocKxIVg/9GmGiTn2XJk4kOVCPtWrTEatO0hWF4oTRLcZA3dIvK6oRM8RNv6Q9y5 +7eSjHDUxIWNUr7kuAxMnSL78SROIcRPyPzm0EG7MuTj8ozTsdEJ0qkdqLbMdkcro +HUb3+PU8i0RfbuUULQBztu9bDcti6U5c9voaNxH2FF5pL1a+9TbiAEW/Vuzq2R96 +X4ciDLualHT0l397xhtgySEzaTdnEiXVL2d/cHmdzaUwJ79g7itwYP2uKmGIgZHr +rpOxAggkkIIxHkVyj7NEZ8A4vWgvO8jXWl66aXuWcwq1GCp2XXt4KlrJHaKVax26 +46eRbBtl6XzjkMnGn2Xf+sXOY4zWEv49uZ9HNNhHEPXCbc2gyY5M1DPjM9PMBgmO +VcUl/spnqWAaBpW15R2fK6g0cVlSIjPfT9enKJ7qhSfyntMkH+ZNDCEunhDO5fVp +/id0x1rnSqb6UJ+mv7OGYi5O5t11ZNCQMS4nyqL8LO/sAopaqnEkvi4Mr4UfIaC/ +ZTO4wrtkXREitZ9/w9vwdXbqdGL+n15TmzfvAmfTvYcJEgca4Je05dZbk3jsZr6k +AKuGXOR1St7851xpQGeNVf6elicz9dl1cS6FYIUUI3MZVj/PBgp/YyD6pIkh1Vkg +an2I7Pjok+aIpQ4/91S8wnqBQiOz4UucjT5W0mf0b8nFVruX+6J6IB4MTMLINwtj +VRMkPXhfGNJjHyt8EXf8ZTRIgjtKf8L4V5U7PaNk6+2O8yOppm1sy8SQQyWMeFKW +V0cua+oKcPS3TcqV/9s0Mf6EWdTRDz+cvDp6MntIwgA/0un5N2txBc4aaENueWV1 +zQaugS1MkN12eFFyoITLv9VEYc1zn0tfjebtMn857zxSi0TwGJfInby2xKOEEM5o +H3a6jygTIWBugz7J7M19QZTSxoVg4b5SOaqVy9Ox9RsDu94c1TLv7yOcICiXmMjy +VkKepKYP6fx67XX7EWl4H6M+vtqKxwuO1oGYFNYxrqyDtepMTVixFHrmERpn+vRY +S99Tjjt6EJATz4BfVnXvxmZ7oWQanXMSj4D/4dNaeZjIb2rasRDmizSCZl2UIDFS +SlmcRiMnTonC1S9xfjQxqbHz82sJX1L8rjJQCGcl5ghr27XyEvwtX803a3Z89A6+ +GcuxOP2iGyvVJkqYnWuY/4G1Vbk4pobH/CIBJaFKOQ9Yv93ocphASSrOOmgv8dd9 +BpRR5KgHYLvtfQ0AVR08bdjKdpcrnnWkQ1N9Vr3t659Cv3uII7B9WhAK1YKD0FcW +PsDgUzJrPMtJCn5n5f/VzyeCqXLgd1M7X7BkrZ7JDZfhMs+GBP7bTZ3SE7QPEWeD +iOxQA3TO0+z70+gOXaO4SghjN6Q6X6mUV5RsKsVITxrsNAirHA91iyE1G+CLdjOw +eomonuRaXY9OC/7436Khdvw6yrOOqIeISz8n2afV4J9/zW8ZyDCUYoe7LZnmfyKN +eUPDc8tGwI7IRL7XTnXhZDbmXNUx40YShsV6CVkCSa1pjb8giBfUgdAseMfRMivL +tCpifoCpq34Puro7gwCCX7vA3nYNBusjVAVtSi072GXah2PCoD9G3GuGgde5SCV5 +KtyKLzIy30tah6xkctd1JooowWARCGVMiyme8yPO8ktI7x9yC5lPL5v3QpjVphAJ +oNp84KZGpnlZMQhxI4CcEIFLYe+7zMhyjFIrkTs3K2pr/RpU9sHc/EO5jYZl3Mod +IBX9DUIONVMEr+XjEHcoul4TzoNSLzdElQUw28XsZlI+Mb1Lwaan2f8DEyWvavWZ +bieQrC6w+Vs/CiuDq9jd2mQb/+/yGCZxkceeHuKgiPFJ2OLOKJZcV6VGI6HjgkRP ++u7oYXa7i1mIS+KHZyK6CIJKxz4tlF0ldmFf8eE01xvEJ+yHhCinkynvcpTD+fBW +dfY9nEJKYw19zihGxGkZ/tUE9yLuKR/EiIgp8Osr5HuRMz74oS0lb4ybyQywI7dF +fQ++iDeK9MWxpBu1uzuC/wOP54s3MnvK0Sq0q4VnyvBc3VPwoCw9be9brUAlnm/W +Kk/Spxk1gq+WyGEO573s6jIPHG+KpM8KRcmoD/RRHB38tOlU3oaPqAemYh5eAe0S +TT5RztaMEw5+w3os9yqO9eQiEaOSji2dpGM8IFQujbVb1C6Ojb8F1QvwSKow2iY4 +b4Al4+ln9l21XV4n4UzubOX+R8gUgu2zQ8U84Z3NIk7yttcZmaI8wSIRrkFjAwVe +p0sVFKOe3XGZZxq28FMIM+s7j85ePmwiZxuPRZWXkJYHxi1V7Odj0mjU4e8o1Ogf +I/oPjo5yyaWq/fVuWp4QAtoijE5yeuGeLHzRvBJOXet8bbiii7vVkBFLkmgcq6US +J3AwSQsMnQRNaNmH4ZNkjYVcTIZy5UnLi7QURz0IO1YyIxvaxWgjnJXfl0H+zRgm +yvH4nhulOJ2YYJwCJSAUTxZTX1e8Pi7jLq1YUNCNSUfACDpqvUt+6ffOsKMvUQ3F +YP0hnyn0ngXyuCjETe/B0WLNLowD/Bo8temgpzTqlB5raL0IoeeHejoXgJM6nJ9g +SpG39alBLhDKH+6jhM4ILUBUhhhSQW+Qtz35UjYF5DP14J4DelWa5QEW6COf7IN8 +U+zI7LiKtfBaB6OeUk0Go+i8+WDis9hwU16K7+HUPo97e3VNBXlQjqV3z7KyUDPk +w/AElfb2hXTk2umsvZ0roAa2Zrxy7tZox4J8aoN1w2B2naqBvNSaY+cvH79gtM5S +9w98LTEgFXHr/qytUda5tDu8s1M14sYIY6JJ6FZ927cybk2oB4lV6WOW9c2S7o7e +7QOm6nvtoZTXiVUgzx5EIUK5Zh9rpCG2al7OXvsBqEi6FjD5Ihnwtoc2+ONjkTtg +KFvXT5xdqkezhhN/bumA0uUheG75PlIgWvN/f+E07l1wPGNGIMaJ2ItRI8MCKuxd +6j/oPbcTg8FsauW5mhMMhICik3vPGdAO4Hr4s2PUOvO/XgD2GVbHQ3UPHivhgQGl +aXJpMSZJqqXRpBrOh368pCNbzQgTwKF8AJkRueR5tYBSgBp8Hp6UTcuEmwqz9dIU +70FIskX99lvKBriR4pQGJaBMStMQpMkdm4d1wmVl/fSqd2BBSL6STCa4b0jBWzHj +7SX1sE99XApHFYUQOa2tIcmiVNdmCF07qm+pPTppK5W/Z9kibm4kYE5UzjLOKypD +nSTgW8V0NHRLeUzIFSYS3kVapBri5/Vb0YB8QblQtNo0QTFX2X3vA9ifx13UZAO8 +3+wePlJbSmWrdNiqdv4SMBRrNVawM4HpA/ckFOp/zbQf7lIAQOvHnfqIpUR65C8i +BsiE8KtKX/UUAjexs8GXeXDBcbTCC4cRBS1OT1kMavKWfu7qJZziFdx/xLqPovbN +UfISheFcoW9Ibfs5kVRLwIhnbtDTaJF846PFkEYgue1lqpuLuNAqPezc4kmPJ738 +Vh7a4GDXLGnufq2KJaSlO/zTgRiCBIQYExUh4VhbLSYafbfQNPcRIf/50XzPOoY1 +ipX1RBfNBKViX1VnzvK7f3yewoeMmbZeqsVefEa56DKDJgHvB55MoMbU2vFh6vf5 +pRdWOiemy9N77TLmIHMdiTlP/SHBrJZalHh98d8RB0+dASXwBTks7naY+Uubt2py +QlqDtqXo0OToi+Zhy/uc2iAIBNK7+uWr4+aKRIlZva4w+ET+7IXyJFUqa4uWMXH6 +cB6GjyUHiC7p745YoQ4EYH5BUWV/DljSNWEhWfIQ7E42aMHyabpd84OybM5uvZMe +ONKdLdp6VGLCCYm1zRkrh8Tf9/DWa/RGYBHP2hzmZyF7Dgai3DaRUlqa/m6JUZhf +UOj0z6f+BaP5ZfYUEmdihVlAuISC8+4oWwFNgMkkUPS/DV47ab/zNKp54MEgfCjv +e6A1aMcJBSGGGGivcZwdQb0bYau6VJvqLzEA7oaczjcVffsDx8jtRtcHrXly/OTW +09VImMaB6olIfSEudgtlf4wW1wDf0uDt8l5GaJw9r1wnDkL08VQaZduNOreluI/P +mvS8BCIHgVwNH5PP1VRI/7dVs8/tfNPKvxWYo9CSGuJ9IC2wM/V42rzhOnAI3uA/ +xIgszNhHRhy6PkZAZRaZnZTJXcN1dJcyCiuse4CBG8xsncYwOngN8KUOAb/qVPRx +Z/9hT67euSAbykCyWXs/La/Ifhfobkr1EwtIhFjlJtRwHbM6vMDid6Tpj+NsiFrG +OHCvczILXmi2O7sQ4spFGuCI8qqNfP/jL1+oPFdvThJp65o1s1ijfrwGWlsyGZt9 +NhZW4QAkEvWzRm9Su/je8nQ40p97DUM1dXP1jb2e7jAXB8naRZ7AGjRhJGx4pVKL +UZwHdLQ7lUjWGTD0dISDuIz+ISUnuJX9iloMcRx/xLhJeKIneiRgwXoSv0tHU8e/ +zPeEG5vtU7aRwCUJQquaaO9q/KL/TpRccWv03TWgg7N/X8gGYJBSAjy6X5SjkucG +Azv5ZKhk0Jh5D65Xq1r8ecXv1w7/foZuuBMPfVUrfts/xxbPWujXtPIY5lHw9J89 +OCMW8ACIMQSdrMQrvnOCTropVh4H1ELprTVL733he6elNn3TrdE4VAVlCHOmFf4Z +2m8Cp5lyRSXp4TdkjUzHqvvU7ubGZ50SZ8Oj2srx8OSvYkeeU/M2zQvdM0/KnEMZ +VteNPRMRnycfIbKpG1EluAFafRYS3Po1rct/Hxzvi8pMAc9FaTAUaoM4RGy+WC5L +mjfdcZ31OkJLMlO+NDXEpfE2Fzj1XZcv8smutkr2By0ShB3GJBfl9mco4E+/eFks +P00YEIFELEGcm+kSziRraFCi00NasC4STHhUh/zpV0H7hQdDOdWjUNPP3g1/g1Ba +xRNoCatwKEglsN8l+9xnbhVZRr7jKSy9AAp/pN8SHc2I05BgKgKOTKfpUZrBSeLJ +iwLSXz2dbqnvn1LtNrhOxMjco8WMgwmi7iVUZub5MpPXtvzQiGgbWni0BsKiVI1x +01jpx6U7FlSTEaHS4BhFvO5XW+PI3nDG9PMQ9/+7dSDAl2zdPp/twb3aACtjCfJo +VjEnJXvxTU3p58uG3/J4usoQdqHQ1cYdDu/ISChM/2sHV0xuljgwlNmrb4w9nGiG +lOI4lBvRJeZcRk9CJCOQ9D8Km+hm/lUSGZLYHsbpRv7DHWrt6dx4Gw0wRXOn4trl +42wD71f1+JZazXxPCcx9rfq/eVNXlijH2Xr3N3sSooBekIenv7i8V+1+Ti9pb7OK +eKAnHGWhZpG/Ihw28w3GLEX9DUaNZKMR8Iry2qRgeY+0vov88RbpgSPdqwfizL3q +jQmbaicsbDVhf528oUijQacMEgXAE6oahphU19srboFsFOYvURpuXz6oH9WJgrYx +LEnpibdf1+bWa2ys+VJxyan5MnO7PXJH1kSAQvD9ZoKyjnJFoJfcUz5HmIffRQKO +BNaxwQjiewuTDVIb6lKztwMCrA13gwVVuB2tGzv46J2fA6PU747dFBrxeRE65D7I +7EKkP+6rodXHMajlGTHiiizKn/Dyh0bKsedEjjPpXH6fRlmr6AaABXZJ6fZg0UXP +aCKWsv7pUCPcbQgpD4KcJvN7q5e274NscbqneYCxi0aBdo84Ra6E+r90DeZGqugA +36VXoUy2DF6qBF9YgQ3dxTdtjkHQoezWM3ylyQ5xNKe/by0KbRTHuCM09TsdWZSo +SoVUl9dQFDgqd1MWoM/8sBMqCoKtHfe2RETbsXhw0Fm8CQjBqVdmLxlCJJSAobTY +EdcMmutrW/mwO5GTwnt67kNrrQeynNndrTH2HEgs1cApOQqn8UUt5KMEa7ZUN1Wk +jrHF5CoEZu3BgwSXSdF9UYx8C3AD/O5vg4I6JNzMZx0M5g63n69Rm6O6I95L3yeI +GNq3Gp+Fp/8h0viUeIxhXfA32f4PeVGYRR5x3VguwRzJ1einARYatHFz1ub6fyhv +Yz9shXG+SKHjk3b96yuvYvz432+jlfcVIbG3xpE4JLJ4hyerPyYw97KB+X5SNORG +C2dqvdleZGtZIUEIHWMIMCis2JnVlIB0D8VoHbYEA+t5YG8KXZ1DrVTL1uY3PeP1 +uRgG2wYYaWL36fOScpz6zA3htyoHgvSw8zk0fqruT9L6JnM02KwOYoka2tT6x7kX +bRKlZukE0D9GF9nVRjE1FcGW/8QTJWqNENkoEny7GbuPlf/yGbdrx5tRzvcfW9Ho +BdaMMGj3Y6/+eWc6T2sZOjipbpAOAuTsS4ts4ytq5amr6oMSk8R25tV/hxwFR+cd +Bpnpe+SyZijF/b8I3sR4X/BsJuxIKyHz4n4v1B6xczcRdV63b08AixGKr7wtvqeA +V6rTAW6DFQBX5+m4wH7WnysXVZm4kFqnMyjQ/2jmx7b2ZK0CaHluPAsnt/1TPo0n +IJlA3Ql5JBTDQJY9KqG0YG6kSmP3C4uCFAUZ9nE2z5yqCXXLzY0JET6PXkj38dCp +Z8izRQRjwd568E9knW1JeE0q+WkgTz+me1+BWPXKGrAi4eS2fDFyRd5cjNdzNtRG +bgQx4Wy1Kv7k5WMqD4qo7F+XybgeFqOkw4GHQg9JwE7XFDqFo33PmHnog2LoMnM7 +6mZyJjs6JocSomvI7lKPKrjd7slTknAY6UE3BtGoFeV15DeyEXNZJJCM/j4z9oZv +r9aU/ysKkJyDNDvN1gfpmC5Ojen/psj545/07altUAsrEav4ImCzazQg5c9Lrclt +NTjegoxgZOgymWa3/ESpAoiRZmVpWMImL376L76S6Rjk4c5iMtR4vYBIm42xtYRx +T2T56B+yzUmjfhw5DwIHP948YrpTHMHswiTieYPKlj9kT+Sby0WolXPzKlytQpPp +Z/Nbb8E+KvOHHlsHp48XDL367XqTOE+2McoL5s4xXYaQoGdBL/w6WQM9biWU3eOS +wnmXE5aYcN3Sc2HCLShaGJwEJmNIMM03RIz4F9jVHwOmzoSJpCAvsI2Quha+Agdj +EAYTfknVFxclOwukAn+ff1Iz5pJuMlxu4ok4waPeF/on3XRipwE+VdstBzXmbwaY +epd0dCdg8P1DXxJH3oI3YsoBRt4nBSULoTAsY+JZkjObK6kirMtWrBCD7X6XSjrC +4w2aiORiGQ7pMGdj0iYyPsUtzywFxOeVvG5fxteB8mVcviJ8zk0QkJ5qK0DdE+Ap +snGQnsUkg51vP2p/YjJdeyCD3/CkPOCxIpvKqsdzOd7NNwXWjfyPilMmggHbyfzd +m9Opi1L7wuJrFUeAB42uI85b7wX3Jzmf1JYWy8wlbZjb7PYcoABdF4R7JOR8fhn+ +tBL4vV6WvgyY9t21XkrXMXGwNhbjo1/XlH4eDsDuxEiGIvk6i22xmtSBHRihO2eJ +F51k6Z8IJsKm+2HWzzX/FlH1P9bKgfFKWTHbqOO/6O6yUu5Jx5Gj5C5f+t9W4aAl +Mo5rWok/zmG+3JlFlzugriCRYZ1pJB4G2tnTKfe99lLOCQTaZTYSYNZ+5mLDtwW5 +HJWtnxJVxo/3OVAm7DqpFgRv02PtXG2dSfsRHcZEjrzcnihIDFqf1OaedUMeeAw5 +xZuDBUQ45GG+p+pkmZc9/d5apA0aEj+vBRimsAxpd3XoKc7gWllr9UBmY2lduGFW +BTgxQ/55rcIYKdDXXkDPix0oQzTxnOF4rNEDcNfwdodteXzlx5BwwcVRJ4NauPhH +cO4EpzOKaDSeI4ykOQePL6l2Q+f88z/k/482yEn0mtwSu8EOQePURx+hcC5KfgR9 +pctvhte32cYfuZNFKWaBo+6d+sfYARpVPlHuT2ylCn6WxZ3r0etzCVEIrj2d/ez8 +NZdjhtvFIfjAthwOfPtrebzwLSjKGZYm+0VqJ7N3RIW+Mr5cdCF83RZu3Dlett2N +7hMSkuuH3ylICgwv1Crfnwlba7MgiatpBAebNQc7lIgtQAmTJFB6OvT/kzPS+AXC +xZjysqt1Eacu7sHLSfRdDBMHUjwRgnWmC8FD8nEkqr7GAuL51U2oauuZJt5fAroz +MAz3nwI+j6ozs3K7+pHaJSj3yeCxpsCkkty+9ogM1NK1mdZSYCtZ5GoLi1rtfNdR +LG/YYc4ftx3eH8u55NsQDHX9qbG3DL/q2SxoIENc3/Qu5rCd4ZmMmwLWKesliren +txdNuBGgNopTwYvtwAVPG/DFCK1pMr9B7x/l12t+HTDdVyiRV0UysVaUmZ/NEN66 +EfHZQ6J5zfViJ3PRHYIlbz50/sdlyIEOfjE83epT8855rf/B+/Z/YLlCJzHHbtMR +ahXyAaBNXTDA+V+unmVUNbmXrNzegEmv5LWxV6v80sXMlalFXDVUofKBkjL1YVmo +py0hqAXnTCyn0aw35sFAvAf6v0G8YwRH4x32rOfjMGQg0F37xxf1Osy+JsONaifo +cAeCi1Y/4vma43w1rCux8I/vlraVdABIaaonTg+X9cVj8XAHqxSsx8wxo7lQ/5n2 ++efBIXF8brFGAQjB6MCeIjDSqX+pPyfQqKs8BgKjaGFFJNPyQBPzoD7sqlMWDY0Z +cR83mUX+ZE8pPiKqYAQGwhVSco4DXUuF5B52p1P992ADOjgpcWw8aTKVPeIEmKDE +FL9GWjEqQgTRigru+cRNtEWpIXHQkX03zZlCMaCtSf/ncuREng7mQZjU45Kn0+GO +Lg++XdkSH9/7qvvenh7WZOfQqCZOkbbMPZsbTzQB94gQHmWyZ/01mBDtH95OtN1D +upmKTQF01IxYmnMwcLUjE/lD3JBoLm2FhvGf7ETZqbPfxbg6jyUbXXbvRQjLsc/b +4uQ5Z4bhUuKhY0JBa4Fx5JL2Evx9F3y5SrvB5Ku5cg79qTx8KmhGWrI/0nbjXOJj +2mHw4Sr0TR6Vc06GVwNkOQKwe+dtBp6JEdULKG4zz1O+GoVFE4Mptf32qyBKBgpO +HsboEgBhnkZWyqppuSsNJMokbnn90ebbuM7gic+FvPUy97Nj8F8+quvGLd5SxKdX +4oJjqA2XJ0am2CLEl7K+Mqkb7Y5uqYuXfSOF2LzUrES3X1O2Nj+OVS0v2p+lCnKx +lMBE6QR7l5oFShuwxeqb7D6YB3imOdShG1S6uMZJVrR5SoS9rLXypy++zPj/LpNL +3WDb2cxGyFm80puUcUaDrTWM3iKm/9ET0qFEP3JoBPNtYTC9gNO4v12gtPVZWv6c +IRuxC9/A8JCo59UopeE7qTMOsUJmBi57IDxpY4LOUhBoytDyRUYd/dCcCQhZ6qR9 +vqQRwtxpPIGyLSCdA5md18NPrWXgk2wYwJfSmO6zFVyqAWA1+UMiumL8cw2M6mQQ +d4bWLm3azmNtnRCTz2Q1prrBqc0iEkbL/U412Zzcf7PnFaAcb+MAWcL+0j3G5Mkn +cELIeAQMkKe9qxIFh73F8H9cZgvgPHGWWotewR4mnnv8GCuBpO5lV+oicIZxULbz +72DM+qXXLtSdkY+aUbU1U1LltKVGd86DaUdPaRH8VDp0QI95zzkIfw15iIB5WdMt +KoCAcxCA/Mpp6wQtfOq4YVcRPNdNUe8sMETpEpCl6wUoxXnZVave169IIZ8Q1S9C +YuAztM3GhiE7TS1hzFx4z0KushTax4wuidofwYOk+uwqzdXSu/wpDHSU2e34ZMxH +g1nx469EqCAe3tuWPM9C0fHWSfJbPIFd2m4neA4TjLYSJCKva8o3dWc5Zk9zfevr +rkUD1IfGOGnTU9Tva6NjjlnpWHsobfaanhJWuWS7xkll8DwLgOSdSIjajQlPgf3Q +AYYU64W6NTB/uCxj3wdq38clhDhj55+ej+8DthB2GC1jqjICqN8peX2HLcGUqCv6 +tUF7mqYY7+Up/ugHApaOGZcabNGaREzQy2yRVcPRoX1JeFuE9Yb/8uQk44n/0xIJ +y6ZRHw9Uo3Jqhz0qGl5I/UcTWKCKO/UOvURSxVXBC8S6us0OAo32Ui9gQfzdSr2Z +qI+Op3dmwR+WbO4ODQIFxDvgjf6r+crSZCRF9koTDKheabb6ouR367oFWUwaIFqo +/qxnMpIf23qCYJWkT6hySHAJ4YSwgh9Xp9YNeaGnSNNT8tTFA0yxPiMs5QzOFa3U +dcMAs8sPKgiqbau+Vj37KPYzw5fOlMc5HOw5kK22h4udCEBKVJZa3nAAdVNIAVI8 +3ECN+5/Ob2gf0zksFRP2J3L0hNa+seH47Q7CIsGSS1cneCTw0EF6GnIycwZu607x +2qKQgqUih43Aotrot2ppTCt+QdXgpT1mlK3uPFams0CeqzDX6RA9QVVlQcHwzecX +BO8U/qcA/cSTdkKX/FvrqvvNCx9ACdFhJokQIwwtoI+4Yka7MFljrh1eVSujkGVt +ki67Bc3Sf7ks94GHLgwUbVsCbTpubIUEOJxyb/TRdhE0apFudk8DeBPWGIXEAgPG +kU+u6MRvlXeheHUQ8IqvKTIcd7pbei0bzTsRBUisLXAp4cCz/EDCucD2XR7C2rGC +9zSxj27tORDw5lVmSpyaT6ZJuMpjyS0JMlK0xprqo1Iu+x6LGLaJTpK3TzbhoN/E +LIFgA9+u6zIoztk1NasTE87CRCmpFYv2nPV1CiXyESF0rGrusydn50YvNcH8iksA +KPUPaDd8vB59NHecLfyCG0+KDjYND15Na7GZBHRBFY3sGJk1zp/PjKGOscmzTaMk +OU/7uOvIZ76wjj52aJau+h7HlyXBVoZOY1pb/caIFNvoUN3f8I1HGuBHg1U37Iyp +J2YmrQDEsVSWErzDBzqfVFCbgIA2CUFy3U1Mu5JKPJVhD6UkWLfhlnwL4/o/MQxD +P5+4XtVli358FGa9Eo/wObBro/jtpl1Puhnwq4y18IowmfN326kE0VbJDq9msDCM +RBO7t5QU3Qcm9ctgOrXTyGmm0wy5aXxUY7/KIkneKuhYcstktki/CrrOZTZ7GEiF +tg9Lc+pB4AoVC+LDIpGwwiwGxBgzbo5mhnyiMbNrnCPbWIg3wR42Vy2oq0NLiWbw +bOitYz3QJr1GDN6nQEO7WtWMzD8XylbAAUUANKNh/q8WcXVb1CMSBJWOP6lpJBT0 +nmYL7/ZtrFVFjfwL74ckt7oV+cxCCMFOu964tvs/Ss6WjBrrYfIm5o0rSaDtAy6c +tB+j9pJD7Pza48fYi+eIt/6fw4mpLZWDwG1Di+/JOV/a0MMT5vpl4OT1clkUuXqr +MrZefg8MERa7wrV9lTKQ/sGb+thMbOL2RCmsJRQ+NJYt32DJM3ueOOuxCDvdpz1O +Z8dd8BDdMmHTxAYX8jB1E8Mv0bpjXjHkx4Jikcsm4f4DoB9qT3I7xEKTISYyWKjK +wmxI07Okfd3xS3JGn1SLDxWOl7JQ6iDNQf7UNGCoVGHtQRUgknZbktaGagOUT4aD +2jD4DzM9cHybd10x2tX51xwTXp2aCEkj6DJsfxbV8waUEWig+68LvrIoBT2Qsu6f +3L6fiWrW8JOuClrmXUKORhrM+364/IJX8TyjvegPXn9OAjukGwveD1VwPz025WIA +b0aDVyzwxTMbt7N/7v0GBy75E4B7PTukFbY4FevOxZCPKROKpXoSTqjOT5wBo3t5 +wha8KAeYOJ7MILyyyMWRdoi/Nx1/pHeV5XogcgNeM9GRfhk8p4Bwi/vmct4p0TK+ +KyudQbmvYjeF/m7nCnf6eW1oRgH+cnUnqlAcOuS6PIB+0ZXtMx8iHXjX5pyXAHNC +kfLPABxelNS4UnZMtkN9zszzdqUnBHX8ju7GyRk1gyKKEnwPIZiAT/foKStG5Lac +5kzytrZwn+aHBvN/0j3Uk8PFOY9fjVt9ssmPw7HNnOLSmnAtnVRrN7RkaXD2Y1JS +9VVWa120/URXtD3V1GpKfduwSyMuhQlbqlTJbN6+wMTAC0lJR5K1/gSxWupxVvpY +c+rnLpU6IXvdvOmYen1bsdvxkgDF55d1L+7lVuO63ZlbE2R8f0Xe7OXT6QPw4IC7 +b4dBa4fU9OyBOL9hrp3zyhlPbhxzBWgoF0l5ZLQfl1tAGVD6hxVZGmB/G5mOg7ic +fjQadwiVQfFEEWnOFxwKPGDxJ1W27LDGO4e/KxqQbrNi261vSTtRc3xUktaQg+Ko +jYt9rT5TskIuTymZ2lARhyeuJ02KRfTxGVeNVNVxWTw+FmYnOTBhADeyaz1k+PUl +p4H3+H3c6cN+PL6xvEZOMMOmaiTioEjNw6Lx1gv0frwMrWGDV21V0TdI/caDlD1v +T7X4j7M4v5o/QNiMhoLsFQLS6jLVMQx9BJIEilvuIwHcXQSBAyhsvucBdMCly2Kh +yJTi/CMC8B4ouPj392IwW5a2f+AdjPKKB8khFYCovlFd7sG+XZXHcEwEZSYl/Jx3 +CRmBgKV7Qp/ZNC9NJtOqwAQkKBnUpO0iv/58N6QuZ/1zjyZ+QNFNpGWEL7k43nMJ +EqTimI2nO52XLOZjrl0iRTxJBuyROrjE5hKOl4zMI3GE9y9gOzqGt9MMjaVgbl/x +gxa7YhrZpfoN3os+7vizxQrwDJuo5ufde28RJ4wRCBuDvq4CgA8DE/RauRnVfqqw +qDLeTysRvWYheWJ6OKQXn/rURBw2fxoK6HHikxTsJSMJWDJea07TQKnJM+j/i/jU +mKoihUySKZdsyYrd1uRfaBmSBTq6S3JBQ1sMJQ1tEHCREbocqcs0CMptDiuj4yjg +Ug9v28Jv5mXkPWMSrIWimJebKlpkUQMUsVfZWCSxFo/Ej+fJKrA6IPK1r15Q9k8+ +3FCrsvm2wKMRYKdfsrqiKSSUTzOeoC1JX0YhuHEn5nf8Orq+U8hhVvPp2C6Y0HZD +n7+4lzV2nDIEDOwe+cauVlquAPGPLt9cItpD45/rTaWZDbchORjTMKmgUP/3jxQ1 +YbTW3WvmEk3ltgPxX4DKsutS24Z/Sz22nQsokvyVvbwHsz6iqwYckUW7HmbXivqp +YnSqrHgery8O6blv8nehiW+MUKOsWlIVQPiTZeuOLaiFBnQPmlVKYbIZwvsbyiZk +oEtgWQKOiDMVQyfqFNlK9snA7i8F05RUFjrDxKFSgG+Ngp1RpwJZtJuYniqmcHvg +f36utOAnunmRStaQEoxKR8avyL2c/clYPBvrY9f/7Ftci2Cf+ZcMHLh2ivKvBFB/ +Oekw+XrK9bc4XfQOnP/vvEOastoIBEHsMsvqJg06w+/fni/2+Ahm6XBiJyMIVboj +J8v54h0LlihejZ6SYhXnVznWL4luMLzNdvA7RbdXR7qi82lb4ESbCLbfU60OQrt2 +00v41LSOnZLlxG17emSKdx5frsha9y97wcecLcrmJKzlV1/hfkqP3LKvnUVOUZRr +pV/jdgtY19h4E2gemdS5ftBMgdu9X+kuOibVFecvPESUOAyNpqyoqrRn3OVi0I7C +7ECrmg06xZycNpfxDRI8LScPJIYaHuHUoukVMZWEuO8x1PNG4scp1JsGKQkRouLn +sFtpeGmYhOAGXk91DEmb9+ocR8bRl3wNBGmfN/3vZDs/mD40YMVUJmcU1Xbufvnu +uudvKUaETfUo7YqLngoQyL7JkY7yngXHv4n9rOnXkIB2U4/3Di047A1l+KxTzGnG +Tf2N/mwCYp/rgROaMtUIziZMKaWQdPVYgniNWIhBmyPw476NvCTmpsCeirRHAZmq +Qsnl4BPBwBwyWPO8U7TeT+Ru9hDQR8SoTfEw9RAwdssFgN4qshl5A4tsYOtPOIDf +DjM4x8qsd2MdUwN5yMjZVMAU430EBcBmNkJTLgCnGnxeIFt7tAScm/M1f6MLvwMm +H9Vp2vY/gvopKqK7gmNLPQQFCD+GecYx/NmRVEd4wnptZd4TqaWmkyomZ8JgCYr3 +OkFs1FM6DV3eBnPmlUVDs+nFRZKGFtbzuXLnhN2MSb6fQrNYt4pnauKY4tnGesv6 +optRgSNMW0quXNOw8TWbEviBHi7x9iB+Xzqm4LyQitqs0VCFAfgU+25drXI7U90g +d9gXKTvobD/hRGlA8JYgtD2hbsRxX3vIrYp93x2F0eqOS2UrP3WNj82bJGtSZ5kL +8OTmTtJfT0Q0MxEIey3+KpHOGobfCc2LZezqqrmi/zZZ5+OdNMgbjbWainKoH0pv +5s/nGWoZsi7iKG2YvtnWGkwuf8nH+o7nCGfJ8YNbeZrAE3IH3J6y4cC7UYFCHb58 +puItbOhnuYmT+CWApK58X66ps4V5w5SAjvdLgDnKf/cN26eVnY1KSP1Ru8pE7EUz +R6ws0KyG7C/wnNNJH/dGktA+phatFKgNreTTVqDwQj56OcHPAX+NcSmrph/a1Khh +da0V0dKsKj2nLTshIGrq0VX/XIHzdeCVKlRS4Kwn+BrgWn70UhwJUN/BSTjYjA8Q +idDLg5wbO9UhTTWgGRWPNIYO2+slYcj5keOd7c7mB+sS/Hf81irG5NPenkQ4b8mK +aOJnySyD50GGJJPQ2R4HfRvLixrilQFH7DV0d/0ru9boMF4SvwikZflqA1K5CDOj +8g49ddXOePi9M6N/MkLfyU2RFhNjqD01mAX4+GSibVegCD/F4tn8EB2iwMfeMcbo +ETSulGEI7ieqE8+n50v++pazmD+vPlmqi3yg4yFH02xC7chYk9d5HxD5ecrLTgTA +AMq1opzNyKpn/LdP56es4Xz9UW55YS21SGYpf5lX0FHtBdhDGWQ9dP2gJ0q8aorg +37FeRGnxPgnyPMJbyEWJZ7FDwh99kO5AT7SNgXd6xz0cfAa7qIRG05Q+7GQup1Xt +rfNDm77TGmuTESJtxWjSTWVVQKSmGhGkQp48a5mUgQ/58a58t/vcoTyjQ0cKAGFr +qHbwImrlHKjI524mJCKZoA8uMAQzzyf6wLlz3jyJgLT8MQ/amq3plzgQRZ9xfqhv ++UeyKpMmYbkJdSfqli0KdWfOn36mQfjGR+bWSO1MBHdNKLEW7jxX7lhVLKuY/RTy +RYmvcjhNE5rrF/L+dXB3xHTauVmLJIMyViWitOezxzlrHFxP4sYgpY2EuhYRjewZ +H63/luCmne7R4zMycpU93kLBJNQNh6Ha9e2Ds/Z51rk8bfBHxE//LT+4Ny8FWphg +EAskQ3umi3ZdZgSRzvb2hE+13SD1chCFnFaPPefuUKmMzOZbutHvfDp1GbQpI0yu +CrI4G2cwMO+82Wj6B0VagQ63rYrGR0/H++dmXn1EUEWNg5mE6aw/JmxKi5kSOIEE +VUdNpB1wIbPpPg9XRWSPPA14oNrLpUYmQXI65syW1r9n3mXeZlWVVKFuyv08nbBV +BNXaAjHbFjSHj6r3/utTDnHj1T5Fl4dqomkAePdOlIJeMR+SK9Af0tft4D+hTzae +EGg08bYFqM1F8xcpGKv16lqmprGX9tQEaILHUjcJc1TBmTeSWDGXe99oKKvQ4Pgs +zsAEsHFzl3wK9fxQ/te+Dmu+r6sElrNZsXLLSa7cWSs2Olpy3TzwiW/6AUJdPIM7 +zFNkjcEekaf/dOedvBm1s1KAcXnUP3P+7jegAQX+Jt7VB+f7MryobEJ4MdtvGGV4 +Xx29ywpnG8dr+R4jgOT/pYpX25syQkg+rJjhCO2+OGz803uVWhGmXdSbD//3QGly +o0NBvAyOwlXMxFmaM/IQzY/VIcUGaI+D4OouNhcj9+/dUSlFXFdew9aMGuVe3/pQ +NSGfPTyQ/rk0PGJYXjLf8t+mY44bPWPu6NrPdVj0hjI= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..22240cbc9f16d013a29b1a9607b2afe16b218fe2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_h.sv @@ -0,0 +1,427 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +g1L02/U8Gzhfnen333ptQRgQIp512YMlN4LqKl25HhL1DJ0WhHU2RVLCxT/+Yep5 +eExu1ImMw60YgJZKUzx5UZ4mjTsi/wCvUA4Id2V8HgaEPbu0pc7eJauqcPHpDhSO +MKJaQmkikU4MdszY5erMEBr3Bsy2iOTRAvn6mfonwpQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 18768) +uDMjWzT/0fZ4ImTKIHK4BgFcdttTvxdCjNGSuepnsClWeBi3tPP2LoruiY7LC6jS +gPLUyuKsHe4TJDhnCFHgF+aI9caJu+u+rpsD7hF/KBiYnwp1ccW8yc4wlIST7BQ9 +fALkcypkAgc1SltP3qXhSny9U6vPSaDbwCJeM2YlrHMRzM7T9zEKY+gA+OhA0f1x +YJfiAdezXkYvc0ISJnxNdlLP/xGjZdYrJ34AEa+Z3g9/D88jk4lYd6NEqI4AvYYI +2MKujIS9B9OcEoWSKcBF/n+YPjLm9+BSQYSOm4xwKgEjSeW50M1eAJXI7fH768z1 +S57TVru/FSfBdAaGxrT47+GL1jDlCH0TRFuFQDtrEDMwUVJYqjDl7/S8F4rLgw6F +hz3QrgUgDXxBL8oOuEQmHi8wsIxGoCgHxl261hmUweQz2y2AR7xF5u6HiV0hgvSy +MFGph1idFLbrMlsu3mq3MYnhp1czTsg1VJR/z5G2H/zpM8bAv97Yen+qXXih4yk+ +fEnHTVoDmLNmBB4A0E/jM6z3biPlpuE2yOn+lT/r7oLaQ6sL2WYjX6g3N+Xetbz/ +bYNJC5WTaL35zo0+q5D6MnuiwR9a53jAGWIPp76a+f8x/h/WXk0sYSyTlWcoOroy +OVE0DggpvmZFPanW/DI0YfzDMd6HWZFwXLls0Hto6gOQl/Q6svxk5/LCy5Tf0gP4 +bWiXSO2BKFk3Vr8k0eisbpEG6x5BZ3anW+zCg927kb5k7OGWeQGdu/k3kxpDi+BY +n6BGCt9cQ5N+8YGdqK1gbDvGRsY8LTePagWdQ+FnE1uhfiWUOxVmdfKADGUvngvJ +TB0dp0O40ClUXsV5w80Z4L075Mm4ZUz/S+0ZI3THAYOC2wj1wtExz1KqDyaOCXo0 +XhrOViSrhm6GuX+ZtqEiMb0eo28VnOi6ObubZDi8hyjL3HSaJIBBiLs8dB1jFOf/ +U/jPq7w6I8pTFa5bNjEEyQBtTlZKhWcaqzOADMEmc3nT/ICyDynbPQcF8zNqrlDB +XSeVo27fkRt/M7ensrLngT4MaZQ3H++S5nAgw6IHDnJGsCOdtGKg5nUGjz+WnZYT +tz2laYqGk851lImK9s7f48vKDEgWxKMEPXTDn4Jd6LEO4/gA748XqGDKs2/XX+hO +jkeTuc2VkprbsHUgShQdQ4UEE6VUXpHMge8rkPq7H6xaAbO71/BVRzBvKrn5XRZr +STBSI6flL7esc4isT1YCN8XXLrN161Wzl5IsFZczje6FuwRlXfarRHxgWvr6Xy4H +D+7Yhxvl8l57C3TS9NJkmHKjC7SLA0ydOsWqoyKX1S6AFCY9pFgmDyy9uSnO7vtp +oo0/vFoZPbSUbPz9zkXPOddnLwxOspp1s9cDXxVgtaJFo7bi8Ruv35k4R0NnNKct +DGL5VDiMFKf+vv+LQqhSoTgjk8ADs4FqBAAACJmES4FkPnz47+DYNGxzHJfxeu3F +7Tv6rMCiR1y9X/a5nqL1lwrkkcL++bYtmkLNnn7eIXIwmiDXoAgpURNagCuE11tz +20JX7pAJqYRLmJit7YFpU5VIdTG6KGsGHREXAkEVhkGiplGU/8bqrXc4EBDJhUiv +d5MfUbAD6FoQ18Mjsdfn7hTngAi/kqk18H4eTT/RCwTjIGj1W+OSdcQ+QaKQ3XMk +gZyifsrC2BHinrrA0jH8QtPLIgspbAJIoh1IPOaCJqq9Dc4787DRX+Zge53qQlCC +PLqchDSzFNSMWL0vDlL6xM8GV3Ds3Gr5Y2jDIXQ25nHr7/Dzm6Q7kTlzT/oPPPEw +005H4maxkD0U8oZzq4mN4EDJKu/eURojQQ/GB5d8IPtcuHhXoZXQjfE8qAhM2Dht +uhWQle/nBlXlvgpp5b7JBOqQ2YFTbfIOwqHnBDDl90maEHQZliU+Gqdgerql9+Of +U/3uUcoWwqI+2zP/dr/SeeGUpHLbrormjn8F5/CzyMy21hvE2VQ3LUZElQ6bjGYH +Njey3e6oph2m2ytyIECQ5VR3vw26z05kZBiVQTta/cXOjcv+uearRlQ4uHk+G2pR +2j3F7ReiI8yVj4tVKXJU10dI5dT1YSuxLiMsuJFA7FsBQvDoTOQYBkTxbbsh0pJL +O30vp3GO1qZCziDVqMFAswC1kGWNJFosSYmX73QAYTFwmRz40IPTjoN3aPZbBv6n +dYnhdBkgVxFAFxtrTpERjJgspP6ME85IZeaMgsPmioYArQrQ/3cwYpjHMW5IngzM +f/ZiPupmcmexdV8mtpZYLSf68p2nvez6rULz5C+45SNImvgOZJC0ijOk6c8nBLpQ +ccOt4Alq3GwG6/h3x5pDKVHmqkQrJpw5GquxRKFP/8KWlwMRavIlSojOIElxh/LX +Zeo/uH5/3IkLF62oi8M1JETpK/HVogQGtBNISTJNTu4f/EXYiK93Ev9K8NhV8EI3 +s5jwg7IrtNUN4shW/M0OOF8jIzOsTefHgA0Kro4eqEHyg5Qrn1/JIITbmDkxV3FJ +0gIc5010cBGveTCufnfpRPabg+lIZ+Um9j3A9/jAjQl+rMvxoKM+yvL6O7cYkdCv +rrYDb0HmGkztAto5znm2v8MvWgv3bIozOnzgb9SQMBOa7+w7nUKpB0RBE4C2+hhS +Oppwh3aYqFQIMdS8MNaiO1RcSvkopo8fJpKp8FgNEkyftsDon8qq03o1gFRuZWfZ +AzxwCYHgrYBIIfDtt8ClLF7/thDGRxSQ19zHr64FFc2WKgUixtGfGMeouD0Wd4Rd +t8bCQo4oT8mCX41p3ypecKzYJ/btpRDYAp+1ey6gaXUuMrPpjL0ZAjDdhm9xRkPx +FFATkhMRUOxBlxdk2NbNcCa+y6qCopjKSvOvmCriBXSAotR4IXfk6IzTXn4+hPvG +Q+KLaAhir431uc+teg2jo/KH9FWDoBPXCumd+6yB2sfbuWHhNZAIKx1BkEy6Lzee +tDCksb699Z6ijws3HCK+zzFZaLUrEx65mbZDkBQIUm1VbmiIFo2FV3sWwhLXmvgR +msGhmyAd5s+5YanNeastinuKSUoLzYwfdGpWiFIpfpseCxfjVqIK9QcCHWeqXkUK +DApS+ZVKzDhViLmymecAlVIIGsa9FsSERa2jvC9fV5yNBtBga819ITrsoKzrY0m5 +8K8lrE9QzRhsIq2s2DvPOS7kK9iSPD/eLUSeYkwhdlMW+UKEw6DHHzweJKup+AYT +gsPpx8ynPNjz7oaDL7YKQd6pjakmQ+U4MOt2tQlKh3v7atkJYo0gBOyPlPy2CgR0 +B+NwPMajIGGPrd+tgwdhgh6piam89kx9XJvFOLG+oUElmSPAqczJOSSNR8UlfqQY +Yj5N4ipap9lyXTTxIvgDpd+DyJfZkW0ngg+dqfojzLfWc+3KUxE4uTV4OYj+oHEM +imQF9jdD9P7ALBGDSmgKQYISYcxOOmtnv5m4cNzNTex5WTnt3XLdmymwX9i5IGyg +5Aikys8iNKsQBZmSqAFS5KaW4reAZ0/TDxKLWewYLoY9b9BRg4Pbwj7ckzP2Klfg +ETJnxiXShjg6K/8XzwlUCAfIAA6PQmvkTtruSlgZEwypFFWo+vaCD1oWBHra8jGq +uuE6PMM5DXPe3c5zEFuo5YXEPR7PqFdXiNP1hEwKxk2jHRKN+7Uc06M5rX5Y4O3v +p10mOcGeGYb+iVd3nZWO6JTqtWW/ZlwYszkm+CeEEr/N/KQjIBbosnNDdg4UHlp4 +AzCmvzEwqFSZ+UfusaOaq8tVyPOcDRvUIwCxH8+1Y5Q2Arq4yg53JhPdxH8T3o7m +vIGjoF424VJInZ9eyYKpZzHGxjymMTiREirGh1JWR9Ew+gK4N/UrCT3e45sl42al +ax0oAQVhcHrEUSz535YO9kBefSguUSY8tfG0B/5uRQ/Fx6/GuW8nBkpE7g4Aqfvs +IORHTY3l0m3Vxy8cDTFvSa8pdnShGaLf9/HCBRKqNYzON0j3f+bpyQQKZmAZTAA1 +kM8rdR7wqXmtdeqweVqSZBZe1hEp/pzjub2z/7oTWn7DDPUV8Mwu4yrN5ZVM3+Op +7y+1zwD1HZjdXPu1+7yRVRuJPRwOgQoox1yGPnWqSuANroqZVH2XVB3P4+FlG52y +lDBmIOz4JJLUMdq3vgGq/skEnIxgQAtOXBTw40veyHZGVdJPkVUk2k8QSZXJGdrW +7nDw7/YAYvdqy9EVu0tVruANVi3L5MzBPGjVtgBLnB1sTyQKXwGgPvccWirCQfIp +eRl/E2BkxTHhcy8lveVu/dS9IQcl/p1SG/X5Xfn8rLUeQj8Uuaklb7qQXdP6Uutd +c8iRhQ6y/erUp1gAm+jenDkxj3FmuT4NAotiCGbZnuIf6lfil05M7qxkLaOie5Tm +n7gGdJIfbaSo1RZ90XGlypf8BHWubswr/W+pdHWIm4wugmdaDqf4hzWlpwwZ7Ebg +AgpDmQXlebNq/Rn8BEl5PSbUshqYHg4ZlXoPJktImKLIe6l4j4upUGOeeXIcTp7k +Xpo0RhxiV6FH6IMGNWp8hSN/fmZLN9Z6c2Fy2kemkEQ5VbacEiQXT9S+QFdkMLX4 +4Px+MzD+VPLuMKwSsZiZDRL8tWB0drTdp6FylJYPzEAkHUNkdHEfuwkwikF03hkL +HiMuuwi3VV6YYXz+iTagLgQ0qYWqoN+fL/QkXtGkFSs6m2bixo9GDwvQWEy5SOxs +IUcfFpIkTZMm4gBiwwytDDXNc5okoE0E2bcbYSICRzJWDpHJ1LH7gl15jJfAhvWv +V6I1UzvhIGh/IaU/gElCgz5/n//6WGClQ7lJvsdltdfLDG0ENd0qVW/YOst7QuJt +kcNyh4xtUVQUdx6ujRguLzoN7j54ycJeah8eyQoHQ8S5YvIT4ZmnvXZnv7X045au +v6AWgkgBcfiNqd/1N+CeehWcsUO4PLdUO93nnMkpHqGVr9mPbz09/K/AmjSZP2Jt +wk08/gGpumbel1xtS+vvvifZjl8h+XSMfu6S5ZXc36vpzOJa2AfjROGHzn2kxCR9 +T+JXNQcui10uYvjuPmzHgtwfLT7zekl9/oBcQfkfv7XqSi4bd8qBS4Q4bydK4WLK +W6TeOEcng3xunOv9nTjoCqKNvcStc7UdQEcIJ7uVk1ZFTKp25pC1fKb0YkPpgcnp +iSRYRgTrTWBwMKpIYkUfnO7d5GFAnnTiV/o37YocY4ZoUfSwC4Xt2/FWNTFvbBSj +NOyqm3L6o64IRzl6ybge4myImQgloe0lhJVSYzFuohHRyDMl71U6x3oZK1BHtzS7 +HztCCrH+3M+nXgmW1VqPeXMZ0sb5ICv/pW/Um/UMwtcKJSEjSczLVS+D1b1/Wdvk +0C0/13SAnXLUc16tYNDHqmHrLPV4au1zLERth3c18Yyn5WJLb0IFAEdTlZXSh7Oi +xdAgE0/M5qdyeCF/KKBAEEA9gIsvBFE3HQPT4QHv9jcOFeqYl/OOXyBMp4mKOsdR +aIUBfywiRrFxO/MpxWqlPHjXbsTVjv+Zynwz51itTYF5OiiqLPOW0nJLcQclybZe +1NqL+wJ18xlwBLbexsR3odP6xlmC0GP0OiJbCDYS5cqZOCOstW3hQm5SKekI3ndP +ui+jkFm6YH5Jj02VaNlIZP9Ef7OPd+xZ9PKvfRBl/NayLdHrKbSiokH2Ll6OT12B +Hy8bvgpeth+6zO1cvh9a9YSPszFTdBlRGQufT2J+nN4TtrjKQUr2PEJQqO6yg2Gl +BLPwrQyIJe067OUh6lfBKpQok/ZmJM6NsURwleD7q0bMnRSzw9uOv9ovVMderoZz +iOOFTRs8lO8AnxqtQtMt36PFtvsVJ3IM7ZYA7phODTk0o+V1JA0RKJKu455zfAU2 +6408Wh78OKv5kI4NfsTxy1sQ8BO8953BikjnHLN/nhd4ilfreSbl/FHAHzcpYGYC +MZG5x/emHv0krX6ZykyMhKWsKWuEG3JKRWBHKuPHoCLNtzk9TJbbC4iWQKy98IWg +wTJopOCxrT2JLllzT1qKYaVP/OWhGN1PG8liy6kVVZdm8H+2hLC21HzjTQ4ItJZ5 +/BQrKw7CGUUwFdZyBNX+hgy7/DWaVEi+2xjepOaxcAId26/o3RD9ifFOWAKunItm +SMkVJUsf6vP/TWaW2O8Z1F7YdfpgFvIITPANTtJVjpAEFMlzKV7+8s7C+2h8lJF8 +Gs2sTSMyXkSAGs2+EPpFGk9IUa88PUYRjjl7X0uFSO6sghKtXIBcJ2EJ+EmFCX0O +QWeJkoFfcTCzuOgnxBi3FJazCm2VpNfAWSRdRmq4SkCStK+Zc2PvD5Ev3oMNVKDj +JWA1NiS1Afaj76c3TWbpiqqOM49SW+hHbZbCrLuoeqOXCHyZjGsTwr2QmMjLDjMK +Z+iojFFdWoTuDDtEXb66uxgipZMNd+u0QhtdQvhAMUNNlFX6h5LpwSU4j/bbz6q+ +TBD+cNuWzj5tNd/XeLzlkgdmkR05zkcE/euQ8snCNqGQmHat2UwuqA0/3/cMkXSb +/LVqCJdwjf3hmZP+C89HbpdDb7/Id6TvuFTDJoE5y00e99oxLCDvQSLdUJAMSl1v +SUfYFHxfhEEASHPgVp5LMuLSpYX0Uraz8bWiyLi9rYfKDlBeSSWElPXuBZwR+FZO +PDKT6EW/DkZdELe2hY9m+WHRCwZ2+WN/EDISkP1IHf1xIcwPQh4z8Fs13Pzu/urO +Sv5EOWkio2MzV4XUrEu0SmnuDqTrvLJeIGD5HLtlvMOnLCAGZfMVqHownCBgN1rP +i8vnmF7xRO/Xx0dBdn7wHg9lyK77DZ/24Df+/XaeFqKb5qUeL5M8yJpYdMkXtW/s +QcoHLS9fRQymzYK2C0J+vyJdhAkG07bn1OENsS6CiVJCrMhLpnxnpDWOcaYs2QxU +g9QPxGkPHaMjHGB0CFQQAJZtyvlpFswJcRZP5ZMOTcTm4O/lnHmkkM3H8V9RtBoR +bXQCxy3b9K0J/EQ1fa+8Z0oVWjEuWztp+k7jBDFkGQoEJg5LkPXl0MpdFvuCxVnd +EIcRURoaeznLGHde3a1zRm+Gmr2vpN/pD00Skl6aKInrM8huTIEIkC7B/tieVpt0 +vjFIMiSWWxH944ZEBatrhgWTFxj0rAdivDHrIBm6hvLqvQfGMRLOUSQPNSYVMRiu +/bkwo35OCqJxPWw2yfUME+bNkZQMwZuP76j4Gd3QKFabR1Czjas8V5y9ZiYfiN+N +VvGanuw5r9opzZVRA3LLaXD0gFB++CvvAM2t/h94r+Yn8Qrh4zRM8BuP5BH64LvH +up0MMCSbFxnwur0i8VgdUjYnApROs3bpK1x1ztQuzXlj+0i0ch9frNDojDY5C6wr +V4BouMfSa0hkfbA8jyFbO6rGQ4ksGzmUjlRukf16bl3C/sLObkFzYHAZO2tfRRGK +6+rlB5AgykqSgV4p5p5fiuamgbDevTi/7mqTIlmll/c+dabx4/52P5fdKXignKFM +92M5FWd8n+rYLvLOGn77f3lDixUU/3iQS35U6peM6nnbGdzrBIuusbEDRHIXjGLb +CNtPl9V9rsUrvLmcqc3yK1oN6YRjlVVLBEgb2H587ZCQlFY+rBQvjjLLE6JSVVf+ +E4mjeh9MD0Jerms3XYHIoNjk6Jk0Y4tytzuf7Qk+3CxSHGaapd+40Yrux19IDPNY +UhWdlxoFJtuSFq41qE1yOTWvCmTkUvea+u3I+eHeboKNwMuQHDyJHVIgGxiUt3vm +zlDFTKNKvrSYAFRMqy9555Qk1RAb+NVi0FvS6eQrRp9ZatAOjuOt8Id89VtwVqyV +uqe0C+n15ZgB6ezu6ThWAecHrJ1+B6Cr7LRoGJ7+sYynuXIAAunrC1ti3UCQPna1 +IwiBwDEyuP8T97Fqxtoj2NyyUhWV5x7xCQbPR19+90L/q8yeA1SLCDcklfAH23Bu +SK2HjZC3AqoiWqAmz4Q69euU1zMINOQcmLKSF/XWC4jQ8yDuOGuBFsvS+ERlqrgE +GTluJaHeEc6RecAi1+9JStej/x1V9uzlQ1g2lcM/bG2MozQoZcssTlUfwUpviPy3 +iPAPazxg0eWYxyu9nOIdQXuFMg9V9Yhjb0d8KvMS58bqE8gpZSdzDPgQ4ATtXKWX +KqTMz+3k0mtUcgFr44SytuTYKhTCs+/EG1+0zJrVeSqw+1b7PeRYGWHppsLuu+H0 +8L7EZvSZuQcwe5aJ6gjc1EhRT2O7A8CSJw3nojUjVkfY8JtR1gbAcoC6qfogz+ca +U/CFA2OvSLBREVvD1ZHB4CAAngsygjeyZF5X+wmU1zJzdud+cbfRaMDW+o8t2cw5 +3OTL/4UsFL2M+DwBNb1OZQmMVrIaM01iIl2oLuvTzzwG91NAvFvXQ41sS1tITS26 +2O+Ai/6Cu/jG9p/yJ0Njz7wXWR0mdofIO90vntp30drREjyTfMay5vAF6avx/E4n +LNulvkL5ER/3TW0g8aSyiTuPZdE1ctgVQWi/gjUdLQf1UkibPLYGI+P/+OYoveZj +ZLpP/Uvk7zx6S2fu/q6rywSkeLGEDhZHpQo55su4t2atx4AE12OAjG6+1G9KkqmO +fssq3MRUIWDXYqXHNg7Fhw4lkVodkJvr92bUPk0pC//Oo4eAc+pROdK9jMsgbkmN +SakvaN4BMEa5sUCmQ0xBXZ2qmVyctRREqaBSSlE8BaH2eqpO94M5lKWAfoHY2THp +G7YH97bvhPsFN/h4lkiZNHUf2LikhAC2XdksMXo78MuPKFOY6m1HazZYE9qJleLY +TNxXhrGt4wL/7j9shtDNS+NXX5vXVWLBiYWLPxK8u0xq++w+1DBzualAiZ07nuls +exts8dk8cxGDbfPdglGLG4GqNKb/eYgKvfv3QqVl8gSM+0PegmD5H6UDxrggL6Sp +iBXvtVDcB9a/JEEhrLQ3Tjc897Zss3pqkCtBZMf2ss4/X1WE0p4AG5nDPceJhJQ+ +t+QwgeQffxMvR4i9/ToZpwtOJpEKuH1OmW3Rpu7i81NKHIj/pjja7y42JROTteHK +87A4l7Tr1S3DOEykfxnaRnSW90gGI5FEbiaJzovkjYkBdFdh90DHLl6bPE++swPe +RWnDKRqdIA0QVYm4BGa65yxoXpQRskdBAWBryPl1OKMaGOF2b18zn5+ZQKRmzcl9 +3DwuHGg6M8IzqnXQUZBQUNiEL4a1FvreT2jm6P39H1YMKlE6nGykka7WKQG9gvod +2l8G4EsAsrW5DUjbJnn/lkp3elmg+vIWpn7QzhkB/DcXoOcwtFYWrkGINpnlKU3t +kX+QHcc19ZZtYXCVgV3gBmW5Y5SAdPt0/6lBJa0zg47j6nr4ZGB65s8OSGaOl0qj +0qV8iTvhMrwXoa9JW68te8Iwystmxgyef8yVhDIjpLPIRUrXlf00TeOVGLixkWDk +IEO6x4u+iRuBJ5QN4eMCquDhUAIXOgDTU48iHAFLVF7gAqumLR8uXiTwL86JQQ8c +0qncc4+TQTH1YsHH7mrvSCQbDkuub5WnDE3hVuoobt/QgRTIQEjsO8L274pjG9Vh ++B4PFNejADW+Vt5tJyu3A6SFC/o29IgcdMiI1DPg83FpNixXHr6ZqAS6aa7Kc7FT +/SfafgG1UGSA4Cz2tPSXVUxABEEpRQTlkiCsQs3DeSrZN+8OZTNASiiqeP/lRSRl +QS7ecZoMUNV66zVqaUdoZppQVtnNba87294JUi4Uk7ni319RO9Ly0hLMfikhxqHj +LQ2PkLzSypxRrSO5w835uKgC1qXQg4476AVAT+26ee2a7/ax6nfjPVPoWHGF5C6K +L9EAcHjFZ1odYLTo92cIdLw4IxdpWWgsY1nWXyTlEmsoDqc3MF7426oSXQYCvt3G +TuoiYC7uo91PaxVgFrSnaufwVyG5AvGdkKfO2MSMpaeNdDJLkYXbZ8f8ipELYm2V +ffVjArEeFXvu8I6VLrtkEqJ7f5bVUkfMKwyegVWbKyYTHX6diCQwenVQ9Vvnp4ip +3002fiRShIdD7CF5vWP21i/UNsvCH9WElJVbU0VNPpW1QyDeI90xhNz78h8erj6+ +R/p7ebO1k6RujPPfcR6KuBAVu6SBiWuG1KYxhZIN9d4ek7noBHcg52LjWFxYTe5A +Tr4lZyi/a9WHiLwwjmLLcmbHJ443yZS5ECHYfS+AYdVDlUaZCZGzRUjvcE8qqlep +fk/uOXHXLqzyAUw8xMS4oKib4qZ4BUGQOjzI7e8DKjeZ97eqmlthzHTqnESL5c4/ +gMK/3jDqHMEE0RPKjEHlOT8lrHtMzHZ70slku+cDgD2gxgUIBgZxPoQ5Wv83wxlV +leabkzkZb3i9m1ThD/lLSqxPb2ZwKqxY+w73kG2YEulR7RrKLVIbCq3S09G8ZLu0 +BHCBIjaw286X7LgEVFZpVZikYbqZYcM55L/FqUo+vOY2PNg0MStSVjl2jCYKIXKH +EP1g8PAl5EzonYw6UJIE0Jyev459YSrUDDi5jc8TzT9sDlr1m6qQesNrB/kK//QG +S0AnJ51I2SaktY0+X9S7GrZ4V+OYrl0dn5gE03+OTPkpIhO9UIMuIIBCW+prezWj +IjKN3cU8vvMFrp9Rg/FQIpqUIrPkQHXiNeIsoSxBGmfBtnDeaiqnBRLOiqhN3ymj +ZYvA7+bXF1oW+tWQktnlOQng4wxo3od8SHb9RVpSFRlgYai+H8aqzwKATZKQ6tdD +LC/Jf6gzyXCf2/1uI+6Jcpkr3A2g3+2oQdWK89mLU5pQuDg4HcWdZo67okHWQDoG +80BlNlC0amnCbw7l+iLklw92uhhhs8gSUAwb6vxkcCotwz1mfONYr7y68SBgoqcy +Tyqn9ULLh9MlHzleEctdDsvVXFdCMlbefVp9UjiPir5Hcr15SPMV2Qz3E6KD5V7g +MOLcIiqXHr1ZHZkEMs9OydS9eZYKu7hrysTO23kKTBiXfe2WRWx6g61W/zXCg/pe +Zm45gysW1MtDBF9wzCdssmfWWKgCuwansNlYmTxn8lPP2hPhNne36kVfwWYE8BrA +pSrJOEDlefRnAWwn1SHDHQdpxOFxF8U5MhAMXKeiZ6Y/hNnM9/zERUlJVTXz+zvI +EC1AWfdTItflHc5DOrFsAogHSr6QWP2kUzvgior3G9dQrioxdQyFF5CMpbD+X6nD +WVx/OrIrZV1Gx9/Y5eau2qRg5pBK5oQspdjNdBLN5KZ+k/PfSLoV2Kyu6BFmqeXW +CdK0qCKw6Wsa7HneeQBbApJIfmuvQRWOnUwV1vD08mtGnXgwIrqEOu/A2uVoBeFD +klq3jffWVHhAJaia+ZwwcZtMaoWsXv398RhZmIfzt0FSo/12sMAm/euQFv2b78dy +WdcbQPYyer2OOz6iDVx3MZIi7X0NRSVycWJlC3LyPlT1nyK0YSZPkxYYeWllQ4sg +hJG6idKLtJQ+1Ki7T1XINbKWDbxC0XMUWbc1Enni4TtcPzq0gkqisAiF26rXpCpW +QeWwgzRXjKCtePgKAIX62AhcCYV/4P4z9S74Pg2pDn0Rlr9D5F1lDMp8/8azvu9m +TmyXa4f1Ti1q86gX1y60paALtKWjqSQfK0S4LdjPwVH7POyD1BnfZB8tkHSQzvI8 +69AzSTxucuxRdeRxnF6HpmGnaGXb0V3xLqG9wqFLLeaRzuA5mpoI927qWeaCb5w5 +Ae+nYhDjXRL1p3pToghB/LLbe8z++uDtU4dePf5AfsgLwxhL65lYRVlX2+u5x6Lw +FqVOnP48D/mPB+Pha/cvq6FzHfE+Z/XRLEim+BVSPFS2s+NSdClWG1ofxcAv6++t +lYMVsDnnNfmUcIjA9sqsYE5pqmzRDK8fUORvQuRuCS6Rw/I6dki8cDjjnov92YTp +8COFMmG9HPhtN6ZrgSOlN0fUuKUc/RCag4ZmjwjcfAXA3EpPIZTUHuWg/re6eS7c +0jc5Ndt+yvRx0q7T4XbSrtVrA04wRt9dh2y5dGh3Gz53TyXAuEcCH1VsnHmlb8eY +XuStihmMLXHPBSM1jnYwZW67Sfc8l13ZVQABA1MNCJgN+grl6Zt5eDZLNVAiCAGb +To6dQKp/xeK9iD/B1vH+ZdphZWvhlTv+sKLDdxpabf4S6wG8aqQKGoEPqmSEycp2 +/ZHIbWZjgKzxzznz9a/WjkALry30s81L6utnQt8buX4/naBKsvXADrEw2EPMxP9+ +vL93H4O2pCRZ0J7KOBN/30f9fdWfTIklAzh06iiUgdy0nfD4gRjxRJbKYDTB/eLr +3numA9tOp/suYOFyZ3hkQ6NdNtIE42n1CnV9KNcuRoh+cNfrkQy4VQ9lr8RzhGiC +rtnA5hf52AS5g5gPq9+N65TTN52d7DH7zhDvnJVhegDXWSeg2U5DUEU1o9k5pUnz +ZrsAOyDNOaPHUCTF6msDkTM1FyoNEPsSfbUBVlXFj1FgwHvpv/lUiAACyMEx/Cww +o6PnGbVov2uJS/+R5iTCa3ECTJiMV97JMjrEBC1sAB0a7BjKX87bWT9j2QzGG0Mm +Wdbw4bSNVoRnQZuA/KTlQ7vm4bgbuVAX2hD/D7685LD/spRBSMo/zkeFSZfK5L57 +j2+8lBYKGtWLLDTZ38p7VGwQGMFs+rtEcyunxtrYfM4zFiqQ9CEnTHfw5AfxUTLf +auzORxPVu3GguxEdfmF9uMMhLfees+f1OHlImptsefAsvcdyOdEHfZRKo+f5eCEz +wfp0bsXUQ/k1ucsx4+jgN0ct1Tl2z3GsyLuY3UpUg26BhsvHZGMX6F64FCyvcRA7 +AIVA/MskW0KVjsQ3gjXK+xp2iUGNTlLErt/A/rzYEOce7YAtQ71s0f2b4XMfhITP +XktL5f8pZ4/Ai2+wVsqSFo5Ah6PCchLVx/mgokKKYB62LYeGAjrLvgZnDT3SyIjT +ilPzdh1kfgkzvaMSYp+kN8jp3Ubj3fRIZVNrzfR3RZ5gwkVX+bpINjyptrexhpmm +X2k0HdNdww8d4XG/MdOWO5hLVn2idIntTA8jjd9pTaMknG0dB35YLvULw3Rn1gKf +A7tfHgBWzE+JHrBLlrFDJZ6mi8PTJSnbQxPYRxDJ5NZESchbLH2P8LY4iqYEJcpo +Nt529hhiunT7xAcxmpNkchC/x6nHSg5ARlHi163I6ic0byfLi3WDPdALSTj89EWS +h1kWgUx6ajKhsoz7EAsyWeuzYLMU8Lmk7Acs5cdfnuoRhAU0meLoXWsqriCsqHgE +rKmemkCsnrVZ4d/HoTGhP55ChGqERmXGa63qVMww3vC6NXFalQRWNiCL0d+twjY7 +vYsXjFo+gPVljavqm5arcNLOzQOeojkdVK7hGyVPEE+X0m4LyzR7bvBLLUbo30S+ +fUy4mWFoiM27TK6JXEPl8A0xQOfoDaC5DTq17TEPQrd1OKasjcwpkeU+mRgOED/M +2Z9IIhFzklok3XUG2fx9pu7QOnYyUElLVxLQFj6l1jGGnZZXLa/6aCzTcbGu3n9l +tNLktoebpU+J6V78Zhwx6mJeHshNMI4BAMbbwZfH0i0hG3jHzmXaSdvREfC5nhV7 +G8oBMDY/e+XOXbzt+d3it4TVmcndgmVkJyPyGFPSj1CIkubdnhPIYVmmZmhTu2t9 +lW9nxtP8gyYwcjvTMgfDsVV0E+9ec7NiW1Y9EJlhoT3QJxOkd0PxAsff8CU+pUd6 +mVID6fB3xQFSt1eAUX0etU5DUeD+mnepDRKRLSkeFd17v3cvCmTU/87PF6cdtEfl +KnGP0Whrp1XThRh+jpMnZharLe/1oLphJj4Vyud4YYPrmbyqH4x7t8CFhV5qyo+F +01rQyz4uDYOs/zTaHbR1VCRsujyyPOIJ2d8ILUZ4ldXjEqWRNt4i6A44jdfzmZBW +QzdptaT8Y0zPbobKM5e9Wjzcz+GPGVfwz+KAekMuUlb5FPGNm0Hwccfb9T4W/5J0 +g6yT+Up6HAw6Fgy7uZ0B3h/ewfAZE8ffuRf76afIAqeVmbl/iXvrjvHFY6sPdUWb +ar3T+McVPowh2v1nsqC8Fy9iA9LOTk6oieiTrmDHr5fei11XdqB9663po1SBOeHB +7e6DTtpDlue0WrbgnVlf9jqNiZkFqkKVLm6ALOC7Q/kI24VH4ua1veYXAaHvV46x +5YI5/G8r4n5JlXeui+2ZHup3Ay/fnOowtb9Z7VxEKb3pGf1j3Bu2ZRJUqDQhEYB/ +sCsbdqphOUgXRCxA5fHNcuBJFSth+HY/tl738Xp0ObBuHwXaA/yY3KhKyuTzWaEH +mw5Hz994stlHERw8DdCjFamz+O2jTl0Xo79Da1UcXkD5r9YegtbZjlpyYFOxh4JP +mAinyeI47cBr74vHLlKEHjQ1TbYwbuxKNlCdBmg/Ji5MwozzV+snsJHB/PDYZcZg +1kehFFWhyPt4JWcH3uP1osfvFc8fpDwBN3xBbU3gaIGfQX9alsu6zOUgVGk+Ve1w +3PiEwdXzj4N2IKApmSWtZQh38iE4yzMMjQa0n1SSBR369m/4fD66GAxgLAbLjyWF +grU0szokZMwtERzQ17XNQd24GTva2Z0jPdg3FJs13VJ98NZr00BEtUleDoSSTwik +hbjt7LCFtbbWYCyqYWDC36SOawo687lscHz2fHk5FZzo5f1f0T6vNMOAa1YQdTSX +jEmznV9u0xXALimPGhfRNbJb7bWKezlabGwB2xp/L1onk6xS6wfKmCqKI4yqnuoK +AbREWq3Dgo69KAFaCItM1zmnHF0zu3dAehF0rROqlHFazGI6iPbD0KaNt4PxTV8D +AGLQgCW8+6/EYZh5v5ApaXqqiP/YwgE3ylkY/32Sg+1Q3yGjgWYuC5lnqwMI6D8A +dPwZp5u5voUGT4mY5NrpHn+dKrvBrCT2GPHVdjEYKnDPsokzTtmQxGMt9tXyV6HD +BYkx0jSiHzQrLml0e6IT3ZOduxvf9xuHgOKe3UNyAM98dTheXqmrqwxWexwn88Ko +KOAn9Vwkpj7qUsbDyTyy04uOrtVopkiHEqa/7BnRJJynZHm93pBPiIt0gz/b6wtY +xJcGOWi59XJkJejIxMGdDpJdSb1Qg3Q2ZzGXVgdd4n2Xibc3zy4WO78smTGvBFsF +KxgqHIzN+jovBMcqNrxAhYgTT+RuikdPHuU89iOt8ZKi2xrsWRW0op892Wi5J5Bb +ODBf7x6Ng0fwYeWAJYFHw3Zpc1jY7MlsKR0PnZ8m+ciZ7STZj0brhBo2juozX6qX +Ftb4Pw6OHUrM8RWh+2ssjIHUeLpe1sKbY325w7BSIAoEptq37Gqr0+oKlIkuXSs+ +Zsw6y3yOUoubtAK6xl9SLyaYOeZOK7kt+jd2wvgpq5GxqDVRFmJseqiGxi6nekjN +BhT0NrqoXYVmNTrDqujv/P6gIfzdTGYCspQd6NCw/vDRaRitRMcjZnK4O+bjkjiJ +tVrXHdqCAI8hRw/lvViiuv34RZCKZAJFHgvtyjTVevgrK9MwUcGXWGUQYC0MREhn +QQzS9TJgQJxlIDFHqukqDbxuA+mUrykPd3fVtu1ARL//UYDWUk+HbLgST32ohptE +xmcSps7tEXusV1iw9TH5qXK5WDy0ksRqqQZtA/MjdU4gSwopagWYEGfY8jDRAS8i +CGbJgHKUZqHPqTl+UWQPrOl7xLPWsDnkQvHEOmDgop4xvDa0BUOIij5/42vF6ZbL +nOkV1JiQc+M8O0gwKwwTJ7BB9kRGKW3VUZ++LZm48nkS5vukFmf40bXHCGngRHq8 +r997ZcBZhb4I2sNeTG5hYsc0cRUwPHMGZz8eKqJMbv3YnMxu5abSiuKsuosttXOz +s0g4o9Ut/rrL1sbB5Xi9enQTcRU03lkg1JSFRKeXhpSJ+GZqFtpyAfuhTl8Ep3pb +i83wvx1H9JDo6UlvchUN+qJSx7pMenYisCLmfSvSZGDGZ61uyUeLMaQKReVmUqLW +GwjW0i9nSCZCnvEHm03wYwnzrAE5IrNVhTyxp3BmmXMvQvT9lUeX83JQB6gin3/7 +1R0yGB3moTKesIP075nwLAwUO6WhsbaWYOwvv/syFvpzK4KstaRYXVqyvS1d01Sq +vMO/dYQnXnEQdIIo1qAA5zeGVfufNrxQgXPTFFm8MAKbHr4jwXuJX33aHCVhcWb4 +BS/rJSLQMGabxzCGbU/9ZRc0WLfV2EmOEvIiZptkexSLTtOcqxftiFhby60F6V5x +ABoc3wJkhebjbXBjVnXO49DZW9agXfKuVsOOceBkKrQD38XqutWLn3CYdjo+Uagp +HsFSnaaMAWY+w7E1YFOhfkWUW6vfQu1eka/2dUAFJGfCMEZb89A7oUSJweWUZfeZ +sD447tyAw9ZJEYEtaU0NH5iTP0jEjdu0ycfNpmkZ6x0ueDmd4CKWlEScbaodimG5 +GIfAGSgUXBjrrgPgzgVTawJ+SR9YqkZPF7UVShX80+Ad1GJu0eUmGcsnshl9H1hD +NVqNNNmb6BRKfK3NkJdDSvoSvDFipvkxGja6tKvRlXqayMYgb0nb8uf/Fs7wxGlS +PUD7gTs+ab2Ime6D+luyNE/O0AsV4GMOM5ihWbHa1FKtHDGbOtg4gVY7G7U6szGD +EYupfb2Y2gC5hxK9hwU41kTwdDSZJ38Zgou20vaNFySle2dgaJTUZDTMXpbeAsmq +0fA9xMDL86d9q4HPkj/mMXIFVQNh4utk4y6iaBOY+dAnWq4CDgOgLR+9JnHt8fk8 +35QpVRi6NMEab7l3xzjpIXx2Uwt8Q6OOVqlcH8St/4UXc2uSOfriVQlRwj6oix8B +ZcB8k2TnGjO261KWa44M5tI2SYSIaVNA08kXC53Xrv2ktC4l687FKoYqxqezWPBM +Qr5WHNiMSn1sXSKXvU9ihn28TmLdX92MPmV1Rg9yJuIN192bjvsFOtNvF8J5hIWw +D9X0HO8AiMT0tx9bIlKZehhKNK7pH8V68v26oItpb2B4dcZKru9BK4jSQVzUcSOj +iiTIVlQHCUIxKgyHvAKmKTExA3m7cEbUT4O8tXgrEDJEkxXCWT+kho1eUM+8N1gx +epRqmvBjQHiU1yFMXoMUrg4tj9yNtrs+DDQOPDGZd7bRnNV4/pbCgaQXnz/r6T4R +BxlpuubBjWPp8V7JyCcK+vyMgNzBVufr3g97kZq03zhvYOVEG7JuiH1j4DjtQzP+ +yOju8/FGB5saPYTkSODE66Fut2O7yXFryfcRg70kd1gMsAv+G2gB5+AMqm8yaYyv +bCbf1lYiaiQ+5khrW9tpGVoFRZCaARBsX/Os3OMLF5OGdFcbjH6HwoOsaXm7IOrt +syCUBCPGMKv+zbKI+jTZr+lHTv7sj9AAkimVVYWcKRkztCZ1E06rCZTHY3tD4h5F +mmei0ZSXp2wHE8O30BVaGsYBRtloXnQwW0l64Y2X6HJEGx+IQg9Af0PQDxJ36iQd +6tpOJDrcQus4wHZpPEZGZ1s3tIeoVoIJ5coSqTEmvdapcyNag/b2704zyVfrVaJ+ +ElEeO0rdCZVAh2w37key7CYQzlzk68whLsj4NsNlpt1y5Dxh43fNeEECEeWhWFXF +qIzNJ7K7+uiooO4/1cgkI900cKd6qvc4PB4ABkZfSW4OXRy/eQkfwngZORpCbjFX +KaBBL+H8MJVOgjZe8b8crcdhUdoqe2s6yUGS2fpFYNZwhB6E8V0cCaI1iVLheo+p +dOg60rQqhC4OMBdqiTRZ/NHwPJI46gEgJ6TLXVn3426u9FJpCy9CAnHRjU1icKH/ +Fek6XwQpPnGka7rrGx7QLGPnOVbXJIBaxMHcQ/UvIJnzo5UpIq5lUYIOjtCB1MCR +f/rNWa80iiNtWdekdXZpxTJ4WUrBqMZU9cYseM2jusr66cBsMDIETvAg8lLasBPl +i6UqInD0j8sV6ZjwPxbxKrGLhqBUMOsCUOya+YF4r9NgOQs7J74BdohpAivOI6zf +i3qKUbpXTWTB+UEMcJrOh5yW+BdzCnyU2S92l1mA1O9glKwiQnfCyPU2BL6KHr2S +w4/hoF/gevnYqE79KZpij/pTOJ7QXlUTMHDC3+qJ2/obOvvtG05c79IHMfMF4khD +60Oy6APf34/8/Gk9MARHmfXEi2z+wO4ueP7SwXSyD55FEfd1ZKS5Y8jz77cWZZ2U +FinTZg6x2tbL//1xXnfwQD2Rk0sXghpPOtk9Vqpyk3KLQhyCRnLeNdPFS6fFpxuP +v3JbMjwoypKVtQIcTqdVZxXkgQTZc33b1yAKED003aACTH6XTXolSFoD4X6XKhWl +ju6vQp13mhQ7T4tpULLsHPJwfqvpv0ATxm7InO6daJEOWKu2pkBCHLp/o9frIai3 +nxIbbr4XVbi8G2U4jG6I5vVYh3Atl9P4uWaqeXlGcITBmEySI1XuGNDigP+cJqxn +3V0rYoU5LTNjlWwFEsARcuyauUooYKWp6detLw6GlO4Fv0bF+ozXAT3Yub9EqALf +yMSgdT9540qelsMSCu5i9By2AsxDtxDIM7LeiJg8oL7lWyOMRlbiYrbNg1+BFvgV +v0FFg8jstz0KxkCHJGhn2z87q0M7H1xrCBotjIxfScPqE+fiDNeqTTgZ8E8fI886 +WDUnyqRfkDszDeIhPLRSqlr5nqbUQ4xQhzs+/ZXHwacOxcAI2dPwN67dSIEbXQC1 +9Is/Wfu2IkEijmN+Xxss9i8PY4e8FDAXrfGBGKB6FLTGO/XNiM7ue7+6OR1mExC1 +rEzWqOufzUH9ih5SZ2xWbt8bt762shSjBzT4MuxveY7lsO91m6fOPksU2KMZs1GM +s7+Pe5zkQZTwai1amTIhhFpq8UzPd4/mfRrzgUm1Gjl5o9vWOqb57evKJuooaC+w +6q9nVdAGsuFdBz8XD7mMi9r7iTlDacfAxz25MkXuxEb+aN3TjN9BbHNwxIRVbnsK +X7GUnL/YzISuUakkm4jmZep6H7yLw7xo4CYPzR0hQZRCekxhcAjWVcbFgymBQCA+ +nqpW80VUKmha/mIiSW5AZeUtLWHmI5eGzkcIn6uSp2H7SNAlcXfvx6kDUm1ACIvy +5K7uJ6ZDbhS36t+Medbm+ziL8dRd8bBJEYlrSxHKnf/Cv6O8+2q184/npT3PywF3 +CbGmH2J/4PiEGOib0pXge0OdfGquIkAP5g7auNNn5OFVChPqtRZqpOIZCawpg1Zn +cGLlvz//FEKceMZOyUFO9KU+5vGBwoueUnStFm7nMVGD65dWYzq5TrwKvsNKmWNE +W+E41NTwNpb8L3/b+DfnFeNnYGKsarc0b7GnqxxjueVHdO6mq8LBNviU5nIaSrgl +PvsnHV/nXbjKmVlqgwTHP0tnsk47s6bJXU1ymAh6kFf+wmf+bvw6ySSAzr8SBQrI +JIjbV0FwUag342CpJD5XdVZ6HMZ248W15W8VyQCApwo+6xg1JDMBMQI21lwUwJ8o +gELaRlMechYMG7Meu7gLcIPd9W85ZosmB98S4hvHObNeolQl//UQJWfQh3kZwq8x +4GaZZ8OI1Qy7Ii0Dxr6rGKRTC8lRRCGp1lgqRMx8f2mOfLjdJ/J5omzTAXv+3Y1L +YES0FX5X6LialzHKfaw0+E5eiZUwrcJlpvD67FCVLK9ehC1+h7i9hzTu6OTVfva5 +bTv6ElHyeyMFp/zMrCcn0XEgFsN68LnIgSZIH+jao6HBARoQzKIo5I1ewi+/PX+D +KGqek/ZkLT+9Y3hCtz7BcGoDISLEJL0lx9Q+enwN8PI0Q3N6isTkWBTUcWV8vffM +x310ibk0BrUK+Zjh9zA4sNVFJr9L9rvLQZaKRTe+r8J/xU3S/fN5NVP590upVwdW +wazswhI3GOydp8GFHQYUbDC4MqKgPgGalw39i62TLgoucLbvz/I6Tk3p6WYHtROy +FMQMUamsrXkDGpzn8GXzhN+CqkEsRzCIWsonbdrtLhNwMZvudh6CEK66jRGbgIJb +Ec6bTsIAPjNrDdqskREhH4XlqYtPunQ1eJZmyYI2E/8H8r7tSqv7MjhbrTKmLWfY +UZw7VbG0y20S3wQ6lC7Iz4XIypb6PCsd9lBF3vbDcNjBwRo84Uh6eMYOyIbuGIgB +EQVzSONbtyO6r4+tuRS++eLqYnkGHPj/FPd2j5DqYBMqULZysxwoxRAFagVNaIBk +72RqGy4NKGWcIVtG7+xL5S8EsvsK3Wy5CQnEwcnxdxdTXQtwvAdmL1g/f/dbKasr +GIoBRlNP7Dkkglz0sLi79y8/Kn8dYKG99rDkBZJhY+7QZjBWnrHm//ndZ5Mlzgl8 +jincCwS2qO6bZvS7gA9lTvhuFsubku+QxaufN++9B2EscDq+g99OybDnki6vSDGy +nmJWwMBr9wdb3Ij+pLElbNVZ6qvJLzHSVL6G9JBE0BcN3Wnhpnk5M2PXwjLtgRpm +EKK4KH2fZoKvgYUwFLO/ZtWJoKq8SNrw0bFXhe4YlKi91B5eIXnFzPZGCBw9Nl8R +IHWn3GgOtdelK/wsL1zBDGU99g49VYvPqoLqPiC5ZK0RlWIoRwQ6+eh2vrZyQ33K +P0MAn4PdncYdqEn/BemSCPkPeTNA5bD7XYBowMSlw41RypLnhZHQ5dFi5dz6neeI +fz6210mwfs9EIj9E1Rz8Uz3ciSmqj4pX6IPKy0LcwhMqEcOnW51ZEYw3nIfiM7H+ +vVU/zUHd83qvKTCwUl8W3jai2w1OnFKMm7BYEe65/DaFHvIsDK4l4ytXftqLa4s0 +qhGUuo48hQRtuoNgoqWHKtDkkis6Sj41Rl/XK94xYF2sjDHQGILNIkCokF/mVetm +tCqaU0mjXIYOmMk7+upzpuRlQmUaW5BQxTEm5YJtGtxJ/oA3ofW/0HrIekeDEW2j +GQ3KSPGmOz3689L0dAbgmXkuKLTGEuiANoZSCSLHKvV9LI4ekb+rhjtQRJhShZu8 +K1IJLWwJBZeH4sVYPBYlqAlvKqxyv1kiSei+HtVdi6qU3yrH7UrGg21p6HzZvc7N +RbsOeEptZ3q2XNlnF6HnOr1ZYEwTe7eDw92UVg9/p6mxJXvTCl+R5XaQJrrt5+WI +KeiEmQrtfzGBrvaDd9aA9WmyCnLQ+7hVwMcsqEMaiLOyaVmsfOlm70tdJ0/WFdup +3DVlcC7yxpq1rJDmCc6lbndpWdY2GUHh0UmO1icjkbNleOY3HjUnFTTWJ/8/gP7t +Dw/4vo5U76pnVVVxmGRDVBEV6MtH1pJj/NQB4FMQ7WAhBqVvlStsYXAhCxgKvoK3 +ySf9sdL3znVSI3VLdPJSXMIvdfbhTRljUugRqpC4OVap2uuPg0HJ69mvvc1/jYzd +5OGmkSiqVnHWYSQwO8QMkzVYqPL29yq4Dgu6EwzyWoJErTKYEXwa3TBe+tfu+Hhe +VwpujqNcfHr+yA2OVAJQ6yvX2YDQWcLGhDdTvg1/QGWTH3U+5FZj2GLELLo89LGY +XlWE2gm8caKBbXogW0KiYzGCxUrhxGtgvwTz2LRDEcqXMIZXINX5OEAjYWIt2Znm +CzsQNbt/gu0ludddVlgh0FHvy+GbvFbZdQyaPf6VOGEVu8enrnKB+bPWQ0Q6cKJ8 +WJQn/bY7yyrf8KLg2gs98xH9jSBl9uWYwkPHmk+O0u9v0bvt62MULpzRoehNwBpl +ca8MZLs2vG5KrMoLQGUe/uy9podg11LAP0WZpQnlTbogEDaFM1eqVoSF4jBLAvcM +xMyLhCIG085+riGUJ4PfiNXk3v7LoIKVGMBSQ4JZI2TApo0hxHj0yOJLAA6Jcfn0 +bnyITv5R3Ny1t5U6kmM4k+BAgI6aLjNPKt0arkffFaa8UXQd9G5BPLAcC5WRWUYV +0twNnfvtWy2qB/X8JKlOeyueQw9diqKmjLYDbSeda4xwSLVgBcayxDSR5J7SJJys +wpompiEDaSPPNTQ+cUSt3OTHX8XndMnk27mv+SVEnuIsXhrjOk7oX8YKkImaOlWp +G0Ux4bxngQO0+x7/cf7QsSiPBZB6NJKj684aWu6sD/m4kLlPa1l+aw5FGuWYWGua +BA9JUeji9MdA7NljPWv3tLoZAKBH7TcCnaJ0A30OKHIUizLxT2fOGZcBGp5cuUXI +d4zILGt/Smil84ZRl4RXlxU6kIBXE2V5YtVrLcBJRaCnpQSwpLPLwaVdV5lUFq6b +RV+S643OTAWAx+7UOFAMgCsEROX0ZJCHs9taTUSRoUEmUNGtvGiEVy+kIs4fxeFh +RtrKGs0eOYTHJxD8KGG63ysdLke9SLJrI6eCNyGsuznKAlh536xEp8iiEbcTCRIF +Zxltyk6GtKEIZLiBzS9DLaGy7wKPF9OhsDlWh/dWymJ34J2HLTilHVk7vHw0smo0 +WJcJTH3vlCGBEwFA9vXzm09CI/kMtWdGG25FHf/v3ccqG4TiY2KSAcuXUD5T+3Jv +TnHQj4RgG6Rzm33A9R6HEgihZmVmvxx1fL2WY/og0E0j3BCOnENiF+G5rWQ05yZZ +nW8YIIOQLtVe30NKgVK5c69GqtCBamu4q8GcfY2OJtRjnTtetxAAPuTPr1PEw5Tf +GPu3/oQFfgfCdsJ9PWb07SZQtCl7ifkQ0uxFYtjYqYRz8tQJYtJdk0eG7kIY1FeW +2N4VQxDIYUBw0nK6ZbutJGhT3x/xS6rQacPBmLG8TUiUHz43u7mAYsqdprSAGgED +IAbRW04IF18/31Z9FP3dRweikAeFYDJxfuzl81ktmQkfupuJUeQdhEov1X6Kan2o +E+MK8gAsl77cqgRJdEpBKtbvZuRUtU8cuDk/WumliuUD3ahljpIKbV8ysKmbA+i3 +pBWHiDa4m66T3yZdySIvidilUwKz5T13dGdSGcPB9dVJH1+4Km4wvIalI3tzZEoc +k+uHJVdOgG04NRv4ks9qUe6Lmm5khtkJx7H8A2KXHzXzuPVUQiga41o+FTJpDRXW +iLjZLVLi5RhyIJ1aOHq+FFTsTuuj/6ONCtlxWGeswa8GwuQGIlGGRKLwCplkp6Nn +sevZBvzY6CnZZEHlp1A1gZi6rDMWhHb/T1ouRBrCuc3jKV87VOkK0elJbM/CbIw/ +WFRoMwnKDJa9IiyTw6dKHTEiuco6HcU1QdTy9I/nuwYTFzKsD3qnPfp2j+QhdNrP +Z2ycAFQuw3r5LEVuT8ETNQknXajTsOKjNq/l7CYYLi3vBFPWVdUnpPqlIe9Sq3tT +8HhcNVnpdobnzyLnFqywnRHvxtXrb5TVq/Wofdgnlz2gy319pBqG+0li50n1Ll7h +XKfVrcDCPira8pTg/S2hG1GpXaoQIWZzaKsi9ePMrtqeVHopuwHN8UCnHuhUT3Ww +wxoCn5WXXkRPwOI4fjDQMdpYD0r+gp0Fb3JYbqmdG5RCj/w2sMwiaw14iWWWS65v +IO6g50Vzx06y1VJPz1wvqZDwwnCwDkCjJ6g7TpJHtye9neneeHgFEaK3q4FteffC +3YhMSs5zigTHTZYhwe4Gly/05h/DMjkC4uPaLykN3riVBWOtq0hyHOEXXPRr8an2 +YvNx9rnDrtAJdjPhs+4onNPaheOpOVwIYbhAWZ/P8mvHcsws/HbYqBNDmv/NyzwF +XdUldS1W7mdBlv9OEkI818N4ZCUYb6l3aGH9tU/WSTWLbeKCz+VCXZcTs/9O1Leq +kXXPWr+ALFQsO8qdDpp+4ttnZJCdpDFejVGzOQ4LljeidwiZSvNbM368CBL7EY5i +iFG8gDvhpUBFCsC7620959wlaxG9kghJJKE8ASaSuaRbj4/InaNv7Fq6atCadtBp +oQlLTm16flsMelLAfx2UPXQ9KtjVyGSil97VQF/n4virvQgMzoQuNVpBeXvJakhv +tYEbpgUwKJDi8xJyd4M5iqY2wcDAYxdQmavjO+SL28VDGIdkoTzkwUUQleEV0nZJ +QylSR7YTP/51JojbQFc/iiiHUDtO2KeilMhsDEwVjEu5wg9cfehUMjqiS2f2LsVP +g57r93Jyq/5xUSVceKFIYvsJO38yBRF0X+W/c4nHJ5tKCLehWbrC9X0/wk0GUajS +NwZrjMp6FuLJLrwx3ETHmiV6tS8jSmNMumqHmsCWUDBfgFd6M3kWxKeV25t7YfqD +CHyalvVl1qxa1YNY6LXeKfc1mNz/UOJt6O/W0skN4JE3uLscXZW1DHlpvnFvXvK9 +AcoUjsL8swHOaYsXkI+R9K5aUo3SAsUSmFkprYfQic7oQ/33GkL+UJ/8NHazm163 +7CwsIjxlBBS/BuYH/YI6XM5iVDpNZESJS8krWgWtKFj80kBXprl0Iecfc5Q+SzuS +vo/VnxEi9WWonzOMgmbtA3PZLnQLFfKjeiO1z5/Bo8mLoEi30QIrTXlo2EVss5iI +m86cqaU8oYdGbZBJCpCdHggJJ2BudcBeL+tuhODVmXKLBoevI+zkcDGp6NHRNQjt +OQOVfB6oHrnUINhZ144KWzZHrycyTeAYzHsGPtmAIW7+iSOwANvXiBuKIKq1+3tH +DKYFYOtfd0q6C8LvbLAd+UbMlaWdxbtuIJ44cmgiXmySZwp5gyaqTDb2MdKzCjKb +MdBa1x3MM3qc6tT1wizc9HajsNs4Z3zQzdbGggqshRZUjDcAdpRmXJcjwJIZ93Zb +8PO0dORAmj/0AyNfgi/crKFJ1GQuY9OEKNxsL7G2dhSq4WDFTR3Ih6saeBtfEIDb +nE2lqrJLXXSWlFqrKpEnPS1wa7Th4l05CKbDhPNG0tUtKvzORcq77d/kvL20v7GN +WMERcplwwHqAQhZrLpZ+xNFrmTL3mmUjqHunt45UQURcKGzGerWOcOxAYaFR74JR +LMM0LtjgUJyZbzNLIutbyZIdFWOVhe/diguGviwKjsJdUV8uOhBXFyP8HNJ6BQdD +92q4H/rZvchV2BWP+3bR6reboybsLA7iGSeMBQE6Zdelf9zeFgLeXpTWeuND3Ae9 +4Bren6cF0SPxkrWL+rhZlv++vlrbevgjrIZtqkK46KzNMP0LPTd44gE1HCgA5YUh +qc7bjobTU8XXGbxDT0K2aPyf6LJt/26f5lq4TElHTJPkMGgqscoKZpAMXXR67lgY +y78ucaqAOOdHa42JpbX7BGwtXrXiKEG7w6nc8XiAqHdSc8buwXhVUUfLHFCQRlZS +5hRrOIA/BMi9sOLLtzR4/j5/VcogX+iZ1NCLLRmde6ClgcOg4+uC+FGhP/b9eONu +mJ/fB0i5fMvz4NVk2Mr8wu2Z47vu+QE6ybpnwf47jT3cphd+a5ucVHSXVhZ5zp5x +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation.sv new file mode 100644 index 0000000000000000000000000000000000000000..0e1898bbb251fd03e589fde44d76c3ff4645985e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation.sv @@ -0,0 +1,214 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +NneEg4OpPb5zjP8l6OXBY/ieAUCtLHiV7xtuh6wGIsgYV+MeWtAgf/tEL8skhGjC +MhoUuByae9Yohh8ZEHnOdY2GjJn7UBbC+RO7QNaPMqkjf6GKVz34GtPIwsK2Qmup +5nuKnqCTGpjN+5PVieEUmPvS87LfDdwKoO5+cqWzTWQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 8528) +DgKzRryr4NLBJXFhikBpLdA7pgWe+YuUcRXMu9acB7IXCWj7tkwyA8QuETMNONJk +mOQDdMd1N0HDur6IAb8qWwXwU89WHoejS/9U7BwSybUJTpucU8/8UqDfCPE9NgMf +4exyrROuFZ6lDI8y6jFPGe5OyQ2O5qZbOmlHMo9b2sKyIxltcg1I0nslQK42G3c3 +Z09wNKauZgK+DmdVenrhZxnKj3wWsmzlkHy0q2zXvbaQS6WyMJxPfJWPH+aKlu8F +HKLecpLJTFw2N5eqZxKwtOKGLkno1rgq/5gs6YEt2mxY7qfPI7oqyVRhYRuh4V2r +F4XzxmvnMYTy14TQ5O3HsDcSCR/uYsBkRti0P1/qrx6sa6wla60sLhrNH81P8/IF +dhCMvX3Zc3mg+j6T3PXaxCTlCM/FziVeTuL7811PWooRL5qKvnbRh/dJ8IcZgGiE +cKRlgORb+8Hpa9ZbbrbAroWlDXw8iuYa794kSGlAgbqUaegBYlGeoa+Jgix2Kazf +JQUuU4Mmk52VyxqMVVtfsyC0HqakI58/tW1TfK64KxcZSQU149OE/66rAsVhh3Zg +sBiaqLUwm8Px1jkrmaTIl/NJTBCEOUtO1lgExoQ98gHTRbih+rrGo6SzG1a3hO+o +nQOZSxOXkdmFUqpg5h3+9fplNz2DlUzCRWj8JsuvK9vyM2/uMblD3KIZZWio/ugD +buAs2ZB/Toqbte8QvET0lED9327xSEhcIQ2cgX4QVHZDXoxyNam1XCRA8zIXqES4 +KiKqfqkLOFxwQT4S1aEjuHitNtnTjA/LmtYQO4TEiyZW+QZ+Xfd5aqIPYtzEf1PZ +/z36DCSU63G2EzT32Fr5WAvvL65XKOKRTt8bWGTxjUNhivjlTBhRAvA/80DF5jop +KWpCrlRlcil4MhtvxJzjRERwdJSSYE+O1LX2y72khdpaAJafiAK5oOF1g2yXgZ/r +V/qO2BuFCmr9zy3Aa4PiTxiv/EeziSRi7XFITwT55w+BPc/Mr6TwRJVf6fRIGqA3 +HssoPeecMNHMKiEqjfDLeV9yxnlb/wWvHqBoFdMSRX9ByyH5tB5rUU2LxCaM5un2 +0sJvUp+/ao7aszPjG/VwnnfaI14aHXF9iI53PHmi4D0j0QfSA4mFEUmPB+pTvxud +s4DqC4OF8Rf2k7JIH6c00gHLG8BXSpqmOZpZOXfXyS3ey73w/jNHqxhEMCIJJUjj +W/jqoAYzCjyKon0ehedxUzwruF/jgl8NT4dH8SF3GU2bJJBUUWuS11e+8qh0mehE +MjCI0abefdCBdTql4RsLCCsY4rxG6mlGm/xuiBUHMOTOIS3WeyaapUgP5otsQ2vR +cOFiqXbYCUwGEdxb/A7wqAOJvntpWjHRQHVlUZ2s16ipuPSpudBw07HtVN4qlpcF +5Dr0grK4ENcBzcIkSL1nB8tuOV7RaLMIpgQdVmlq7aSw5V7dgrA166rLp8KhvG+l +kV80WXuQRlVMIeaYUHhNApIVxOw3Lt+Fvbl9K1nIaOGTut3+Fxb5ZhCl0kkQTFyw +9hPfhC2XWFwpTFu+2s1X8m9y20xracIn3334BWLvAaKh5367ddJK99LQLAb4B5No +nmFSq2erKl5RJBxp/Z2y9i0Du6v2Z7XkIa0cN+Ou/3on/9iZJi92ee2qdCuK5AHu ++rviGg0JAuVLLCdsYUX9PR2l/IP4d5Mumw0OPBPwHkEOwZxFES8iLbAfE2nKFWvS +ru895GZkWQCPzA8yetx05YgHwtX+z8A0Bk9GarG74kAOt2y0qaIBqMLEpBi3/JOM +8nvCJyOqb3Fn5Z0AiJgCxsRdQkcq8SjyQufxAkZ+e09I5Aewmn8yApI2huUJj2JN +ndchNliVQtYRsGoxPqAZQpz4ui9mc76aQn066SUO/3Q2L1o4jDOg38QTv6jatSyU +jNaJT7F38Z//db8nVL7XfvXTwuBsS0i0gon4OT0R+0oOpln8If3cUuu0TsbwbLGM +KlCIQ63KrUsQCruyZ7m8GEhQIaaCHEmgkQ1BJS1zIAMqTErx337S3GgKMunOGi+K +JbCyJhpr/w8qNTeMTIJJq2jAKdicYKqx2Zfooufuyy53TnnVdahj5TmegqXLLg0L +vfSAX9sf0iU3KN94EkWH89c+0q/1KqrOZrbmdKRYsU5VwHKUeelNXhOCkKBzAJ4D ++tXIE3thxWoGiSTzJLdvYpfXI0gsMlEVXGD2/lXxhW9j7uDWFzyXzJ//3wUa8DV/ +Cn0xPbMHQkDDOigyNGIMVvlEexnnkcOKRx8g46k8c/uQcphwrGeFTcHcPQUBRBCO +3q/2zFLVQZVxjd/pYbre1A3kGwBZLB23ib2OkIzwFHZ7QQ+k/GvscDfXzh4Q3bJr +ijZ1sK3cYJoOgzy3iK3Zhe2pgvnMVae5rnRfO9CdiDUg0HePEWniyxMNsolxpqPL +/kO2gnjK/kzu158khUfapqphXESBulQZr8CPvKtiXkPaSrW1C9pLzNnOTsfD46cW +d8EWFTwGo9pZRvybIkxr44XMNU2j9mjsV+t0/pnh79xW79an1sDFiLuw3sVFm2xz +/iwqDSONP21JjFNRwC4+xfcIIhvetpHM4TUM57oocUgRND/miyXe0XUvTqkIHvrU +l3B8Wh0NrxgcXySL7CoVB8EL8LoVlHxy5eIRIqOKK1hgOdtbG9W7AyA/iXfFAZdK +hPx2tEe7Ort4Rc+aN0hfkVlZ86CheckvMLFeRPzyDO9tZWYDfjeWBiKHbC4HOaiz +9/ntH9PbN9t9jX8p737d7+JgkxmN8Kn/SEayDzM/ZVHteWbNDOsZZ1nMb4L6Jg1z +BeOzoRxsLpDACouZyg+OZsAxlcfb4JmaSehRbgErhpJFKypWpIZXRyJnsxLuLeI7 +M7eTnuNpDdVtgNRrgtkXoO/IlLWPTIYB0NGJFwBgH0V48o3sFfRjyauNnd8YrL0q +fnbcS+nxLE8JLV6htYPD1WFgmYyMd0NnRg58SuIwXgZohSIOfMLSXW4z6JnwknZ6 +jc4IeTfiZwGwU9KVlmZqye38omFuO7WPnlA6MpCXrEVLH8na23PadbCZxFLYu2P+ +vx58YZsDPtoV1Zsh8Dx6fB74jr6rpQvgCoVZTCSIleyJtU9rNDtOaIKTYZq7r37K +34sbaUCOMObEpssHxHVatwMKa1BiENnBBfsUkuhEsJTOgHSrg/PzIHn9KQQRZrDW +b8FrGWMLqCkWxogat+wM+R39/GGoLkCGjVnOJkDu9yNX5eQIFKTCKLLp3Z2A9fhV +119rAC8FxvWK/Rh4B/d3GazblGuEgz7oqtCXUb7kcFUoJ89WJ4Ig12hoXhE9as6d +UHouuCRYDWuqMDwwEJXVGB0ZaDJRV0YZ2bmWYKzCZ15DkUUB0ZybhFydZzftpIbq +FC1B64QOrN9pADsLgdX8SSGS9rU7UKeO7Dj2c1CdHdu1KfDZQ/CpPmnt/3nC1QrR +LwN9t6EMPe/vfBKIFZjhbFxngtuDJdi/UNqCta7Y19Qb/XrG8LoURNCVpq5z1t0k +8ry7MRpaPbHGz208xp22oDfRyXitXWw9gBideFZGm8wFqBxum4kIET4tjcFXjV7A +ccEnar2Z7vTy3VyUMcIBRldrSD+bPfsfdPSOKCWP47FqtkFG9BUCS7lrpxakCO1m +Xa/3YtJdKlBbn9JKwrGUYjcquaqbeyoTw+aBSEkail/dt4ZQIzvDOYEXnxd/FZui +Xo+U5u1LA9OhHrclTn4xEesRVWPXXRQMjCXRyRZ/DPMs45j4yIShr1Kl/ChSMYwN +X9vhSuMyhYsxVDQAmdq3MZraEC6LKfTHSzIHmnh86M9RUZk1FFwxWbN4ejejXunV +7mv8berWdifCpMvj6dNsY/wpTIpDJbnr2MT3Cq1v5qfp9TCAfHNWBTS7sC5l7cGw +2q0tSdw4FMk6LyOprpcGlE9uEXRkF21yJWAKG6eW4ylqoSXvl++XJm5PLsGe9T+B +Mv8Vr5Hz+qiehLVX9DRZif0EEdioOqcdL/hfIDEfCf98XE7ZHQNMhhMxMYHA0O2s +NX73qKdYnG11JXSfWG8zti9xYa6eczI9lXVZMkBYpyN8chjTXboi91QoYbivHwqz +qFnlbWWYWk4R9/93v6Sndhgm71deY1xtyFiM2G3rmxjuIgNM7HlMoxSjSEYb8Jqz +IQf+MT/JXV+0QenheBr1nNIe0cSSDIdAxWnhD/MIt/OX0KQ908FWanImc47Vgeq4 +as5YsvzH7Q5v4KMwB0LRdVev1eYzpKzraUC4QMLaehr5V9J0Uze3lj3D75K+nYtN +2mA5/g1qO3bvCqXxkxLL+TgQB307MwDNGnYo9CTnNmHqXGzbmIdoAQKZIYOGSHXQ +qpsXaYzCwdHpjjmJT8bWe9EvcjppkojEs6Q3doZRGoM1CwXNmSdr59NxbyjFDwtb +x2APtrxBxJCeWLQPMcJobXMJtBLWe1MMsIsJimD2iHWeO1gZuZTcUtbEG++aeYz3 +5BXIXFlNGbOUHDldu0hrLpAowkLfEfjmxsKYGSqREesJl6rDotgiKkX6AFRe0Tsp +BhPnXtPGqTAjxprRbPbca+7+Wmd6ULodg6BrHiyM7Nf/A0dPi2f3LthaS/drlwoX +0KKwWD8ax3rUFZCz0m5BWpWx3Mj43OvJ0t4pf5xnz2JRMVB1nq2rWN7KU9PuKzg4 +g9RDpoqm9D/ag+WCF3W7FqRYcf8sX7IUGGvB6wiRDVRhF0Wv9wlGoGEGXBu7sUtl +RKsk0u4tgjq5qR6jIe29OkJ2F74Sge8MXv+Av6Ca5NIZtIy8HiAMvJiMQfiLz8/c +WXRVn/n8vhIbxPKqhbUFObWM/cpBE5SImHIqPjGmcZ9qfv+D1/Q7aGzffCI5x3Zn +Q+W8TVNVQL903mgTHgLGvR8ig2gPK1ubrrk9faLbCdavbvbAapg9bNQuxxfdRX10 +swNsbi5a6vw9aTnJ3IKUlgXiwLIqA0h+U6qvmF0AHTQehnGtYYXl3PcWLLS/nlLd +MmfYCLkueGbBUR53ZzifaIMZHZLYbZxbV2mKUQrbvdxBAsKEiF9AGZO8iDkSU6La +zTb9JZSo7Rlug9PL3eZO8xSWum8S2RMWMRyZS1P17mdXE4Vi6W63nSEyrTv1LOrr +KR/Gj1eBQ3zgzHIjb8DGlmYOD0bCGUfepdrox9leqJMaNd3Je/T7v+3Is41SBHCM +InU+/NGJmo4UKDr7YG00GgKxuf5N+p4zYkf5XcSS7rkqADpLE5CsTRHS9MJsMRMk +//BLDH5/jBqacj/gz9bjHZiFGLZ7adT8zayZ+rpFQp97NfFof9TJxTS+v/T7LM1s +liis+rxjThCV716HrBzRkJCVASF2j6I04OHxNDOdf+qRUP7Bf/s1VnBNH50/NUGm +bTR0v3maiqGYcWnhwW7m8yFK9VPeMfHQ36UzUlkIh8ZXadKgDq4H5jINd/Jjd9EW +ZIOboClAONh9yXe51FTNhH6w6oU+A1I6WR4bu9Ar1O+nCkApVrsmo1NngzshRpj7 +KXWahR/sz2yghjX5VXsxzg1KNypaSDCA+MFXGjRlAGsm4qj81tZ59EexsRbfy7vi +vtMqgBVCYvBhEMNBEonpldvapkwNMVz87CyMhyw0/yy7tdG11gD+pzDxx8TOeB56 +oIV0vLhrpUJuC/LhzwkC/sSDHkpFKtSgzra092BG8om65+3p65qw2H0GMxs2s9NO +36EFzEirYgH51B/IlNkMXnuCHOm643M+j6+Mv7hdwgGMrQm0TFeduIPFJFHNIdVT +AZBntsl6+/oAhDPDAFaL8xnaP3y/XbsgMahtDVnCJ0Bg2Ei3mo655Cgp6SeOq4W8 +lAtmmCdJdkr4LikAjotDTlKFS8UU+rgiGcREj+C88vT62qLXzKwOcYj+88tINI5b +GdcVtqKKBECoGSc1sIFSKFMqmJ1FUtLS6TB10vrKdGc8fN7ANKpFsc0zCs+jSXxo +0RlfmqkmYytteeVuboZBzmxMENzLTLVhhdo8ji3HwrL62DfKBYS9GQb0niMBxCDl +v2QfhQH++LV6Lzkd3zl97C8W5WiPIrQYmX1wPBfmWxMzkF6CTyKYnKUOn9u8sIA2 +cAQNHaCLZbLQ7serd+Jr/8McqhMpqsQBwhU9MdCKM3e1KxoTB8BWhRKqEPya8Gkh +cXnLhhFeUL8J6dnPXjR+L0rthMw1nqEcKxKzxcGIfzNGgnT8mnOIFNzYGsqiwG0K +hC/I4NVe9Wz2MTzcqwWzjP0SbKLeSuEGeg4CDvbpccrOcrjZNK9ZhtP6OALj/ypR +gk+ItBhjxabLsXHTlNvX7OQ86QbOHHJdnHlo4iiWOae0ujoOT4TyYPxq+w0b5Hze +UOgYoXXuzHaQVDi9mfa0z/Yr2AMZvhaTndt2pM54drSvveqqloUTK0XHLIZS5QT2 +gawLCLrM0E9b7/3F4shQv5rWyp5a7b8aGjmDAVoaYL+QkDIE4qejR2Lr96QTfXsm +1yKz9dpXPnXnb271owE2hgrBuAVJrpQK9JHqY1aM0cKrandGGQo/0xGdTDr7r65H ++4woSJ4qk9AfJAxPOJO9Hmm3L72Ub78mjaZ8KL7gs/mj1o8G8hetSkI9F6G8s6jG +oZwfevZMys5KmL4xeMo0CwCagrE27HpNU344ogstaQMfthQLlDm/7OITMEVhxKCe +qqUQWHRoZbEpTvjjnim5+08TEJLtqksSJTB1pzOlYv3+t2AncGTOpUQGbvhbbq6G +QAKOwsipt/NKA5A+Vnw9JZ3BzT+Mf9dgDCi58wKJZ5e4YgSzuzCmHScRbm7YEk4j +UVunPj9rsj8c5wXlD6QE6KkC5aphKxNcomYncjmr9OAs25Lyb+kpBZSRnKCejioB +923LWxDzVSRfMtODSUctxsVWGvdLFlOKpN9NQl6uTsnGrTYI1Aqp2kiqdTUQzpKA +ChHO5Y0xPAlpa3CZLr0HcpNniYsDVgBdcuVFXSlueWvDfDwXXfu8m38uIoyPY/zE +juPY0X9SAsese9BpFHGscUhWIii4lCqceFXvc/VMSJiCO8CnFtepVc/DCBJfKIsF +fhOxt6qR72g3olAwYX9gJgze4T3Gznpt5ymcGu+0+TKhfR4IhoLDZtEv5cKNfYzH +dTbjbKM0Zb//osuZm2G55+v8axCd76GZh1DUFUb/5HrKq1mgqkEQ7LBEub5p0fQ2 +VC2RtH1HOzF6G7b3pYIfp5Vghdbz9gg257KKD90O9gfu8O70B1LlGjlXINATPLgK ++c9y0JfcXZ+Dco/hlZ/DGrbJHCGKVnEQVvsnakQCbAuSoZvPZIzE0u6LLy/j5w+f +BD2T4JocauJyLIkUfVmE6zf1t4tgXDpnaJodPlO26utRkWjFyiTGzNDuJ0DOp7ll +nXYciegqKjyvFZxSQZ/C4zvJZepGDrPiTUacGhUXxUjTyfQL3U7S8ZPcKggAjscL +M0VDkuEF4FYtOhBfPKFQ97h17oLhQ/YrsxVGPovv5c98HKkkHabDAdvfP/A1MYX2 +mmXKOr31oxbFSSwQBPV0O8McNBJNlYzbbiV8BZv59TGznwop58VGm9P60c69/LFA +9mNvSdUHozzhW1HD+U3JE/wcAElkad4HfSWKlLPfGcGfec1lnPQYUOFXZJFc0FkN +kdz4KqP1PFS+gdfELXGfG3sTZWApOLi0LfQjHVG+78wkeiWdrTBHFkrfv9pUX1dD +P4tKbqJU2DfzE45EOgcDMeGvYduonZcI9QrCR/jaGZCunX3+/GsCmPBaUWIgPdyj +NT5+hLu+d6OUSBg13tsXsxYtPjjLM3og8hQFhH1DI+mAixpujORBP71v41JJnlbX +5JvmdN6aObBTP+7hfE50APAACCMbah6qr7IaZPRb+FDRiR/losX93x43RIz0u926 +lZxKOD5evuZibgvEng9clf0PdjUJoz9hh/rrUZOd2gdbLULS8DGzYLiIyUZ+s6VQ +rK0ljDpbHaD5zHY3EyAg39hLgMZij3O274+70yaI3ldVt9FffTGfgephHVVhatDq +aetpi0xYISvfofF3+0rFiPo3gZtJjvCTjLMGNgZmTv5smGBKtWGWBkmdK1V0BQv0 +9KEzKcbDiD3sjeiV2TddcuuUH4hKTEbhNLnGmCeh+fPoJp4brtp1lDyJ+WBNKAYl +b/TdQ4YB7y5o8aCb2zMACe23n8v8KVkLMGT1zTIDFeq5iFZkjwPYRWOZgEIeK5BU +UNijf219pEBtQbHOmNMC3ryGT2MVnX3VgDGIxZWklF1VBAaFLusLu3C7lKnzZvkc +OajPeWSWiqGzge7GbNAQtQYD73ADBR+qE2FoTTttGXCDsgJsuToo7gMRpP7fAhJo +rFiMMkxNEmO+TqsuDDDl02rVuebpPAiVX6x8ReDRSWy0Ei1naYjyNGHUXKLajFtN +IrCL+WZt7+Fs9A1XcDh1fTeRz6vTQ6Rp3A9X0FG12HYlnTieCv0mTaMUrtYGGhIX +EiS3vVTnagEYmRfqiyLWRoBTbtGBL7imDNAkJhYyRHMT9O7mrCDR79qdHHn+1dwN +aJ/1oRd2eO2NFr5Sg4QU4ITPKIWnJ8ZMwJFo3sSgufwgMhlpDSz7paVRIXlzuFpt +41XYq/pXRy84xJMa3unDgEjq8ljOtPTvOZJ3x4OY491jB0pK/MFr/raGqSZFJCLc +NgKtFIRS05h0918qc6fEBXsDwdxwdWDoxnnrprMWiDzCW0St4uuJBfB3ETekAN3y +hlfKAqAzySWkXG1Hjl2I7vL2oXcsjimn6/M8VH29JkzWhIQEpuxpLLR6oeTQQjnY +T5d4cetYfamZgsine5uiOn4X/peBSfLjl39K5lNpfdCGjrU68uIAqR0ajhrz5bJW +zGfKfdfsp6h9GJZVYXIqCqtGtdsebC5DGKOM8CqNZZRo5XD4S/xs/+Xg/dyiZK8O +EZdr2asA9gaOQJHOG1hfeTxQMPmO3An59e060SVYMIWhdkoMded8bEiWT5LTBL9S +EVhCRB96CgrASffqFIZMG8WwqWzFIwN69eRzf84qJS8476Ol5VbXNetqjhwnRe2g +LXZOF1XHSXgVaHa0zi1CDuL+dYctIJWY53yRyPGXETxb1tjZCE/TOzAve14i3xdj +6npD916Q5+reFpzrg//TAO3sgdQEMJHvuKfpWtoCtluq5zI6QzvzcsoKZhOMLWJv +BHXPgBzDRhW4U1V6rdVklU81AJALrRrnzfdhD1DHsTb+8+i0o8jZW2hBgA7SDnsD +LFIvsclxkHgA3U5waZl0daPnQdXJbIRYW/wNAivGKoGnjM2OoCf4rAd1xkYPfC0I +8DabFCiZqjG9JUDMKn0o0gZ74hXTeyY/nGhFAgbfBfhTf3LeeNEszLVvXx9/Xdfa +2rpmq7cvjcuI+RYi0HgF1v2mxd7RF7qIga3n2QcMoFRSqa12G83mAztI8uJn1qUr ++OkFNu9xJBqp4sUMLwZoxfufYpscLUujV8/Q7JedmbQM66T1xvzzZK+ypg325G9+ +x3Pa50ZseNZrfDWZXQTOkTQ4iUVuQmesz7C1w7FZSHSDQKDZCzY+U6Pq1L/ys+/t +UgL75lR3FMnzKp14ooNgQmrKQZhT14OSuTGOk+OAhCeGij/1KsaGKTuEtspsPQ9c +gDpwDr0A6jDf04iz3VwG87yZXTeGr8Edl1tXQ7HW+VH4n1K2cYIQWVW0i5bmCLmm +lMVO9SSZYj74YA/guXbR+5uoBdKyQklOnxmIaxgZczT021nBJAEE2nq3NSl7B8Uv +o0YhsgIcwHz95ga0q51/niAkp1IFdTGz+Z0fi4tJIM/5s1ZegYBcK28ewdYjivzF +3aiOzIAn85wHDIRsXlZ5BXfhuXQqu5OCl8LOVfhLWpevmT+M8E16Y6uk6mQvtz6e ++RMRAX4En217S1v4LmdbpP5hk6JU9lqdHQQ3f9ESWu2tTUKwz7MiMEb/6nscCQjX ++wzJ5gbucKr+PI72SWuYyqNHN5+Ek6bGKa/1DMLFlpoHW4yOLY5NTeNfEZEYobg3 +bk8oA8hQpELISDEBkAzmdPDzEda/A1pbI8k6LMq3iGi2Dv9nE81AmzPyk/r73So8 +xMVVIdXJsrNZtjpCHzT839LeyuJG9BH1XRSVQGyN/h0TiXsYJ0btu7GZ9kOgczFe +x0V8aHxl2WJuv+zyWNF3USBQZkCF2RmghMSS6q2YbVi+oPFCUEZYTlF0Datd5Mac ++xDoVATAJTIEsOmM6wltJWe66B9k/sYayV8dOvq9Sl4muzrVmwX6Zsw21zxa0qh7 +flKh7ohdZnf7LJgZLjkCNyUlHiK+kHeNZKscvsYQ4vnpKI/FDkHAPDT6WwRJgaWF +z/wQ3C0kOdstCINgxgQnZq1vhe3Q2C7N1LS/qKlwUMfwOQhGFEeej0cSegvmNSOP +CvTsJMpxtz6zye9ExxKhLNE/YpR0svx/lf0vKGY05DhTOY3zRIpK9QO5o/hHkdL4 +CBrNw+edjfE2AcIwtmWpBWcue39q7AkuGIT0Z2Xn2pwnmvbemACOlHA+q7FCTksX +hj0d3Rj+7HmYp6HNvzJUdQYvraxw+apnXTDyiL6hl+EH239Oy/EPFDzxv+Rk3B1h +G5BfYzcIomgGfVUzTCFCHQTJW1AfZrjNWBQpkcn9tMVJDmlsurhCmvOrTYEqeAqG +uuN5gNPQjtx9CVgGiPBxzcKlhNRk9YRJjh9YqmYVCzDYPmhqjpOruSoXV1OqjCEG +C44oXAf5KFhvf5YSS5MQVGbvgz2tBQNgi8nygKq92fDcA8c3Qy6VOcBsxnuJnf5z +L2GbMahd6YthlY3GIB9qmo1lJ4DkYKIz6vfATDVdhwJmTWQx/D6rICARR780cmY5 +RTSvkBEW1rj1OiAQ23sMMXKF3D5Bbsiv1NQJQNLJSChKnDRGzNnI3iH/eyK6r0t7 +Dce2Ikn5/WFrhfd0CHj6PnorKXimALM2s2bThBLQXn7UGnBLEmSAhec90B7RtNbs +5xhLPh/7GKB8bH8ty9Deqo2JQ3JWVBJfFfu2IwKd2jrPd6vue/87mqqKlBGVcUK+ +GetOuj/wnV07acCxpOeohbTHrAPy+PF9DwcZSSGb5WoXhPlX60460NgYIWCbQ+dQ +v9f6uP4/Ecj1aReItO6Xz0GTpthi14vR5MF1j4L8ZMVjZck4Jm/h6wlGZtiFE+e/ +NlFk7Tup+zPylB31YKAB3GCpL2qy4vFo2khuhFyyDBPOmhOzGMxcn5BIaFRkXnGT +VU91yGYvlNUAA65KnFwoFWBT/EVX8HX5GHnuysAhViG7a6Qnpb6ODMAY1nURKpdp +nxD/bBLP23mPeY08Kopw/xNcHikxP9DCyDLsDD3OqFKiUEiS83arJbax2Uq5CiET +tClSSjNKRkyGJGLwb0cdEKwZBXsKopwaWGcZhUXuwCE= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v new file mode 100644 index 0000000000000000000000000000000000000000..fe29ab815570c89edf71a3e5a9616e4f34bcda21 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v @@ -0,0 +1,452 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +qBAmjSHv+Z8doKXkNImVODAxNeYTM+a7w66DtnaCQTXuuM6sXh994D+W4yA/JJGO +85svWCcc/zTNfQVhYna0zA0wdjvFkb4Lz//spYWmdd23zbV6DbZdIiXsusfD8/XS +UtPR1CVP+bkBEbqvzYnxl3AGhMnK+ekzfkLGHuxbEWg= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 19968) +9917NGGxV+fRbWe1uvxabcOXHkv5MX9J+W8DN6ZxxvAk6zHi+vToBgc0LjNfyGe3 +3MyLPSBuTPlx3CLrY+24YWs5eZDk+RygQDEOfs4HuB0IZg+zTWjY1Fe718UX20D5 +wYmCegOELjiK+ouoKzLg11gtpaqnHYISU6jBWTKpGzFHxsATfkQb2o5EBk1kxRGf +nSuGplA3akWN9faMrEbSZRvfftOhCuZAM9+FWghQZr4GBIoYp98xhFrV/Bvh+kEU +X5dNBZcjNBVCnsrVVxsrqRRXvCK/Sup8wnrd4PqkgIws5B55shR/Fs83Bx7VKpkh +GNAyahh478ne9/lnffKcq71EzQJO7PIdR+PxHHTRyT5iKV5AcZQlZcEaKwc9cHm2 +6hFS+2SFERwKa2gOv88czQn//jmBVYQ9R2WnMOw0WGCMTJx+77tjIZsRV+q2gu/i +pdPGJShyhhQy861aCaYKWLZ+/h6GCEl1FvfoQwjJagnQEzybvqWKp0jnHBu9o+hf +66Mj4+HqxHb65zDfyz7MvL6qhRVNQVYyd5o5U5sxymHI6+9bxuiho7p5IhXYwmFq +n2n/NMf7MgOhGVTwpyJ96qQBtLUF47BpDzlUd5BseezjYRiEFl+FcLeTpEX1xRwk +HjWaFuI7wASC97FGi4toXYNqbSmPFgp3/idaRUIsnjPHuzN4PfkD6xSIQFsrradR +ZyfYkslJ5g6tDTLjOWTlOX6/nlLybhLZYmofTUnueapm1A/BIUMLkZLAn0PsIt84 +iHh4y4DDeDFwywte7LQYJwrfKu86Yl1lanHe5nSVE9zmYnuoK3P/Cm5XiXJZpYiC +05iKDRkkOqHttRTjYUcJlq+KCjiF+BBCtvZp8rKedmjiEw+WY7bheWDOKpbs5hIA +NX+X4YGcDpnZcECLMz2C5UFs2hJcG8B7BxSx2sHNGpBtnaJMECsFdxvcNjUQlpIP +/7J0P974zoUzpT+cP3kFULoXsmFPJVY8De7i/Z5ZbFoAs7Ti/CM3RkdgIQkVuJDb +yRTBWGhLaYw0bHqYdcqke7MwwQaDNSw9y2WaN5PeAfvl0QalO4mmF/+ZzRQXi0LK +d/VL3Gmip27Rt7XEb+s7Db2hdkMsRY5I7YNu5MMTYNDWYo4wuI21oXK5m7twVk9h +qfSxzbEFBUCZOmP8udG3I3oclOfpOrm6qhZtuLb+aH4KgKJeMQ8nXJGgL/cr8Gqd +VjLqF/OY+x18h+BVL9UF2MS6lfZzSNi+JI7vwnUs2uHXAl6KICfIXWvOI8p6w3jH +ed3LF/sGy0ITNHRzFwjovBBZ0prTBC9SivEXNnce6sXvTYnnrAZSrqw1QUx5t6hG +0J19VO+YYYmZbePAN2i7QR/0q/2wmrCohIxP5Wr2szM7/xk3rxKDxNjGXKv867DT +PdgHNIOg+LRSLMS1U2QKY4Z9JIcWI4LtoAHOg5Z4ZTvh15tpoWfl9eh8phVfAcAs +mm91uGKHX0O4sVaeyTP9DltVfF2XaYv/nJNF9b4rdP47+JcmxSqoZrOw0j3bbu0b +LoCeT0bfeSAcV/2AborPnQbjSmbKQcBc9GDCuTdfLTdpDEfie2ozxwDkWEHk35Ft +vWSScZ8tj8hgU7SNmnD2y7v74tiJg0Nnnd8LNC/mez8Z/Xef0u5J+Aau7+aEeDNs +BIO0Vs4CIp1RIgpaOCZu//ilOTO60i6pyeARmk7sABQKE6WZKYeYOZ0jdS5MycTb +P7Klu7sNr58m2p9aa6CuZJFYcyTEfsDmlNQ1ETqO+EVhZF8g/IlI7zhEoe/ZVb7s +nIh3LohUT0TibvgqHYS4YdneOsJ0hBi5BKKGQzkrsGr8X5/gstXMVUaWtfmWCeM+ +cpP8XI4ZrONk79jaCaLPDuHjo9hisB2yOdktHtV4WCjyMfM/m+S/Z/UQ6o4ZFLEd +m/5ec/wld4mHA3u7PK3Md4UaFkDJzozHlUeENboy3W5aw6fmFC3zEKTggohZ+hdI +BlbCjxCgFRH8O7NUbo2CDdwLJWMh4gp3vUGphKdY832AUyYRn2zuWZmX1Np/dZOG +XS04SMqPqdqm+bIjM8A6AJg9d35/DEpTrHmYz9cBc3X/JTqOzyUkJyv+TFIdd5dK +qSgYB/tcCudgzcxXRFWnqpRnylNtKp+C6ScN2kfT+nPQZZ1491K0I9d8zlB2dWnU +bDScJTXwzYMUMjoK5ER5v/N6mB+YWJxp9gF9LxeWY5Ce/rOTT7Iwrh/Om7PLSefd +dKVZZvqqGu+1FuY2TnOx5K0377QOAXsn3lhBUh+V48YciYUOBrE7CTUPoi4ufIyY +9VgtNvvWW+/DXumULdiClxnE+I/RfqxrNZvK6hV71EYFJzj2+MviGInr1V/tmLRL +sgntFLLL2JUSrAvi5Vo+iM9ipiE8Cefat5fjV5n6CZVLFox5rE3Qwi9wkoDi3uEv +chQgXx6AQsf2YGWINqYKcQws6r6FLqqqywXvafQGoTFAjgej+sKl64MCNeQoZ4S+ +pdjvMQcWvSswydPESb2psnLLqGbxPfS+L49wgzvbsE86UcvfaS5KA5BDbnyC/5CA +WyyAc1hkMvwbd0Xf+ovHAjH0eV86pl7P7/uO9ltqaPyJRL20j+tgo8MC6l8HxJRm +7MRcyWrhOeZ7v/+LrR/cfBOvaaCLaCq94FoXaeWRh2leL83hVnq97rlsjLfdQA4D +Vvlw6GXP/t59Fa6n6MszWT+s+Qs29sgyjTP+qmX220YCgi3Wxh5lABNxCLfw8fPW +dHRtLABhGPmsVYw7aspqimLwOlxALXCsgrwe0GjCJ1yD7qh0HYqo9Bh+/mlVVOT8 +ehuqf2Lrs6h94ZW4F0h1XLsO2qSdk5ZI4c6Ay9WccTeyJFGnpENRgbzaHoepSG7E +v8RL/1WoUV75ivAI2l7gQ3oDBscmN2O2VIqz5z0pTXJwn8fWO4Z9jrXCe+1ACVWf +8FVrU9TGf8rGvGVFllYSHNkDUWIiq2cwZg1hXKfqBYtN1z2Vb5nfUXbkxXYYejBI +aDphm2pZ5vKitF0CmkaFN15KA1we1IKhEfqd7IORU1GO9jBku9N6+VCqKcWL7Jp/ +T0lpZr3iWkHDY6zsbWjHn3QMEqCvMUcIL0sS08txnVmmuw57LBdGYsuTR5tnmcFI +Q1dJEptmx83vCAuQqkT5HMAkAyombJq8gCLjVPUH90b5T3y2jxfzTUzAP0lE1gBJ +JS1VJO3Nau9LlUK31iZ9UrlCOSav4pGYglxK+9kRPHPEFdncLUr4LK9OMOeLMmbg +iMHYs1r6e7tEKAiuY0ZhKfzyC7uySM4hbnMVp7B4ysaK90D5rZnMZrrzhGstCWNG +wn1UI/ymVW1ao9ipUvoc9pUjOmeeZmsIo9o64wH02os6XAAgfVIhL9BhQPYGXRca +Ov7UI9UDLjzyQJ8w0rVR9QP3E7vzNFyZvI5MwRlItCcK8TCA5rzdG5szTooZhag5 +s+iUypszYhsaO8Huwp4PnjnQDmF3Y5UE+ym7X0kbeTNbq2dQ39+bXhxPfMsiZqdp +Je7MybrcQF8+cYc2L8o57HE8XIUEoI1XaDDIxMDJ60QO8ljZTIaRMvH6665g9jRf +qhcBcuRIVMF0GGdL3+zG49zPUVoFIa/kzTkTGv9ZNhxDX+nqq2HgkSPfYEozisS5 +DrB4rVt1xX8vK0NIZ3JvitSPOBn9avwI7G8ydrZoPS9xRloZTePZG7InOmRHXgz7 +mieZNiPFUihAN3+rEQ/8LLI7K2ghfeGnOmCFym9SWnmsCadJaegKnjq/Ii3Ha9D4 +ZEF9MNEl+OrTO4LZb1+u7GBfybWJfBgqWig9ospv6g4wUHDrtNwCxXd1bTkZcA2Q +tRtqdmysxOmAAtzPmSaTULG0Y8PZni+3010K2tQESr/9TOwMvEVVGJkAJSbLXokT +issYnAfAQzAveKgT9PHhC9UWcqfEVWE0vhWgM0UPFZfLHK5I3cjpnUgQBgZj2w2G +e6O4ZGrCMx7Ib1NgKacFf6xZRroMMhOksU59dEKFkPZVhPcH3UhFvkgF2uhEP92W +7OPn5FzVIOME//YbWSobqNMcbOXrDgV75crEY28tEjObjJSZT4zuuRyGO18TOUqG +dW+r89r/IugRFT5hbCKUM8ocvgxwhMGvjek+M021YbhJWK61z7BrS3nuRyDzGNnn +pTEgsk1a0R02VaYD9u7BOchXiPRtgG+/mIoZYuJP2A10Nfv4aXwhDITzZKt9D3nN +SF/EkO1S+p35ujojZKCvrLMRDDnP/WoKwb2nZjZ2UGkEEnAAdUOZ3T12Uwms4QzS +wxl3iEcPGOQzUv8ZDRS/noTd6CPFQs4Dg/yN7Y2dAdkghfVsVQIiAT7sBxP68RKT +4eWhbBVrarUEeHzyoluudQZ6JHU4+7lEwUPGAF7xYNMn1T2YCEhNG28AkbOhINUc +eGvvJJ8j26LsqCQDJAOWD0kI40vumc4GN+mzG9p4Aq2CjpJxvjTjsRgQHQt71dfh +DdKujDpb3oSwvZTUme21cu1qr7tGOEahrkwQ1b0pjGQ8WRWDc6SJq3OBM/SVzCV+ +YCu9bwPKOUO/0Tyj51Ifq0JnfD6TzqP1wBqJ+yb47wkEd/zmr9wvCTFh51ZK/AP8 +PoBUKC0YFFUl7F9XLjSpdAf+cEEHIHCKqtkiIS3Iswwy3HApOM0E1QmI+Pj9qnny +QD8c4O/QFBSI1EiPNp2867ndEGPntPXkb41eTSlLow1EJwo7v7mPmNW5qFWNL9zP +OA1X8RxJAG5VGgl3CvJbRmOxaPffORgavtos3Yt2zINhkY37iyrH6A99IFKKPhAc ++b1EkKJGxPmzo6rkukJE7uP0529ttHcmsBc4DDgKlLlvrUDzinNspZglilYUY72l +WFEz+Tnpwop0STSnk4z5XH4b+YqIPCklMpqAMGXfoFOJz7Bi0cwKWcuuOpuS+9E5 +0X62avteHyeU7AcTJHpUHTMtEntiuRvpYHF8y9CWN1MIMGmitpAXKWAdlL5ACuIR +5DZl7bA7cXk0t+cz8kCthNZ1UvgFUgnvXLGVC0iROckWAtLobnAAsF6WXNsBTyk4 +Qu2PXzesvtYW4Re1HxQANIU8Yusfy/9M+sF9xTsj7pmz8An9hZNWUMSV2bHUeyE+ +f4im014wji90e1RknFiCmsuAhzDKK3o/aV8nudYRxB8rHmNQIQGvax2jEJsu7jjv +zq3FpQPiFNb7bn20GwmhICYbWht6Go46PQkcXhIeb/c8P65B9k1oXB+uN5dBTZST +6/ldzHglceo01goWt7M1vPMYY4Zppui4uLlpHg/7WHIaxYJcTxvVIVkcy5WWXEmq +O+vC5rbSpRoe+nVQBsdqSEC1YeDvnRvrWfTtiZA35RppxSzJuLCgx7eeY1fcDNrA +r+iPEBKnn9iVJipTOvCpUwSTeLW2dUZxsAm4lQw+U2AMocJhuaaRo0baeOhUJga0 +8j5xJpJ7gX6xn90GgvxgHsV8byFSIXxBYF7P05JhcuOY9TdxIuMF2a0DhozgiMXN +rHr0N432js8K00WodRdUwgX5EekUSEZ5974hIY928l1TfE2t9Cirh+ZknYh+cG0W +WaojTCRlC0IewwIBTlwJtkmxAL3lEeYaPdrgicVVOc8azL/sg5Of97HUrJbkyRfl +jIgeS8+DxNCe/8zRTMfrlQiB1z0MGJRPU67y7u70SBoZ5JDB/IsEHrX8bUpg0+B4 +ClTwDyhlAC6c3bSQJWl9iFpjdnmKHMzfa7ofY7OtBER2dzZrLhDfooiDCpWy5EI1 +hl9co/kB4uuZxrBdFpfC0ycxMNI3BmfRKT5Iq8cl0NIUaM+WEF7Lo42vWqt+rTOO +9jr1xBqq/5MN/qXMWlId3XzzbQtz2MvvY7CI2r1qiFTsmwWOHU9IAoSxDRSfvjaA +3klFY2SW1JWaVPThdDWoaA8xYWNymc4LBf7GSc4XX68vPt1XONPU2WTRearuB7B/ +VG8914KauvNa/8AbPlH91sXPkug7w/1l4M43oyGnTI0JhAxzNTgr/suU80ILpT1A +SWUqRMdJGrBt/zu8FOMJ6YzpcNJKivfgLwO2tOl+/Y6qsIA8548MwWr4ZDfLjSYR +1X6vfzrKXs5++YzbvyEBcgyyYIfiTDtsAEFFKBnPwIAl4eauFRZ6aoX+Kuwwxqhd +ri6ZX3X5YwKnPgJ4R8xw33ZauqLbz2AJeEUjKl3oEE5CXEcKN6w5A5+2e05Eei9S +eBJZM8gUxMfAXjQmB7pPK+GCvZR7dtc3p7rukiHmQogmDSf6pGhnt+OZSVtuf5zk +UiEoFCIi0I+jTuq8fQZFoD4YuGEZrSa5fUScUc+RhMt+9WDh5mHDx1ZMe14B8AeQ +enS0NEABuCCoQ0oHvnuOABnOAMZt6/RXTsn96JquLwcRDur9rTBKpYsd+LXyhXfU +J80ONxJIrKDWEEBJbRFQF6l3VWmO961XgM9wzsPCfRcRdyQPe5KgvcnQ8PYO6PaG +W48EJJ72pqMuSVfWIC8kkYxpJ43geRRd83b3tuK+r7RjkVYAm8zEcUUveJXXaQR2 +O94f2paBAwFENklnS3sEB88vs2gVfohelbu4Fx9R+o210u8+G33cQjzolVDVxsVB +gWM+qgMFQUnjsVAMV6OPWdQ47gRSSiWn6oT/xYDlLbcisfnEq3Us2hGxOVlNrkWL +kGOkFP/HS6HCNwVt300MBRy4vNtgLm4jHNywnxxwKz57rPqnol0e7zc4PUdjM8xX +hhauqWcE62ivmb2CDFlK0Ent/lzErSEaPbf1Jrk2pGc0v4LjMiqgIKGVPyOE1wd+ +eXhxu3zibjoejpSmMFILs1dciS5TJTZg+n4/dY8O20a1zwXnXMsj5fT5FNlK5e6v +T9nP2wpWncD8xulh1BiVZ/ZkPi+EKkSc89EIPNYsQ5BWg0Bn5Z83oCnzk23jnSSx +9H1Qp9x1sE/qkQCCk27GQJHlcWZujNwYv9tKreJE7ySdFnh2Nx1GxZ5WQQqilAjK +QfC0AoTEmVYaRhWkuZ8LbizoNz7CDjHuXYdr78Y1ZEB01y4QgBXEVi2dHzYYv93V +TluIiVrCQS4L5pu+CTAJsnoPfTYixCxu4N6fyFjyu+3vaXEEOHQWacFMM5WxO0yX +E3aZb74irdK2JAROU+ETdsomE3kQdASsChIDA9zZa9WM7VwP6TY7OYNQXqQBRNtb +/5skUvECJbbWS3kLvfK1zZ3I/DXeamwKHQd+vRb+oQGZ/yyjFOEdn1d/BGHbdDVW +3yRXmHI85MpbFJnKyzjtMNBZzlXYdId+Sk1clKkwOK6XbR40crKHqCiCTaw6F6PB +57VV9GGtCkcQgFUcwiTqjf+ZYpUUTzLcYG3YZulmzw7WXm79tqkVfGHxT4XJZc35 +VgVkip7xf+QpHDngoKHmNh49QpyYGzMwwJlhqMDXvGcla2aYwt3sBxhW2al7yU4t +tNr0TEj0GKKuukqFLIQV0tVxmC+MtHyE3XQAQBJHtBvP26WW6keC6G1rSbU8/+Kb +3GhYTduYgDGXuq0oEO3pYhPbjGAXMfuidIpc6SE32eJo8fHO96x8QyS982FfUkpf +KWnjB1ZsCBqTJI193NXJEglDVu6tD3evUzBQmcc3QusjVQpUSjX6EVpPOcwL3SCG +ccXnB3c1ZxQY5bJsxupApUSPirR4k+d/EI+pnMEByLLrqgpYbIR8X7t9IzX28War +5Q8Xc3F5Xjc3+iLhhBJtruqJL/WFOh1U9FweupCGWoH1YRWTGvCuCD98HwTL5Fuo +I/Tpcv8gG5iGM3p0+EJEXrvr+7yLmWgbCb5lq9znFbix87ZZV6AcLz6/0EqLxGsE +pdBya8pqF+3+K49iV4+cSACwiptBLjmPhPd3p/wlvoN1UkdWp+AVb6Epdq/Go2nD ++k1hF9wd+m7VwH0D11WCViwbmZyGnjRJaXAkRlarxPuTJf8EHiHYjSWkYeu3TQt5 +B4bnKSmfGnznzLE2dklBgccFPZDnF33ESq6S+4bzfvPqmGf+qSM8CfH7+tvdVfqG +ZKx7F8P7L+gRAtxfTKaVXf1sbXV9Ahs86M1oHKzf5BGs3npOzne/My5uP8HkRSCj +evjYWbVh/Vq2f/W8YBarkm1HasEccewCMgis2KC5lcD91tTxV00vZjynvALG3PgR +OX0mq6qChuIV2QQwQ+tXvEMZ63FXgXwwZJGZS5JaXA2aB2q/dbUhDMHtu0UYx1A+ +cx+I+WWifhVwCRAWg33HObKskhXvAipiy+ckAEV+2jP1N2xbrTyAPqgaL/YI+yUz ++K5AStQD6s5B99mkuDeANoOM0uygAc5fHtqKw9KWMxMF0KUR9XT9c1vKIf8TO6Ue +cVPBfl2jGcmABsql92bYbZrrDSoU46s+y0HdMznkdKXc1HTbBJSzG5iS8ui9f1/t +zN6W82zwlCWo31iYMd0nMdnndyhuS7avUQUW1uWC8jKlEYpYzJMBXY80+38EsODV +Uy/bDie2Q1GZpjSTWYFDMwZh51Ovl66oIBc+PbhE+i1aw4t9eglV1YB08UBZ3JF1 +GVghf6CjPnNYUvsRt4aULD8+yq0G5+/DToN7dlQY9cW0u7t0Y/okwncUSta1OGOV +JRy3VYi6uMTl8+zDa2RQYOvin1RekHMr5vuy8fXSl9pRRDt6v2ugnrauP3cL3v+X +yNu8wOIX/RcHgqVwF5fx/TqeTOfHqsNlak/Ps+RK1Iwpw3Y9dpdcEYfPejDQ3Jxc +f/JqyT77fJm1/YgzXX+Ena+XhKP7f9obQl4HBzWrXAjv/f7LffQNqKthc6EJQXxU +1DTPujGtFZKNwL6OzXzf1K6/qNkJ4adnXRshk3FC7lvSU43t9jAaGcHXXHbVWnti +aar9c+yfjfq8eVSjUadNYJoWkQMrD2wDh81UiLKyMX543nXan+HkF+gnotfdt3vb +53isMWKtKGGuqeY2eYr6PZ7S03q4qw3vngAjSffq30wBDKQsDKoOogHwy5Nu/j5M +R8qBiwyv+bT+tl9HhK/qnjMWO/b09asPQDPy26zhlswHiTayWr4uUt4xA7eyW+t4 +WyXT+z8Mx/Ek/mDwW5nqtQWwoGAlcD5H8Q5czUHRA2cra2s4KKHprGL/Ncy+uZIe +YcamH0tFqv/IXqBS4HkxjR2cVTwOtkXxisgylzQunlQFUpgxBEz9yCwBPAONMm/+ +Mh6qrf3MJHTxyPcdvbi2snsneGMHswEqb2DGKICezwwfb1IQbgvHqDE5NGlROBZ8 +qeSMiyz5AbY2LXwkHZEAFj6pxAd4ztMwHelH42o9lSP/45w8Dsr8Wt9TWKNgIEoY +58KPrxDlO8MMmNxgOIAgGDlSo1BBGab8MySvbLq8feVY4GZmgpr+A5fQAFqDX1uw +OJC/P1axp9OZDTVpFnViSdZyLrG6HkOq0zMOG5SIenlTmg//0/a2HXg9kloc/fFX +ZMHOACcB8r259JcNyh530UcLSfkkq5CoDGJSPt/pUNsu2D38677SQQpD6bfSrwF5 ++WOGOJ3T0tJdUOyVBiRL8OBADU0ca2Eh+Rh+3vhi/hYv38u7YWZTSOGThnIJlvl4 +cFa9TIx53ZQjHpUT1s5QL+u7vLyWHYTosq3sWjSjQ69U6bq74gDQS/gq5LbSzZqy +ORVuTpUzsjXQsouhzdYwVA9/yn4LDv77+EwRTViCNcCX0JVsZdP1TVYUZaggBAgB +x+16KwzvhKi0cGK/zYWrlytpdYxKLkYDm2o/ctDcvlW1mzYU0G5kqtMpGfhfHpiT +APHt9b86YnzFP/anN8oOFqWemDDYcEDn6yGv+25fjGiBirb2mWLViO6ap6lZbZZV +IbWbZanMD0MdjyBQhdEtw1h8wvCv69rgaHmuSoYhcbD61UDA5dve2790Kkf0kCAq +c9JQyz0HTOfCbK/vA+BY+GA08Wwd53w0FHPTJAtXsN0E5d6E6jUQdalaDNhG7RDg +e4FuTz7+yldaMdfn0cHPtrDmB8lSVCKgE9a0TlOkRL1ZiU64sf9+K0+86ghCBjQl +M5Zq3lZ34o+MJ8mT6e+xqXpukzaIydZBHnbxWi7UE4b87PIc4ZjjQtlnmc0O6PwG +vZkqCaDhdkCTPB9bWCh/OmeSC8bRh8IYGqZ5EmfPmuOgMHmBWWR7BOfzDS1B3XG0 +xPtWfT/ecYvOcg6+Mp4gwlMNGu33a7845hTE3DLMnz1knMlMpQSUlR8xOZCk4+J8 +acZMM6y3H1MOPWypm3953wP7Wnlk0VbAst4sAFjla8/nRirYNJopzEuKAGYuqt21 +nADroqY10KR5FlX8EEHzTmciRdL6aHqI2oj5OKY0gaAK6yOp50GNODF2gYYo4mUN +Um8YnSpz+EMbjvpAsv+pqd5uIiTYpC6z9ySplDp8bJWZHK7Du3q0fZ1sSF7aDiYa +zSYWCGC0NzodBfdIb7YEAupFn6xOdj6qS28eT+g9gigwY2K9v7/EA2hdMP4yD0oh +/5os9IrVSdsO5WmnhIqmO1UTKRWFcsBtdgznq9xkFFEDQa7DKZMJJVc91JjynaQY +YGH7r/IFRGsS2IB63Qa2aiGhL4fCVSAHBFzGPQ5MrxtrhSxqRYzcS3bo0N2TYh00 +tLAZ+TGiek9mgtYqWEbcgnKev8a0l8scrlWyLJ+MScIx9QfmsOggrggKrLvafYEh +sdT/ur4CbBRiW81EiunvRO6T4/wVcNzXRsY3Wmoybkgs5KRGz5OukdBxjP6Owqpk +vbkD1hdVysyO3onLdfoF5jTsqPtc8zogiPEPlVdl+yMLEABE7hDXqeByxZRrjVgK +Y7YRC2BPqQCJAft9Uz5su1cUxri0ZcqpvGeUZB4wrb+H578UzIeBfOVNEtLdh7du +lzemmLIktKl0C9maF3v389jX2a6bH3SmiQklJYvAilQtizDS8V09Gbxk/+Io/R5g +KQaEVJp6zDSILeXLoN/nKba6+t57T47GR8zgYpqZ28SHJtTA/JUrLcffxPZVUakW +gD/jSNZgdUDyAiSAboLdxZOQePONBaRyu5KS1Zr2jPhh6YOy8Qw8CQISnAEFkDF1 +l7opQWqUdUqysowqadqFAJ7FwCDzgP4iBQtu68cvOLLM9yStZQTWKogvVVnxNXGo +OYMCXiyV1Q1LKeRAkWxZgMG9WF857Rb874rXCOpcg4VUXnkSp45TGd36/dZRYYNK +YxL7gYGlRLtsdpGe7her99/IMt8Uzz6IK0eNrfPoU7S0ECZvYQK7zsyi4OVhb42d +N+LBAf+4bQmF7qFu8IQA5JxnVngpWTI06JGxG+NjLywAPaMI3g3cCdwq4qwTNT5x +tsRykHA3ZFXwUM42GGDb0HaeQoPHOSTzvybq5iSwgttpdv+7BmL8MBpMzWgckQhb +m2TdXtEFs7TIifiL4Pn0u39RhDn5FFO6bink1StEvDMmhU3qtRVQ4rG6GzlIE29W +UXdNJ/LhTeY0SIpoABIyBtIB59wjhcHwIVTqXXiZ4KDsXpSdUo09uZyoAwJqDE+c +9xYCKPhg7MQtHuZ03Jb2xR/9rZK+jLEc5MIjPYZ75Vx1hVXgqKHru4Qdsya8zMoU +YrrciJxF3zoy3/IOkpb+HerkJcZ6RXD4QZtZ1diOYsDbjUgeVwLmp4i1jEHqBzif +jz0SKDDo+2crP3NKGg75ixRkV+oLCss8UWmnJz3UFm4rhZD3MNZUdBdliz9gc93/ +6gDUeI276gGd+hyd8QGmi2SOpwEf8aOsV2Yh7Zt6PdrxsQw6uvfkgFR9uka4d47+ +nHRaG3agJX93XnCo+s4c6ybDsP6zw08t76QCa3mOv+MMzGsmTvQlshZA3J0kTQYE +FvH6uK0RtW3ttMXFXQ5McokgwPXo8FPvNTNx6a6gV7FkBfrbQ2g5fT0T9bVnf6Ji +YBbQZYqI9YPIX7Vdesr13qtevezt1yCKOnr7StA2d0Be45uMsmJ924S06MyhZfgJ +9RyHLfajmz8wWCxO/k2j2nmXEGhTgutaboIILbeuSHsNyc62rJLr5u3AOUHg+L6Z +Aq1xoBNUnrZXnTzkVA/iuiifGWCWKs6B4jAgLGI75vpnDz0gRW7k8YvVyI2XD5Gl +BG/AM7/3/cTQ51PXpii52lOLfdHYiL0bUryxkeu/ZWHUjGhXXzp4hiZGN43X5uAM +xHhRSwkSENauAlkdEWFdiWI/ncYSlPiX8thZGCL0egIZxa8/gUqgwONl+I1XC1cp +IKEQhe07JV3yvBU4IYbu5yG+qHWt+NW5dOrFQaBqBvY6tCCe5Kpt4A742FXHqyDw +qzr0pWrphY7ZNN/q/aFAJK2i6gEH6ij7iMztpPCBmKyWGxTiFEyfrPu5bn0ai1FK +nP9UgMH8oOWld2h2iPxgFy9B2M7hhZjkwhIzMTfk0lQ7xAVTYmGUZ620b98yDmIE +/c+X1by1j+c0K1flFvRbourx7nhB2k2+tr8jz34Vo8KVVbUl/Uk4TigbBJFbwVK6 +CrIWT9Px+zEHuwt8CgRTik00seB3N5qIFLge5j/1U1PVeXg1vkvE009ZcYI6TRz8 +QwZ2w6L7kmOv9PPjlTesVzbs785UeTqc7ERbPt+8MfDJyul0vNq4OI9ODk2xA5e5 +5cVunQ1rNS+gtoQEuIkA2G1f8zJWB5Jfp4Df26QJcEYRHAQJkXnpO1cJXO4Fv8XG +yC/5eHQ66+3QiknM6b3iB+vp/n2a9Yme2w0Zh9J7VYoI3QlS034TxUwE+hQpsuzJ +ElAVlpUEvyDKy3mpdCy8tLfN9V6yCv6lQGcMrHh04C8Z/1iro+6G8efdjQxYWL9b +c2dbCTxYkydR6oP7cLfLz8dv24aqMIdJ2Y5VVULmojo3evkqrvWIUGQoq5+ZUopF +mZ8ZuFMLJu6b4LHXtwF0XRjCcQwI+CJ8JvQg1TR3dAoLeFdRYJMtGodfG22kZ6UR +RWjFRTCBUvpJTed4uSJIkq51PPHAj3oiXtO4yvmSeRvR+AQM2JSIzblLArNlr8Kc +QHYiL4r/UAv9nHF3oM03zlbNEbnekSTS1GglwmmwnTUTmavlR9VyODh5gT5M6Xdf +JJUQfWV+wJfqWLE7XRaVEwTBY7+wVvbWcuGI2XmHzc9/j1Z/B76DugqTC+Ku1dhH +oM/RavkaCcTyVD59FvA6wI/edATfs2N27w3W4eon5itmuSHdNJJgOaDJ5OokSjZa +pfZyRGxzLlgXadH5KuCqca6VovnJGELjXEJkxRVT7DdrGgcb89Dfr4PR0iP3smGr +M9b2eSdNWCKNLQT+Xp3I90ukv2n5v1fwTkPjoUEcLwzoa6bT8ImKKsH3Lc4e8JzN +7unlIYhhUUlXzEE52+VSXCGm/zXBMDQjlAHwrl6l7uUTca+FPKzuCnlOvXPS5mAa +jDBL84lQX1BhU5joc07DRnYxIhHjrGGUtUhUbbWTrs+lOz3r3qQUOlL6jeNGBttS +Ja/2eHzaULXmCJ6mmC0+MnHvAw0DDrkw/q5ou6RytlcVoLlA2nhGDrfqaewq3vY+ +ffaMk7cZ1pqjcDprYp4zF+3Eb9lvfD6DdQ4U3Wzo1Z42H53yLBhVKd0oPyVLja7/ +nXm2La5YCnfxjf7IQ+eIuvWvTBvrvGZ81jEYdR8CU/5U4yEloDFum0EIc4pbSud9 +5r09utbtvNN+qsJCP3vHmvNHvrtsanyhBFaniXXBUVPyVT8FMNgwdUoQo0Sj1/PB ++5AvyB/U5e6V5q0MsfxZi2RGyYybxHR7TW0Ruw4p2f4WjXmeyfeGtXdSKIXmWdMS +PBy97k/UWHNiLxkP0XUV9605oHelgvMe6BBWmhkMwbHZG2pRJCyGRprMFKjAnSIK +OsJgBJifqMYvbyiR4kghtVdnQuQA810O8W974YEe2sXkmr6BC5KzEnykYj8xJLEF +RdI54c9HgpED9zjjtNVK1BNZENwq+DqCkEhVHOGKHSo0Z0nqs45LsZ/6XHocO9Ya +1+iL6WW/cKmD0L+Gu26A84dOJR0DfttDc+xCBuU35mVzEeVtQXASPmFyttMhcDjQ +YohqKuSkX+IeuzoG+5LuUvgzRPUdJATdI/UwWl3/u0Ig+3yNUNX+SMu36esHnPcK +2zWoqdKlzlI0bkoF9eGPOQ7soPBp4BrFHPiATyPfnqy8NhEM7BTxQEiG3vDY2OIi +Iy5/PM5wMkBW4a7FYOxZDxnsTrlVDSZDDVv3rp+qBDHZynKQWyxlNOSX0Yur1oEf +jjgTkJiCccpnVRvn6ECyuDn8fIwfZz7YCcv+1MP4RLCnwKGbx8ZlEmempcU2rzoh +uynGw7+L4ahy+LPrT137u0SAc/Nb+wkA1EkpjKukjL5rjuRieOpcNgEkYJR05P2A ++tdMtAn5Xa0XVkw4Wg15tNGACYejgHEeO0+RORBTEnnYbN34Rl+INnKELhmiAwya +/gZuLDIwUxrgniNZsCQz8RX8RBsrxvjzfYic8Sj/OoP69tUw4JnIvAH4/pFArboX +3XdCiA8jc+WaR9vBiwCcYZUFyyOdyr/tGuQp7e9771dBdubYecW7FoG7w8O2PLc7 +i8tBGlTY0X3yQuho8pbojM1cjljIz1S5jkKdYI0d7kP9T3NmeRA+f6UCCcpIH/DY +ItiNoCUUPh7HnanF1m8wutd7XtkWCFhjGUezrb+hI9Y/hMfHdB1t1hQsQ5XEEvvK +PqQUcooY/fXYELd9RTCbtxmm1/eqDj9sEid8N4IexGJ3CSq2vo74YVithmjBnfTt +7b6jPnhOqwluttFjEW/RXOUnS/znvOyIOWpfVhOT71kqL3i+ifzxyJtGcrqkLM6M +Mj5Tdz8eOQm+5PVhhRnUuuglSXwmLT3YLkF49Hp68jl6YPE/ce1YFkDxirRCPwKJ +XPBWE1OLzevFwvDycdQe72Kve8EDHmIlP/HJNRxJSO9bnEfNcUHsD4iwPmhDV2zl +WT51XlkORlBLS9LRl2yc538YiZjL7W4bJoivUqUSXYNJr6W1Ldsx5h12WK4Wj7NS +ayzjMK0vJ7kvKJEoJl6EEPHENOV05wgFfSRT82+oBBjTvOktym1SV9GjlPWDdycq +zcVcFeYeNSNjTLj9iaN6KbpcZhx7io6Sb6BEhkkSkAemMKA/A6gisJvbC93dv1Gs +19gYMOOFmDz/mE9c66aLpibosfoyFuxTLB5Q0A7M8mlhto0cAB9UtUzjkGI8+eM4 +A4Qf/HMo8cNrYvcTOetp0bsakQ/Yjas/l0zGlDjC+gFisU8uZOi2nWeVrPaTpZlU +F0drh0nsOHxLcgi6ac07VM6PoirczWqlVolIpfnFZ0jf0ZqZPzW+hZ2vSLyX90MJ +RN+ZsgsT7Asi2NRQ1OnYMYIuPU4sU3HFtxe9UPaJUaOYazWnMZHprwMN4F46M2Lp +TWrauzr/814UxgBLHKehQn3sXs8gP28NuuuvF5lQb+nUU9LPHpm0HAnkExeBYzvI +Kc/39dLaTvvgV+HVfiObNhnw5bURj9F3eEDWzusAvfGRhwFRUw7DOVP6MPJxH7by +kHOG3nVLI0uSw5drLBWMwvnLmWnqKjSrvzBpzXGXTiiuRkIG4yXYYO8ybAVDW5Sq +tIHLeDcoNaBI4zdllLvgy5fiWsN05FQ4pjvp0slzThhj/hBTODIcZnYGUJVPNldy +yyh9ZXdQ0Dbw4vA9TBf6Ul00mM8NTZY1PCzpoXwDnWaSK5bXO8l4iU4O6hX8zeLq +6eGjFYwEwRoL65l5/8Gprrn22aqtu8Hxkpxh6XGi00nPMchqmwmlSgi0cb2Iz2v9 +9imohYJto4wyoGKd+N444D2LiLK8JMG415wPWESUM3MGSrXpwa2yX8OGHMFOq/j8 +6u50MvM3gGj0CT5vwJeIWZO3AvWD2rXhTTqYxKT0mZX9m3Voc9dEG+KvKcFRw1EO +D5Hz6LQMBVfgZF6Y12iFm27T6yYXFmPMX/F/z6wD5jxlqMqeN/tr+BhYomiwCWic +GhwiH8cgUjjRSdoVGx2rk9aThHacY75xNxRkYmputDitJ3kPlxGoGxoneRNXfHIk +ZkOe/xKva50zpOqFwBRkNCf/5BxtGUSGGhmi1B05pIAiPbtyxBM4GJNo40UTTzDA +CK+zr8qpb+1XmxWhD+L/gBRL+yTDvnJlexTdkQAf2uTPINjDmmbEroakbtx+eA8R ++vvQlujDZw5IK7ztZXfo0Uc8pZqNKDlOBpXWYO9iqhkTPOfk8eqc65yfrV2cawZo +wD5SLTrQcJ10GypHG4jrY5zgJH5fPL1JTKtkaSimEdwVDbUYTHS/sEK9UDM8T+6a +1MGcLtbXKL3QI6pUtWZ2wjk/8/21T1IhmX0+bDokjdH1hmy3OXw8FPJCXUcgVeJj +JFAOwPSAG26s84roGvzMm5rdBRmTqLhBemznlSMok0sQcoO3IGPcPwVVwXr4D4Zd +nioPExInY/x3yyMzbBsdYSYz1oMuKrroK09MV8Lmy6gUJ3lNvDfYS37a+EQELqoS +VUhmxLbM24pUnOp80aUOiMJJNTgYbMgdBbDM54z3bVDahYH7S6nP0hW76sAa12CQ +SWq/34XJImXGbCXyxVssb42xZdFbv/BQXrCtYr1VNQYlLqDvzTLsDoFXw92BsGWN +pQWdefZP4FwjMO+hFcPQdjHMLVeZV+Fcy9w6SwDt5nEC5pZUJAQu9zaELrNp946L +efpBypi1fl8m7nz7phv4lmGjIJbMJM8INVzFI4jJ7kpCxblibxFaXgQJIYpkRZWQ +MvBY9V7V0DsBRoZE5buRHEZGRhFM83mDBj15AyXe9Gx0Q37aaW3oausQhkdJalLo ++qMR2M2fRU/3pxQ61OerpI697pSCC+fFdtZjJrH0DUI3c5Y9+OEmPTCcv5INGnUU +mazBCCw+SFU7TvV46TvRMDZRWHRrupgvCXiWPWDPDUEO7ua/exprhbcoaG8sABzS +RDSIOY8l5ARbun3n9K1uWmBNVs2kk6kG8yKXXlG6Ar7gWllSt4wi9AyBPai7kYSh +raOGElSBvRlgrvKfjgpt8goIrK0FIkbRAm9AU/2OYHtNQniFWEcNDfGLt9uVqRjB +GBBhHFg18AxSaDbd1MLiMhSHxuQE5LKWD4LB/T2Vv/8BOkP6X8IWtdSdLypbkIqS +PPmz9GidCsBS0T3QbVyu7CUH1W4YemlhhbcuB6c/92EJghMamO3IIif2PD40Np9j ++3DomnhioCPpgctlynd051g0bYkk6FsGWr5gROxBBI7xXZw0VuXAWqKUR/axjXjs +9J08TWKe/6nx6+Jz0OZnYw9O8HyAxscD/tb5Qeph6bNMw/6OB6Ew8VtW2AmO3ndp +kGhH/C9/KC6DnuFKti+XlfqgqtsAYfzedQIXnZ7QYshvM0DdOIiquSFRDqIJivXP +1e8Z0yhZRZkvbPUdgY+guL6AMQYsALzbzzcTuM+CcYQ3NbQGKtUAJBesqPXnICM3 +iypxfd8hr16Y1TkurgMnj2Yw6sDX5dRBe8LkA8tPTKaiiUVsZPapKmu4YNpRuY6U +FcRRL5yHNqRAKNe/IVXmFhMx9oGZlFryQs6+pG+vAC83z8xX31EwceY91ReiuwZ7 +N5e2NeYNgo4DL8117cLkde3vnKZAEWCVCwk4mfuUWeSQ0IJ7TtyeqQCk4wt6RHUx +LD3sO3jnIzun2IOgj3BuD91KkQuWelO0OhPhwLRLjNL2CEtc6T/sLTAebK8q+fci +QSHc637j7PKycKl8hyCtt6zdWtLrKMxf7BQ+K6ssFGkLLiT4TKP5hBShywcFDCbG +HqcbJglCctAkG965gzEh5lwJ3Kwrf+mLY5lHCw0W3Zr6h1hBQAim32cdP0DxXBew +lrV3fGt6pcM5QSjZdV+BSJ8qE03eRxy5hZeK2rpgna/Qf0DqbKjyICuYoGwsKmQ4 +w7BBPvgGRH4kUPoAkcLVmTyEY7314KBlfoMCkEOsStKTD67obEgUii7GpnIbowj1 +u7CAMdBYxynp++nEgN/7U3gP0MMGXAf3SnIHd4yXGSiHOdMlgpj+gPmm94wcRs86 +JJGF9v5ytOMtBIII9r+Cnjzk68wkSQUL042X8el9HxpYc71dVtNDiDprHQBKkZEc +98/q/I9d0x1NCr2R4gVuE6iUhmw6jP8mYrestMlgv46jnzIMlwa6ZVJTbW8aO0F0 +4sNKCSlmyk+NR9kNL2W3GuCBdRLjHJP5p0Z8K+iGTNSXpxvZTTIgBIqqnP//uMNB +U2CHsdGHeYowejViH5eGnWResllqZrDiIiJHES7YdwjatNC6mSPEpVigYUfd4U1r +FAzsIK7KPZXlFPSa4xmJp/biCfYvxUX6emNxKrudA/U8MMvsYfzz+mRm92QJ5TSV +G54a5Mmzgxe12qRbA77qlKwpv3RGlK/7a+oVKy2aOzbOH8tFB5emUboPd96m1fCc +T2Oh3CBggFio/wRyoy6UotRFORRxn12pEmlfg6L/I36dv3gZHjfU5kUZ24YRllAL +oVW6MCsd+xAgdNMVL6lNbpHox8aRqEWCUSdyVHOWw9VQZ+5XHIy+VAeJ5Ru5Y3oT +gZkwUVxnosg4xf0oJSVr473U1UwQLQlsZ4SsXBZ3hU9B/jz+rmgpHt0fJTUuL+RT +SF8potK76fionCxUTqGwjGhXLKE0b3RZlUStMwDfpfDi13e3NxaRTOSIpYRjNyo1 +HOshDT9hrlhON/STBrluCxwtAPLjB4wK26sJ3vlprJBbzukGjbdHe7Bfu12Yisgm +/XdiZ7BRc7SGfTzIN9jA0vLYwbIjqhKP88OsKqIEknM7e7rE8NGhd3JKJUCmKr+i +qNX+KUZarWibgXIKSWb9s3SOqONUag/S/KHMtMO39EEC6d0+ZsRdFfCd8f7BHg4F +Do9hbInCgjg2lngASyxI6YqR6w9PFSeNP/PBac6z30VzkH4erbKkOkB5UaQ6dLaC +RWa5BRAfiiQZ2b5aVWs+OY4NdkLrtUF70OoVB/nHfZW068Gx3gFsCa23oDRRx7Z0 +1FamjfIuVS7lRGrJtmXiM/bqSWRSkhhhuI7g0uMaml1ElyNEigai3JoibXBozQ2O +3taXcbioJsfmhjucXDOZWu5CfuitN6Bmz3tMa2sr50iIgnXo0KEW3qlDb9DUc6Zp +zjEcDNjZ+mLztYlO/3wXrF12NjHddG7QEF+erdrVHdiyDsk1KMMdqQ//Y0ZWxqkL +1ipxm6m0dw6Jz8e+BE7jxrP9GsA6RURFi1LXbuGlDuxXTfMQPGSTU8JvIW9dgjxZ +/mFN5xN9lVb3UGP6MQNeOSKm0VlcQ5hZzIYuAeRG1wMJYDkX2oFVyekuPtl+C3yv +q8uMhOdQzOmvvbAvzVioy7KDZIZqbT9zd296NH0q0PGdPps1uPCFrbcXOLBW3VR1 +7a9ktE+vqvjZi3AUGVbJGPgQhm3iAOQQApo5F2RGAkPTjdOjWtE6lxW4Z9NJTksI +5TU1MMnsndZHaCsfSUDu4SUofh+kZesyccVsNZMxP70HMF16M7K8YggxudxbLP5T +6zVQjodGNjXpyHgAEygOTn9+tyLytLR96hy/HxIsBgIiR+OD4Uiq7aDi43gC90ri +BbmUgV1DOQCTOkqt0DJzj7zvpWIhfU6YL2Mrjf0tf2aSgiXd0GgZzHf0uJdMNSRE +nG0RsO61wtvnuoSGF1PsYRihCl9Dk0Zi/d8d26C3dEmE5rx88t3xJKz/7i5dYfhT +VY13PXdaAURd0TguqYTOh0uXqLdWqFGP+Tx3LMFf6Hn4WqeIMAJcFl/YYXPH48sG +bfY12QnzBFQdm6iTdVa0faiKLK3XTmHzpWUOyDaC7w62yLzH/MKDVplU/VbY+evI +fUvsLNP+131FPmItAl+l+qjZfJVyyk3mLljzHqx2aHuOFFJ5NB2gBoLbNxiEQ/dn +HI/uUie8FofvWkVEl81s2GXgmm60xjhELwX98WuWZl+RrzWxKxQmPZ7YZmqocXgt +rK3GwBjfGD1zuw4mn/4VvmcQGAe6EFYsnV2CyS2ODHaPRPgixTFgaUL/LzqzPbEO +G/YvBuXvT/P04Zq7YiQHCO0/ERbRecD2dyC9CPayFYw/KUQiClBUtVCtUIIE2aAX +rnpF70t9Dg+/SOSi9mZMU6vbp7mFfc10u5ChNZ5spVfKjhREBJ+5tjr3R8A7Fb+8 +JlhDg/bR9agSh4He2chwTq8yklZe8wXnAqmqfAbA/k/9PC5rINKIrZxd/waCJG6X +KPO1YSX8d2ys5siPgClpmZc1p00mj5nDPGZa2CPui6+dQ0ow9QlNvcFm2W/JJE3N +wWnSAQOA4xrrg+NhIpIUFaTDk7IXPWCIC39LtAD2wRh8HgtMUHKiKXzn0rUNUxKQ +nbYDfCyH1ExwyaL7wIMsgPCtk0sg0DRR3NX3+QASN6s7Uom4t4FKRqABmjI8eZeH +zZNviBN0pYXlaEFY/aAV/nh/hZLHfi1gSu3wx974fBw8DvO9oY2IsbJUjzGHPmE+ +6Kiy3ePz/h/xRYDOblKzXWujsMrt2wJeBNadCzUvspmUHJEmQZZVoQGy5BFcroby +tGgF9vEf555AkAIyda+lD2I+Ulg3VQBDcxl+UMQ/4vrcpCVPxuOKCW8VaVbqKZdt +f9NI3oIIfhSz99MSu4Ka53FYj1pToXj0q2YTDWppWf4LMvlzQpvgM/Ncyx5+xEsD +vvC03Tzg7Bd9a6se28W/acbY/gJ8d8d6iGkFiV31xCRSyA48DCeBfCE6VG+FBAka +0emg7uH9k74mo+ZK0/lExPP0tqy1y0mwB2MfW6ijlaSvEaaEXqLbqT5ZMoED/jdh +MPBqyUTfROk7ynNN9DfDOfT2IlDxyNsrbnIeje7dWgOeoSCI0vTqJIWngaWQ55Ko +9CVSRVRUvHbV7aZ76qSLLkYvKB/CPLSbausgivKXbNTzvlEgl8LErhjbOrpX4bnv +9mKnkYk4RASfQQHYiQ/FV3h5umxso/8RwqgSfRczQ0B6AjQBWonW5S8oQnsjzcNn +7yoFA9GSylitDAC+zs6vcJ4qHCnOiOyOa87pcIUsu3Bi7F9GjmX+x//5JnbFfLPz +yiRFVUKqLSVxro7jL7kaaNv/Xml8NAmz8LVja7OHUoXCPhM5w2yAAIem7ooEp2Qb +LD5hBonYiqb8yMsi1FkBs/tXVoATVt2nPhfSfXt7Qb1o85gCQMvQi4fPRaNSKoM0 +a81SWLTuqFAbCZATbR4CSv0xmSyEmkASmUZxKFFy1wYgygNO+A8JfSMjZ8Jg/leN +9fxfSg61rFF36uqHDUfpn3nTR1nipWm/vLZR5CdfJCPSb6k6qCsUsZb3+wG83JKd +ohSrbKUZfsqwl/4RA5o1zw312wua6TU6vwgucqDlUymwTirXDp9TSoZGkk4vlm5j +YxwjeMQpkvovk0KtCptqCiLKtXcfAdOIEYWr2tMXLW9lMtSOuWj7Nlf6QsnTcH5S +L3kWg1yeV7XWIpOxDRszAy08wR9tZfX9K8SUeZncbl7rZGTlVguIPqWtBU6BMZKi +x7/qllbpPdiI8/ShrUA4z0ojJmHq7qtc5zky/xJeUy+fLh/m+Hk3UZ55mAu4skK0 +mtAUiqdbTmMgCU7iNU+eEuJ/3TrJ3ib3QUnzl7Tr9O01AeK4rE+f3IV5SevI4mhU +Fc1kC07KRffbqndwp8c6itr/D3X9KIW1B22+EbtjreiG/pU4Fl3EmapM657upObA +uWPBEqYuwyj9oe8iUQg4iXh5Gh9tZqW1wB9RB7y629n1yAL86PMePRxxtnw/jnHG +aKfYU5kZ88Etz1rUJntd494fLEWE9YQIwwX5V1WPZ+mxqqrid0G1ZEVKeR67gnhu +32hmANNm9QThUttiVlGgpJMgkpn9cJi6O006EoAyIl5Os+kkf8fZhRwgyC//kedl +GIOzu9h7SnJeWgbf6ElZvSZKj0GlUc7oLPb4ZbU5h5uVWp7Dr3oH5kKM3Q+80A6V +KJuuPGrrdirKfnJJiREBKTN3bIlkGYpMiZipNVhgUbP75MM6i83X4I5r07GM1dFx +DPv6SH9cHg4Ja1s2Yu5MHeW8U4GKyMyvkqULYVfF8ogy0tWLM0QJ7jZJJomj0wed +C9izr3Q2pyRHQ43aLhHPRlAZHOWMpUvmA8Ld7zHgac5IFJ1LwV+IIjR6nLW2y5+p +COaLMYrh3q8pLxbf69wmGPch001pAfUp27bUTn5CxUmOEWINQSlilIoRTYAGz1qR +vaDGW3vUc7K+7t3cHlsW0V/5L4WYf21C1rQj3KJK2nta4qRITQV7yGy2aORzwFrz +PUOoScN+gERE2wNU363eMe7L0ZXO85Q+91GE75k/shnniMnarhmSN1tBOjVhGsRL +QrlErRQ7VhGnE5MNqX32/JJiI/JPr5If0Wlq77q3cAt0juChjH6ix6Uv8nL0GqII +OAGthXAK6tqk56vGRCkNIFsCBsGuPrPvA2HfOH4faUkuyiVR3EpDGCFPjt/kKnym +Z5Dd8bul1uhS8vcXCn5CavYE1JLreN7AJLe2P0/uDifaFxTw3517zBhjq0wn4Yyz +rJX6YwPrmNB8n1FsSVztIXtsOYN9J3zLhOb4Z/XPckhqurxw6a1c2C0SZQkjVXcK +NxLu0PkRLUB2L1IRF8R4feGDjoBeG677TE4neiz7Nghps0wKqyO+L/zdsW1SzWLE +N7uLJkM2bgH8gWg84x+IJAAOgJubF+963gwbjPqXczIQO4x34g8snJl1ppSG1DTM +qWrwKT2hDqQfMaO70brqWUbwKxfqj0k4Ze5OZPCe6k2rS1EkZAqRGP/3RB3bD9h2 +clZwAyd0v4mtmXsX5oiRdagPJmvrIN0Qq3I4PhBK9zcgA2CsqRKMqEsx1WmJiBtz +wuu+1lqeNfrJTGfnp4ECjMepvr0LAWJE9E11HDmDZEufHGN6KQ7tZA+TANkacIKy +FiUkyvK1dedyPzQNE05ALcvML5tJRFB7v4HrL1WHvZQozpyr09suoiOJA7TRg9vn +4jl+KjlzpnxSgiKVqtYnfLD4WQizs9NY5Zsvx5Jipju58ECigGPwEPWtqCK84ocs +sJHapD5541JF4CPeFt8VnH4gQkaJQm5DyWapFMiz+ZklmSVET9ZgqffDPt8Vgu8K +SrKIej5u26gXr7d3yA262MkRiegVn22MvUN+aDuXna8hSLR/Cp7CMEDgLLWzUlqt +z2bTeRiOIXbnEAojF7NFoa2u8+aSnGRvuroQ+w7HgaQkta6nC0Qg9ZaJ6fFjGMC+ +KmQxKkAPAlUlFy+7/8Mzfj1vUVXpPPneqNkziAIDUkXVqQyy3uf5znXs4LeBoNz9 +YYgoJkNmHQUhc534FUXzY+dPsxqhFjZ/0cmcZPbq+OFOgOsnJ13u3+vGK+Qo5bz1 +en1tFnJQu/1lfO7teX1r+XS0x2N7TARB9tWkrBE/AalWACtOIbf9dCWRcrvWNVRu +5rHSC2J/pgTig446BOdyZNGI4k6TgDDJlWj2L9hogo6aIn7ndht1gH/cb+UlWx3O +jIeU/EkvYGbz7qUNbhybDZ8/xMy39GHF6sehfvvXON99bTIfWJOtuGpad14RYIim +ukqR5p7AFdmrjNy7VoBapGeUIUz0wzMwWpNUw98zcQvOauwQm0+3NTWGwa5mnaYv +QC8NKWZ/M9p9Bz+z0gJWYSULbP65B3G3508fHoP4puAa7lejG5VNY+SU3bJ1M/c2 +EkqGZ/VkyPpN/fFS8b225Nmo9T7fBiQsS43/Pedq1sqxp7v+hQwfKTsmOnaOler+ +SpE9swio+ikK3J4StVhsJTyo+sP2gvTzPlSMZdTEJsiA2wV1/ME/uaGScQzecnHb +ATyPJjgeUCvICJf8WLEkGYDjtv/LNbfcI+suyKiRvqFuVpJdWFAU+INyilt9qKpJ ++x9U+cpOwxNZsGNsW46eIrGBBm8Bc5X8ejRwbnHT7SGYi9pZAbBuph7Y0SGeNESa +7CxgLD5PeLUV84JYiBDgkr3q97AP6qdu7maNrAYoTFoKPdpOWmHrl8KW3WcIORss +fW1dyInNnU/YuHbx+9+Ff1nmcmtTk8f4fu53F+Bt/giSUscErZ6vChFSWBmi+0JD +HjwKzGRD6fSbnUj5EvdL51DNDroZbQaNUZFL4UirMBiyjYEHG7fAGegKa+7k2Cs9 +3ep/K48kHPoiZmBOQDSkTdseqkBH7ZZ9CC9ueGnjbdKznntjowf35E8wO40oYveT +MRsbg5jJnZHejW5YAFkCRhTO/u1IRa3OWRlAcjPbccfEcgm9xn5CJnii/+pDbDRJ +juC73fcMFwcW795Lh8XNv6IlFDFVIMmiR+3QYfsSq5EsvAzK5dS6Dpjj0blp2Q2q +swci4UBvFB8yyvRxZLq90cnEp0D0r33Adl32QUsypt2lBwUewrLbpvVonuN+UVRu +UjLqG8Y7QKqvqBdF9Sk8lYbkv4Cvy1Z/yaQtFxVqwESDOiIfGqQ5+b/csR5XsRAG +14N9/hs8J5sl63cfvO/gcT1NZXRoVlxaOZO8V5jgEVzeKGLNjvny+zSa2OQ3BIWx +MwdE6557XGfDk6fKHPFPdHYqrvHVmWM0krtx5g6CdtWP/o7spnCU18HYBryacQrt +gKqqvnpke1e40WznZngTg79IsWuUW+759LpGTsFRZblbK99nupvHKfeNeLHfi6K8 +0D31MTm9ZYSv1nBIOG08VRYib87NWti/Xzb3TKmHyZVuyuBmZUppSoE6oAooyjz+ +K43xsYzL/yiCK3w+4eoEmpFw8skE2sFeJKsVSrcAm55ma+ScDzROMopETQPQURFS +Ywub9mHMDHN8UJZQ3tg4Cbd3ov4SUVR0VcZEvM6s9ESY1/K96cgNoz/3JkZFQtRU +V+mE4acZl78UdRpd/6P4l/sMmtAQ2FU5RYiWtHdPAxj0cDMKApcp27mLvSvbv4Yi +dMQ/wn/T59mLN3t6VtDBMtbT/AW1/Pyl4mAjp0kxdxrvGi3AcZfAgeeUD9VD20Cv +D8HWY5lrjCRmdVQFrumrLW+Va+aDcIPhKIBKvDoYu9xFAYomE7nl/G9qDOPt3R5h +osvGJwVj7C8erAa6fJoYPnZj+EkyjyxKjNvnFQxVIkkxOLFLCs9IRgeNT8FdtB7j +8FrlaZYrX2FfMBnEQvT9Lgj2GpSBQD6+CsWbgHxTymooMSRvtP14Fyi7vmeDbqtA +3hWBHlX9QIikP/i43645NAfzhAHN1oIdtBZDY0xxZfWlLhihn1RZrKksxznygfGB +tjWH8pJODCwrW/FmfY9ZFkkU4SFvoG0EuN+tJ2DybWsbOiM+ypc8jzQiUNvYb9vx +zFwbJTHepdutR8KdO4RZ19xgEwwW7l3iNVG9Lsw0+3G8S+TssSHMynngaCXv5thJ +kwy8DSHopbbknf3Ds2E+IIPFHaWg5/2uVRD0ptc6KkCOh9Qj0o/BW35xBxBDGfPj +dB5sBGuCQ/3K3C5+PnVRMD4A0yjQ9KqJ5wt+5XmRfuBEKFfGqmwVkxInj8uX5ic7 +7GEP2mixOuha15GwC9aCK6jj6doaOvBZ3YUua8lgfNHzVcvVpRr5Ze5cy4ZzemGL +N/ARe7rJuNUvh3JdUv9DYZvh7DMrRMo2xnQAgQ63cz90B7chd+L31j3abwtLgREE +HqBK7gaSU0paUiK/alkhouXUOEdP9f3dBYn5AzmKC/V1iB4WIDkHFpVjLylj3iyJ +uqYq3vtt9dvi37tJJ+UMIa0cjLRw/7PsBbNxIVeuVUT/eDRY77NMbfGsvZ8itkIY +cgNj5w7VJSP8+lj2UN7BzsiSQR09LMgsj2KjOhSnBaZIfy9ntuQ2MLji7PleHfTt +35WpbqpLrudXMQ/JlRNnos8K7E9yJ+MWVlzj2tzcQPJB7LGd36yDZ/+1cyBNTy0E +yc5vTRquqwwXcnQRgSfKKGH8pNfBbQiq91cGnKZCtNt6y2cXEICwThpSkpc2bvjO +EfPTPVY3U08waQeGSZAXoPF9OpJP+HeNzDZXc10nVk5JYmVXRYG99WXCjW2eYFnd +JaEh18oyPv29neMVrXRwua1qYoZbjl9gS6H89+54VrW/9cEEjh9RBZs2/zi10UJ0 +QqqwV1m72kkGXTEmU4grYFq0GCuZFLNUeXEP6zSiNJ0wDXSeLJPKV8wPRhIAAdpZ +r0z1EVJIg0+s2/lbEMGlkt9SxjuNvwgjpB9A3Wqm+a/f0iNrxqYo/4aLzyt10JQO +Dz7lIBBCr5klo4mn75JoKcc7qLbFG6+aTezJaoSHlbo+1wbD7Xqmy2BiO1Ea8RXc +n9N45CYeHmRgQcv0uz+W51gaeUiJPiyIKyWyrXdUjHHITe/l4I/iwL4Qfx0ghD5Q +wouiqT38cfi/Q4zpQdnp3IvtYWtNENadX54DeUcwgLrjF092qtEZs3YECskQROfZ +RBnagxFbmX8dsNPwYjFHmpOZX3+TYfHfYTRhuHxiCPHeACMW060ZJtacjFKtO1KJ +SVt0ODdbUtBvg/OXTxqu/i9W7Ya7/z7SqoI/RYP3wmxeGf67rQ8JkcEtFyHW3Txg +jJ4nrcdjEQAvNqa1ahCzZSDXASSURG3zzxEAHkbqVspryLpW2u76KyHLrC9ipC1J +qE4buV7jHACBRuYcJ+6yDWpTh6tt5s+20KJlg6YaQwh2zj2xa5z2LLU9WdaeZV+f +sw5P8ZTE9Ld5WyOjVDwHfu6ZpbZqp1YxoYJrEcH2Cifl1vcBJnFUdNEsDahrFs5s +jh6w2tkAGWgZXZXSVi5JJip3AMtnI3cdkxwaEIDEDe1fO7Sj/v3mHIYv2ky2vFVA +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_siv.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_siv.sv new file mode 100644 index 0000000000000000000000000000000000000000..b458a1f9ed061719de8ae09f3183d825ad0cbfd8 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_siv.sv @@ -0,0 +1,388 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +DKrN6oHwQxp3uvfxjuWdJvnGCC4UTf7IU9MUI6DUTjX1H2kUK+73AGW9jsegRHi3 +jBX8mi/X4s2vD9oFEh8JvcsNFJJTiQ7qFj/6/lGQZQPMpzPDESNGzORcza8bezSl +Sme+DdEA8vUR0C9Y13VZfsPzHqxIPHiKpzqfoF4Zg1s= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 16880) +0quSyelBFOdU4GzghTYncIDjSN87Ubsmn6L25sfm09aaPQ5gYL9dyN4YUH/NZUMZ +FoclV0vH/vTWfP+mv7MKMpJwLAbShqsdorZ91nS4J3NwqI6cJU81l4qeqo3pcc6E +iCRuwt0heUsUAhXl3vLoh52JgSJrwMTpOVRbiwuA6GSWlvyafXBHsWr4o/NXBor3 +ZEJ4vu8WcVrHFipg+BzLosZ4GQ3c5ZZlI8Lh6G2mbDMHnTD0X2rn0UVp3TVSqacW +Yi0Q7+s0waOpK4v0Ona3Kq0ajwXbpX2xxnDen8joUskVgbq3ZRcmTqO99gMHx8ce +iXdCMoWC+/VaQ8sChVY26eul912Ie+/g7g0SUWQAkjLRkEhOgDNcVfpm6JMpz4bc +pwJLPn8vAoPHWHvlpq+ZgC8MawYSUnTlT0vKups8k36Xcanx/vMz1GgsHV/gYS+E +SBJ8nExutg0PtpLfx56+QMyAAb8ak85aqsb2UI0E/c12toJISz2e5ewIsCLHkHGA +4UGhuFeLeaXMNEbvLaBDgYNjFBNpaVo1BGVEFZmVl4+qs9Pqft5xQvPgi6oVY8Yq +TdVsoxSx+MzTTltqruwc/X/A36TLRsOXdv8VvcWrlMkv3GTJnWkJh1Mlp16wiRi1 +wCF8GpF0P7ftiJpiGwsgGX1IeYvyOtr7q95i5bvNQFJYuAycD8kpCH+Jc3Ovtt+v +rawYs/9uc351yozYZEa7QKYiDX9OeSarLDMM9WmZeIaBBQ379inzNT/x/UkCW1Wh +3mJDBwh9ThC1TI5MWqpaWQfNMoPVVgzfdvR0u6f6CIKnL41grbeXHnGe91J6IkBl +JyWemnhwJd4Wae+ekMeCV0pRAaMRXaAYDBb8SvN74Gnoa9SdxVfdNSS5Iq4bNRbL +Edor3ar2DvjTwfLrOManue7CneDsZsAu4V86vGD6r9o9I3swTaWJBU53NrAdmx5G +hW3d91KEC4lDzEzojZz0UOVSxH2IixEyIK9VKx//7WyEXxRgEysi37RLS1+atrzx +LNGp4mCTZaGC6q4F7/4H7dO2Cs5kXmq5pAbk/F4ji0Y0YsNK0QzEXsOkTsghQkvF +Y7mVjVEoWb3VlrqzaVoUH+N9+EqSMRkWF1XnD903tTcycyyeEdYxcJbpYEkURoU7 +dyWcasjuU6p7DWHErovpe91158+jao+Lq8BJSEaHNaqnXIEkMqlLFgeozurEZW58 +mWj1JGRaNOf3pGQ4KlKGP+YBx9Q+9Lbo+CCypA8gBuZzBDKX93nc+OtHzSwEjKf/ +Tfk+bS2a1L1mvWpBHD1PoV6lV+8FCFWVV7xxg3ORF32Op+7p9sLTvttX9wfDzmpC +BP0Z2H9OXsgse3p3gdC0vCZA6ZK8j/SzcxoRe3v4X1qglpJ6pLRV4uP2ivMWIaTt +rbKsTNXku5uo/i/Wks8SOFMzzkg78OveXykro1lDGzDM26U6/tZUuw6L2l2f+0Xt +NqomSRfOHdl/tf88hKjI7Si3c0C+HxKbJe00gTpHXaSoCiPbxF/gsCPEY7E0VvmW +mrWMjeQVsmJGu5jgGqWv+nlMjRRm8O/f28joF5GNl2g508vnkCpwZzApFCwSY/G6 +gqAKOv/v+Xk2/RrS10byQrkq+cshVKyog4nmW59y6dvt3a2m2jea7AYaA5NtwbHZ +OBtojUIPlVXrW2OrHIxzpVcgrNQkBUCiPMkq1IJhrHIoDWG6EryI1erWQBeOOspe +3qKgzweLBLVYZFx1mGSHag8f2tD1my/kLS9AiLAipQlNT5im376fQ4IfdDrMFZ1t +ynxN5d7+BUpf9X6UB1zVtlfq0+dmYoYhqqQlSKIQGSiXsV1OMdUc+rJjNtumi6kj +qDHtysZ7em0je3BYf2t/MarC8EiBojE8LNNnQXA2xdvIqd9CJTMeTBSpSaDZzNFD +h17Y1SU6jFchhtES2j7CaQC2kErr02aIVzMbJXBJs5jFe6vzIUUyteO2D4QRYyJR +3s51o06/O1jxmN/mlwEaXnxK/iipdcLYDWdwwlpmFjaT7BjG7nKCOOcLmhEVGa11 +0TiwUjEa7Gxx83VlOqkj+G0eMzy/nzgU0qf+KvAwB9EfAU/x7UTuhtczRzVXnmp2 +RZW8mT9ZQyP/FS+FmF5T/n9+Ee7o7SxE6OQMLuk66IBtAclWm0VomlqAlPaRiBil +QkoZzTdNOUspl+V/HqDuVCzjlrKt6Pp0CQV3B9S3iIwp05q6Xfyj6UfS6N3fGSEl +iSlOpuaDYg2iI13iJ/Rnk+RJWFDRTIUrLGl2OKRBpBo3EE1bS/g892MmuT57XSx6 +2DKq5uUhZMdpzPgkPmc88ADBcplodAKbulgi/JnW6JcQY2YfKtxNqkFYjVLreV/q +c3z7qpLyT7ClRkUSupG808tveX5ob6kBzDsk3eo0uyQLlIj5nf6zlhhTtxhoOCeU +QL7B1kZbUCJKhIpWvhEj72zASSN6TVhJG8tuBneZb4+YjK3BbzwZsIBwM0pgbGb8 +RsME1M92aDexAjANc40/lgJKK04LGCFeHTvmbNqy6Qxn39vLZ8uDH4+OdaF3+5W1 +oyK8fg7OOX03kgdnNdnivAeCDH0Z1D9XM0FCYisbVBpZ3CvwcibntOiM/HgLHZh/ +/d6M/EUIcDQT6O7MsCVGuEekrZQzCuLVXD+mnsuqvuDow7Wv0gCs3hXbXDEVd1TF +/z6kJLhhrAbMVYBWhWQMDCtrU2gNE7BZUGNzdu4DIpqhof/IBXzOQ1WCGBxSSQoZ +E0O/hf7/7vL0s4h/4zK6DWo/1uXCf/iwJUUKv+Z0tnPSlOa1AClbqeXLgAebsjJ7 +Dmue6jYMIJ7rg7nNo7oIZkcR+mKIKfAebNAAxc9H0WM5V2aY7rew/4qC39TurigI +Wk3z9wwaf9ezB1XIH+bOnNZSiZpAdYzLEgKev2YZGEzoXDZA+caozn8ojSM1S9i8 +vM/dey7UlvD7Tf2Ed2OwBRmweoAfRDH1O2aCpHeEgvir6DZNNdqtuhrq+ri6N1wU +kEYxVLz4S7VzJfb6+zxu1zWuNT7eT/gXo3/lB8DohIiKdNKjhzfhostt48BDwgdM +s/U+qb/+vE6UOcAf0vxTT9RH4/gnUb5XXb+P5Inyix0Ni84eFst2tyLD6lL5jvjR +2lh1T99vHBxuo73ZeDU+43zxA6ujtidyroXYQ7Yr5YSL2O8ArSDUJ+o3HeNs9Lhn +W4QQbv2dXujJHXi8ilLcWcKzHMcZtasM365Aef/kB4KrYCYbpVAZ7co9rkeZWnrp +gwJJI6ZrdSsGc3JFiErrEfTh3qQFXjPjArLcqaHjK/7Bjg2wkNJlX8qXU1/4Epco +1GxM3plQY1PlznUR5q+fmaxQRk6ABKGHD88vBjh0aY1DzaVH+upgWVKisjY31Sch +DRv7fvWw7Dn9ZjtyrtIRD/zrxR5rJWlTGB6IBoK4qvqne3nj8T6JNO3fsL9yrFE7 +is2AGWDdD3nFYC4MPKXgeH/wEHsfUE58BQngioeArmW9MD1VUobUsCLcWKnTDEEZ +T1BHQX9q0AD0LjO9qNZKnnXm9QGa4sCXFSq3i/RkVCrrB6cEoKhWfIXmdoa/DpTn +zGQgyyksg0W8fKcpHvHIt/i/803PF0abWEkaZwvgeFx91EL8Q6d3BfflgTaHP0KJ +2CX8CE8KCumb6rlMX3PE5gRl6kmYHJ6BezB07n53NR5/SGTLJyp//bp2trHDhPVi +a+ZX6O0qHOUdarp3zGDCl0UsOWAXnxhqGK9RPLVMj6r0mUJpgH5Z9t7uPBwqOLrg +HhEL46pnUzpDd0ID5qSD5cgIltdRVqFDOGTG6/EFFwpkoVluzXSyco+nppl2bby0 +MvHVRe5NmrU5PGXB8hV7dV+LfFy7mkT/rlNidFQ02o22pRo1lhPu58gdNNFXSVWH +W6UChgBKhX53SR3FxvqXKxtarUq2jYBGk6x1hi61X8lwiSA0IZChkZHvNH49/CaT +ruEOmSMBmxv0t360bWCacQv0R4FmJxlKpLmoblZGPX2i2rmS0QarhcCoJ15IGki6 +G92ei5ZKPMyMOFVpAg1y8Igj4tA4XulIXCj90epRrR4mAKEUCMs8YlH0WnWBtwEa +tHvz55XLMpDM5YOE36/X7pI7dSbOyHl3xNSTdQRFNOCIaR3VHF9gH9ugCbSCa/l/ +BqRec0BW5HscRq3IYWj2hBed+GnL/WIjwT2S1eGdqQQFRGbeFtkI4/FVXvjt9Tz1 +zETD5nCmQN6rjfoXS13BTiGAZGZWH2iiIcHmmSKZOGhzKt+ww1SAhVpkzuulMK2O +hd6GuRBLqbO8I7V6+IREg0FlcYNBGvw16hKWpiYTm6uCB8j0bUYuzX/XqK8BYkkC +D55emQloaYX6ImbwICS113rBywgNgQdufKxvQvDYUanu/kgVlg2/j685fHUYh9y0 +ya5uBCUuwKnZEHN0obfn04Bm+9jU1e+0YkKUhAA2PZeRxQTaJKmNd2Z+dzxXdXfJ +fHKUVGi1atdA85C8z4JZVI+1HHQpIKSxCrh8FOUFKjInaEJXS3eY/t+69pV9Gn7P +RfbCUy+M1+hYlSWFNr0/jDBk9TYQO5c2mizXkbc5dWE/FmsI0QezPG8TJPDvCNf/ +ZHr0PDvQHFY28Vs5PhM5wCUg33Ln1x9QSA8ov7H7smtHzqcXT+Q+Ddbj2b9VuHl5 +B0d2eLpRMhJ4UgW7JyqDhJrIXd1SKjIgn1uu+FL7zoQ/5AmltaaIwtP1sQF0Fivz +AHu3+D4JVkSUxBPlEQLrrjW84nEsK4m1gyY3og4+22iYmcTJ86FplBBkGlLKVETU +lsV3mS9cjeFYO4ljH70U4aXAa5sByJCcxGVC7nChJWVBzd1k7TdG3/ve58NJ5LEs +eDNS+DvMWVwErgye6L1faNUJMg2CI14kf9hxV82wZm6zNH0MGTs2KTFHBsc5pHJu +ukC2qf56MMBaLXamfNoRPkfkCrpV88ipF6l+jHI6KaMLptIjDC5j525xscBTa6ae +VjSy+m23iOQd4rYccixomVPA7iZdu3xZW6atJMhjyPsTUPlIaKqzT9roBGmDC9Cn +2nV6c/NQ/Ww1gzwlMNxoOHmo2xBaF4ym1UnvEGdQwiSqGSUKZ+KrbXRG8Olnyfv5 +1AI4AImmzc3KT5AUQJtLS5FzW7hCsdMWgnHZMQbq0AWapXzdjalGD+WAxEnxSXt/ +FyFAP5cgWIRNF4vPQtiA08/L0PtkQH61siS4Ah04joMAqucC14au2oEBA+F4k+CQ +1nVG0uYtf+9ESC+giab3b4HrFBWyvvWtsRZvS79MKQYh4eLaPaH+Sc9BXZYGSDw/ +BxXDUVu9HLeJroduqFSXw+WQ6tad/QvhXuWKNtZ+6E2fiEGWjyYc6+YNjXLFwckg +NIEEahNoPen8OtTj8IdkdGyvavj4xgiU3yNcNDSmb7pLTpqJtBR2TxLuHYWmL21g +uICELI0eiq+riUmiqQMI9qCcijbatBLkFzEt36JxFkqO9qYBvzmxOEPo6/J/nva/ +1xOcODBQatabSw3qBVidIw6d+hQVpRcMC00d5TZxP+3PHn7zwToYSxbIrwTbDbaG +iVeg0DVHJVnXXZtz+ktkMoHgtW8gpK55ZjODBD1b4fBg0bhYdetE+0YCCHwGJ25V +b+u+qpQEEPzVrEMwCNmmHjGLu6hjjmom3rqnHO60WQAD1lgzWr2KcGbq4gibvHKN +tEShnYoZBLihYc1b5/s4rtWDCdmA+IYCZGUsA65a8lGgs13/KdD1pVzVKK2kGBxU +qAWN5gA4XO10+mXYoV2/Zlx8ohDeGEAeyMctrdarFLXp4jAwv+TSop4qQXUW/V3z +jIltYhbfHzZiSDrXizG47huAA/BHEJwA+GGDHxOjLGkp4h6nzAoXGP4S4H41URYO +F7x+ACfcLpPTT2jAD0/As/5ulZiwe+GiCD6ixmELXesgvkethkiSPyb1tVcZIUeP +AO8gAeFM7pPGBsb7iLQTsJlhmTkd1EYWJopuBJcPqHuUBlDgNOvKa4deH0FL5uFl +H/suftdSafjy4l16Wk04/LXNSq0Hx+4/N5ZezhOtPpRWWOEUGV4kjsUf2InAe1gi +PgEjNEBlvUKt9q5aPBI1+EpIfJ71nBs/pNt9OjlnuUzuP10MfFt07FQXgOCKAYPU +ovZyaTA+0opeCacPvnyzhJK+8nRF6I6VmfjvSNhp+ABRxMFL/tmYCZlxzs5W8yCm +qIfDKxsAieBExoGCLOjDiGb0GGFRwHHqhFH/9oidAZCzKzKdi7TojC6l8cvAEfi8 +cKmMmU55qzvAoA6DCDOZy6eel3YdxF1hG7I2/pOK0ZMDAAK9RBJK1VZMRaOQN8GX +KdxflEpAAmPsZO2lgZP0BKcZvlrquSp4RhHd5cW+fVkpssd2rPKqcYmEd6AnIPED +ErmpYOnhHP/E7mL+boplBeQhB2k4xeBeYGTmUa65A5xdxme068J3Tn8NOFVONGmB +IVIvvFKN81zurOiKZeZbraaTx1PhgONmMoHgICGJYiZjxJMBGuVlUdm+8s8dEwmC +VnN7D9hxgZk1q3zPORjvto0Nw4Vwx7J25Y3vfh3jnKMAbY4GO+dtlGtqBxOA7zLg +on4egmthPJIlWX8iFgXY8QOEqcmHSTXOBVP6PStPY5ZGdtbrozpDBdExrTgNGCks +juJvPWTXYX/OtFJxFvsNJYJZYkKCEa7WjMWQ1zGqECMwsMRnQHWnlYgwnLlnaRbK +gThQ7QoVuXVbmRzA1IKyXfTWgRMGEsCMGXTNCGyW2zDUQyqUs0fCONgtj1e+jdSG +UxOVwpDYpQXzekWpoe7gO5WqxpPgUh/Vz79mhDtwSSjdsIBZf52vPRcQGW/SAW/N +OJUAqwwZRVCllHE1W3EAwvrjXi5VrLC8B6Orvb5OwmvsKGscjIltTyhotT6wE9Y7 +6AXIvtV2Z7UhTOyS0BRzrYxdMre0wnzUbA9xPFU7z/T02Zjf/jdWX+toMh6gsejI +6sSy6upO5RtxIPkFM9CIM1GfWYUAi7uwhyC0D8MGQTtGsBsuZBUjdI6NdkM2IHrR +82v2w0eyVFEulWHfNgfShwBopImlH1VezoFNKLOr/m/UspfUZvFQc08Z1HaY066i +VajxdiEYshok33xWd1LAm6n5JKceT+0YBqflU6RtLNp7qny6jW2veByekzaY6YWL +AfMCirevjQdZcZKY0UEqDVELGHj6lt6529ngMGtc9dPt2sjm7punOAmFzEe8c+pm +at9Vo+esbyxem+HQBOQQSg/2x5cG9gvT+GLAWGwZQoLF82Em7JPYeDm8i4pynFSQ +Rg93J9zlZCSdbbZ6KlWaiCzZ1+xYeOM+gE2ho5Pn1YEDEA9WZqLoDzB+PADeWIpR +t1gNedwK4jOJVrirvBFXoLbuptgWrUgB7CDsTRKMYMm2fATbWY57MtwemX1TSd99 +Kz3khziQcGMJ3ESp/2iLWrXRbOa6HRPkCgZ8cAZSKHNF5surb66Q1Fi8l1Zz/aPI +V+t6x5TdsnGzw02TRiAp1oIyWbJkdGkJkmIOGkVNOPMzHw4WYmzo3oNU7c6Af4E4 +STtQY5y4qLQZcEP4Ermj+W1+de9zWbPHacgwnOfVz1FBplI9/JN1uJ8Szseuxgd3 +QnM+/fNzZqkebFSMF6W7qSc/tCnJpFGeePz3+KwkejyVFHi0Byhia0Qa6YpTUITl +yfz8v22QHc9dk8+t3MElid7nuURX6PKm667Xf2JYpzUepgTSEIgC2fwFDp3VrkNy +05NJV6E32VUyfzt5kbldvEwsm8Y4GQVJPTdqasiirQUZcV9XD86dghCuiI2tSMX+ +ANhJNUU42830dW+aOuH0b5fd8cATFPkgJqy2kuK5CTbsxE4FThiLRv26vY8zXFlB +huvFiKqfjYDpazqN8InlJXmwWM+sV3cVBwj71iRXwFHL9FBi19BEOq9MmKWxxUdO +ZWfev/O61swVbFWCa/Vy1oT20NVnx4h2HQ1wTBhgVXM/3sgFj5F2RuUFfRiImbaI +KKtMDqHVA0FPbgHAWE7rOjWHFKQXe1TeD0gcN5HJNF4ikt/M9N6rnmx/WlOnsiIE +r5PurHoyzBS6G3DpLUNyL8dZJP/EogB5js9vgVprGBh+TsRiV23t1zPPmhbNw2RR +lHYwrClDKbbNu/bQM2O2Idoq9qa+3WIE2MEtOtljPERUHxooAIHiI59gJNicYSuA +FB829LdDj6rKWXAD2wrVxfcIgTN2OPYxuU/dGNLnrkT+t5fGK7pAMixBnPstnRz2 +QL6Q77q2eWxuacR3//TPhW5p2gzFfwJkWRWiVfGqPPnsz1GHrZUci3/qa7J0O5dj +osOzZpE7jezlpdcxmuDwcnocX/Qm3FJ3Kf3DpGMqcdyz1UoBhzq04hNffPVaufO7 +PrgypZeyDPo4vv3q4NX4WCinUQwsX6He8/RpZYLgVDiKP7GqVzO7mxvGuvClfyr3 +J2RoiT/R3/7ijQnGcDw2Udbbc6PwCcxUF+WOjtYYg4bpvDMVz/gqaFbdbV2J94vv +SPsqL5jvf7rbZ2ftYXbaPXGNkIENv660Euh2ZtWmgGvmt9or2QG7+UcKHT74kqRY +f86dS4vcD83m+f+9/O81q0NnSqEU5Wc5JEYYj2jGKryi1YmDDcmKBW4bogygG5ee +UMbe43+GOFva5QXrUzdJsDKpY1FsyZbm+ojASg38MkIqTvCZ3Autl7TEO2ofTdaK +UWlZJ6TNg77y3jUKtdSqpC+48iU/fQEduXIBRssucP6FVrl/2gHTDB+7iD/Hdf8j +dePwZL4NMwiPvBPinZqQx1eBr1qpgRycZvnitp1BGXyoofLMqYI0LLABVh4K5vF/ +ECYG22JaSegsrDCLGAALYoWAFNWFrUXmv1lhwk8HOgEq0RtRiXsWiEkcaNPNNPb7 +O7S6I38k39fkE6KgY7A/5IUnng0yHR3jzMMad6Tq9TU1y4yOTajOzrT/JdD3n936 +HniTCOdfJz5UIKc3SatTWsvLbQTroLrNw9cOtI8294EPys+bBVyxbH++PNv1vg3H +/o+cQwmW6B7ANAfyy6bAJePEe50fVZe1aujiLBVnHDx0SVIvgxiEGP3IeOqpIRdY +4U2nCK5yMN2cCwANKGC/TqJuH/VqeMQjncnnYaRz44ynS0078GRhH0cASg9V0l+f +AVGNwLUCnV8ey0F/lRxiYkJkg7NHyWjwiLcBqvrreTixYotufm6myI4TMc7le7bI +spT6cxVOEXImmq8bG82DcL4L2sx42C8VgoZOe9ri6kb3Gq7GijxihIlK5ugSo9rj +OrNJgIJ3W5fMOLSQDv/KqYLUVAoZgG6DW9dLa9Q7gBqfNhApoVdfxwOmg1A+KZfw +3ZM49zB4UHPEKQejFDiHJnUK3SYHs53JiiwmFDx4ODhiG/9P5P9R3wjlRLu/ozP+ +0GkPe0dM7BGyA8W3PZc6uYeuqlRMUkAv7XbLH22B2Mm28VTVNZq8PLeqPjjZAvKX +L7DAkkm8Q9fRPnxqijGDLryj94/5VeyAIMLpViPLnM3DsCRdpto04zNiTI+AdBxI +hOp52yDrO4R3Vio/dJbgOD8p12hg2vdZzsWP5xixFPtnK040dl7GLioW3m6ytv1t +Q+qYC6JgjvPjfT5lcidc55GL8zb2FRHjbo54N2ghRZh9d3ddiPAoJINFLiwgOQ/P +e09KANk79sOj1sjjEsGuLy76Dnm/uv7jYubH6NvLmhpZLDf7nMGpoivroAoXMtXz +KaTliy8hGmf2XoOkX+mFRM/uc0qfClMDLaNWKcPUXbT+ySkdWLWDl1XheFuwEilJ +n3H2WCKWOw/34Vf5Nra5fhNdp9mHBD41LyhpvO/D/GEStvq+ZMIE104t90/oKSqb +nq6DxxOSBzu/cyTSYDW+splJVPI/KqeoM/9I0u+2RCAZwKJ4GGng7bplqaI45ote +06X1hXqak68Ziij0OHqOjkYP8QerfCd7CmQWA8YgPOrFiQBZA8vjMENwXIEiON0I +y/2tSmGEZHo0MM4DzKzyP9GksmwSHxhXd119q6aZALY/ZrGGyNM+FpHI6BR5WysD +bSsZkW7Gpe6ywbO8OcIR12UVdkENOT5UScIwiX/0SR27quFEt+tnXzK1I/UwVcdM +iFrTdfbgE2VxyIUlS13mGUCZr5oUZhJrUZ5nXA3LmnXDkA4Is8lVP1Vetd+Phj6s +vutANE5Gp3QArT51Crr36cN4WKms83gtE3Xsf1CKEqGDiWJS70lC/aoFK6kjiEYT +WxBzpvFeDq7ZHsrYPXOCsTScu9/nngxB5HbhpOxT9KkP6piauIMi75D0XIDaD8yd +GnsM8dPPqXs2urpJ4zInASmX7DwIpzEAgfKovkYneMppEmf6Z53k4E2h+XYQXfzI +vqmDMcfFh7uyjgv7Ejw8HCm0HB1ANwvBtcH2vOmN91EISz7o8USu45nD7zLNypNb +jXh5oe94Q3dIyK3V2sw4mFKj9bYwEVvBpctPJ5bc8a57GjTgS+zhajsryML1ceam +RZZ4WcfoRKk7joS6//AW0NUwifX34Uw5jHWLZes8yqJW/ZarG26xLF9o5FSY7Jg5 +mRp9ZSZ6UiZ8SnGSiebW4GqSsiTnz8rP/e/cdyU0FFPdl02G0FcoN/RPtA2vBp/L +NzgksWZ9Z30thi8UnqcE2PYNArjKAzrA7JIKkxz/aWgZk1WEtnSmBKyQSQbR+47d +H25PdKEaZv21SSlbZcwu/tgso28zuwLGn5nfKgKMcN+K0kd8saDgSipNL4w8kblY +SZggZBKhDnWwu+miYBpTpWdnShJYEJpYr55aRnaVgcFqbupU7z+Mnj5nQt/2YzZ7 +wd7CkiCVozTwPs5bX5yNQU9N/y0Q8+RmPZzdFAUll7rW4Zb16F/qv+0euHxZncva +jkDDyifeB3ycQnwRLlXGMJaVO59o0xXapWRNa3hd57mxbznsY7etnipGPZpnsGb/ +NZ9J+AuVJwhuSzEv00/ZLayL3Bva6co7GP/l0t1pVxR9ju70v0l+7uKkI3hIJTw4 +LDBv3v3dOByZOVTS2SucWtTrvlOIv9q9OuVDdLUYOu5+kj9T8a1rwZrsr65SxrXj +o9JJKcQZ//byaJjLlvrNJqRr8dlJTJT3Wg+LWKWxwCT5LE1a9x9+4ZwxVC0cdiHY +z7TlsUZ5zQkCA0yeDKynEbzQbi4kHZDU+AsYbH64QuRv4HiThbMep1RG2bonfCOs +IIH/9nvwnGpEDF2spNTPFTx97jb8HfNLRESTdEV5I0ZbANqGbMbNMHDrTxiL8LVh +hIxRAPqViPXe7rCJSDglrfo45QPXYGyfC5x5QshpTuA+lkAIiwoJR7Hn7nOzS1YF +0/IW8qzc2+cSJWQA5bj+iNjF480JKfTAJJACKPDMST35vOA88vmEXDNKDW/ot6OI +hTXQ6BPS5cwg76eEjjE18yua6hwzfL7x/yOYipkst3A/IznJXFbCpNuYs+BV8q60 +9etJG6jvKgTui1igCqS3TJPCkg0M15XlNhT7GyQrNSJNClWJIRcwsHMBpDBOOzgN +aZPDR7a1loZMXdlqcdzM0zel31DH8GGwks6lfOCTtLkI9k/fVlXPhKWtAtE488T5 +wLTUd6Ln9bWK8YfVWAFG/hjyAV2neDBkiMN/NlxqAjZFTja84pcQx2HAoonfdyc5 +pTv/wevr4A2rFKhIJcCmt+SJ1EVz8WvnPxuVXX9vaBX95/HUny/rfHCBSXWYWzz9 +tcJCn7bI5+bYMg96l1xEeoDV7OSoJCv0rfe5ds5XRaHDIp1XsKNSp7oVsKekitib +mpNtWrHlra8Iazqi4pIe+ruahNxjivXbhZ1KI5IEoK1EjJV+uTMPAhqaOt4EXEVl +q2WVFDIMWhh30Vb9bkid4erHDXRSRuG3HqJu/30V9HagaNNWNRU7Wkx5qARVItOk +CDWekeKyJDBV1jOiZQmvuFZLKRcY4tsziGOewYJsioW6BvWzhEnfOT9BeKe/8oF1 +HiKP5kjd5BeI85qETljOsEd5jZK9MRsjLGNEeEKeBtm/tD4YiNMdwqH+5GVSi+NA +3ZTAlLbKZ34cyn9JCx0pjnBi5G1s3cpYtkB1kaYmYLCP4FfycV6RrWOrYMYMh0Cv +xhzP+5SdbJrkV5KsmnxmVd73IJiDG+SJ/srmShichaYR2Mj0Li6aY+gx0qNClmDw +dTID/frxnsdJlJnSEwRzwE5rruVtdcs+yvkE3gmASv5rI1VV3IUMMU2RBIMO1hWu +Qq2scnUOney8VaWQFCrwK7BUaVDD9c347/wRQUfJw5YjjFPO7/iPX9/jU8hcgwwZ +YDQvZQpvJHf82cqv6Z05XfQQ8mTfLXlskZmk7t4+vp8mV9alaUE1vYa7hQvfx7fe +Q+p5SIfze0MJcsCMmh3Kqse0W1NxHq1SoT/vANrRGOWZRur+qazEr6GItsQ0VNlr +REilf8BQTvbvihgDA/vjpO+s/DhjSnIb8ReP3SkUmfIULp1opsnAtQKxphr+mH64 +G5MiNIh9XKNXfI8YP2yR+nV2yJqElPia38TbDvg7b5COQeGHqlTkZY0r3XVAal1M +j1Nk6G2xBv5uBAgJouYj0qB0Hr39GSQKB4KimNHiwcTa2EDFivRZjdIE8Vc4QhYY +YWW3/2Hs6YVb3nVsZT0jb1nDM5pPInJsd3/0fTk+BUc3+zf6L45SCRAAEc50k/8+ +v0+id3ecSHw84Z0H5ou32mBB7j1zoS+0j+IuNCbj89dJnwUrGR+VGAa+66qle7jD +A5x6jhFEnhuVYdDBCsb5KDMX6aDooeSMdV7KLfvPYqnq5fDMnU7X42vxgXRqNrPs +NR3VMEfib6nf12nD+AUPnpf1PmakyvsuHD7n80dmm8kazbAkJpUXCuZl+M0+MJSo +RO/j1KXO/sY1B6XbdI+/pLa+HpdO4ywPGiCspsv2oXBhOE6MgIIutet+pLOVhPcM +zLeTurpN1J7MnI34oFXQowLifC+JUH2oteDmWVkXb8KjOcKNi0fqYnZ4TJBMpS8d +YhYQVZoh3U6JV7hW34wWSjQyZb5g6De8tg+XTMS89m9KT1qNJrjqcdwNVYSdjg46 +jW7K6B3E+miWgwutEM5W+kCBHThTepD/rM7+IUCL+uwQebJjeHlHIzJrU1cTKlgg +ppVSYSyz0cx742XLpsl/IZ98bTV7HjAMKkZsHqjA4ps5gSqc7LwrcSZyGIUDyFVU +kIntr7Sdb1nam6pL6uxX8UupQZC3e+VSohDMsl+k8qOJ0VULbdUEkK/lh+hcR9cA +vqugif2ZvTkoojtCDCoYuS+dws1UhXCcijM7QJ8ae6Bn/TAIA06BN+JYHatnPVR8 +uGovY7peY9KOREE0+s2p/bQXEkYtNS5wss4EQbBMU82S/Tk35twPUOdEmFiIS2wS +SoxBmcpgFxQeL1pboqkd/JJ0aHM9nSlBXoYg2GplmsTo8G86ycxo1RCI2K2v15K1 +LrFDlp7nvuVyRqBYEROSbARGIPFOXbrjPjb+cbcTiJekkRdROMypcS/JnIHWcsWj +fOUrFbJht/gd1sYjXsFj7XwXNER6pBmZLA3Xb8vUWWRJcCLTjl52Kn86UR9lSnc0 +zHGJS5tcVxbgEolOap+76YULh1clWhntBZZpPiwKE1Kv+jtfEgT7PB7sI+6N3GIs +tg9vbrjliY4vZeRfjb1zxj79zjBK6sBlC5dNGMgu78EVwn4dndos+uC1rq4mIwPe +oDOu91+D28CxP7/sfb4DZuaBQGIGjdPY+dEo2hkE/UPewTEsUw37uX0sqA+QWCdM +wib4UR4e6U8xwBgHpDsl1QOw/ZvM1Sa3yGVr/uiwaS5y05B1ayoqZRgJgYYEcSMn +5wvqKW//ENbpNucY+Ttt2VAH0vDE/jAeZxwTLxroCziLUyNk53ODcXprrmBwghLu +TbauingJuauJgKL3oQsgBTPDP4PebiFEMOESU73nqm4+tOzY6TPLmnhao/0fkIf8 +VK+ywHSpEZIAGwMgiJH/N+GP7K+kzoR4TW1zWNPPb14jFhCh1Qj38zOA4zyYGmbN +dm9cdH6qltnQWCqp1HPy/DVOA6ry5bq2+/pEE3juuyARU/oxiy9Z5b1nz2HZHH01 +Vz8rgcv2L/QO3GKfEzREGSjOQu23rVieJJSSxCWJW+B08E+3o8eDjCArE6BPhW7j +zFI3yTEdWjxPHOSGvtiq3VdrZ2dRcU5Ib50r0hzmbklfCPTZ/Yzu6j5RxsTNCjCT +e04f2ykRjupS3XiwaCmGUtpIONYtWKzbiAgts917NHLE2M2McruySQOqt54+BsxD +t7n4di1d2TyCF5t2QV9ck0NoXXrdkQ97vFz+bH5IXdhO+m4NKLr/pj2XRKWMZZy1 +kGYg4Ypy8YxSqu8O0tEV8LYsWgjCQvfH1dQNt3dVtfL7Mkboi/V5G97wSlwy6CnV +HiSeNX+x3MJdoYBWT6M5LWIH0sDz12QzfcJ1MHfKOx0I0WxBL3w0ShmHHFWCDJ5N +ku7RN1ups+tgtI1YqTPGuR+0CQRE1qQKH5GHfceiOWpMPO8lfTB5M9u5IybdFTP8 +Vf5W5kRN9aakcNLKD+9ZUA2ont/uNkala4fz46NqgG+8bqFoDd4z0aI7Othq+Jr9 +yaVhu2o7AmYd+td1Q5r8Z1hxdNRcc2tPgfKNfNK/EfAEqNaT2aubHHqp1yL2cSsL +fs2DWl9m3k74DkX2ggwPVS08PQqBEugiKOr46sa8oZz7WOcc1Pdyuzgs27Qrme7D +dy6lApNRkjaNQnJfuk04an+IcIGD00hUdfecDNiDgQrr47IoEwv1hy5lJBnGo2QC +SgTgA2+vhQPLGgFq6rTGn0Hi8auOK0SvXFNdJ5NGGkzxH3C1C0QiXCadMnkWwrX8 +vgIHot3lrkmxCnkAX9ZB6g+shXNV6soQ+1kVbCcz3i34EBpziuhW/PSNWfZhsjkh +i9iXbxuPTB1L7zp9xAttEOHTZfE9/lchDnzy0WmgFBn+LQU2I/s5YvIIhphFqz1J +9lZhHvWNCLe5wWONck98cPVDFxQ2uEl9hJgWBNEgoAuzn2bJ5jnRzURmxpLiorzr +c9ZMtHZPYO4BrGqKpbzejy18/96AzreOu6XyqAqj8Jnr1zWE2hbOWoUu9yWijDNE +CbzI/9/vJbPLBIwO+33b0Dnv7tj2oZKCgAGxlR56C0laW6N5RzyTahotJYaR6azi +WYXiNangaXGC8BbB+CLM+GuvqYQSDBLqguN9moZ06IuZdRvKd+ROgm5Km/TDk+Fw +B88imwJiD/1iaaoTQ9Bxrww8UCe10FMrhvB4E0gE3lRBExBYh9+mbHnd4oEIPF3G +s1mhIcyw/ypdlJQ3wyYIpm7pER+LYwsNlFqApqxqBTGtQbu1zdarf2qXSn0HJReA +bTzrCK4U/nfEUarhe/e6nnJGdzkpU9SXEr98zmG1xhsJYXi1GQq/wL7mFsWAY4l/ +cBWLYCC3KMVPNyUwxnAMK1+aslrDgOr/3IBu+Xh5wbJn7RjApLh45O0EMlU8hbL4 +VH+sWWeTUdA1B0LvRFq9Y3dMNaWW66Wk4ezE03g49WsU80AeWLN5aMV81CVaBFY5 +N9qA/IWXD1SVt3wNMt6mh3ZAjNgXsqbkOV7quFgO+ux/oyEiRsnwkLerM6/zMCAm +u7VEgJZdJYATndAlGa/P3db67d9BzBb/ChusBGVBKSa+205aMcCgDqolUqJlLN51 +6HiMoT7FNFzBHgdljOhYrSyqXrtZKNIxUuXg93YafYO+Hq2h933T7GxCPB/KOPBx +VXrJMOBUA2+VJbchulNpnZInkWgNvMHk7Bj9ldQn8poXKoiAobciDk+fY5she6f0 +xvy7r8Z2Ncxoz9MDr1CVVNPeFp5iQW9YgJT9OqY2Q7vB5rV7QtBOdt9qNoEkMmOp +xngC1Q6pDNUB5gu+hYgCkcLvNy+OIl8fpqpsMOJPaIuYi3iu/jPONOqUQwNLx4JT +D1yfDGQh9valqJJi2w6Ahoa0SxtJFqAaoOThPykdbd/OdOvpWDdVEzaymb2swysk +L0ofl0dX0fVAr47XduM8SZ9aXxBc7axRgR8EKR1d5JDOWXuXl9yty+gYXm5xo3GC +6b4on1jO0ADCu9Mnzgw+q0XvGobaWJlv21WqIioy/esuVzP2b517dFe+uqXQ8BOB +06sQwDDW3r9QTFOf97yu2/RvkqqUqJqiJF9Tro/H1OsjDNm+Z0lvQ28r8RLVbddf +vPMPHcaVT3WWD280gq7cL858MPFhtEs+hqBA8FASGd+/Xvee4dDkxqs1Zfs36Je2 +HVZT4o1kEu80kOO1W78BtEFFMsOUmmMY4Bcz7LRzFetpk8dlYTLHXgXzjgK3Ya/R +E8O+MAaOFJJS2ptiREogs8F4NhyQ2KVPJKsq7Vxi3XMjOw9ohXCuLCzLNbbIcuo4 +C3VJevr3y9qCFoZE9JK+85IzSU7WOQoidJRwBWwgs8FjMblrKI3StyS21xFTkxEV +QQPcCbHHYsCq6PTYi9iz0uWH7aWjAQbmslsF1I66LnTc8y1aB58Naa3zNoLPwG7k +lHmRgvsvP/eoKJjYTntl4IWCedHs722Uw2ljVUEuiLJ76ToGCHQxTqgccSTi8/EL +sbaIJ/PnGb295ffZa84i//JAz8X/0RpmGOPosrIqGpLgT/sY5T5uxLdbLH3ML8VF +9rSu7NUTcCqlHXfvi9/iC4D5tGIW3jHJMHwF2YCnInsJLqt/1OxMUWTw9rosvwWF +lDw7LHIAoXc+jzajzZFMtuoOXCGdexZvUZDZyiVQ1t2ardOnEIs+ap0wEA1Z3c7D +ut1J/xw/XTVUTlwk72TbWOPw0IGDWtsiU6T7sKi7w+w3z7w+OXBWcCwLRtCQiVp4 +BIoziSWzHoP8OZc47Arrn2YOmsEUclOvkEcGNJP3A/8RNJEz+WSl9pE6XL6eeD5R +CaOB9I9+h5Mz6C1AvoT7tg15681Jx7zvJfqAgdhzbLDdzWfr1cN3oj/HR6elbOQk +vrFccERn29Rc2bBDsM35V9deXLaQMyw7BHx2go7xcPUXFh6qM+Nk8J5Ye53g+f8/ +IVgujs4grMyiKu5eAIO/VRufNpzlJ+cwiz008KiJsWNx8WozmVlJNUjs3M3UjTQn +sKRKLYYePnLyJ1Oj7dEx81plv138QKL5Jpbc7lWVPlrkejT3+3iapbhdduv4pWWf +LWCpNgXm3riDVlbreh0R0gQ+EO4o7gzDOHtTN0SzgbOuhi3kHr8fffgPj0+2cPj0 +4SyEhjiMNSwCujA0XKx9T9hvPg9nD41Mm76LN/CXPxQuZubmyMZL1swDAsVd85aI +1drojW/I9z6Gbn9HRBe0ZRkOOgOzoK0qsXrQkVFF72341O8qOg1kqVDTGetG3Y2f +8rdXzWTGb7vAr5a0Fr1D/LqIgNtzRFrOZRccZStrxcgbFa/i6o/CTDk8jvRW6oQP +lIDdMPp6Tbof2FsPuusLz9uLJVXBrPojfbacLJse9bK9ewnGMvXmubNrvCPeZIo3 +H4AeS/uQAk/bhPqznrXksl9Zj7bo9N++6uXIhFbixPBlxcdbpJnYK2JMZkjxy8RZ +hE0FenbOyqRHMigtFlbApOjZWZKes9oe6tqTOlrHe/Ic0k9x5h3HbmsUcRnFuSme +FN6nxhv1Ogqwpgxjznbxv7n17sKhwTS0eLu4RKpcOtEfW/CE095W4fu7sb9plq56 +FDA98k8Cj0DxNb9x+gpBwrQxxkV1Lxf5HXD8tr3QSUvM4fCguSXMKvk1SFyDaPhk +py75oRFBl+ME29f0cND7nmk0GNVJJZxwMev9aQ6a4BDS0Q6QUVrgTpeqJQ6zqRaW +8EnEp+s908PlX7a+CsGXaKDbkDt9QajTtfJBKbCLyVDg+V6WTHGuSWvoNpfMF0Aw +kxI9rRJKxzkb0uRjRSJNV8i6bGm8WosIqX/wLhi+gsD60QLiSRrCov4+QjNQgbed +HEBpCAyoBg3jAHC+n6WHhAvuvYGin0hQ/hMm7mqYxu9W+HZwHBL16YX6nGDU1dNW +PM7PGR5DSYpWbFiPQ7X049yeKjjF1mdqu/d39U+h1u0xBAlqkVRAASmlSxgJCeTE +N2csD8DAoOCJWjOCwC2EohqL5rClFuwUR0d+hXl0/kLsW186YzGc7oL3pYsvfsYy +Z++5wDPyy419QJwvOVb/89BwuZM1g4MDjl6lzVNOoIR/XccRUbn63e+OH3qES0JL +OzPTtaIfQVJMd9hto7KUqfcHT65uUJ6u9nNDelfZmdw4W/C+5+8oAqLI9aoUovum +OcKcb4q7z2Zh6el2aWWVtryPa3feESY8nWQwNJQGlaKTqjkDs6LMBMGL4t72TD9F +ZJdseyuu3+wG0QHbC1gpZ3glwKDs9E0O+Kk/59Q/ItIWKB5CuPJZHqDP7joZnA/m +wqgaJ48DsoNTjenoi+Y7BCWeO/r9Cls+eyV9X2XQHyxZu2YhkeOqqeWV37nIbZ2H +c//sPHtm4rJNAX14JPvpJ1iu+9O1Gwb46QSxvIJi2FYJEt8YozQ/lg6O7FSXplaO +sIWVCEyR3ofXPljY1EHhIvFhuPfrnzHnVQz+OpYjbdDpkkMPKw9K4rtBby1lu7OZ +YZBbeZYGQ2Abb3BvXN0+ek11+TmzhT3VLrLim9aT8/2kxcQvk9qSq4y6f7IvuTZm +i6UQ5QCTAeUtBSXAVTtC10z8nfYY53G4BIKL5HZeDXtUsPsMk4LFB65/9g4tf0h2 +2XStK/7UA8izC2KdIUuU4uQAlSXyJ1cCjuFEbKEj62FgCKRy3uJOxQFcEm7wngJt +EjGDAbGLBFrtOy9Z/RyPqpJJeVBHY32C3Av3V0tZwrfeznnISmrbTpeM/VCArd1H +m/XE7lfG0DjFwVrWBB/oj4PpzvVB3Q2lik/9dAeX5sRK2Ob2AMB91Jr3Uj6p+0Yn +iu+tLz89+ZdAPbrRQdb0Sj7vYVjA+tP919ACk7oyoUz/p8JLpOSoYI2Sn0IMPHHM +gbIKqEpJYYN/DoHKOTiflME125k0kyT9R3gku8mFicVvLFf5qeoU73LgqtkOnxb1 +etO2z7cvF438YhuqKzrMsrM63TQrot1WaafcIn93cwYOsAP7vJCVN1PV8XQIpzbj +p3e5DyXqgGWg8QjNwf0WYYg/dhqZoYFi1X2mWxUxTCLVU812Clb0+Ck4ZjwqI/gE +fKiAG7X2JDgYVLtQKza4D8B2JTvbGyAXQ5pWHsPY+0bZvYs5uJZb63TrKAOpTriL +h4T7+/L2+OeK5vpzwosLLb+/GUwFS95IbPHyHZ2BimCVTb/OkATPlW2otljl2X5d +E832mFYSvFM8ZQzsWddHAOYsFXAnhrthJ4KFo6OzxK5K1oG9/WahI2nRV1jmh3ge +hjJAorYJMrXhC56OBRh/we13SVfYUZsrG6Y2o5zcMx1D/lCIS5sDBBXSq0BRol+6 +C+dKmRX5RJtlyPGi7CH4HqO2US8g7fkc88JErlYqnaqt0EvroagbqiAbxXwj5sbX +1ssUa/I9PvcwpMtsXj4iGt+2+ZuEQk9KB5moW98rniUYbN/NTxSnnnRfFBCZeM4Y +YDyHykPeOTbho96Nl3C+9f6FI9huiS7+w2BQeGEGuYwHjdn13OGdvrnOa5OOTeey +W0QtnLzU6xHw1u3hR1eTxaLtnU/BNnI52faaJ+S7+p/M2+C+thkLLhhMEhEIfc2b +UqmmDN7nqJdNQmym51mnRdMwSagvXGSnrLPnZ4pT9AkGken/Q1STTrCkJc65a6fE +cQxGlwTlP0Za29uaL9WC1LJAa/qI45Er6P8bV4UP4oSZuyDJUf1nLR6ShO4crwgY +WbK+zUHRBboJqGyzisl2IJ+kpB902TsDIY+iYpGjc+99TbdHIY/PZsgnXyjKHUso +YrR+ZY15TcDRhdwttZ2LC2wB7qNode9twwu3HIsZMq8zalk3AgU7tnGvPcZlKKlP +zY4cTpSATBKw+IfsmzFn0qn52oIWjcePtCIuaIB1kx/2Z8R3fpxe6ExEorwj5N2n +20fTUhe6I+gKe8891iAOQuzQoOvZr+KpbPCic9pln+0jLw5NnK9w+I3LyxPsqbhA +JL5oiN36TR0xuwzRIPfT9NY5FWo5R2kZ6wSKcOmCTYdyLNeEzq9ZlUf8+iiKka2G +5Km2PSy90MDaHs7qBlS3AT3o2yazRrN9s4yqA4qX/DjDfPmG9QaNKZfhh4ghJhvY +7tSnlhIACM3MVNGPP882583JZxkUU+aotKuqqVE2mFZuP7r9Q7/Ys2HxMitakIfO +U4CdjCOfc1PLRZ51K16plrHY52uaS7s4lfy3Sro/VzvxPjBzStTRdg/lMnWUH2Ii +XqwgG1rNlOk4hLRRgljQV0H1iDG7uosXJP+Y3bhn87fFgXCAun+On49P5HqWbn2i +qo9IWIIUiZoS93B9YmA3evb+493c69Mh2IiYXCyuVi18souywFphGu4eOdPoPTJ0 +06wG6cWAuqVIbFOx7r25wMW3UnAYhI8uzNd2EDAUuaPNfZkHcPOD/yA0BQ7SJ+DD +XkN39Qw7fUD1u0dAPRT6dvbos7AEQU41m7+qXCqYA7bMsKDEtt6YRib0r+xw5RCM +LwK4jXiMhWW64S9vvL5dDwHRzZ7FuAopXHKOWObOxyMNM32ZLps6+QNB8J7k+sed +L/KiyKVMdrnC1hZdAnTkHTG3oercLI3lQh4+gsK0Z7h5gu00oP2ywcLApns+etNm +U22ue0J/vR0xiQ/80WwagBOzPmgYdt4Q7i3NRvyyNJRVF7qDYULkMUa0bFi1bTCy +cH+/uLM5CK+Rnv7Z/U+FkEIs0FCnWYvlICePA0nLgQcFCunCi+FCjjtChxW/Lznw +ddrJULZduZuqN0YhnEiu7fOFry/wf5/z3IjrjiJi6GN+axTa4hR7O82I6pre9LfI +N1XiOYvo3H2qXuK4sREuGlY53W6c5GMbxEGV0UCT17XFdgTKWKGI5MtPu7JT1X4x +YIS2nHxsIMyZKXxxsJAn7Uo3vdLHSfDw/RTlDEzuTUPjtqmr50bJR5d4aBzSk/wd +CN2ZZqA6ETj0XX7gtRkJCOH+PMdsbo1ChkcNpNJrjgGE5y6JYam0OhI28GRknOOS +ejNdwoawMX/huRG1VM12VJFj5qUS2uHH4aKgOnpjOjzn4f6NvEn24vWsh8txI7a9 +oUXDzHSb0ouW3CyKTmD8BNMnQ65XayksgNfwmfK+GLFJb3a+xQQNiX4WBGs8HMrf +A38SYmIRnqc0rbPI4mTZ8RNPifSCZe95AY9V3iQjvtXw1hr7YxVhNCFfRjmXGDcF +RYi6aeEIRI3mJURhqHdlw5WH3oxU5L5y2eHPJHfeo7t1kVbMGn7p1MdJR/BFKfQs +hnW0W9fXA5HT8bQhXa6ghux9OdBde8Po80RKakr2O3ZDQfDcYSAmjqCouAXviH7r +zJUmZ+bonqYzeK/uvsfk7ktc3vp5I2c+THjE9f7YxCMqvj8PNIb0kO3UP8yN5RtD +UB+G1mWqQXq9hRR4vgQ8L9m3pbGeN1m+GWhPinX0TGqaM3frhXIS7ZxUTzVOd8Vo +RvbDL8T4jytojnfqlg1aPE8z9mNdHEMMrzt4f7rvvIQKPx23cV670xugauYMPDpp +ssFH54gaXwz7Pu/lYzNyZNWz+/2o6wAJa4u96q/PVH1XTJzSL6OH4vqQLIauKqQm +Tol+/N95IG6BO56S461yQEISSl+mrCOz7fuV6Vc8Cmry+6Am4tQ8LEkZLMMHnY9T +J/zAqXRvlHCYATfZCVXvVsVFvRqAe87BFmQb9aJXLnPBZETdJ4FTfgElIgdpDC08 +D8Gw+YSGgW24H8bL+r3ShqYkLfoiQGaxIRlKTaQFOx0/z2w46eSrc6rFJrZKFUHU +Z4dy+GoFrKULkT51Ckk6LOwXnzTpO9j2n5NujaQlz5uL3AFE+yLd4dZ4RuQDuTp1 +zBirSccb0e2j7ME40clbblNiGNt+q/IOw2YDxTEym92kNHFjFXl5RydpqSZ51cHk +Y3qcc4PRA0K5mHHe6wqiRgL5vMDdurcLV8dEsg3LX4ytoORos1+ZcWSmG+MArPia ++MzDgJB9G67xktegzSRmlXMji2mrikBkYV7LtjeNlVeuYgiqZ1FKHtsSxgZD8QMp +yj82j06O1X87KL1FzqR+3osOOx+pIJ5MomrGJpIM486/pHX/XUzgbaCiuVDlFxRp +xZNkCm5/DE195EwGiUPTGmfOro0txFHxaCEbzYCL6FvNNdaKljRF/9zTix6qi7Jv +jMTYYXa8HHFjSe4kJgdM+nFa+mF0yGYw5FtF01f4fou37iGxkrgRLM4zZv55VT94 +GSYfn22jwzFZWBO9T7xWW8sKVSwuYDguSKInu+P1VLDnZOwgqn7bTdjnN67gONjY +5QlV68XfG+Dh4wktLamnWDm4Q4gOvcVZ0OcNX/I0mm7NMsVQly/FZfri7ADwUMyK +TBu4GpU8fAcCuX/XaGk4h/0DyalQRJJ5me+rhJdqR2V+e8IKsU209AyXYVjGzFDl +enf77F1diI1bLxqSQYPqAJBui7pPLr4TnMOmg+yRJKo= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_resync.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_resync.sv new file mode 100644 index 0000000000000000000000000000000000000000..b1e6c11c30214d200e1e3e3c547f9f71b640545d --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/alt_xcvr_resync.sv @@ -0,0 +1,125 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +sDDQnmJJCmlvAGewg4X1JRBACkHioZiLbzlD+YRwhK/25Ho0pp/Fm0PbLq0pnDFk +Fy6DW1DkX0xgyTh2ck/QLllaoDoIYySQX4SMX+oTZa5tY+eqMRUsXoJFJWXG7BYR +NU7nEWuiODNrD7ptbammFGF4LSdELgrfDkeOhWmedaA= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 4240) +0dF69i4vpmD+fpzqjtqYFcaldeoT3+6oKsjzDxl0Jzj2L+IaUT6dRmaJz+c0pWIn +QkBcizesS52Zm0MLzy4Jx5zHs/+zoHG35Zv6jc6kB6lUhidw+X4E3gRTMvA9gPBC +IxnrNJIIjghyiN9/kN1iGt9D4rRy5br4JYug0AdCnsE+R0QQmqRe/f8lh2r40TPx +eqTdk//g6pYYHCXIwKpmnrTjiCHUcz+0vZP6Kptl+8deE4UqxXktGi1AC3E52tqw +q5eMdPHZZBjEOixBlTSGPvu6UmG0IgBr/adl68jDdRwHCPnZl4pcX5Y9q0f55Omo +pK5msAEuhi1h98e3Lt4wybhfJSSh1ykCeuiYgZ0F8CK0dFPjyCXhwkILO5ht/1BV +C25tbIrel07DqUHgCvCh0iqgSdTROfGAoWeOIUqYK4AFFRFSN0AHud/ww12BfReC +G2YjVX6AknNlXQaF55jiihYfHAZDSFAYqcLImJk2DFKI5zD2nfmYrlyqlSRFieNO +QDgaE7pTkldf6pU4mn/mLEAxlwAji5HCr1QN+5ckGSOjjbr9tMnNqNJX5Ub5EPPY +QFkkW6PKpp4mqFWwegZj1Ljrl9rG+pyZBHW8h6+VGqgnLJr4to+q3/MWHB7mUsol +EGqGhAdehuC1HNvY3AyDq/b4KdydL11OuelSp/Yq90SVKFgNEe7OI6CFV1qHOFQa +lRRkU1MSl6lxw7E3nHZsuO4rOlv3PxJNEQxqmVZXcnmIbU9M5bIFmD6YHZnu58Yi +/C4jfIgjFFfMOUI5o43s+cTnelvHhmbBoE0sRvYNbB3fCGDdZaeY/gKvWk2YcaK5 +pIYAKwwrdIy2W3n4FZ5YBrw8fQ1+rNml827AsBJCRAS88kBRJrrsn3KnEJSUi1Bl +oZbabWVEBbZxYS+u1FoYxOB0me35mctkL89KueXVJqgJrayQrVbf3vQNERGJQy6+ +NV5W6fbd9ogXnEAHC/dInkmZW7+2VpHeFMZ/ZozHE01w4cUqRzi/IGWI8jUiNOC2 +cXuJGvOLlSpT/GNKnwj1vDCRfjor4iUPX9YDl1Rdhst+1LUktqGf44dlOUBVWb+C +vabzE2MJCX15+8qDUhlx1P5MafDFebgaI9wqINwQ6gvT06ugwoTdJJDKhedX3t7I +hx5QwNk9qDwaA9+gXJUFkUkaoQth6sIo+EeDZ+ZqNXlEjTX+s17RW6YfaWnu5co/ +HiV5rA7WugkTgpz7MoOFgQd0syNicZoto3Tw3ZiU+gpwTrfoiOCVoLTNbx/9CINm +l1KyYAqRnRarmE3aI+2y61uXhg27iHbOwpaPK+gt83cUT44QfF+IyuaqhajVQOSP +5qYGMaMJGrAp9gjJMiBxlAdFvtJLl18a0Xdknr2Q68qYqkTVY4YEXKV+cWxVUqgR +DT9H3TM5oeDoI895m92twBwzTr58fRMIG3UiH+XCdBE+BbVYhqhlFqpiNcFUDeXi +Xd0F1H5iSL0//pS/6e+GVIcJD1JO6qzJ6EAaKPYfLZ4VN11g9KsfNzGvSYXafVny +wEBATjgaHS4Z3FJv896eRyfNEF1sr4RvYbOh47AYbSC7G1CtFm2EFRqM3PgmCmEd +6owDYwv1iuLEPfztyty4uO4I1udLy63aRRLSp+VqV1Rqz/zapbyDQryjbRNbn6tX +gW8mLVehQ1CyGFgwV7sIfGEzzhFxvu2eHMwTLl9h5ufPjSWj/Y5OMcJwUbyOrsj1 +TjKIparRXWbfPuP+X+DP8/GQPK0cf6HfbCzEe+VHjMLQQ941LI0+clO0zneWK4pi +YGB0wz+OOgibb0Nt8EA35pzMTXsOS1Qwskn1U7N3wCBWgonPUV2r9SBGdd/rXn33 +AKXHm08DsE+P3MMwldSsPGJUYFMu1Ct22Gzip6zCwNqbTk0KhrELeMIa/9dJHm4+ +GytGLcVGrlgAooZWUKyXOsk4rPEpDOGppJz/LVx0nq8KjvHu2SHXxCMGWJASm1f0 +NybceMTOuBznVzlRAya9ipyGcmiHweZUBAtYX+7gU1Q+SF3MOiQ7Ws+sJABG3p/e +lZw/ZcbCbjbcDjrNeMRn1lIwkYtee87xcZ0PJ3RGmeOcD78E215qPk0jmRQ+b0hy +yEFHEOSD5MyGa9SevhAaTNOVr/11A3Y/8FE/qXLJ2Ncl8mrZYH8jh1MNv8F0wfOS ++rbNGECYopZkacB82uPpRfwIxog/EF88/eJH7AJHcJ7fn/fmk3hloaNQcICyYg6C +Hdg6CAn/IXvX5wchOGkf2MXl79TBzAp9HtUM6qHVJIyiTByP4WxwEtLcfCpI2kCC +cgIuWTuO3pkR8N7j1mNuNLoN5ikjb8wQsRw2WYr5IBv9WirDYddQOdYhvcK/SXBp +08fBEjsNLpRdr3UDbVVk0lYyIckCoz39gcgfn/sipYhoue1bzJZKdFS+gn+R4Qcr +U1H9Rr/sc9T3nb5jQTaWQyPvtGW0LxNYLn49hr9XFig7B5x/5LEd9naGivu2TyBf +Ejs/s+oNLxxyfSDK6Yd8TJEeRzBpe7vd7liFJrZ0D2Ns+YL1ZBgKA7YDqXbIrZM4 +rM8mkA9358eWKiM60+8TR1scDgUoNbaqOihIqO982Ent3W5/cg5JnbrNvd2qHMRo +Bm+IEyRHYRDks/XchnMgBz8mlGTJ8MUL1cIGa6abBw1k4jUSWWusX930FumcEJx2 +wN8jG8mCjFsN8+oM3gq8CLzJjZNsxPiBdDuCsmIW/r3yU0dbtwvkdbG71fn0LYOw +lHQz3+MHbx27yTpc/u4AwG6ZL7VykyyQPzOm/UBhZkd6yH3x2Weh6uUz8j2vMl/w +lYt8vnvwT+jRCy0w5lIR5M0G9BeRE0hmKU8G4bMmUj+r66gNKFAcH7oiXakpUAIM +Wz3n4x4d7InaWI7pGAwfyL757CxZb6FOiVSWueGyjr3aEfhYOELDIqsglIl2IyL/ +hD0wp3xm1BOxtFCgHjqkq4sVRmi0Eo19T9BorlRoe1P4Uxx61ckNtageclZQ3/eF +izr49FEA2EOq3LFSQEQEc9jyhRSBD+u0b+QJt199OxOxnQecJ19n1tg6kH9FY0OO +csy0CN6bw7ArA7vLIjTjujS2oQcBONhjFHhW/8aanKBVVr2+Wu1FBwFL2TlwAB8W +bMFQCBNK+aa5F6iM2WfFRzX6mJy+KOSHpFaTDtyEEdzmRYx9Fw3AEwe/j1NDIaut +irhGcbvz/Jkzwai5nD+C/6Puwqgp6v1tIuFBDIEiyqZJA0ZC1j1GmM8/u8Ag8z3u +q32qtR7AORxdLiv+szS3wEdL0VvgkXhBR7Nr1oeE9By+KmYFl9yiyugXr7LpHsf4 +pJgO7f1HOX4l0ziHv92lZdmuLgd1Tc9JYQWsxDPoG1i8p7c1tuprDc6IMAQ8quwQ +qgEYJZ9M2pyf6EXhQU/5fq6EfiKW6rXLj/1m8rw2ub2EQPK9GdtQqxv1CclCYDgS +d+2d3EoXzJqQlfM5V2E8hGML0xsHMd4M3bQTXGSo6GYg672hPTsv3O/4t0ILvOAz +rT4c/g4Rt5jAzDtzRFerkPN8x+eFPTHRzJcvPYUlPbXIvXb6Zw1F0oV6mDhOhrJy +WJU69ICb2Bd2UE1FLKcn+zyszLJEgJ8CWoA6SvM55fWgS/zLUuxRyBtgbC5M7NYu +pvWzARAy2gk3pj/gb8Q5jirDLrijUFWzorOd1hdOssoQYt9Z74krpF8oof7mi37a +9ZanhtIlidGInriOBi22DqXNOPsMzeryuYpndPygCG8XnRtMyYM7BAMY6Qb9xMQV +jzQp4MjAKbbp7VwSE5C8YhwbdqAKIj2jQ7zV+yYnVtJS14Ofd+Eh2FbzggZQqTO6 +rgDSM1+/Zs64EBBT9WAShuuG4W7Gjjfwc+vRg4qbDJZwo5V3CEHIdxXPiNPLWc5T +cr+iiUeRjQIuIp2fOvyJW+PwNuxsPA6dYt7XfZEPm5S2++QOy4x96lPqdf1iLJxF +Cf+hfRRR952+arG9zKESoU9vQ4DDhWVnKvL+XJOSAA85tLw7/Zzijf0MGYX8X6jZ +JQGvZHxiCHQJcEXmyMRtqzb4+utXQmzTfY/HnMIS+sBHnb+xRI/JWhYAba7rrdFU +s02F8ouT7mBxBKtmzZ8stfrlpIOwY6TCIsjqu3wffGy3LZj/IuoPIRiODQEILh43 +H1UR+zm3O4tS/jCTfUoarfGMWK7RTpJqSRlN2WC4SfGyyu/MHc+Vkii2Oetcx9dl +BFZ2y83zJsYha4lg9BIgn0OAsADeYmfayTJAqeYa2f1BwxLpcT0XOY5f1GESeQAK +J6z/jbVwNuGXmLTP9kMOiK/Lh3FAQRjEH9sXCLn42Wc+ua96/FtOY0EXSQFlIzw/ +O6LURLoA97opjZlKyZdod7wmccc0eAipjHYto7DNXCoAYg0l7BFzn/ACxOWaoEsN +0UHLpy/Vo9NfQwEmQI/cccCmIZkebTMXPugo+Tfkdf+E1Nfy9MZD0wO8u2PNFaF6 ++DRta3wt1kx75AAg4zBiAN8+qWI/0XHSzeU51v/oZavXVIWW/dyxZgZEU8MIcso9 +268N6rjl1Opb7HYjbuZKe1J90zDkEK0OQhPTxHNK6P/gAUKNhdbQ4f2uO1kKv+NR +/pvLSxwbFwArH0tUxth+ODeu6UP2qUkkU7GHvec6jSFAdqN1x7PV3KQuOC/452V6 +kXB5PW+gW2DVS/MWYqJBjswjBMVQELebRvyehXRcPWMYYU6PLFKpEDzR9GrCNT7Q +9GPe1Wd29yfn84dMfH8ZiZHaAewsy20HJrnl6bNdgNImJ3H0fEegufuJY9W5i98m +dJ+c2wDJnse3OUJV2US+IRymm4JrCswL7fIeU/5O2UxGKLrsOjCBhJF9SBuvbCHe +5KqkREVEtx/pwnHeMt+pAY8/7Nza+E+7j8HmTj4QSqn/3gEKeEok2bEO5bHZwpHF +Akt2r2cZ0M8zlIZ38+gmvK2mL8O+HPa7NJKyWwn+ASOaoiRk9tYbQ7pOxG21z91Z +Op62WCoKjr1pn4k59FaTmYamh8ejWIv/g5OnjzjDpx/gg1Sck2bfgM5bsJGQeG1l +/4zfIl6VYsrp9iOyGLTWf4QxPNqlQxqpCcZMvarkvXNSuDZSjoHezf74xBbWLAIm +e01bJpmxcqjTz82ULgqzaqb+oDueXQ5T6LauD9r0FQnIU6J+06T11ymF9tLs1N4l +hSMQfbGNvAFBJW9RO9ekUzz6lLIp+P2zA0dMg16BiTkC8OsCYmZFp8t9exVZZbqB +tSg1lSaQ+syFuRnZmV+p7d9uAFz5EUYNmb1PkVNsAWdVok8eR9I3FwC9oXbI7gMO +s6MQ1LfJMuSirDjuRbO6iiTngFtg3IvVG4o8O1F4z3azC9cpuEyumOLGv1ZIdtf1 +YwyA01HFTeTUSdqZrcLI+sHLpx7S/QOFRBPonFlTHBXtyr6yNlyEJIuFWrBf9obk +f1mFQ9GDW+GQP8A+54vFKRjUCf/UYM2CUfXWcBTO14Phk0MMUG3BY2DvIrPah2d+ +LMPw6W9lvFIRDG1D3V2FJyAumlWkKr7P5H6yNAt36HRbDNidXYf+6ee6fR0vHnmx +BZ7Rby/8asrnMFPZ44mjGM8+d60zl+7h5Dyylh8EaVoa2EBuX9UNkEBsurCzhXq2 +K7fcKFVqylEaw5PEizuX8A== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/altera_wait_generate.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/altera_wait_generate.v new file mode 100644 index 0000000000000000000000000000000000000000..ef008094f669832fc7edb6f42638490009bac90d --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/altera_wait_generate.v @@ -0,0 +1,76 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +NTatKXD2vaAi56tZ5DimfYyEBW+JNwsK30ioUF5+LXwIzabb/re9ghIliAm41Kbj +zbloRVszlY3nFtz1WHPT9jPMU0pItMwKUzNVmknZX54s0QnNft+yXWHGFMDhCqww +Ebf5ievExkme2joWSqIoSRCeN2RIunNQaCIQ1jdUM7A= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 1888) +GmriwwnyuxUO9Kb8dsFAFx3Mz0qotDSw4V3K01NFzzSitHuWzcwuxsMMadAiof4g +akxItPGw8Tmf5jyDK0zXYmaiwyTJvQoH2rCqPQiNlZ7HG0i+al20vzwwVLZImwkG +N21DOlLLXlByhR0M2iDFYwcRB1JKiuiVkrGKw9YV399rOqogoO7OkU8aMX1jSqjb +phd6Josn0Ufp6sQlC8mhDNCCHmgH1b8KZcxoS4lhNblVkhqgzT+k6EAzGP2RQ9E4 +P7SSifAERpCSlxLMLm45wygRLfrwzaueVAMfAUYnn7pdRXg7d7RE83APEMdgMCxC +ll2j/YVIYpS/oumYnXw5hmChfMD3mQo/uKlau5mFfzlXx5O3d0TdJjjwmOH6jnTG +7kLDGSVl1rQCT+GZuLtGbRxT6JTEWsJo1qvnFat0zzqCUvAvoorcnmDDoX6j5n9N +onDpAnoPc9vpafBhgtne1b13mr3tCTDgqOyUaCAO0KWtinCprjhn1JTUlf9jdqxW +J5pm0JhafMDPItj0+3+wEfBazJnZrisfFebTnBwW7D0KMH9acVXnkDCTPT3vIDLi +6z2AXbyfsvV42khqHzT7RCbIJ5hwqYGPanrg+SPUnLzArhaIYDs4jaxiSkzENxPU +6wY8qyJBQ+Btc1xdwiUFUCHD5E/ffXhufnAWv72ZeTjMufyOJAU+vncERpbbx9iA +Sam5UzJ9ZQ/NeatEkQHJ0KL23M3HX1INJQb7CzXXkfqG5kL7cNjmoAdjNwbApK7p ++3PfFFyY/TzztVThLRICywKk3LqrjWU+3sgb72apvw4HSWof8+FL/ANGAiLFdI/y +wbfHOjgizSwcZlwD03o8YymdXmVU1tijW7J4PqgiMuNfDHR3MnidXqfpyl89aHAv +cucpQFcJQQV7JeImnPKOrGdIBUIU77MmZiqfslznxYYvRmq9MiNPUmA/ToSkuNOC +9ayXuTUsGzhSKnd292C4NCeE+yv0nP5vwiOqob6leeMdC6gKW50bPccApzWS0on7 +xoZ7efJHFNYu5mdETFqjC8AT7WOCODLwlHOfl1J98CRelR7rZUM5qZVqAfX1cPBb +YZ6W2hlwlWKbvBnw+c6knSZtUAjTgHpv2bxNFsk9hgs2J+EoAGmVzb1eUWM2aUOe +f079GNYnmAOXAr4uIBLqH413OsXhhrPWkkryf8s/br43brql2iwVFXKL78gojBiA +j2zRjp4NV9VAo8fyyBu59d6fdcKiS8gwFvfML2Mt99X0bxNjxZQW8pokdf7jVLVn +tWa998GTkJDYBZQboiPQrEluKvTzAN2kj4QvGDaN+VkAKLC/I3PaR3akDRkv6LKw +glBzKVQyL06abH8Y1uxUMSM8llDNT/5sPnH1voQjiZ4gOMmJS8A93Qbr/yxLz14Q +ndoOYAE3Yl1IN/gLDg97lIXf3ozevYsIajrxZzbj25u/cJllQqK34+b4Zijo9U3P +wqurly4RqeiaP4hc5Dwzx20d/enYIHHwN2BDyYFRYstKRDv9ERJpxXsM2TK4BdCo +i3Xutwlw7jS6v+UeG+sfDym9seDw4yWwN9CTOSGjY3pOpXjWsJd7EBoHyDTpdvyk +OkCNjX8wsZDO31ZT9oMiriler8k0Z0ktzWONwIuSCHb+lo5xyPxEjbIZ9SyNUQgI +/utggPQsJEL2MSPSrvxQ9QkrjkrFIb+/4pk1+3e3QTqTxxDdIAdBxWC+0FTDnq5D +voBFImrR7hy8rxRMsYYu0pZEVlDyxMJjcxlTlmYUh8UdKFd5NJ/Pgf20iF3FNDEl +IXVafVukTx3H1wbM05Z8YLsy4EBqBaTmzs+7aRUVpOjNlHr3y2GxMG6rpzbbOw7u +gpmmaLO5D5TInmZueFw4XSZbylHzq19ZWbP8Cm5VMLjZ1n3DGkGnoMi5u6FJ1Ulg +ABk/PuEHt/uXyMCQ2nxTKwBeQWn8YIDubiSRIVl+emq5cHMODhqjmZ6PEcyXL7uM +XamKvgVkrOyOKdtiF9Zm1dcMrgcmWCTu9/M7eIJ10VZjJIGC6Riftm5LuGAuisF9 +7StgAGt6QHAzDmGNnCH40JFkEln+tmVSC++uXNYDjg+5rcXeoMCyBYc67/jFCxrd +BRqdovNmV5xuH2QQpbTBI+RyRa2K/TkuvpEEKtjBhChA0TxFDvClzG8VsGwan6XX +0ErndHaclEsFbGxfP/k7lYpEb1tDCsN0AgC/BZkh3y+sLHAybRrc8IUwcyxe7FOV +EMg5HPOOwiebjFbaNHPsQ7Bdu5O2VWwWN8KJKFIl2lTj2okEEZC71XAU9IR/DQ6k +sGmjoL4U2knsM2EjQxoa2tITclN82WbDPrRPgKAAPoBrJZqrbjtGThZQbact1lq/ +rbXfHIQ+0mC5EcTxg95nDIIz8gBRYHG4w1MKepaUCptDsZ3+qDOtoIrchvzBTAia +BYBngDGBJAKTWEi1Gayxo7k7xQcB7PBhUSwW4LGawBu6oQwahqddjZC9fOIwKVd5 ++vCKN0rkhBcVPddr9EUQdw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv new file mode 100644 index 0000000000000000000000000000000000000000..bb92d08605ea29f7d2b2458456ce2000eb9e6a44 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv @@ -0,0 +1,666 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +pRrIJQeGz7EPVbooxqUk8+gHN8vsYnsYQxfwYXc6oQ3OyWm0ShI/K9+U/IAxgVtE +ja0fzV+9+qf1S8k1QWRuP/ccmMIIgIxN1JCLYUT2EWoRPwjYnPmqaSpa7iYJp37Z +nZ1qLsgZjHQCmBZQO3ECvHA7fcd5oe6LXuKiYuNnCyY= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 30240) +3U/nAmvn/4dun14Bm8hyJ2+5EOFAHvqCE96SC37PMi7FnzZf9e+6Ne6MjP4ZzgIv +Id8ybIeiFEHMreleT3N9q9lGCyyP4yY+Ze97w+RllbhBV8PmINvA3NFPHFXV8dx3 +CtSSQfKjRcfWSxGb8HR8crQi949Bnn5x5Egvpid9CRUoQNyF7W2br/mKmsYieAIw +9vtzpYs0U8w4+DQ+Kxfh7rAnZYzicFqSk61Vx9qDcRn9BJLIlm+nvvVPK0vYwWx5 +F39EzYoBx3fxVyJgnp2Wryi0mEB4o3bt3C62ujIruk/HxSdjgpz4qqS4wgoZZ85A +/dWW0Bhr/vtxvhdJHvEImeOWz1TvX1RtTo8KSnIw7VZBNuQsm+grhvdGGhSVU/KA +SkhzWO1YePYLLGcvRd52DSKx2eUQ69p4CIh9kpYUTw9qMlQeW+Rj/SOoTNGmNP10 +L3VbHSiWVqErtOELG1aHuDeDd4Okz9POnK3s7MeAQUeYLChTElLEmSrvsTTRNfnA +WALpgSc1PEt6G9gAI/+dG6mNm7h76Mgw6orqu7TtmvkgpuXi71mSZyzViwMQrvsd +LQ8q1uw+tD6XXGlfyLNB7r63M0kimGalob8xT99wKw3yFJN73NmnICbg2bK95OYt +C5Gnl7QY9daqfwT9QeBoo6kryu0s9hQyHzlnkLthHYDYu1YYK2ZM5HPnJvVShCDr +ddxy2Jb/3vkO0xrewqgGtShPLJRunCf9HLlSaaCpMXUAVwFbqDxdqvD184DRtfHi +Rt5W9Q92luwKz0/Eh12/T1jni0ybXH898KGtLTGmpaRmVThHaCUUTrRVCPNbmq5F +gzjGNfgYh57AT/Pf9Gw+j22LrXn7TlcfBQeNBxqTbd+NpwMU0EKm7VO3V6hQJk8W +kgClpxOe2rBziVY7ursDl7W4CpdMf6Nkhh54IspExZ+GwkMZ9MZOi6BNz4/k3Tdo +GDQlUdPX+6fCxv63WBFRG9cm1m26xLDsRxBm0c7Vks858kJc1saF5TVebGy+i/GR +s6ortFo97DUI3erHxC0/SJhaR9ivdkiHbTglHvGyt4Ws7IWXG5HUD3+AXrJ9Joq6 +Vt0JZdEBDAuUB2YaXB2u3inZfRm0Uhmz9LQTGcr40MRv7X2yKBoFoifrp5hAmpr6 +KkSxjrK1hk69h57y2VemYOpkEcPTpdhU62qBmanZhh+2z3YT+c4H1Wl+qrZco5sU +nH+p2yRflGfYwhPr3vddzeJfBCm0oNV/nliSnFwth2WWNyQ+DuXhVQIiVtRl9ATy +EaDIj/PIswip5sftanhYLMbdK/NwzMhYEVlTTuk209LbXJBhvUqMYkbMq5VIQ4u8 +Rb1wbSWKiczAhg0MXN+GqWaGW9Ws0/mbP/YfnbVfSg7wIMD8e2pXrM1Eyol8kBGP +LNkD80Ua47lkcZVo+zVFJid8dJhhef5zNOa3HosVBAnIsuTyAz9sfAkyqMh43tQE +7Pa9yY4C/hcbjRsT5eGGtlRKSn64BPN8ObA4t80RUcwYXIdPChAc6uw9EWzISIgN +WsL5GaWhA6M/dJoerawD1jENi+6dcRyw+TPsdCRi46R+qB26ghg2d6/9+D0kyeVH +9EQ/ytnSFLIP/vQ7OBogqo3Lk+dnAmsuwQ+letyinCnRW1TfEMq8zWB+niP9XgGn +MX+z8K+jbvtVZtqjuwvBKSw7H8YaSbCiHmBEZ8zHn0i/V/nU35EG6B6ZJI+cc5Gr +PIN+5yLhfMiNv1NKmpM6uSjXrn6y5+DtkHYe4Grp0naXi9dD8Aw7+QMZdRIigWiI +03IX9ValnrE53UytogBg1iaSqY8WHjDAD3m1IP5mkBcaWuqzNq6VzCVot2Yz1cRt +3/cvVwpjbxlXL468IRhJVbFxrEs1k505jqRgVtPUnQp9A7Wu4GdYC7skoBUG9TNL +iOXU2uv4NqYOVI5TM8qDsnJsyWXLmmYtUQryHKD73N2niIMXXUn31Ueo97nTOYSX +1Q3CPEkCfCE6pOsTDC93q6xYlwhH6Kvc6s1i87CHwVErtXZUx9sEod1ZEIBSjlYt +QMTYKZ8eJC7ap2rB+PHYVCpUXNQBMNEAdM4/PGpcYQNNPGt3hm3RSXHBgYkf3EFs +ETIyeDCiDOeqtTpISLsFkfPq3WVFADVb+jN79RH5V9+ormcV92IiEs2rsc6et3+G +i3XvLNTPCDdoeHEO1p2w3r1M6LR/OeS3vdUmCGYUl5HbI7t9+p77qtp3rC9U1nNh +PanJ2RhcF4Nvl6+D1Y3Rz1ENNyuMrlnL1r24OXIoOnfk7s2mwuHp13+HE6KbeYp8 +Q/+txgT9I1G5KdXz5QG6a3kyIlNiUkDCvVWW1wXzESaj7Vts+Iv3eMm9+18BD9qg +A63AiCFrOPq7MNerOsi/zW/gz/STWT4W2KFpXRmzXnWn9243S76Ai5AhzsGDapLk +VomEC0YFfGpTFla69710qtxgqEJtBOWUqyJ3EptNW34D8eyI1CPdwpatbWRiQl/r +ZuzV5Pp1LX4Pofv7KRcSa2p9QBBZuFt2E0mBPU75CoPET7rPq1Dl+YQBPSk0mYb8 +E4FPrItQIO3SsPe3fsKGlq9ceEW1AQY5G9xNow6lh0JTN8RvgYxMwAk1c1szS+bn +W1IpvAYa2BNonEf5kJe0K6tuAXuMwJFkVEk5bvBxtdmEYN3j7sr+VSuFhsbyHAqn +3zImgr4lvtTQWmB7eCX2Pk0dHTZS50oaovSBim6z8aP8KPBUSLKZ/c7qNm+iFGvC +EWjf/Ncvr+fmmyYGKw30WMN2rO+jEabfoIadENGs2MT0BnSCr9pc1flC5Lorc4Lf +lBzExMaAFG+V8SCM2ZQyIg/WGKGdEyyYy81KbXNfbAuCp1rFaMsv2R6FqoQrTt6D +j00pNzZK7STurezRIaEtI4Xm93AmOV5MEMi4/2MsvHdnWNrB7Lno7TFvuVFZQ02O +vOKhhQ1GrOIGI86navD0Bh/KzN27mCqrJ6WshZG6cv5Y4WepoVnV/P8ArGAhb5z7 +UvtHdwrLw62iaPeswEgFKau5mVldfNLSLFqqH03jHQmpNyoltYaw0agWzTpDlgR7 +sdmrU0L3mgn/IQsi5Hz1HSMBsGDYwZ9CAFxneY0LEEjdw7K0UGt3PF2a6oSID8E1 +jMQK0wLnmlpVXEJMYYhhHKiNYLfgvA1tHWgfJ0C+RKjfmbTeTWXvSfdtaLCDp5xs +SyAHwRHTzJYX4kBe5YSGgHr4SL6BROBntnwMwPZKzTx3T8E3xaUtpbO/Rhgx4dzY +jy+v++A0OHuR+MSyzS2rif0eKSKE16L5vBHL5qiXNGIyMCYgz+IpIjs09B4lBf1h +8yqIHjg4QMHPalfXjAGf4vGL4WxKzDgEnTYOgDFt5UkQmlPUiEw1akT0qsWcsq5N +3mF7ZL55oQgKX/p9a/vwKrfSu0zS6vda9n7SY7btp6LG+WdFXCgF4NRTQqlAWnKu +32CCstrhAl6TwQPjhexZKKvpEQ6g1V1gIwqyhba0ah5LpT5Lnn4hOEeULbLx+0Xb +UKgYSDp3Ca8MyADlum8dLfYVuvQMsMkE2+kXzRoguxmREYTAdeR+NIKEx9wUnBzZ +U7n0OxiHzfoMzO7rHxcstEgJr0Ft7j2pR4qQiQQG5D6c3huRgAo3olwhxzb318Wg +Fq19S9SUM86CXvML3vIqLaencnwT38alGwSlyaO4sr9oEQrBqZk7HJRAMFTMFHFD +XAqupPa8u/fAaoNVqQfMcEgqz3GsC92dH0cqUZPGOAIMtf6AsYaOrv2XsZMEJVKx +B9isrLMqLpB0MXtKdiVcwMhChj/uV2BPV7M/BEOjJn1o5hDNJbgHDH+XtkB9Hho5 +sZhL7biemDaHXADdh9LZx976KqoiQBhsdoY5ikL/9p1yIbS7OYG7XC8ZMjAn7crS +hxz0RoSBYns9Wi8vCrgk8ebrUbP/giP0J5bEKZDuvBS3NQDGM0t6Qq/Uw9FOb20I +eO8KTZyjqB4gS9BJKNcnxHbOeKtlf26OaeE6UM0M+Uqn27J4yDtjezslY2kG1B8Y +wT1hh0TDbt8iv5WtK2CBY0l90SPuQP3VZSjy9eKYCTf4QhHH5uYQ5EIacgGJct8I +K1V/C9QJ3zxH8FjvL2Y0ZiIejgRAqaCxzL3+K/+tedp/55v7U5Dxw0mfuYfdZGoZ +BwV6vJyBDXA7rqJpw6NrzWvaqboVaqVOGh66z/5rvSQBOae9P92M/HsF1TsH2T+d +3IBH5YPiXoepxJ5GnL31D31a89T4yiT6KSnI2eWnhnTlGVzSQydUb+yYYD0twB7R +smSxNrjKAK4ekexxxlfns86KnSYmcCu1qO1/C8YZZS1Y1R6gj9cwTvxyHo8JyPTI +C621tHU/6vLLJt2BncKDSTpoKHv+ZULeB0RpJ2DPclQBCWHXVgYqdvPamuvJ1/+Y +mi8u5yFMWUkHfmxEN1bHjCGIpqJ0WGALy/xCeROB2LU6PLUffHUZXrp/KkbS0PGb +8W4PQHN6FIKfCbYJ229V4QiSIiXYiLMOKYl2XnW0zJ7bqslY0/Fw0LDGt8npzkKE +D9tHa/j+Rr2BGUIgTKS3Tp2s6RIJuIOdbYrAnbFY5Cut1vDmY5PdI/QbtGY17dUw +DzrHMfcK03a0m/B/CkN6CkNvt2Vn++YXo2w5X5BkIH5bqfva8s1Z7/GJOtOqA0Kr +Ue0N0JANddp2TXT3fs7Gl/D4ELjK1yHrgO0An+vMA8HgSXUT4PB9B/7bRiSNULCL +UORLrcc8u4sIRg0zQ2PHWqqyZlnNwJtTJ9ZoCln6OHckjfqbXbdOABhL8E45zd65 +XJtpcdasojiNkL5CGFJwWS3/tjQqLwwHGszq3dqYozdAfdUcSGLKVY7BhLWiMR/w +MD7J3fZJGuAKoACMx51nGxhB+nQTUUuB498QptJB6L6Txbf0tk5qAF1ApDvv51jq +wfGnWAfFy0P8EwG2n89//GauRGCPpYPrIm3WtpvTnVNfUmfrKRuAo/1dNOAujFaq +MAZoLwAbBdrgDpLMrOdACNqjE9GaSAUvBO8v30m1kRAILO3b0YhxMBgiINOKu2Ec +RD+wBYRqKuqy3kf4lZmG6Dfe5MAyngsIZIAou0yWSOSTlLgo2tlRvTTvKwtqdzja +orceKkiVzX8lyCk6eeRLT1SmAKOsNevDvt6//B3Znw6GssC0jcv7XXSiRXo8F8HE +LGys2O5CIt6vBZ4iAwMErIWO7ACHcll+/TjSvtUz5Uka4VY3+vnh5+QugthjSTSP +08uBgrWJOGtqaoRkTGPdf30ui9xEICYwo6SIZ4XsepwyCOdwk8XsBnhoU8Hd0Tf+ +e/916i6oJIYM0eSmfy4eqwOsx5irLr+CPg5yoDqYXlfK1YajZCxGYi/Dva7DSquF +mVbk4KkDb4afGPsE97p1mMjqK3kgvHO9KuweqHpPzaf87vqQiW7Tsbf069Kn/9qg +Z6UUodkXwMXq7NRVWpEVyBISo8U8PHozxeSFrlqnn4cWUzZ8R4wgTSbu+RVv9YwA +9qKGXn+McMCZKm4QAN3RfM/lHoTqTn3WP0NWZv6IxBkXyVlwopdk5crwgIGXnobO +YcMrf8Xnjp8N46lc//qZu5Ee8SCvh6voXzODRs08vEUdE3+GqvTVl/6RGQsJwhh2 +0MHxuQkBnLFnT/Vw7OCX5FJBewJIvnfDPnYCEbLj/Sleab3CppH+2slcFon1mMDI +yQI013EPff9e07CgUwMeO0t7ljadjGQuRe3bS/Z9JOZPIaE5qKtgvfO9BP+UtyCS +I5JKThev1wTaZgnY/akYS8YhJW4RwmaeOrYNREmH5n1KtxUD4jgpe2H1UnKIYy+K +i9k0A5y/ytnYquv7TV8eBnkV3+ptP0dAe3+gdHbyMR8qFYinrUm2pDtULRDR18wY +Mq5fEe8I2G4NrUNaEBkHHxOxXbvnPRB0RBLrvFi67Prbbt6hps6hq4sMTwwzmTjD +rOLWUbq5al+b0rYJ/CRICPev+nmEisQ8KLY6OPHmVHqK/OUY02m7GZJcAesBDZeJ +j17S+sdYvuvjrWKguU3weSIvgjAys7KhoOV+xJcPZrTC8u4JXbjSBDkhnkp1iR4f +9+ROIq5Tza/W0sbM1eSojL5LBvoGzUKx43Es/VUqpeuc5SG2qI99OxkrLxe6XdrC +Aw5QvgEB0cxmcz59NFPa1LcSvR0+FX+bnr7eVSoN3EXgpCrsap3YrWkbNmNywr8C +BDPBnByDyCHrM9wji7dRQIAfH5ws/eI3Ec1UnPf7BgvMxVkD5bZ3jvtBwyRKc9ge +o4rdpCIhbXQN4eo9EIoBlm0q0TppnMrsmyW9Xa3xU6r1W9gM1+w/Qgmxa7qSJGKc +r4Jd5nOT/V8Zv3EJkw3qlAY+2SVBLhi+MsvmEs0PjFF5+5vgGHynuUoN2kuV7LLK +J+WoxcMRVjIUJHXpwcVJPNKnSNpIqPkE/IOF0sXcfBiZfLN3zcnRPaDg+WoDSqWD +emCaLosc/fcF02E1gj+Plk1ZVkUmXFzW6I4L4GOrbTCDcc74yDsunTF91LmSTtql +rMCLksjrdUlGlIPhD2jm7fAYiCPYA/KDTUNtJ7kMg5ljb+8WnwsF51mjyb9tWIJj +Db3zF0GV71CuyxYYaxtXxik8WnkK19bvIfbPgDfrAR0DVEzrVJxgVs4O80A00cmd +5GxA0iIG/bTyYsXYM45gQFRJHTJGovhskVvm0VadU/6mMTElaE9CKfbq345B4O9H +x8NSdZCjuBxk1TnCTe8TUVpL5UYLgD5bX2LJvnglvn1Np6OeEY3TgrcmPQwfQv9x +r24qQhxwM6/2grCfTdOKa9V3wOO/8R5hL6SbgeXu0tL3XHOMbuN9XcX+OFBuz1xf +NKdWCt4SzSOIuahWsIofDduMhLku3J3rW05rGDr4QTwhmDuc7XLU15bq+nBKe4iw +nG/qNLbEc3ondBjotnr9zvTzmty8z0IyNJxLWOgpY1v2HWVonotD6PXQpk6UWx5m +uha43mNraeZzeJzQlgIy7SBYGy+bFUt9ogiFaWt25VambMpAs9MLzpSyClvTSK5Y +y7uaKNhre/90ZvQ9FtVRFBn3OPXjAN2OxCe7dMQBYLV+6H48v75dYz36e050yeXU +BOlEy1U+weJPNtJ+LEoalm+frh8gaX9xXVEWwi2JVO/d5NrzgPNWzOWasVbAh6+i +b8a/2W8NzuVq2KynDJMNyyzAm4pGaTktn7BlyK+WkHpD2iGTxZQ+o+b9dbf4Fbl1 +KA0wvPk4pDBZBoBsl8zNC1LC50/eewNJVWRvJIoQ2Msg1WnPBIW0CTtf/yMutCWr +g9X7ej7Wr0B+ktV6qVyqZlLSVV1LWKB+fdR2POJbxSZHPTdnLxf277KdDXsYsWFn +HSYOIJ3i01JwVYaaIs8KnflIB0f6Zr6n0odEn04JcrYK1sN9snnjrqIe+SqHedae +VP0l2/nCZnGeiQmnkYQCZVtBRsQD6ZP7igqL+D2vtKV0ftg0yxOdJYaUWaPVTgUM +1gQSeoXqZ8ia758/r0oLtIkqa3qalZs1zXqL3EUo5Ck3DgZhCJsgVR4ue2cl95SW +zxTuKLx5Rq2HMWNGPMRc3oOzAN3L/yN/ZHPu+QZFM/L81JjUCMznUtIwUPGuPjn9 +ynvX+raDwwuJ8wrEDNuyhR3DnwMvxFd3GBghNKa9pAeVYgc+J7kLqLa+V7Ngdp0V +HLfwa1wARKhUfN7aYv4BivVsMC4F+LvaZRuw1Stto58Rk74nDfV8WZ0y64ITgWqa +jDjcO8RROFW5TN5YKEcbIPhPlqxi1QAS6+nQ/pvI/i0pmYl39wHujc+c/OGnmvEi +bvA3R/BZu1UiqOPxTZKY8ToQnF4dWlwsGe13QhbNywsBZWIcXvcwXT86a3vI4ubS +wlwTxW50IMjlkfiyi2IAg3lfPrrbVke3XWHFmXIYPWtvnp6x5Ltin82ebQeUxve5 +JlQ3pfTL6uptrs/NFt3eFnoW70NFudvljE3UfbihQ/ibfsfxrFiSS3hqYz6G72lz +aR22zCa39ObRDHlcMRA1vYJwX+kJ45+7eXh/dXQYHeeOIMYvGQTv5OzhBKXVvERV +/3Nab1B14Fv0d3BKeP58chJkb1XSYD/WXICHg422Y2Ce6tll5nolTxrtRkkbeq6U +IgPLWxm9CSQ7r2UmVYYSZnE7mEbYzSYgGz2CwogsvB1zUGVbx4tTZyoOZKfMFDwe +bi1r2jkXLwYw5NxmFTY1p1x1ZCSJ8MTHxwCQXdHw60O7R7pvXcJ/PnpIJaa6rhel +yibsYy+66NL+gb6dKm/UFepKEGIfbKKfN/oZ4DyLxCCSQrykPfEeCgVgw4rMh6gM +S4idOUJV7Nb7Ijuy/zClutWffeTsxtKsmnudbVw4UbKaHbGhi/gkyw6SmGPSUYyg +AuT4nMYxntwgoorSRfU/YShcRE4wyrnER7lBpmOeePVTlN4q8JmaYIp8UchYRCiA +5sOP5frxeylBl7e5+jBbY5+pAw5K3jYmLVx1rj27Vbiv73vhuOLAIuUZN1SfYtgS +2aKxlFZ32UvyQBRJLGwPE0VbIzUgMt+0SW3es3XoJL4QYbOn92FuJFBHmyHz/tbS +nIip9V4pka3x52Z38UopQJXCdTWgFGyxygXHGvjMW8NiLszqm2/cQ0YCnHKT2rIe +fBRLLtO0zKwdtiOmjGRuEKfKMHXxF0TSPDAboIGxSA/oK8CrFozr/P0yUyrhN5KB +S+XeMmxDsCKNjUaPk4wecIl602BWel1s7LQOWEo8F3iyRXOn+ioW5elBtUIjIGVF +gJtG0LndPGSOtagogU8daZZKpgA5okKYXUC9caSXVBlVFtxLlSO3c7E1gI3++VSa +GYvPhOSIBpeYcgFGKGqXMQKgSbjPJnqm0Mmq9vKY2iU7skiz2sW2x3vcQg+GmHO+ +Kc7/oamhRiLAZlX5gaGJSyFBt+f8DonaBf+TLUJNhUPlm2vc3iGwkJWMbKG39xIU +9HvcyMzuayELtfPj4CF7fXcmvZnaMqcMJRFaAldj4vhetNJvwppjk5DXsLFsvuyJ +wValt32Aoz4POr1yZzouQWX33OHpKr3k6imKdeRxsXLecBDlmgNnDNoU2mpUbCKR +LX/UI2fkgqFxKSJXrBOymGOClF/tjAQ/rwtDR5lQHRVB8ZhbdTy7SxA3uTl1x7vI +E6bCj7IzOFUoQEJN4A+Zgw2QTBwPoUz0ahkoEqDrDTzCN5rIYDNsy3mX3TD8q5MR +vhCp8/vG2WRPzW8icsp0oWldaeyzn/xRNEZ0jUJNvsqeBoxfhMqRV3/QTgm/gsSk +UZd/bljvBzSOhehKt4aDK5GVUDbOy7Po3Z+ezZNtWWFiaq3tD9JTn54JrCSyYtQ4 +ud9C5fTsKsrGmQJwIufiJdm1EHIvvf7F39XdRlQcG7glp4BHtqDOKGOzoTGk6fub +Y5YxJ7nj2fzpSmH3cynPWInreQFdUIX9+2h8wZ0Ln/x7DRemmbEQS0ApBoqZ9xyx +qBB4Xb/ZYc/mPDo7MHAC+m1T/YrTDcZMwQS8eBSKGBzruOgSLngApJXIwexz/qHj +8jFi53mI8XvvzgRX6xb2nGT0RcmaJi1SiSdbf7zUty7nwHxTYmgsHI1fF13CtqBG +d/YDY6AbBYrTd3pYnfjtoLgRv3tlWur/XQI6oERlJ7msXAqds2ZsoxQ4DDQPyuR5 +hWYkzA+lZyOZYUA9qXCrxkIMg5vBaPfLCM8wHEN4awrl4a8vf1hPE6tFqAbFJwWO +MVw+crt78tQLXK3FwsZbb4XgO+U3VyNjaHDlajq7PQ4teQliZ2+FfZ0zHtEuod6u +nHEdXoM/0Gha4M5Ms+hTM+bnLK6ZxPNYvez+72SIqIynePJfJNRj2t9JwvkdyLmY +JcrZigraxJa13a2yh7P2F6j1yDFuQYNm1Avm1GFSvYZdgylY2ncj9TYCboUTs13o +Ei1qgG+69v9irC8io5fyvk+Y2jYLOda7jdbndrEfOmoM1EfPbttteRYJ0esDZpAH +rtLapyX5imAu9iA+lQNTO8Jv/w9KMC/9/vpw7vTI6bYR3bmxhV3e42/2uDMxQA64 +T3wflPW9kJyNxukPVF10TzaglN7NJ6c6xhJ+6ayfrbVsjAClEO/4X0+f+S+UJpFj +FFKaxTFh+M5HmLyy9oQNqOaRhEV7Vw/g/mkyLrzS3fERlgDeJ0DHTb4cKw4cYn7K +zZmk9H9DcNJF90hH6+NskzAousM/BX33ixcZqLT9UlDV4eh8lamZNButpilXS8J7 +ewNYeEQFme/Zz5mFlyf9Hib+0q+lC1ZqOPr019q/l1Wt00ZCXj+QhpXqv0dltE+i +pvmWBYHlmD6UNSHlxi7gk0kmbJOW+QakbeSP348EMWdrcey7L4iFZG/mT7fgWhWT +FSfAoqXxxtdZjOo9ew/0yVxl4PO5tsq5XOMUorzr7SPmS5rs5A0ZBCKXw7men3WX +qPrKbclQzCavkxV0TyLbXluwaxBPBXuUvqbEkjQxSqh6/Re8xshTaT/jUPH09gKi +F1lqWPhOMjQELFtHHMvPXzJdXMsXfus8U7cWopDjUrikRCxxmD5WdqMH9SBUB5f8 +iTpSu0iIFBftpjrqvnQuqJFaYXtzwpTb5Nfh7TvXHbyavlzXPDOGhLzZowFvtCVv +1yrj8emFEbSpdet+fC81o0bEjkmvBhNB9/PljxO/cRoWDR/c8mGssPHjxWfBeFrA +PqqFRYzne8av5ACYf2E2EU2VnO24c5xNRI2V8XJffoR23XxKgoLBFnzGMZTwuLPv +UqwXSWeeTSrqjBdogK/UNVT3NmF830wtnRmqDA9Fsq9VZ7axIhJv+MwxJ4MWhCfX +DBf2MZdaE15v0J7bvrae+mY5jFv9JCmJvdnVBfFb9BeJw9HF0z44m/sUHZGUY6tL +20i1mbgXdMXJBDOO4mD9IQ8py13E/ZX5PBkIZPx1Kqsrq65NqoiQc3z1jHV3W86l +nNMvH6hwYQSMidDPRFQvnFwLn3nH76UpZ/3AOFxJLE2yMB409SN1drUrX31u0E2l +7urpiHTzCNgzK/grPYTkq/C9uBolW1w0tnn8Hzx9Q/rk4huL0kPpK5Gd612KA87s +6AFfGHZ6nn/G/oX0iR31r6YYKPCn5wP/ofoUx5t2aTG39HAGrTFL5wX0z9/GnDMe +jzCXhJMZ1+T0qcztTl7l6u1oia+2Q4t24Vwt0lJXec598fxyFuAi0YbvG8Zu3YlG +wTUFoMqTVGXw7swiAaTtoGcrEiiB9etyXRAsxT+FanNvLFcZYxYUFpg8BqnOKSlf +qR3DFUlVOL1Sub4XQLR2MFMagGcfM8p8qCnJLg1WCUvuBaEWGlE+px1R3dbSP8Ms +p0vxpXRbRjZX37HeEgrIEwGCCHEcvT5NE4ILX1gW/vjnfij2JhHVLc6ThhljbQV7 +x0SzvyMBSgDoiMeIP8cdTiS3Os/iJ23lLAMNB85lIY8Ewc8WNuAXyJe2RkzehF/z +kkCRMPjJ28NLaTOutdVrAAkzmPqZBvl2aIRKM885Bw/UjzrhLl3MumflwdyMqqML +ok8/kaFoVbApF/gj8n+/9DxVmaTnoLrVz/PIv/FJmzRAwbkJczKsM8601tCqv3aZ +ZCMmJ7XxuSYPIH7/fGxLHb+lYycM8vYG0H7s0aRn8rA88F0KN0BYWI0dFJU/cLB6 +p2BS+GjVsHauc5UOPVIxCH2M/6K9bKgexACFEdVElHbupaVEj0vorsSkBIPYDhUI +3T/feNNPcft7yn5PiVtbItA3mHA34U9SKztN6Oqv2cmEMPR3Z5cxZf/RUfdr5qEq +RsGonPygdDydXI4qBkxERkzSo2MaxL2qXkrEeciBde1trT7QbdhGkB6D2LVRkpiO +1k3FvfpGGAKpRRdc2EdeW3zvjCfVkzjfGJybjbsefyv1Cm+/uj83m7NijYH2N94k +SIGWMkh5LNQy/QrG/Mj+6/sMVEWXKxYM6r9DoeLw1aUO0UflRbv2sLbhKv/JlhIV +qDTwXgWeNOEyvuMD6cAsDXnYCzxVabysOD/pi9S4WNQXiUaA/CkVwqKeToSBf9RA +LQ7BOKzcAAQfltzbWcbAG0yVcvjho7iDT/Gzuof4i7QB7NMJZCTr+Z2Tu4jhT7Ot +Pjra6RdER1J+yoJ7bl3mo5w0KVAhpF2ycFwQ4sgtxUN6MOP+sEuEz+EoLkt8RhZp +bmYGp2EFiINMIi70xSyOtB8YZK19B9LU5hVN0Ihd6ofjz81bKptmuJClrgY1t+me +QyFe0m/bvHSsESJ8hX7kTwZnoI2s1Qa0cu5Sa9YiIlNvAs6LYvJ6e9CvH2YpAysH +Q97TJPwk1PYKYMMk57cVt/iPBTTb5QxSzqVulUR54XQYkF15JHCkraAog3aCvUad +0fAtD5eZei1C93VutSmdUWCZk+uDsgQB8t+u/Jy6/gol1nBboqB35yRBwjqKM/KD +s9j8Hd71C1CvFktYiOjgAmAO65F+wrQXjrAGyNyb19K/5RJjOfCk4TGg6BUJUlWk +9m3uF60EM9wr8V3qT+1+zmf7a4gsVZ2Fv4zY5F6M9mEsi/Z9hLjAmqZOiiiqcJiP +xYqa0eedshh914fX+sJHSQ/yXoYdUbPHRIjAp6xRFutXHsWyEhH3rHEY0oeWRkFq +GJoADWAPrL6uo4Vj1qq731mzVKjE3RwrHKlKpEiSbHx2zutXe2tMNtSSBHOFqqGU +oLO+rjwLT4dOZPg8GNqyf/fOG1X1za5pfbpN8LiS1tK/l452K/phbK98Bvb45vd7 +ezvSqNCkBvQm57JbQi7W9XvLI5Wfk2D/L5RTQczV4W2hyS+EZo9977wGndkJi3KD +E+QuUiL24D2Vs7Zzo+lkWsmorI6KI4HhaGknulePw42D14v9FLJcm/S08xIjfxbK +3FMQpQdcpq6WbgxBUuXvq9hdaNO99WRS2ucAneVWxctqqLFHBBamLsA72p+tvE1q +TvA+EgB4RQ38K1322fFThGyO/QNBC49Nve/qikqsOe9TccKwIJbwwoB8C+SwBLTp +tPiln/At7zJMC0MP2p66tPWUfV78OCwoChqA3LhZi9YN7eq9AC3B5jPC+bapP7jZ +F/gUPPSC67tA/Bpcq4g9v1S2EhjTyjgreVxwm0AqPjaFlWWLm/IRb+RlsFa5Cy/t +QJLRsXEiORs7RrHFUCasHeHJTzOEWp6K691FxRTgPvvyUO/AviqD/JfGSYZTjrqa +IqORL4OHC2LKW9B/x1Z149d8x2fwFIaH52vXeJvonNqb6OHIywSplbqaRshUEtoD +SlH2qt7LiZMN7lgsKvnDYuu+xBGi2A+xiSjsUZDq+5VPkk764OtV2jt7/gU+VGVW +hJ98XYMz2Fl6X3AEk6tamxRcYt8NPwR5qHcEh8j9CY/GmuqnMNLYWXr5lr7XPqQM +hgmTBIITwlZNd43+olb9iwYV5jGXpoLpfhzjh6TiChpdVYLKFOdTSsZe23zWhlG7 +ERTFpMWQoQNIG/QlA1Z4rrGbKe3AqMlN1AeukQkP8GazK4blMotqKm9OYoox+NsZ +EcAvG/f0vcYOTDlh96o/iSlygZpmHhI9DTlgpQ6BKB3X+2nVJ7uqW0YxZX9E+mDq +LDOas27rAy/KSMRjDpo5Qnvz1lWH9H3wFMnzdTJW9+XFWbN7apj3A0bHmdfTQfNf +IvpXpbP8R8xFj5Ft2ePVUJVIRtmNUWYNGb5sHWTs8ATBvkNgMDVcE18omlmI8APD +wcWqb2DHAmw/aZulTUxh1otccZSEJEbpygJZTAfUzePRggzd2VAU9Q7VjJA3aO/S +sGqGWzcMgCuVcO10hZm7a/nqxJLnBkF2b41/s3ufV/iTE6CFPGajqL9DhEUYQ5dE +kfBPoGv9ildudrd6OVI64r08rxNC9uMZBBN3Dlr0YTuX/y23gZeZBB/vrEeVPtt7 +jl3gjUzL5/vgCGMz9FGnnbK5IX4G57yGUJAdxtxGo+g/Pr5dQ6up6sXv9lyWBlqm +r57RaI/60oLnZxeSgEz2gBM9ML7K28AAJ1e8TpeT+h8ToQTqmpf06REd8iZwuuV4 +qqOeqb3y5w8n5gIWpu2VWXAEEwEdQYLR60Ouhul0Cjg+uUbZ95QAJcLqFt/f2Ili +OFpL40U6dSk7xIbgAwaSS3fJ/CRjfaV5bV0T4IBvlL/HzVqdNd0+PMaRicnACBG2 +sIN+1JBFEgyF/kD0oPv4yXrp6d3cFN1bzXgC5fMwkeP6SN9hD3I4e0e1bAdhwAjF +dpr70OPruzw0iUGZ5TvvCE5znn8YxUPZfQffeKfG5sUHvqOOsubSKDnm4cjMduvo +SCuzYJMeS2Vc6XPjv4Azj6BT4Uc6pGDaGa3LfhTUS8h+uJw4LAqcHmWj9cBEGSUT +TSNziGGhbvLE/B+yN90rjLAJPJdBo3SH1YoUbpZW0qo1Y9FP6so+IzF5ttVn0v8/ +H8uTE60FJ1EnkdHTlkFL7Bf9waIZx8l/EN6aL/LgkcyMeiCOjl293r+ovQF0iePq +DGac3wCRtk0VRSaBy6cTVN8f0jJGt0UrmqjXSnBmknMCqDmqyJHTjXxiJ7sp0QqP +j4t4iaCqa9mTy3S22dnK+JdjPAVjRdoNyO6vMIpvo9ihrVmN+jacKN5Ga8D77Crl +OdV1tuvOMFoNco0mCkHy7YRzBDqjdyQDxZ/azlvDlH0fJQh3PesRZ+/IduhEiIJN +4o00zNyoBzqOschxxE37b07uFscHqZg41MZ/jD0Yav4xC9H2oBuKpcDJMotBlCOc +0VfnDqrNKv1QnRKNKc7bzbSQ1K7HA653FiX28Au1hWuoGOLfV3O68z8La4UDjS3O +WSnxrfg3D4RHPCY4ay9X8mY4FwcjpvOHuU4yFqCz8Kgs5OEXld7qPrGu++s4X431 +APSsEJHyD36Z9e3Q1mJLz4cDZ/4gzwyMFqYNy1YtqcIwuK70uognDep/TC+qE8/B +N3B/Ad70Yff4wOwwoTZAvAdLK2JpVPTBaUUILJ4vkwJZbdeQLXrscTgIFIWu6rj5 +QSXOkW3XsMyrSXoQR7H3nLaGcfoSnlfY96HHQeB/hrZW7z3kooCGMlsj1dCwLoox +iP7hjTgGe+t4EhEMhZ0PhpNo6vbkWi2haxD8lgBxnjJAYyF51t+Qc2XgDC4fvDpt +0ecKiuM4GOc7G+mqVlNdY9Aa0eYT2W01M1iGNfdmaOO3yBF86i1q80NJcUcDkgRw +Kqr3ETKjah1au27sclx0P8T2iRxfoa0Pk3vRBic44cnJ30ddYUjLk9L5rzVMgUgp +24GvpSPSjx8JHMx5FMP8STvJWgpstA9R7Ckx4VO0g7dfHnqQJihpu1CgkL5w2svU +Oh4hoEkqScH4Q7m8iMEOZGe5nfh2iwjva87p6r2jWHc9iBAHKHLrxBHiW7LUagge +S4+Pa74zsYe847AW98xM9tGaLEx2HMFk/cP9zAlp+5C5ShexthqWp/FJZ+37H/oJ +h4kyuyy7Wv5izVNA/cbpAlBW0Knfz6lkrWdWD0z5MZ+8+JSzMIsAAjDFKH+wlqf7 +xTVsIr80JLDJsuOc7Pj2xSLgPuYiZpVYOWvh23TSDGZOIJZtefsAKrIm5dzU1uV1 +jEQ9nyKTjcteHBttD9EZQsqkvyVChbKRUO02EE4qTaVpB8VH8p9AQx0iH0w7xDrw +jAYRFOShfZloHntYt9w8etlizm+iR4zYHo4opLCRFONVDahODzaKT14v2M6QYgbb +pc8LbYDBxp+eW9DpyLGlGyoYhxYraO1o6NZz+V9ctW+SBO1wKqGpDF08zB8HxcNx +gEhvS1xjbHU/cFeA1huTb/G5rjOIJCLaNulk219okRmz037CxDpcxAFDgb4ZA+MC +PoC9y3NOg9Xtbcuu6v+jzcWIvMZdnsHTeapHKNzS93M5GdIUJ9GOz28wrEnIcVkZ +TZRCc1mxXofBmW+39XTW5LtdViyTe8+u/gzKqGLOXgng/1r34g9cQmAsNY11tuP8 +gn5bh73CMxYnEoWum5zRTebLJnmM5QuRxa3S5LECsPOfF/iskRnTM7dtqEMCvI38 +86ZChi+ZFswxNaNx358kZSki9ysIiO7EMaTAVLNq1I+oE2+SQ6D3XtEAPEs6/dmG +gyqGoJNwVx48eguiT/H2I3ZBVKsCMnpBQLJie6Sw3PEgokQ8pspsGdaVEUwbu+xP +b8JhQHyhztXvFqaZuaE7Hr05kCIZ1puAQ8CVarX6cILMxwmIKJgBouVI4o3U1Nx2 +dRqYKCGDFguvePPLlVl+kcQDcsZjRfpqttx9SLgl9AXIsg1JrUSWJQrf7U8xC72N ++WBCBIKxWeEvdn0JaPcGswLCz7y3tpDtVDIexelRS+Dyc4XonEmMv7pCGNwTz1Sv +AKXodwgB1+dGpTJwI8Zx6jaN8EVcR0m9gUPxXWD1jzrxBLrVor3ondet9JwFWWDg +DdQ6YSLvxbttHt1BDYNbuYXLab4o2j7LJsO6J3hxVzPG24TaPPE437KozFMOSrt+ +pAx6UstzhAfOnI4rfO9DT+vcZ1IFmnWxAUrXUtYhJITvoV54ryU0eJTzaMmUIkvi +Xj2CQQLFzxmmCPawJ21CyWB3oZK02uQEtLEolJP/TU7nZDWE27huRUs6dchuI+o2 +D4GGOVBySI+YIEc3lOOBjA1ogQsTRahT5vffg/QMgOQxKRxqW38+4QIxgqOAuNxh +eoGHbxnyEQFUe4GTRbD7kQErqUi9Ste7qEQpHOIQjElnYYHRVZMcYF40W2gZYitm +ycP95Y/RzRKN6Y/fpSIRGNqYJGMGyVoYCq7tqW4lmGqrfNbJaSiVE+WdvmT5FtVR +vF3qBT6LkizYJSBmiO76GpcZu2Dmp5wFxFiM0eCFIakBKh0DZqIWvqFDts0J+ufi +3wLGdQLNSamA0KghNx1vX8cTY3YkGQWPg/81bWzjdGU5P4k9JZ7B0rjKifVb8qSb +IlG/HwCm3b+6EtiHLQJzA/1TVN5xsVa6uNOIE/3rhR6pIFmjwSn9KDlVMCHrtTMJ +RkNBCE8w1IaZvtrdr1TzZbihAxBHcbQg294qIP5bnNRktj77ZiQHSIoiLtAwcDZt +JnioQVxQRFMKGU055/hydAgxsRjJRyRiFeMeWFT6rhVdc2le37J+7M29PXcplkBq +wQT3Vv2OiUca3dHxAag4mz+0JOZLPnDBl7+vYaS7AE7wnNsAz32SKmAl02gEzuXi +w9uQYFRYZji3iLQ9AChH8tpr4D+zuKZWC9GMgth5f6eVqY6yVSaHKr0sfG5RUryw +8bM7Ez/AG07Ws/6hvl+A9ocwNE1WTCg2Fc7zfPSGE6fdNiJ9Ia/FTEMESJvFWxBr +2jsP3k7bjyyXyxJttVTPFtZXZ0FxpG14iN3X/ppdycxw+RDj62IbWQcwgkfJup/A +MY6noCn1w8kPB0mriXyGAUrGLvtDMP8cwOQ24PI7XNYZ96wQMb1m1VY3UXiXpkWT +8H8ntZLP36wU4UY//q/h1c+Y6+KEstY20KaK914TU3WSJAbhJIWcNCGZl6600WuK +zTgyb8F5DYVDxW4ohoUmTTUwkyYt4vjCTlBw0KZBeRy7P0yIKCeXmrx8BYIrS5Je +LeL1RgWPCPf0zd/f/am9O95wx4ATnJFhGvuPEAGqAOxZgN6IQrhpPBK7GuLY00SQ +EjbzTc0C64laT+6U7Timkb7cZ/elKuKxKWvqjyAED7yaOeODFn8x7Pu2994iT2d1 +ugL81XB3M3GpdzH2P0E+qMIO0yhIR5ThI5S8aO304b5j5ZFWJUlT8BaspWPrOpG7 +r73GNU6oORruAX+Pp/ztr+ucQGJvKcfkwASzwj46h9yFgki3DWe2NTrbFKGwDcme +b82Xzd8SGBHXG1rW9Ex4gCoQkqmcpRroPP6VgRb3L87XFO7mLRoYRBAoypVhoC5u +0cp+mcyQEPYUN0pvWlpZA4y7tvJGnpPZWpK8bsl36EpZjefQbqzE00+seAu3xfw0 +SxUF/Sc5Q3D252X1KuY0WXKtrRxO16/ii8Jc2Q1PlQ2Qxz9+VFlDmZAaMzP9z3+x +k2Cklpg5PfFuzRpmQHPkFUs258pyDh+kE3EHUHAJTyY9m83QOmdWWuuRwSN/Gn4H +Ij7EeaDY+43sbLR6peOCh2N3drwTVxr+9yTlH9P8TlcmP+FSsjv5dtcraiHm9pC+ +SKy/2tDQ77y+Fkc+cLrKLad4XZXPdBS43cAxpfM+sJ8yFiSXukmPp+iAVqv/PsOT +zF7LaMhWCOo4hRVa5uW46xcTjMNBL/kKbI56Lngjm9TjmX/kE+MBLFn4pGhmOoEp +KZDgIoOcdO7x934gH1T2WpxXA1jdQmBvAblG9UknzXRKbMPD/ytr6xQiguAcwOVp +Ss942/scIVAmar8+x0riHxLxtmaGW0KzZeeoxkKDWuFK/D7/bRVxX8/kTphBFlpS +95Q4aUXdUcVYiZ3Cn5GdCbIAF03ev7uFfCbabfp/NfsRO1p9F6+i8sigrO6H0z5h +j7kfuYzEXqqMI6N5WbwhFyQQ4fmD6VlPXlpnTuGh/UPKoC8wj0GIERg9imLNu/DA +ZhOIyrB+6yNOVynFAg7hp8L0NtXLXulbd876f9t3COv0umuI0TARmYspm8EtAATU +ewczoWzAFqg3dZC9SdWJU9fmpv/GxbY9XBUijl+dxrFBsHYop8WxnnM33jI1bNyS +TfNC/MAooYzATHVPYfR4kQhsXe01ueVmIxX6USC8k5tB80aBJfRBnPpoNlEcwDw0 +v+n6V8x9F/aDlUkiBEAM5I4JYT/VSz/3oXzLaGAynpmQSv+FnUgA8Y1U//dYh34C +7dsz2NsZFpGm5Xq5L8PaOfVm6NnpDwr9PZ2ZSHokizD+AQUPZC9eqhKpOtT+p0ha +8MtzqqAQAL5jIl/jW5NRh1qvSb8bryokSMkH1LQYLAoDZVRNxkXYoDaBnltpJNr0 +tpthbdSry4YCViDi3QI+H6NlxpCn91HfMjwzvTFyCtx7c1XArqgiDYXbkXMUyxOo +MitjynQ4IC354r+XDkYtOML7g2Myu9oWS0ZjM4wZH7dT5XvqBrprqzs058jM//BA +NaE9WAqG9cGMFeA0UyRN+kOKS81EcRpVbRZ54RKvR0PX9HnsrigsmHmofHyUgl+5 +e6F/wDoQLlMFbJ2M5j1ciCByopze/h8ovHtptgq7NAFbVMEE/dDI8p2QEezc583O +cU+gGRaHgfpAf9Ap7R41N1BHcwAVP6icqFy/nQ+DknwNVYYLwVixO/2uW6iX/5Hy +Ci1waD2lxXya/A20wFkqZaJtT/1KFZMAPJq3TihTgUVdIwEcTs9wgyxpSRWC8hO+ +SH53d1/8CFIIRcLAsM6LUrx9yylsRm4TZ9e+E9mE3oIFuciZ3e8xaHIA8Q81KeY1 +c9LZL6K6cmcZo0ToARcqLIqc+Grh9k2ga5Ux964YV7CJ0tgSZiqSeegF959KuSAy +g2WleYKu20SvM4S9ovUYiw8BrPYLLWzHdJOaf7wSVvjApUF3sRMQ5u7f6qNW3hcg +LuY5YW8TfkrWpFUyzFgCCZePXpMwghtMBmIOAtmKtl8EVzmU3T+UPlQ+xXYbEdbo +PyKdgTltMKuz0BDeYJYeHK/9/TBjQ0mxo/tRgEZRhlvvUYirOXo409SuTB2TBq2A +Fp0VBIwcc3LQ/+mU0Ug/YOPdUQbTQI6uWp+6s7MeAy6egfGFCyo2HaZ+yjoQs9Uz +BZT5mjBck1W+okFUwv0cM3zNLYgOOiZrtAqcQjBmCBMi3Ys+CnkxhWuyN5MECl7N +y78YKMTteNcgXWi2c+y90Mur5z+jmGZlNss1WvyVc1DrOPlPtvgRGJb69Y8xET+X +xNDYICphH9t5gp86I0G1L93CNz0BS7ROMxM0kzZOp4ROeP2mLZLD+iTH4GN3IMP7 +5ONsH5pcxTsFqlBcdQQKs5R0EAGNyL52WrtAPwwdH5PRJe0vZ2Pba5eCYHbo+bs1 +lF0+Hqb/KVWxfhbfxjlOnzTU20UgX5ju5vvTr+u9Rp1ielKfW6KYVlNSqls/pXPB +//LseP/akMmV5OLo5eZSmDqcT3s8u0qIYxDLTBBggtZg0B/nyt7yvXnGkOVveRlw +XsUd0y9Hp+rpUsuyOX2+iIRbpQAnelzqTMiD1PmJLe0PvogZRF0FA+uh3UfVkZzf +q98Wi80fhBSuvPAGRU/ULY3aTeJ2ME/DbhSpdhrBnUZ+ubh4oSR76gpxkYNmEaNI +/0C/SvJm46EL57X3SeSAxKn0E6VSUt71R+owqb6SzTeiKk8fXY2COgsJKwPa1kRS +LTUvVB1iE8J4hLSSP1F8ptJCxu6nJ41vSYK/QsHJahGHPR+mBPQuQKQp1kS3wMaL +lCUgmP67fQcZLf9SBzVgRe8jesheJOKqtGRmH/ZJzOa1rSCBc5CkatNptWwoQCEh +5ku9OND8LC693Ztcv2CVoOPex/pOoqohP/PfI3G70ieUfyzKVlvpQ7GNd6g0VgL6 +HP2DbhlJJ979AJzZN3LQ1sOzbgi38pN0CXqoOpeIZP+wwusE8v40NoKVs2KVGbny +AR3e0WnicXHSQfhnFKvUhg5Q00L8ZEd/8vEVbhB+GU9XKJ+DOlDFZYouj1GQZkFF +PvfeWSmtzkkSYzTHwQqyHMBqy5xGjbA8GfnlSsdlMYo16yRRArw7TYnvisdif4+J +3FLNKtG+lK0avMo+KlgIkPkCPBE71pMtKfZnesp6OB2ICcWDQEegYr7C+Er6dUvI +jYZJFFdvF92cIDQaRz3U3O7IRXKbU+t+VivofWQndMCh9kii3y/o4CBP+EcyWD7Y +tq0M95YdfBXJp5zWWjWS8vUN950ylRx8ytgToCWapPY6hXdlnBFysRUJIBRxQIpw +hyZvvhaZc5FbSeiNhNcEc7zNp0KQpihxl1LolAZPXx45e8ATm71Ne8QAP9x11Dwf +ZoIg/tUsIOlTe3qToKi7yIY/Wt+vtdi/wDmS0T516yHWzayF5xVza6+xD+vHyu63 +Lo1qP0u8E9Gmc24D8X0ldVnJm1SuT/e2iCpQbAkGLZyZvLM6auPUpLbWCgRbJYLj +6qgDW/GlNs8f7Tsxg0DJViNI3ydZ6g/HTdL51ZDBwPCiEY+d5FWKAqb3JsvGaRyZ +pOJrDiNzBop3/wTqTGpln+O4PDjYbrfkRfPUhab7aGOjgc8HJwtAwOBcd5m+W06U +QIlYKbtQnoyxXDu8Fs61aX0rZEqVPINAwS++9A3WYzi/fTUke6DE5ih5zCrVx9vV +yAbYGOyvE+MyOhvM29+RdEYx2cKxdyAO9+XoqYExNBNmkmACnzMmiXqwEmRfVF9W +XckEKrqQsm0J4IN+FZY8G7qx9t2NjOXnmsAqqwx66MIvKnnhoElt6Hj+v4PZrNfX +xVu8BL9VfROTccRox1wGgPFNEmY2RTDZ6VEqp/20DMn2HG+d9RSf5Nn0YnBXaoED +ftTBS8wmNoehbuc4r6V24MBsO+vAAoiIP79H+djjuKRtpf2gE+5+IbdL4755HDYQ +7p0sJP61H/Rp2isJ/EvA2o8H99M7kPDjSrDhLQNBZ6gGoo6eAzA2n5SQKYl9rVuO +4pZy45W5TyqBb3cyDCKAnYmTDYkVXlj+41b6dLRkmwcpkKD3rWqHmo+DV0YZ9NiI +tr7zhMf6SorSZe3qUQr2c2ZHIWIOl+criyib1bp/UXM8MPsgAFEr+gxtlrgpAUBY +1ClGFLcBVWwSG/UfaUUgLy+1FQ8SJxuqghG94XkE0QrxmqkRB++3FpHsb4wYWLOi +OD4Qxeehnp02eZ8BloMSwUbNm8Y9gd5XeiRy0NygeRatTybEexkpsjw4t6tIgeK2 +M2sePNy4XrkwxYemz6NseUDFVeJjPeRJCzKAPN3LKJ6fnty+rUeJwJ/Y/vBZWb9r +85Ng56eZwbscMc8NFH7l1qL5ZmYFEnqxpKRQ6Zaqt17/b3Cp/JjBRe6OBWTmcs+J +gk6B5dvXDkykMF0+81YCyLVxxsnBxiTUc6qAlns99kUfDt/HCqjDaPaKiKTjPFxA +P23GRBtEmm4JAU05jQPdebcT0w4TRveotf4ZJ7NJrHIC+Mi+XOTzDcjWP7boNnyU +nbynBFApG2B2ki8EY7cyCGlNh1V3/7Nu+ecnGyvjbY0HA0Bkc11iqE5BfNp97wiF +DMNEDY5MZyWFmMqf7GCNitSvGv37qmMkeVBb+Qq9Q8OCWkCaNeT/fQV0ZnP+svVU +esfjZUfZK9lZdIR9Y0Vp0cPt7TG6A5LQq431gG/J12j9ZcVRWB2ViiBgtDwrosYM +3zoKYxC9UPBu1NhVQ5y0J4A/pICJ2l84o70HDybkQl3PxeuAF41zWOcTPqtikyu/ +2w0CBq1pzZA/AMq93Aw62JJvUFBLJMmmczarJHXgwRGvSw935qAsMrRtHhL7PXxT +R7VmoG4CJxvJy0XsHTqUmf1WVZZyCPDnEq3js6kQ77X65prlxjUeaGQI0JCTrywi +Birs7pI9yqjr/NO1YKr+wk74hUOWpviX0eL6yIlX+K40BP+atwL5PbZHvByf79RU +FWy+FAdlRkbf3cA74QUxVkx+Xpzpyaph/HDXpi4og9J0+3YvDc1KWy/dd44if/dy +maHQrM7Wc4BCWnSQ14tmYeA7S+v99+dCQKctxg/gLfcB7Dw4gJ31jmV4U9pzjQsm +uLn76aSFYLherFvIvsM6UTP8rxhpjJ1H0UVElTOhabd/p8quzolGQX2OuJ0YhHnw +ZWA/nLZO/u+9IA1VDH3g0ZMmxDr9xIUx9fQhflU0QuuRLVinlrsbY5eYqCeF/RPB +Vq3gDTdbxJombft8EYSfxCVRWdm+XZsTeixSINDwYpQd/Z1V/dSUUwuN7SB79LWA +6qkI6Jth9R19seHMkWY46RorhyQKEF15zWf+2NlVknPZUDytH81nk5KFkrv929Xr +L2/7/Ifpx6PR5R+cH2F1xNvYpX8hUf2huowfusYvOoF0w2l9PxMrlHcpWBvkUeeJ +pWPEgz+zqyr6keJfZjiQZy2GR3GlyiCTqYMMSQ4LcLVVcyYhlPjg13CaSeox+z4A +mnBfsIMqhFur7ZuUNuYr0+9uN4EXZZmUEvi0IUQTEibAK5ucxx6VQyGOXf1jfXmo +qohehuIERebhzgzr9RVpzDhP9L2BvP7tH7SFQgS6yDfsbd3C4cXTiaIQCxNvLBx6 +E9uFI5XJGCu9jnVc/SEEAArTJ4VCjJNBAQt+8e49DqO+/W4jqTayDDm3faRK00rI +eWJdAr7eW3W9Q9/LsWYM0qABv/vc6QH985Ycal07VwjCYcaktih2oAFhWlBaz9c6 +CJmS46s+cOF6GYeN1KJ7LnHxXPgKD70+x7JYLgZZSLPfNg5YaikzKAtOWYiugPdA +cNfYA/w5E+reVjNqrmTDfk/NaMTEniwEpUOgNZdgG+fBvIAbWvxVBEctBYsp5xaY +LUkD8QNTHQpOv/o5LJRF/uItPixPGmIQdDSbDZ06IMsRK97tlQvcRfnbFAPNq/a5 +3Z5GjfFt3aQ0WKkrSDjgsbfqprxGojV2+TZoVGFY0N/Znzcw8ZpTwna+AT/nfSup +yw7TUJ0NVyS61vcvdx8YW75PGMbWdrf3kCIzC9kJgukWy112RpXkupLBqioXfKBv +K6rFAUhEYUBctzyUDucYTcMZMzEbzVemq08xvLvZ9HNijO3KC44Q8ws2lKbGVxrp +OxZkcAMXG4EOeNzQxmP9rkwQVxezIMg8q/EkardU/sFvPzw+WF+sczAnaNjhSKLs +b0KZp43pqMsVxj85RwuW9vd0itapLxOvOkVo2ttmEP+XuMnLakIWJDZoTZKSqk56 +M2xRBEY3o5OJtDm9El3xQ/ctF+QX0kds1oxJrjGr1qSSExOVwOBbLE4lCEP3TjpV +Wdu4PpfURYri9kufT1eHV8EWH7WXAgtAZ1rlxJoOLy102aoX3dus8SHk1+kHU19U +7ejkppct4S9OtsMK+vuulZVDfcu3mHWQ0Q6ZtzJsQo9JSDJbh7LmKKRBZhnVGBgS +1vfyZwECCVTlFckRej/jiJ89RkmHV0b7DAaS7BvAgcGHlf3oLgezxvNQ1pP+TQE9 +fFsJQFZ4VqhTQeGICORQBd//gdIoefZr+o51h8ugcAJvsonv+bOZ6AjYni3W4B5t +OO2Wzs0bzuloeaG4NWEIsjawiyYdx8IprWIAAodolZ9HGYyiY38L6pD23AaWZors +g72GJUB3YUVxWrnhGOLATMEHsI4pk4KKW4xo3euZ6T0YnX7wdhVpCaGprI98+hzL +loqy6AYVyjv9LKorHSY5DJ4QNo39EYyEs7eUPTdxSklyO5qUpXof/WOBuIVwh6Th +bbycFodIidGVpymWZFyQoTsL7wTCBQa6t03zjq05ikmMgGHJ25qPpqIg67fmoxds +Hj0JSQ9WPGqVFCBwVRTVS2BY+CtgK0/eeg3klsG6LKEwl35FS1z/AijRGNA8Flz8 +Wv2I+x/FkKgWgLBBYDHQky1oZW2JIMspLz0Ka1mDIi2OrVQnmLCFeiO7XUsk6JKV +j92cU1PzePgWiYZepVmtDQF9LCd6oAhn3gLsag3KolyzKu1ZdhYM2J67FoqyQIn4 +wwlAgbF/kjVRLKNbyG8b+oGYQsJNMuqn4niI8rUa/mSxFmurXGbwjABbNWr2DHT7 +fIc4TsicXj5ewY5Pt5NkhbEHyPLJYWsHjR6oX/i5P0L7madcVz7Fa64it8qh+YLK +QKVBmB9Q4v0xoO3EXM+wwDQG06qU7/AyL6oHcdYBl9Pyb9fM/InkbZ5xQkRKxKvR +YowMfVbA5yObok/4jZ9BBxsg3kSmXpjEH6EED96t5ImD/7Au04nKj/9+YQkzUbY6 +a4KzHwp/2MP3HtteG+g6PsNF02J3HKY8uPJnzqtzkgzDQhX1TFe8Q9hipNl7q6Hu +I/vm7E8IOXYEI2JTs0cihyoRmWsNgKm9Gt/IqqutO4VUzOSEYn9Oi9Be9vCfnP/x +AEFmwPN2CxZWscR8/SPyIoD2J4vCrPc/UDXozz8E2mXcmgQ0CGLfDCeIhMd9X+iZ +303g6zupJmlYbqFjopZdxTr9Kp12w7vCuhfbYblhe51FdgQ/vrq2ogXQ2w9Pe30S +su5KVxh8TKL4f1h3q+HwgFuAKbExJ8dJ1UhIaEEECciR7aU9n++ABaQnczc4G/Jt +gcUmI7Uxrr9aUtc6ZbSlfwy/leszCe27jNkeNetjpTdwUkuhcvMUe0Vs8m+iXVoV +4TAON3k7ZpwvH/yyhMDhXuzMpjy8KlCsjBoIpFsC84GQ7hrKK/vZ9fBQp6PScACH +XdxfiWaxAaA09p0yuSYh0XoPedrmJ7R/wjbPy5k510A7RMukxksizYkpxUbVBT0Z +IiXUK9pO7jUNLjsmM9s9i6ADrTaiCRAP2NsBhWc99Zn9bn/R9W75LSmrCtpch60l +J7JgU8BGsP9en9ag5TK70wDUQGJN9FQzI5xl/pehBTTNATroSUhjL5ueDzhE0Vbv +X0glg8p922ELPB93GMm222C3eaNCFvF5IuIoUzH2RG+g/bb6dDmjcfK43Td73yNS +WuHzBSlgx14nt0PUbsAPpzqfotMNXDJP2TCzTJmNa/QHFmtLeG4E/zoB3MYPX9WC +vBOW2B4VkzS2qLCeGbsR8qgbGbMe1+CPfWFHL9TdKyympDsHNN9cotOWwGI9Vxq2 +5ul1i940EPULjDw2LyGsliQVOwwW86TWNoq9Qzp+7BwJPPrQARY+w/oGhaQRGSlE +G6QFSri9wPvnfW731RtWwDdNN1aTX0VM4Y3NY7GyKJUphUStH9BypnhTj+smRFGy +FokxS3UJGi5et7vvnyzQwUQboUlyVbu6UVY86mr6/J1mQeFnsCgfSVmZXpj0wmTF +mst4BQxQbdG62s9Df8ph+8M1kK/lTtGAdwu0lRD67jJmLrvlXpbiY6uuC313yC7i +p8y+allxHBRM6S+APZ85bzMh8H61Ab98sGR0d85NyqHfoWlCncRPhDzgkzqdDEdx +/r0BcFfWnBb3qCE+90PAlHkII1L2ReYNgqbaA3A/csbPELQ0PQ5+26FYwvNL0gwA +oAasorP77K+IHmZwUElMuWuOMkkovaH7pOKwBZP14ejIkUWEFA8ctf5puBiY4Wu0 +aS9uK08Gdw/BtEa7ekqwJ9ttGKRfSmuJzh13leNhWVRvUQVMiYDA3nm5uk9i0q/o +VX1UYe1piiGNUyZIUTiZkrXijRUrojDPuKyHnHIZZ7zDdvzag7wIiXnlHxSq1POj +V6R5jPlpG0ph/SyhcBdR2FQgd1pTgDpswFs4uYo+z5FpITUOtkRBz465bWbLBdag +aEJhAr5tOUAhPjhzSFN/+RiXrB9FUj/GrHT0vizpgVdBEd7GtnEQk8eHk3kkpeqU +amhnkna3n5CWpcrAwyuoYrhehpJmTbjMcvkn6ET3kGIwTCmlw06xP9yEDjz/o7M+ +apudDrMMkhMlAqQCYFd+6SR+3R2YLVyfrMCwRhipab7YpeRdn7LK9qT+PwD47hOW +tmDFhPbK6ma2IHNvBkT0npe4LVyqb0OVTY2oDHEzCwY1hBHwuLibC8NBKeUtW3I8 +NElzHLL6ZNJ+hs7ddGwlPV9/VbBHWdaWonE3hjzPfTvIqP2Om/NLoue1uO7Fnz/S +IaKRCSD6uRFX9oT1smV1y9fsZm+NnlW/+ldlw80mN/W7KC/QVRZ5yzmbUo4vJVm9 +uCpIa/ylB4b8lncjH9T4RAq9cA0nIEimZQEqYusbvgWSswwwxlNRAmQ0sNcet2Ss +RuLGWRpEdrquqQosbTc7u/IeXkaJef3vfXGDYCGUOXaEAdJsfu2DzFbtGrK7ZlV+ +wropixxGum647pBtVEgCmNz48cNxzXaJ47GoJWliSbg0X+auPy9l5uGXhE4Jz4ZU +Wqm8jUvb199jEZ8koBIfexbmPUQHQwAithrWmYMaNTjMiYX1GUaqPmfUNWX3JLww +TRd78Js6G5II3BNf3s941aelzcOLvvwDjoczrQok1PnkhEfRnIyQSQjsZUsg3Df4 ++b3yZWFxud0NCECXnhrHq3kClBhXHlXmOQkvPIkb+QEKm390G2e65GepTtPKUUUx +PYjmGCBviye5YvHEV+jmB8+El+mLrCWSVrtkwwdTxZH3ak0cMG7nZrMds/q7FDrv ++vp7QjH4W8iMRHOk9+84xlzDNa7PYcijeWzziTEV4SMAXBVsLHJZtjU7vp6s/dLL +yJATNQMu5qgPqTvmrlKJh/oEOu2tM/AwIgzFKEi9ciy1Yc8NxSJNYJEdslqmf4IP +QVyqNrJBkikvyicM5tIv1MmTXXbnYL3I2Dm7EFNev+bO85S8hxAyNdEc0Itk66Yq +dOjMrhKx0hEJxDHh+C0A06p9NgsUH0Gyyx3kN3B7LptV8fycqxWQqq8Ab3UDF5eo +9mRcIPjbp1OVbGTLj3cvMDCrCCwPtXfm6JrDjMaJF2ceU4AdY8NcmcEjvflfJ17B +5JtEeFpPKgY+y25jQH94powTl95N5QimcDWneWiB+E9Goybh9XBIQnRwtpKCwNZt +uG9iV6TUVyw81c1gA0Bf5Lu4eZ0S08mhtlLNseEaeXsCoSrPMYZ8vYHlw3LLdhWL +TmDe9zBi7SK3n0Vx3Zb3bNToGoSP7T8xhMQVnM2scqn+l9PxWrfnEV889lUT/x/I +5Obo2YzmzFhPCd3hOQRVL/3OVOZ9HwO8DmUDgdsU4JsyP9B/89c5Dx3yR52Vhe3q +oYmfMTKLoXw6yKLYf97gLJqVXvg8FYx2z/TPbmvzGb5vovSfTnw93UtleOUftn0v +nZRDYIRJJlpECPQ8Ay08xfaOWKqc/h8Eki6zUjU//XgV4cLvo3S5DggUJSZNypfo +iYGysswi0F06OlvhnnD//AOHs0yFpCziUI3Isck422rteHky3pXdWbMT7q0fBlXH +1C4yx9SanSsqbZWCFMbwAPkpHkEYrUeECgPqZC73Gg7Qs3Za+O2xONrH/rfey5aj ++3UUQ1aS9CFu8XDlCqlWZmspv61y39Xdv/YxPWkqI0N1hA5YE1RyuRSeeuXEBy+6 +gf4WJGVwFIEv9MhNCS2YisZnFZbKZnDmBpTI5aWqBJcKfsD/IUX9o3gD4L1IMMGn +5AkwWf46nVmBE46TreYJMQtIsjpD2eR9Q4NVpvOyBbq/BrNMvVaz2gYSLqGdAEFW +lr5vFsP2ZlSy8Su/PRzSXu9htE1ZS4TNrpYqej329qJKVq/XGsGF4dA82NpIFjnR +bxtvGIAVacZC6mQqh0PrPUirnxibkUewhMLZw7+J9rz+6RI47jFhroWXgPJyq9VU +865jwh28CgPUO/g7g6HNMzWYSxRmVr3ECbWa8m8Xfh4y0+FG/v81A6Io+LKPHyVY +Lf6NqndQiNTsVfQCtEjNhLKEo3gjRUUca4mlROZEFV4XXcQqTERgdPsGrdH+V/5p +wMxIqvKquhA6+OyBkQsboX//aitkbNNetTVya1Vjr15Sj110AM4FXGF/97bc5Vi7 +OSvv7FxCW+uVxNOKBN+XSOMTahuvjbmryTqhvsm3qpIJ+7X8KTmezjWLImovuxPW +T3c+YeqiF7Mt9vKNSuSxqd0Ka+GE+GTV99VXiWBAoGCoyQZXBYo5pY6YFJO0aEX+ +oeirEHLogXPduPGG77pSkyETuJn0mIgoOC5UefR2IwGN9zH3i9zaA1vZm1IU3k8J +SO+Qk+yKidk8koBMp7pZtAYF9LPI/NWmEOLsPIduu5JhFQ/5iHAvKuw8po9TMKaC +7Qy9YAtQ1+tdC4jdGV6K5G1XCZLc2w+jBhZ8BBujXz9tCxNWbAeu0cAJPoO9pslq +i5Yc7VdZEYO4GvjAv9I/XfvO2VHnt+ASJ99m8GYoXxGdEmdrVbWCdbmcpd9yd+pL +7NYvRT+NyWvrHZ3qEmtzt0AYBH5l4qDv7ocIwjIqaZlYjU7gCPpwigydl8Ly1CP2 +x3t91fbaqUNJIN/bYJ0cEDlH4xtGdyyzjE0h1HwSoyhlosbajj4ycnOmKb5pMLRc +nwHUc8IcvS1mQDBGUi4l4MqVvprSfz5F+/rmM3Z5ExjekpWY8PA2zUtJ0aDklggS +so0O8JrgN6nhEycsyrjPi3/iOuPnK/sn0hJzD+gsEafr5uCxZr8a/WLSukpfk1yN +kOsK7Mtr4r+X5fePZ4BMJBcIN4UN1tfdc2KTDVFFZ0YZGZ9nR9n/eHm6C6r4Xiwd +5NFw4JmDnEkDfW5Dh78LWblpGVnWB374Oh3txs0poFk0EJ1UDorqqmVZza+gEeHF +72aJh3vQ3iheZArZ1WyBjUJhsdl896xvtUAKuia3oNnXA5kYkQ46v8gIFxzh9ODl +ZRCkcxC9U7mzMiS/lLfXK62ndzHv0TUq4sgJwgKK40V1YzApq3j9sCKguvFbjgNS +GoYfziODvC4h0MDzw3YY35qVaZO+aIAiZjO4sVWajf6VHlhcLgsRr1CZiKQ21Rau +HH1/847MMpHi5aGi8eLgoShRsEvEEQQQ5Cs8HHSbQPNCv+GNaJHElfBpeZHjQzFs +dhQZPtFrKbKYn5x4LprmpAKnoJtdmpVL5TgrZ/6E/njXQaEmlJcYOQVxEaysrfu2 +ln4fBNPgYD+iVGB3M6NWhI486yLkBldzH0m0q0PXDzey62ZPt36esHohYzhaH6T5 +PxIcVZEsPYIipSAbTpU3p2FHNaLvW84LZNJWc88cCK9o1TwfDPu1SKbiwHw755w/ +LNzYfe+JeYfLfhXovmHQ6QoIhv7XbKn2lTwlwbkTiZxPcbPn7SVyZCkyrQP/IQ+K +2sJVQMzXH6Nd7fBbQVYn20GycElgj9NP0jX/ZK/F3FwWNuBqpp1i2GkbcMO7C9tQ +dj0DzcIYgahPvNMeUdt6dQzV72d9cMIU3De/B/bSuKpTYvcYwnTqY/hLaWRa4RSD +fEHPk40Dk0dtZLeXf1L1XFxhP+oYNYFt3XIYJtWPbZJU2C8h4LNTJOkHqrEl6MWU ++gJtyOqk96NsHysyPOc8NwSqWhIkmK2uTDKzPuMTOoVNbHDk5TD4jOGetjSydCzs +e3YgaL1lxc5MLMeY1GlBdL3kukdsTPEZ8Iq6CogG+deMMSoP9RZWLyEXPJlkfv4F +P/bdgfRlTWWhN5npXQebY+ueDQiAH4LhaHKZPrxJn1ttxlDHyWGeyGckDbr0AS7H +MCmfCw4UiTqxdCQqUSLJtgPR5Rqutr3m8QwmmUA28tqXaeSFkMpIL6deGJsTyqRJ +B/ToitvrRcaoSUZgubrCNVWqJHRpbUdCRSS0QxuH83AhliXH93tcyJtDaSfpr5NS +N45HaTIDiSxE2YzEVRghQolc1HyFF8xL6fDCjY2VXVqFQZhrbVHh0Xmg58mhqlZF +4/31oWy2IWiIw4UWYrq18eimI+Eu7iKlX5TeMWB6WaCqvMMDWgTxLuJv7kuHjIj6 +Oh6mNC3Dk2jhnXjTss/nwpkKD6ZPe0sSV5iFdqb3/YlXukaMmTngOUXqjZEx+ZWA +XK078RBuLEUKD+ql03jVHjfytGWeV5wiL+DtLQAVG6B1LUgLgU2FM+t6cst42/zc +KJxE55Z5xEc6leEg/U11PleQKwNQjybW9o8cAEzh50eG/wTbnraeLGVE3BqJPyS2 +pMGC1OqshANFSa2Ej8WCAIRncU9quKng3Ol++qTE06prdKQQXU5w22h+4uac74dH +jNLiFU3vAIpb15ZFKDgLPa2MVqzgDMtjnHDf3bJSn8fxOx/S+76c+ctEPGklXxYk +Iq1dRMPMC+4Fugw8Hg5obrDmz2fUn2oE6w7pSI7PgS9dZjmTYxV5w983fmLeJrEp +JcJmrvxqv+v9RuIFDCDwqudtm3IfQyy9d/AE1gh9RKmfV2nPQwIKFWwSf74hiG3i +89LfvFm5KvWua95FT4tBYe/8iNhZ3buemdn/EOzESSov5AOrzHMhTKMlKkvXXrd8 +PrMdTzQhQ5sjvNCMpmwWWvPlZJDadXTgyr4FSEBty32yQAfaaChZZr3HMeIQ9PDs +VjyGqYqW+aN/BEPTgLTiqRH5gt0oDzreQDAimEmiWWUZwn/aTIEb08FT0LLff/Ht +93KapqsWAQ2FCSCBOFZOKPBu1UMOT6Xfi6EECorBf2zZoGXa1KQ/gUKzGYUmhgHI ++xpT/u1w/kNwsNDDJqme39tha1HXhEaaxsNdi4b86mYs4aVnSgXvr/tZcUFVnhcI +wmT2ggJDb6GWuYkSxNtZVbvSaB1nt4mqRTq8JPayZXxF5bBrBOVjmE6bSh6EgycG +mFB6Ah0K8E93r1GNPXy+MjsuW2D3wsYerS2JDOTlgEM8APhNtLKkwTotINamujbZ +i8pFVdYyDoPcnzgn4Os0vI1MU6+NxjJ/M/ksYU1ZD735sha2o0hhnQ2mbZYq22J/ +A12LubJOpXFp7iZh+WhbXhgHBpDjVs+4tx3CXYIboAjNgxV1z+iFQ04kya2EhXNH +RqVEAMNDOnjjW/ZTxU2cK0tmZAhT+YjpF2fZBlgrFnn2apHvnTNWokMnwrNXrBn4 +LddqDNhQ8Uzv/0hWDLEMTuddK8GlW//8GraeEoNBMknf2IyjAMw+uuLu0PQptrDn +p8/gASXu7J0+kWLqZnt/rsY6a8A/DZfzdb1UIR+zV3wzGCdNtx75rT3PC/DDp2cT +iCjtBnpuoE5Dq+CyiA1lx9peydt95aibHBSIhCxpUHPa7vSmaY3Bz380f/mxNK4j +6IdYF3O0er2cUDVcZysavBRWy3Qr44d2DY/X+nYbeyTkxmL65qyeDBtRDwH20yLW +T6W41cAU5HJZSKQKCZKn6tOn1tnIKWYZnayI3M+ALaSLob4IKJwWrbWJjJASsUGP +cFaUAi7BgXclzmP1IY4jrcnj+TwTg5Vpe0tkeHWmKcVs5rWdhHL5yFFZpZ+VtLej +1ULj4I/tibCQqPKeUsEuz5XdqwtiRB0qnBLegIJz0dcQ8Vms9EUqddvVORAmYm/b +4tF4sFeVkMgtAwPp4kTd/AehheJlDb911hDqu+r4gOfdUtdFrrferTVnWCOnJf1x +NkSrE1W/ra0RB45ENY8sO0pRXeR9Y90QO7hp3j9O47Oz8KL3RWNvWFTznPPAIOLD +xUg5M0vttKzHQdsh/VlW2lW0T23NHfsgRivJ+nX9TA3EoiiUdvQXpC3G9DsCfbFw +vnUlH8Lp9kVzn7z+0N0EIVCBphKuj3hu4QE4q6oG8hngnCmuP5OpTiqXS9KA3MP1 +mMDnAAJdxtlz41F6YNjr42Eh4lJIP2D+KveXDjtXGOv48iuW9+VTMcnZWqvkMiTv +qwOo51udUYjzlSUKbrOXhXLBZjl6C+32g0cQMFkCrO7UFC08NqXn6c7s8sWKYsQT +HrFfr3qkfj1O0CaXfmX7THoySWDTC2iJJnE+o5Sb/fBrp8lh4xNKTdlMJEtg3z2z +h9OtoVYD2iQpqKHxS7UHQlMeKSC3h5mppUTMS9feNtvbNBmqVNaJYwXxM9bBxMaT +V0SP7NY3Bw5tvQyUzXBhJz+KL4/JjifvkzQ9nxoFCILPsgkmxCTnYULCxaooiJvp +/jKGL1idye0B36fUrwB6WbGf5BR7xizj59JPgYkeL0wBH1AW4ECGSYjfjjSIVS+/ +YAI0K53GKi2wrToxZaygiDeJqpsKXMJEkn4yrEUvX4xQvIW3KE1hA4GS0vqZC85L +xMVrDuZvnjcM0LOugowspYm+yl79DiRrTjKf79BUJ2Gq5hhtVBMwh8mo4TQpzoWe +VwO3sWfjmmNQA9Qf5n5w0e6cOfkMhMPu4yiwQ9e2azNqOLlPGYxgGz4uV7OozY75 +hSf0J3olCereyml8Gu5/hRrf03vdEgAaNDc9QYW0apxjkL5oWaLTrrXxOIQowutq +4xF6z4S6nXukTJJkGCo/lBOMN4Xb7d5ARrk8FjrvCKJ8D3Mqigc6lbr6wCG77BTF +1aAjU6f56hXKcXSC+tvV1DYXtkR2UpyA+iRZ7bTC7WA4dbTtNSn7W4FFKJW8CVQA +0rjaWqEP7PVbQ7pbnmKHinvORe6NAHefEoZnyIcCW7VmphjsxZiHcAL+YB/GzIh/ +OObBhXQmm4rZwr+3KJxnUJVom1VzJPnXSZ/T7GOuxue7xtYgoj6cNSj2evcgCebF +bFHLsRkGV0ondv4lhGC/UkOT2UiGilpAaysehvyzvjW0CjIk2jFThvkLkx2TbGvy +VuvzkqihziCMNmfE2Ol9IqPucSC77hwPJU/A3uD9CFe1s4vEOiD6BxqY9mNzp94J +y/HMEE8TxedSz3nntidGBrK2NLkTUAXFF+rci+aH6xilxJcNmarxrbKm8/K0sSgW +AArBYTdi2uPsMfQOjkjIzCgpD3adSrVEx19IlYFxfPzLp1R4pbzpl9Eq12NlE8qW +t478UPgHOGrf7D1eVw0V87QzXDJd8l+EQj/70sNXSJbWLBYAhMHhdf1LRvgheQxa +rkkNTHwJlz1br+j3zCiET1r+kdoAX7M3x+vUe0jaMGIbJFFouKu1tESpVhhnI/cj +HmQhMrcb7//AOXm7Kvt8sons3JhJ00fG70DFGEuvuxTgLhXpjIJqZEQEWnrBXbRB +QU2woC4v7fyYP5EOeqAsX+2PaQhPEaH9IoH74FGTuHq3VHRfiV1tjDeVx0nfNHv4 +uux48H9yym40E6fF2SaVwHmm4cqTpvXcA5Kr1DwhhvSPOxRBdDGcHetglRUI4+u+ +NgfWNB2m1khTxbyuh5pAz3VIi5APsAE+rmrU4M/gzZjyV6yh98voZOoL2gNPMfxM +zxolvtfcgMADJ0g8K/iWUp8XoLrbMep8lf9B7u9AY+CK/rvYJ8HDh+p/LUiGBX1q +h+lWRq/jGOZ1q8kdCJ/b29pfrqdclV/6Bi1g1PEX6M5+Jtkwv1DFjchhTpDdTuEu +Q4bLbksr5yuPoe05LIAOM3N4dVmpMzn3KHC7eTLBdP8JvzZitDxyUYOYu9poLJb9 +dZ288vqhVbfB8NlQczzLorIObk54N6ofEr3vaN14rmeZUCsA2xQr4DhtAsaufsa1 +zuFclRs1a5Vw5Jp60099Bo/XsLIuLYjBINI4ufPhFS5GXr0JoyN1gD6zTiZBa0hg +QjSAYEaNf6CDUav6jYbpU5k/ZJCzXXKJZKD5Tb2bfUk1fM0TIPWatx62n3eDddpG +NOnUiE9dchZxw3KFYyq6a5/vt65zL+14MeFaCVZkK4Q+upHbukhGWBONzlohjC3i +Ku47WlSPepLdd+ZdvBfzEOYqFVQlAdueY08Ov/cogAMIqZV5hR52En9gzlfO+4PH +LfI3+bRn+peg+Okm4hB05Nnr0zdhQ/qSGTJsqadrO1L48/0krAxG5Bw/hcSW3eys +9RddL14cH3/YXmySt70DPNZyET0aw1h+Uo6M9J30TVlkayk97/Arz2oPJ897vz4M +QliTyGAhiBIRhwQcPkgCR3sLaxCK/eyXJV3FH+wkg87nJ39laGUZ7VsxNrlUeGH8 +qiaZdJs9wR1lCufvV9gzbw+HE1tSrRG0n7mEixuC/6s15JLjnWtnVIO8BuTdgqaW +UVdiPLpcr9SMMFTHkTLRiZQ1JmiAdoCnvBeZJ7fnRDFnop8iZfneUaabgeADdh2E +h+UU0UsE84g+mom7R3INUaiSMggIMMsE1X/0ffUqJNFgDMs8SqRE1oMvUqJE76Q1 +b2nV/xhibFxY200NxCjzycn33xHYFtHBCK0LwXt0dO24mF19W7XjLeexO9cJ0FwS +QzJHaY4LiB8hE7KWS10PjX3wskZGMdk0og5bnDZDX7a+sMupKdBHlGj4NgVY8eHc +5DtvVgERbbwta27Pnu6JyTEnZuyNxjxKfq++RfKAa7us6reF2/JlbtQEfjO1mPUV +5M1TzE1W4Ef5cz2UjM8KmdUrqN3eso6QFFySLf7VvhXxoHlf74zIrGMIB1NdFjcU +iCMDdZfYimsC4ApkgBO/vPDhUgAm5nn0q1aZ5QQajCLx4b6Rk1toyMZ/70VkX3wq +/llLfiumb13ubtJh5DgdhsDFcwm6SLoZjmfU+k37b2gvDphYECgeoBWuJPhpVKD9 +UOGiHVZfQvBfrUNBZz9f41w3IM/jh7kZcq2Y/EZe/UV6hRFec2mlR7ci/qwdQWye +gDoOZcyUhAvccrCjVCaayg+qNBVtFyeZ3ejQa0QVazpH68Jy0CXt0aqCCKU/sCCr +284VoVnyrVbiVdbkVOOqa1SuTqhaqg4riwdQk/0uit82CoZ8+BcJdK/ZMuhWQYz/ +nxNYt4zJEhCtVtAZDewHifuuBJX2AKT726vN7JSZM/enrdhM82douuv45JnxrnLZ +NfuMfg80UJ9RiokSmr9aUSaj/Ht2kO5iB9/F2O9g2DAmU6i/vCjFJfoZl9eVi3iD +p3uW5ke5aq63AxfE8Jd8mdtw7jAq5Z5OxVy3NDnXsUMnwkdXWLY2twkCA0YGtIIT +2M9zg3oEu6XsMxzTG7I9IvpYLxKsrxuRzImJappyFmAs2+r0NvKuzUf1NW2FjGAq +Rqjz9PiBl9aiy5giEr5MWiZpLAWJNpLCqtQYlSogTVo7aYooPoNyrp8bFciD1CAb +bAO2rGOMbPGAz9JK5OrkwVng30IWLoHza5koElm0teJbvM91uD/PmyBlT11rzrsU ++Y/AxLH3gGucANBB8EshEEz+be+Rhmcb2Yac4jHqnu/U5Kk+NyJsz3yPwIEDUYIw +EIKahvn+wL9UT04E96OjFONqPoejzFFlDLetRo1NXcgLaGcXjdb2iFVUnGofk/Ya +8liCu8NjHxKChNsZEaViefkyzdGektFiwucU9x6TVFVKno+2WjyTIVdAHwyJLPTU +sT7Be5KiaviFdwHBGSdh99H00sE3x7dEEYg+cQQK1E/cGqTg+muXci3zTk2kVewu +oaZYM7Cd7Ed13y12duRpd2Cb3UlsNMTCBKervEVsLVf27yCipC5xXFgfNgrH4Km2 +cLX4x9+FQmA7KgvCUFngmlGqYJC6wieN28s44rErj2Mh+k8PmLTX/4OjahdEfbaJ +zZD/Xk4Mn44RTmUsIRUnrqTmDncSBnvkXOpgW303Q+wyc9r8Rg+Z6neooSp/CQ2k +BtU2R9sQr7cWV34FgvYR1o19YGE8wsL+Pn44sQ8cgxd4yYQnO05WSgMsJ0akb2Lw +vnkvP8t6pGBp+F7ELPyA32YJO9rfm1sbolN9GI4rAcVfDH0ms65dvlELPGX25PRQ +cX8BSOjtUhW2giASDv8Nkjw0tFKgR/yJ9NlVPdOvCDzWDCWz6QiQhemClj8JXfJO +w75lz4caO4H6atOQgcWbNgQ3VHsCKw3NZtzxGIwKQ2she2ufm5jJeMFyVgGZytlF +EsVoJWa2TxzE6nWEu4+N6ONB1g3BQK1utAZQKxfqejTEuOU8TYOFWNiPeBLucUNk +w95a1+NtFEh5E++C99lH9wXe68gmkDCHYKeS5kAAmEripBw7i6+K7BsRI3pu3mN7 +Aq4LYt2FDO6Ox3yiIgM34UxqbvApvhUBdePJwuOwsivURJ2Oeyz31tck0Rv4UiO/ +YQd6CYLexbkbEY/xcBX1v5s5hV+WJmvE6pA3BGuhXRxTO6HGseVX5ko5G8zuX+iE +B9kjsOC/IKsq0pzrsL7TBlUj59hr6ETbjPmAzk8mwBHE6wQMofDXVOuh3r2bFTBE +uBt9SEAJgKLSBbS/Frz4Gy9kRSgNeWZs3rLEwLVHoQB2Tn9/SinRNkalJnDKDT4Z +SNN47t9iYqdvzwNTSt0avsmW5cK3hDNolumNuEHajVFBkRFfkT3faEVPmY+fFri1 +iAswWDABQsl8vR1ZJRXnRc6Wxt9un9hEN//UM3zCWZCgW1hAxAdB0XyX31NMFJQT +1TUc3fbJxArc/VhMFEY17YdmISnB54WBMflqNjaXkNSEU//LhhILJ6Gjyiu11wo7 +kKeha126C4uST4ofsiubmXV3BhlAAjSIjkn18Ex/IQ028BU8fn9EL+vQbDw5aQ4I +z05Uq00P7boE9hvo/eS9jF9reisLrNAJ3a1/OlTPVozKsWgDNNt5jLugHBFonKFQ +JSSi9anMuo+n1/rTiU5nyyzITIc2P8s2tktbrKdhX03SLQkM0bqWfHwshhPziyMf +HT8DbLKUltb6bCVZAPL4/3B0pruMIXNggJe1AhLHORCIkg2c/syxrIYkPNf2Vzjo +nqarau5WKF4KIv54TTXq3TJIaNzUeiauv4tarrNOw9YWAMXO4xVa4b6d1EKVTTis +4bNlU4dclf0RgnpEGsPe0e4407vBrBN3HpO0ok0qCLL2CRyj4twpZeJ19oflryXk +zBZyCPBJJ3xG9ZFd8mck3/1ZFk085lm+orBlZKibXx7KkDDr3hhakd2dLQ/trI6t +UmW3BWN175AlY9a0/efSBj99TNJDmrMKBdfq2JMImFgPL26Q1Ck9ChINKBBiY4Vy +oF+yh0K6ImoSaAoZZ0iFFH7nyyBJyE1ExjZzK5dAP8KIIrkTTbkqo/nWELQjscoJ +f3cDxZysw0OfZ0YhdJJb+J2CAxNNzRoQINkMAy8Zeolssvcq0Q+uNhkXjssXjU6a +izoRJyjcsVjt7nAK528chscLm46sPFzhQLl7s966p8H+6wppdZRcGRsmajWf9tWd +C8H2DIgqHE1B0iH+RW2jbSSKzAXjDhWn4g8y7gRvWd2yOqZhyIX55OCrWfsHJ7PP +dmfK3nb1+cPgQRbs5brLAUQyxIYtLhiq103ue7CmITO5eqEEwF135Gpo37M2NZLC +3S1NwoYzgqnn9SHuL3pX7xiRg3bneteytICDUnB83i/y045wg8rvqG+JNtAC6yTf +/f+r8By0nbh4TFgQJXWCPza6H3rvTz6+Psk0BlxglA6F2lrjicbL8DZkfWKUitAE +0aYtLwkHy9nU4arpL+V9K5lhjtqnIHQBDtW7ldpq/JJL+k+2jD7TzwCMWUBNyd8R +0hPnLu6yFvBb3fMK0qAa7g4kVv3f5qcpd/Ottkl15swWZ4jVcHsgMfRp2akRKLQA +YJCjh0twFH+h3/3etVL6dktkibwPO1xc4WInNuaEaEMZyFGFLiU3PQ/eoZqEt6HX +lH6kfww4ODq0oYaxXUbWr0CQQCou1nJESV8tPOrWFEdxC0KfGNMb6BNbZwRrIqAa +mrIA6g9ejP0tOkRcACfnve3zRXHQCOvDM7wGFFhP/+ft6160iqglHfXpJX+K9xxh +Zxurxdsw8hELaWfc+T26N+8VLTnsFPUVyUiyRecCYdwiUOA62rUQddBSHp0O3BeS ++LjKJj+5FSaD0pDur0+bYIyNCUh1q5DxKS5W53nhZDMpUHcc0WtL5BtbfMJz91BE +d2X58ptMXR9Pi+lfFhO/3Cd/5caFwhajE+0l5AU6sLzbX3ZGNF07VwtlKzrnQ47D +zLYbl/4lCuayuIqCqauAeRmEnlPO5/br+iA4Wz3KdpuVcqxhafB4rkAQTKBLS42w +PXj9ktOZ4iDg41iaT4ehXXJytZbN6xyitgjNpbJ8Ak2fg94EkJsc19eLI0PQnwUu +EnqDwQZFSrsyfRt5u79ML8R0CT7b+DzsJS7NEJqQvTpkB5YgEF+AQTNEiaZ4EXZN ++Pii2/4WL9UqZgQNKHhcDvleCKuEvQrxgkqVPwyusMmjbbhebWQ+xri9VKAsqF0K +ojehcCRv3zkwvsajC60ZVhNU3Wn3x0ZCwAuZFB4WuxqWS/l2uSlWCIK/RFYAtrRy +8rD7P9jmAKK8IuR6E/8iLxcucdEIMdzACyQKXEQdhXf1tRRDHP1khmszq5DFgN/a +5iPpHGBW9fGgDNbt17FMqMzud2AR4MuS/NYjXkCdwEel0YzJTaSybl37d698GPCG +pOq3Ilt4oz2eLQugfIbuOsv0vwnav9jh/UgMkdpSgPtG2q74QfnwXr1MgSUimY5f +LKe5nEHDOzmM9y1f3Udsq2U4gV0dEcKwGNMRnBd67v1t1c87zI89uKutLBYsseUM +1adrnhkubM/ITx9MtnfQwbM/PY5yiVZr38g3+AApFBQpu3JEzR4SlS96lz/z+n0K +EBIIWz1wSJRsfj8R2Hs+AgaPPXdzKZezGP3k3inhP1RL+REh1BA2XS35aYar4ktV +K6VfOadWERsu2VMRV5DViFCXPtHGuEizFJrEC17xCRzYyhgsQFa3xhPbF0+vz0xa +e6x98VW7ZBz15vu0jmmDAZmMAksztIX2Whoa+Wq4CF/7dYk0lLnW55266b5ttibE +FSS5PEz+KUSlm0R2jefcni9xzgZwZRcFuG4ww3mrLIGeDasp+Lr49MyOKCozueU8 +KYopVSsbbCek2p2iQtB/In1W2VJNyR10tTOuC4pFyUXxJXpOnxz/Ts+6rjOXXi7G +yP2vJMYpOMwFTFze5xzNQl96WM8xXFmOduaU9ywrOe6ipzoyC1dZiWkyaEclXRWW +MFEsWCcJhRAEdYONdMbufeVGP0JAbchD4Fv3PwPBENM9z1Ffi6cjHKKRG94IFkC6 +trhlDIHS1GpvGZaQat5Rv+OaR0DsonmnOlloorfw702LRKs/xGdxqMStqFGOitCc +J94wLhk9s4WtjjT9n0cL7j09H5AdeeTuaND7L/R6fmp9S3GCHppMZGsHfOTwFOx6 +Y+zkTflhLOmjOKfOVi8+vPNbIw0gB6zqPPcklC8HHz5CKSHpONonQLOiCN4v4F5u +zlLiVsYjnh6XBBtu6TWPxLp3MHQmFQJ3OHN0sAYLq0neZr1rauD2HxgdtHEmO59h +QJlCucdskU2XD8gOVU9SYDRAC9i1OzPjbSdIBBQYkU1FIYE/bS9GhFAg6ysU5b4Z +I6fPekDSSiHnNtkIoSLeboplp3nRzPlnOmpK3XyFzVl1S0zWCGcqJNY84jvM75qo +ayB1O6HgXVXSJzxn73dvPLdyO0Xlbx6EbKZAiIGwCKYeIEZEp3/lTUam3IJcEoHj +W3AHQbo6CKz8dYWtv8fgciTFk9Z7DBeOEbLJSX41zE5x8r/5Lm8jiEiZ6Xgm4+8P +8rkZxa2t5C0eVhxtKVrV0UwsicrDDRiwV95BnZ1fvQXybqVMZwRnc5122OzwD2SJ +yZsLv7X4gVq52g9864d3uGZimBjFU8VpQ7k7UyRKbbRbt0URbur+MYQeROStErDQ +mCG0bhtAqK+pGCDE7984tyBHBV0uUPvektDhmLUe05rPSZJOCa7knmhHS01VDHZt +18O1IL3IvJF0mH7r/zkAq2AfQfjLbWwy5GU3N3O7H2MHs+/D/abtEuzjnBFLWg/a +HiE6uZw0uR4oBiXdY49zFs5c/BQBzSj6dU50meo3v9CRsWOQmc5WEjSe2fPNqX65 +aqAJ6kIbsdgqCMj1khnrwH9fsyvI5/V44TRel5mJNY/00rouTF0dJuV5wpG2y2oE +W4jcIoY24z9MSGD+eDcLMlMpKKD6tTK1KMqrV13DVwWFm5Sl3YJQPnPDI6TnA28N +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/altera_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/altera_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..199fefd6d98f060aac8d275e961846eb91c1ace9 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/altera_xcvr_xaui.sv @@ -0,0 +1,643 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +EPxaKGIYrJjlkxCJbQ2jXyODgv8oiNgWN75kLC3zCiyOUotKyLMFq4azVLDJwRXB +uEsGKlWKIAD0HRx5myfdgbKOUmbjdvM577nfGSHzR2CeY12FK9aTMdq54c76kEeA +zBdHHyvnTf1nyGHvJMeIX9D7ppoEyfFxFK2/HUcij6s= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 29136) +w8j48Ah5pcpz8MaJ5mtguvbqzyzKjImTZg1e8gBBKKR3VTS9S7Y6TrTCYWWlYW90 +7XSO1UTAQ012dHbXFplKwB9pJUvLeKHw6e592TGpVQLghpAX374JqZlBtGgtvpUw +EYsJnkyyYNDz0d9mXjC6fTGgf/IsFUtxA+Ogq+nhmMVLBBtjKcrmUk+M3pieWy3H +lyPr2PGA3zIUDFpCG+3/k5ftuMkVYXr/KpycDurH4CD+gcRa/byRniqEy1RU8ktZ +BYz0nNPqJnufIj051kWuH2LK5rFbBCob9tpb6bEOoPKqWWYsDFJ02J4AlMFTeWlK +4r72gPytn9U0U4svLtxHm7sP1ctF/OCsu88s4BpiJn9J1nS6xB+xAxMwNR8BWj74 +zOIkKZFDHNOkfbUdHMm7q1dSTDoXmWWypM8MswZwyp9UpCahZR6Q9uCoCreZNeO4 +D5PKgVs/S9ml2uLullaGMh7f5l3PAx81uym55yOboYOhAmLiStWsnvDI8DM0qIza +7sCyIwaYmBR17cSkMR5g9/lJx8b2TAVzefEGzS6H1vIhBXrQJXY5k8kajVzAykJq +XOT9Dv6TqjYTw4D9nZpU/qN7kY6Fm2q+1dIk7dOs2CXwUL58K9d+hybCPwnXiBpa +RtFz4XDEjQBaFcgZKDDJHsw40Yhxu7Xh5nkQlES6mkHasXwpkQrfBNeFiVbQHOeu +14SDvyaPMRS5SmbYR3Rm70DhkB9l/jJr6V1U8hdj9V75xRFbqSxu4AFRP6wb2e9X +of4AO2d4vyYu1qDsPPsDNOn2AHdl+as0eeiTkJNHEAFB3y6j0iM9mfNQJCjpvLRs +QJKoduVS0/TH0ErfU2LZzSXYLCFE4bFXsdQutBivKxZhhTGczezi6MRVTxVFDDIL +o9wuFBA3WnqMxXP+iZlsuKEtYUmqwat3RUOXuyOe9z6FmdIahMyagfn2M60XBqwy +IiBJiKflqcAssHpj5khQNJXWqPzkBUMqYWXDlWeEpIplVodoc6G9z0TccscER4fG +qJ1ZJuJYzBWKxiFyN9Tf7g42xUKvH/Z+xYB6mAPwHWy7jlwdidbdSVKa/Hr/1fXr +gg6tw98mgu/dEJPjOwvcTUByPeIOKaqyjhoXvF3KD/0CLZm8T9zhdIz+YbN/E0Vs +6tMdf46I/c5FIYMgrKYDPApov7IuywZekCe0WQAO65WHflt5bDbpbPFhSpk6Qt/x +O9F/Y1xL3i9fByuAkFPJHiWQGihOqDB8Kt1IHfHqekhQemGgaYLiL5uAUzh1prsU +Sn0e0V5Nyg6kW3wTuRExSjxoazqucuEKV1xYD7+8NL6bQS37fnfqgA1Mry2vG09z ++OBoJUqp3siIhZMYqEzOyJyPtlosjYzKfpbKzwR9XIiBMMw7fr/6FQDrB/ANzjpX +0fUoXngRjuJGj0S3FnmPxqCqzQk5G7HuFv84n4cQuzJ+l6nPzy4lcfRWPnJtxZc8 +kKidiLJa3xv1wJIUrUzOHNOsBk9aOk9lky1wd7vFibIWnZq7YmaUsiqSW2fG4AXf +AF+0KY2AjxZ+G3MtTbZoH8zAN8DgCSWujJ7IjpfMLpbhz1fKqTT7LlegHfsb6cmN +9FRdJlpWNdyqBCjC9rxVmoOstabQRGUEu+iuDSHcWaiJEYovDkeOlMhcE1qfdWKk +4dXQTLKpl+3RNiKoE4cjLVSX7h/bhdo+CihfdRE6rURT82Kn0+ZkzHdyA9JQvXaf +lZJMqukygOaHKfKVDsNm0NvMp4+T5Yj3B87WATWVTGtZNXS8x6IkHaVMcJduWkC1 +G+NVUEoxLXBHjOtHOHjXOEs6KSWo/GXPzvryfxp/n7MG1fxfelw387cO+qYLoQ67 +gnXGyJZz3UxAkUw/RolFRXUsjaa6dLHrgar+1twziUgBsZvMNYeqNCr045bq/Esj +wg3eN+fnoBPEfVnICLMEDQ6Pz7jhU/BVSeAMk7a1rDuj6K3Cfzheg9Nhni85Q73u +cFSSOgDNbYIarE/c5oHzI83oo+1h0IWMmGaKrHBLdbRsPn94vuzqQ1p6frGklh0J +I0gSl7pNWcoW+GdJEJgfGLvIrs6MmGsmbcdTlt7y5OWhcC0IPfcxefNWrUP40wsq +XSJELl4Mdsf0sDVdxqqpqxAYLBl9rTtpKXlg6fflemuKNAIFgC2hOH5XiHnyiGTs +QejiEEIi5USJHPuMJVU76DgUz/SLzoW6uWT5TIc7IWN1jkOWHefrCRfu28J5Lf7i +VP/J76WayyjTGHEFTtiU5tHUKmKdI2YD7RgiLcrnpcsL4j1+QzGR/jweun4AWjos +DIfMzMZBS8QkDTRYwkhUrFdBiaAzfO5FYVNNOn6nfgF12JT9WI5jF/sGz8aXpM0h +ubWAodk7JP8F9kfPiry2aF5GTqSZlcOmm5qvW01qsRMGXeHL7gwg8Q/td2mNVX75 +eD4xpaPesU+FclWMsxF/tbrqSZ4+2XAES7ahApAx6Qtf+jK1fAsVPSIUDqMv6cYM +eQ3ppD8XDWO1nyeVX4wn0iGu6XOZOAtseKdfsojrIN6CczxZR3Y62OJs8NqxMQaU +SoPc/CC03bFnNvPmOVjJtBmEZB6zP6k0aCtB0x8AeFzvPBDPRYmuVQNk6KwatTrk +i/Lj5QNlADE63DwzDvw4mQNvcbmHksDiH0FAc/0S5YTwWBzQX3NtoycODUWCaP3w +Nn7klkixSrxc41t0YR4F/JrG/LywvfZOfzpgreiaz3XqUIi9qbx2Oz9rLjOyYVi+ +Cn4fYuG/PYahPL95VjtvUwEHYaVYbQ3hq/08ey7AwmujDXmsVKJJykR7Vo9yzWe5 +CknbFEBnqJC90caX0Knm8h2theF+2ep6sFka9pKC68EDNuj0+jsIUMkVpyzGfRE4 +LC/JmxCahIbjCMRRN7LjDVKAfWCWwnhiDijpYJ8U8EvOEJDKHcsplrWMpB0fv7dd +5pQUe/H45ME0rXsdX5E3G008IGZXr5210aILJymP+V5JeexGnGm1zscnl7zem6S7 +15aEIj0nnpuXB0lSXmWQIVxnzutlf8ZADJnICwHdqVHTAkp7niANn+GvfeITrk+4 +xQyd19niYBRi1zz7bY5i9JSXs/haJNC/x3RAM5w01PujxisVDI8jdO8GEreDKZRp +XTFkAoxenz/nySkIE0JqhaWa0l48vDuwvy1Q6Lh4CRjNlkbzDYoq/uYF5Irq1pkC +9KFGUm/CD4nRHmuWX39jNRv2OaMmdikcId8jpEkZiIee5xSgTbHjZmVl9+12VnIj +rpHahirB2sjtI/rAwh1mVFbHitM6wEu/BITTZxMSLPj/o+W6U98Denej/hDkIH4s +oLr/sQqFNkKHzf0degj4h5gH7W/QoN8B7qc3ounOPvKY0LfyFVULt1d1syhK4cex +RiN6btLZxXW8aZQg/VMzSHcJw37HUmPWntAuLC4Wh/LO803BID0IUSEvVDf8xP7N +kRmjhvVTlTCmx+Tdrta5EDRS1fl9lpN2gLmGzcMuLgrbfFgxRQhqoJ9KIyxn03aZ +emiFqMh4Yft9I4gjE7z+DEWBVvht497ZvUxK5nW+ri8aIA87d7X85MMalg/PR3zs +3OHfdHgwboZV4vjqC7uA6kcN2MiSZ1dTzMjFydvRdH4sqH3cPCws1dfpYzyxSGQO +U+etM0xRnWsGplN/4uBR8cYNBKH0RINnFAybQQKR+x9blMKGLhumybLMvd7kvJ40 +X/ssutltLuh2VuldFQyRXeOvj0EBA3+9rHO9QG5fGcxIaDAJq9NYRxmGhf2HZ88E +qPEqKDqkDDJb7BXDAco1/c017Qure60hfYrCnF6igvoMXGQ3VI4czrzcwP8EWrWu +Z7c5+pfbx46n5t+k4oJr+4qxu8hnG8+uF7Z+wQqXJr1s54S0t8D490cl3OfRqpBs +QHMXlyWo4DHnr/5HgzrYhADyBf16530bnW7ynrnHKM8gkjOF7l1VIDKo1xA9BDdh +vGhP23+zOwQqSMtpkEnhI+EOJPDl/5Yb4wuV4gL0DL8796HITWTOyclYXJv6hEeC +w4Vhp9QaEj+etdp9NqCz2cm/D+jw40ZDJQm1y79BK2H6H7apg9WbzfkOIodRdkF3 +CAzeZ6Beh5AxMRZbM667n4j3+HlHNcK67+wjSDRjypnA2TUyICMS2KrLHmrnJZlI +auh5uFi2kKmzIP0F9sqoH5KD3oo+xaq9314XlzE2JGXcH69hqMXOcTBbrYP046tG +k7u61RajxtvM6CFbjEUiRg2DcshI/9IB0fHrjz8HFEWKA6LxVBuvHVZezhHHLEuG +UzL+NNwONMH8Gom/4Z+S09/afQY+lXXidpOZG5LinahYWGmb94Vn2L5mpT8KPnU/ +sVCqrD6iMQYj3Q4v9VCMLQ5QSZ2YXXBVLzCwxZA5czb5agXdHNw95pZPeB6KH0tk +4PBt5VUo0hUweKdeapKR7q0mtsOa5O8weUT9CcXngAekvp7pDBje6xDwKg0M9LvE +mMM6ZXvy+Raicb7pHOSTiyx8d8BmVQkIur8+O7uBqVqNVAUOMyflIi5ijvOXh4Ky +O4u9S9hDAn30Mo5tLbqInJwDeVs5fRmDHBwWW6TShE8llzuk99G4OTYPa1xnQhDz +apuxxlss70LkXkaXu2DUaTwJJXD+LqgkE8UTIKA6IdTwL+g3VKktLb7Pc5FQSc5K +wSfB7hSMzJRmj1uonu+Bz/miywshBxyaW7GF8PbfUhMqwlCXpfazkoYXCx5fjDlM +lI52ypQXytwUp8FiA6dcviwFqFBNS2XZ7MphZVuM+uKRZNJKQoaQ2BvTJ3kwyjeQ +nSfweUAiDpgitKZ0nxwUMGBGZP5bXd8B3+oQSZmGdhrf0jJ/1o80alHQR/dqBmvf +teDhNRjc8R8hlObKbNNz9Et/o/ppMjo9MMpY+yQxqWcc3qQGCJR/hu7RKuD3lQZv +4znRJnxgjALJ+s7+hhfumnxCHvKHFrghv1VjPkPrnVVu8lAP0CCn0rLxditoZNxC +XO01B1bGBGclfaKLpK28rpzrnuff+BUf7WInuiM2V3uDWpLPbjz5x98dk+JqyMsD +GzIyhAM666n0qNxG6BFCdhQbFnvUawLulzWmVxsm6Q2haZlgH01JPmhi97bUl07y +1liq93B1sy9CnBFDXQ8GYdONbeMJGSrrxoqMwVFAYfugId7l+lva46bKzOr3Qy+U +TT0pBbyYxTmwQtZHiFp2LoztzcCP+XfZc1ni258arVwA3coe2+s4T8RpMJfaVLOX +LXZkA+K/KG6mDF3LwzuM7rXy0yaLWqDeJh9ZOi93k3BKfnd61erWoOPAsAHBzDe7 +8QmNHPo1tZKS5PwA0zbQyLB4rEOCC/jkCYIDf2cqM2VFuclWdBrQ/D0nxOBgF6je +A1DraL7T8OgcPjk9tVNAb4l3ulpmbzn4f6//ekcUrneoRqGEWXf8hpVkEs05O5bo +lD0CUlx17BlswRuImkNvopXFT4RRdBElAJ1LRBdonqG4mYkdf2eIDLtfr1r16ewC +DWEeRwtMQzzrT27jrOj03xplzdeR2C7Hccmvkh0IDDYKFPBJmOevkidQAcZn/Aht +bvCjumKnQSUogYbWU0ImSZbu5oAcr4o2HJ3Suo2yMM/d8o+0mf+oosObV9gDbnb/ +rCDWgfVhXt4xACC4NXhvcUXhvXsOERhW4sgVO8IhqBRszgfjckpWhIut6f2vS8ii +I0vP1EOz5GYPShuSeFfeMzJZk3ku+htw+xUb1Fcp/8HSRnP1Mlq5JlMBiuMWMhyj ++gmJvN5hZeETFBH8WUqdLVe1Bp1BgGAPNkIs7jOIod9KPCg7Hz4bHKHGqigVdHif +RYtt2V5x03KvlxSepqaF9FuHPEIkJDvUGxh/AInuEpgT6Vmlm5Jaqb0CKpnJ5xpP +8PDdtVan0gUWRhip94aZu4ujE8qjMNv11XvDrScV7oOiDTJ2FDJAs77YDKQABPJ3 +94F4lpUfIWIuwujTqKa44fAQeoOT40PFNWfz91bCUYO3EzBiknlhonK0122600ZN +yfQNFJwiD6wG0/ho6PL4/copvS/63Z0xWg7JAoWTc8gFLeImaZJ5uXZ3Ggyf/uPw +auhq8+PD2nvBAwzFjHjyIOuVmxbF356t1o2NoKlhF3Frton7/Jcdg5Q3xgd7i3t8 +3OPQ3BI9u9ocjEQ3rUbxrm1BlBYg4hoL6M0cGgcR3hoAJJNGX2AFvRXdVxKlUwmP +SvyNkom+4TwK1nJ67Js4kGl2I8PyO/4cQINV32CfB6VHvT+A0MSl/c9uYbqUlMeT +ZXE2fGGwf3T98xF6yPzRNKO3tEPqfJcnQoVsFhTbIG/hbFuBJzrj432DbVtvt8s0 +QjoyylLZYlKcV38CkGcSkZ5rJVyPmcSk+pz67h7asAYYAnKk05JCLA39h8n851uU +KtBmjCuD13rAnsS1u8tMF2gEUN/yW2CWZLaMvxVLa6ARvRW0aNxRBzGyemlS9U9T +eqsHYzqZaOUXnpNzrvDryzkiXEj/Ao21LmOEolwe6pPUklwqxaKsJ9KMqRu703re +sq39mBMVIZEkXpCGB7AEd1Xbka4imQO7g/WSU1eqrtfh9p7oglgvjwBOyOgnBB+S +2HTD5uNujFr217OC8K3+5mBL8m3eH+3J1RiPlebdrZ/MhZAhvInkdDeysyrDT8ia +4/b3R3A/PXakr6jyvUjayc4iH5KMbda15ENxT34rJzR96Mr0AaCn80m4eQpk+tpZ +cqdsMsOnFy0YIhM7g+ZBt1yBEUirKjI0klf2dV8IuuW70jo0FC5kEzsJboYT6kpR +FgOqbXQVyrsksLpKU2y5ecFhQOYAAjhpMGkXZ1ie6C/t5q8nE7Nukrxgt1ysgcYj +58+56Yi2OzZgS3PIlxAf1CL6hJ0TELlNlaUfbuY3wtDrl3aVK9VJs3PCIJN6eTCd +YWRZO4XG7KfcP0pJ16mhEM70XNlviY3FQaroTTM0XXAf2HrCpHDUW2Xk0dBCsFcr +JzVZ0sgYHYgKTLxHwnjPQkuX3Vjgf/qm56bvkmpgKvpsPNnH3c7csCxxIjzx+yNB +lDo8Tl1Afu6kyHQEVHcNJQxezOslT2PP2gjJLUMNUC+HoZ5qYtHwzT1R8TRYmddy +ImD/9RJm+QQtGEEPnCskNfpF8FUsoTAmb26i9Tqe/esS4sXWsLh+7O9G7avTNDkl +oSl+By46tG7ohpb7So2dA/Cia/d20R3OC2vmWtA1hGqsDel7QYk3Pq6ySRnu04lR +AIlcHyyIANz2lEBfCav+PAJEa8j1XJerElRiXbOE1l/RxxOd7f509KpAUgFr6Wnb +lWR5tJ2XoSzwTSg0fU0u1rnHjF7ixYKSnXFAkI5G35AzDF/FGbaKXErGxo7igKla +296EHSo8qN1qrTs3Et92lbltQojz1bI+2IvnA/PMwT/uk3wn5tqesyZXS+X2TPg+ +xcGrqZzEeWdRbi+8HSxbrPIAv68oeHkMYYQ9tl3IEv2dTAlVhzMUggaV1PvtVYU7 +Rk3ueRNWD+KPsAioHVF5isCEkgGqupgK5W9FTyTrU3/zRUlTJ+zdJ8WXNSh6GF9m +i5aiJXsPd7Anurj/noM+57zF4W2ZkqKfvQ7kXCAoMPydw0E0EYBlj/53zanKo6iq +iZPyT0CapU4H8gRPqDrTL7gSJfcBZEE1gXm4G2efK3ZRu6RETBtdD97C2ISjM1UM +6R4u3Nb5DdiZmu2W4JsCNSOPDzqO5sktSAJQwf4kqw9lT3vR0AulLzP4cWmeEuDL +1bDyf0WRh+7Xd0giMvaLPdeqZeJd/1mRYP4uGbUfPMrHwQi7DCJTxhN3XsZ94Xiz +M+2avq3faMmBV9sqf8tIeK/FHuzc+U1DH/qb5nY41xCyP52j3LjxYiMWHoUwZWsP +h244IVAwWh/RvrGtskO7wWdcwTngRUjOcr1bm8ZxWQ89k6SQZI1SFjdWM6UXeXXc +3QISaGSqF3XLZ+HbH72Zi/jNUswTiUhW8MZN2B8pdLSnoSx7GdDxiPY5wm3dESxj +TT2BnExELYWYJlm+3bXvsmSU5M8TOwGTGe2XUhKkforLyzGG0DXA6nIzOmA1z38s ++W5TYUT0N3NofPNgWOtPEZh3Cx01zAftZsUQzOFnP/TprixsMUayOJJQ4iUf4Qr9 +kFmYgNNQKjGLsUMTQVFlbqEwVhrz4cI27w+DSRFf7I3VZRucsHaw5Nym0JAsdCxU +6a/cpBAM9BpWgfS6v2hueNl7S13h/eXAsKMqxfERjLAeFmPxaWk0W2aiLGOJ344s +zGaSNztHX66WI4dBOcISqoVc2nb0oi7ItCFoSUjwqLnIulvw71MhcdpXVbQRsMj8 +Xmn3b4Xd6dOWbSgpu+vnFlyVLokMNVfSYrjR+z7iL4vlqGFb6hBsqUVPjRMCKwG3 +syCtXFBxqAqxfO4AonlavpObh5FWBCWASWJcaABp1ovJmJPsFYqS4Jtogy16JQiN +Ktyc9uhfYgLJJR7Ar0uMwwAPTPBSz4RY2SGyPJuNQ3StG7WxAU4KLpqyRHnyyyIy +yexJUdmL4hYvszTMkn83gf9kbBbWnk7TtpamDLviLAvzFBDtSBbBW0Jn//70PrUq +L6IRVK+KVseHcrZWxwZu86+TnFr7fAWLy169eRi+Nx58ZFMe+3KAiGIuNwfM+wL3 +l2T5RCKPcVAz2iyO4x7ZAFfnXyt1jTy5jeiaMUvj8WRhyivyThbEJY9Q36bA7WsX +j+5LOrQQln0AJbga9a8PyeURFfDANp/99fDP1hhawdJqPyjBNhvregfnfo1Q2Icc +N6GG6I1BzBXQ2Z9VzMXpRseSA1K6VJEcY/R8IGFPr/QghgvwQoAhfoZl7czG7Yc8 +J7mjSisDxf9NMVMmiKGh5PlHBsAOOVJz/ke77Ko16gUjSZTITC44bfM4wrG6lSDB +QeGHWair7/kks4MODK7NiA7lnGrYyWqI3wgLcrPTti0mMrxxTl6LC9ipmi9LyJd8 +aiJfgmyfCAQakYfDX7n3lrQhg/eTT8JGW6pqdYsRA9I5Vwtx2OgRStZ9ptRe9+Lq +aN7KIm1Vehjkcp/rXujVRntQLxMaf8oMfOPMtvJXkBJmzvygTdbRBLDRMERRstRJ +WjZ8K0C6R4gMSGPQTJky4uKtJ6WS+mXrFhhx1Lnkqfv8oUwN47cYeByggAgTAa6o +onsSfehVrZlb4Smpguo2SBJpUvl/8dK5RpdtJ0egP97tSl0542jd0pfWjEqsNjUq +vxeiYOBaI8LNnEX5bmhe317L4a4P2eb+wh7UyUsdGuYfJ7gz9B9VoDYXPbU5fyo9 +Hu10s6gyzsmshY+pVMX5F0by5AJbMRHA4N6dPl3hMUa8oB0TkRoDgaN7/scp/vyZ +OSX8nwUQqRIsij8XeHX6+rQz0cAHa7zmPzsKGcKGpgJzel3i3ewEI/OU3D2pQlJi +F0NJQegg2Kjti2/yYlZ+i2SWpY0QACo8IioAicpcxO0sG8WDV00BAfBOeFAUmRG/ +OL9T6jBnZyILhiar8U6BCR9FUmvl7TVx86Z6KI4rMvYJLlYFOwLAuJlbGcMaKn04 +mZmrhrxsCr+6bkt5eAgWm5AxZ1ZXg0XNl7LzBVDSsHnOjy2TFdDBlkQCq5ZcblpB +uOqK1lqetzfMpgjXtAvPn0bEU9vQqJNEBS3buET5VJsZDs3fR9ojwA2GxkS++8AW +zjS6mpBInh80jmnPKsGzH5zvtCvDDNsjSXt0ksc77Lsu3CBlqEiQbaLtJ/uCDQok +vlnZIwXLqCwumKNPwF9UjVWjMa4PUaMDNUYv8kW4FyylU35i8KHPJQcrqgJ58Gp/ +tIXXuli2/H8e+wK2f5e0TcE9GRmA2xXg+nPuoM1Ttn3DT0YphoUL+Ex1Xd5shwZe +1VT497084IjxPitGSwdz+26yjF+9W3PxWBh+pOyvW9oxd8fJjUrbHPdvySU+MA66 +w57vCn4ydJxJoVl5oxe21YGMquksBlNP5nDmjfE8eFLjJlzHuaJkN4v4lcqgrFT9 +5njNagzPGdpdFpHsAEfSCZNde9/aJn8h9STfwC43/7JeCC9W14j5R3ULnexRtsqk +b3mMSQdOJFlYiYeHbOWWwwnLb8JdqrSafFE/h4ZpAIimLu2odwDYwa9vbV1FlL3x +0vyRidmgb55CX50AP60AA8VxRPa04EhRo7/NGfBbcSGiAs4hYjWBJ5Bj03/jeueC +V99YFwmq5CnbfpyigGrf8v+cW2xyF/57p4/JBGKuM0kgyi22lKZfQZsl/aBuzd+I ++YdVrHy6GvyPfW9PmV/Zd8OgBkleJVKzavXk8PAf83xuqZVO3qef2VkNcCPNjHxo +UhaploaijwK45mp9sTbZYb60kd3EV0WXC/0ouPFT/XOkx1ml7rw7c7/EGPpg/cv6 +psk3XtizqqIKBOuY4jUNAGqQ/vmzEsAb/qL9h+9KrIU1RekThk3sABndGzdsAHz/ +PxQ9WrEcaTrfnBwMcnofKysRfZDfix4kt1mO1hEFurQS4Yl9lxArvRWhJ5NuCC9N +aFwTDOxcC+ANn7Ycx3Z//dtpnXbIsrmEy6itj3lhAnDn2MBqd4UD1cCglv2M+aPc +ppUo/QP9gs7z9OrJ2M6I9/pedRcCZlzBp+EaebJHt69RCIBdGU0AvTHtEyvz4QU8 +4TDe7bHfYHXE0RhsU38ghgiwE4fn9NAaOczyRzlaA24E7atYRW17qzutz4Aby1J4 +lfX924bM1C/3OtjSDWa+7nBeH3UYp2Si0UthzGO/sDNC4T0y/x+Tqdy4dbEHupmq +4ifX4R67aGG4clB3dUmn6qeIMkg9zffxasZEj7RkAyjJCRitexZarRwCVrYClFpN +IxUyZlGdqamNMDsIv5kcPgOog634i84tk8dKxgGDew4gyvaHM664L0D5DISvmqop +PL0eV3Qbs19hYvOOAKAXBtxfbXoNMA+qoQT6ysKfsVB+DnpXVMuHwZvZ/rUSdPzN +UHjVDAeWdBWcwMaI99Fa8OlFkn6JkTSNs7r/D93G+s8A9RbVbUCu/pb0NtIh03hb +EkBtlrObQqgInYVe9ixvCpWYPol1OxrgrTC66FIYv+Nmq+kC/D2il1NyP7mNByxC +RsFhVRu/5A/YhxaV8B2NFL/BjKr4kXaPU0I0N6g+A8l7YQvWEgE26g4xA+nMA57P +arfJWRmWnOMik+Np0cjI0/kaQulHYX9q+5VSutvhkFMjSyzNT/GSNovUX6vFJ2m1 +2pNUBR/WIUSHPovPa1C+YTIaJ1XA+5vYOJKN3ehFq2hxUCDT8+4GNIIYLP6JLe6w +g6X5u1kkEKSfCyUi7El+CJZVkJ/6dZrqOn06tKc8sQZXr8okfE7GpJa75pbl8OVv +ZMY/yXdjVgUld7pL2IAqIODJl8TrNJ0ZqPSz6kHSff3eDMeSiki/eKDd5oTBV9uV +OBv6DSvKvK+ulrrbb3BBGezbvoZVoy41fCmhu0RUAvkzAyMXDjXUzGsGe3CLek+G +bFugNtcYCIZGE4k9CTf4xDB3gjEluWKbCOLUZWQRAyCgyhhpmYdQl6RMJamrBX0h +ur0FpF2PT8ci5nVJUQkxcY5ZVi+XLBWawZlLHnvYHB9xsUFRaDcd/ThLpG9YvJVj +bsgjxAtF3slNRZyoGz3pul+QGqXTaM1NL8968jHrJ8dpz576u9I8HBxntd+z72CB +DNapHfAFivAjtHi9ApdDk4W0odBnmS6ItDRHreBexi7g2lIUXmy6SqQ8MD3I+sjy +VO6ZTAAbHTpQ3uSrKvKsRfTzoOaskKfQ42nKIM5ogjJO1fmR3oz/HADwshMV2ZC1 +WTr0IhPM+iCTU+Qo4mQSKVZsGhyC52mnKfz3lAd1QVZPCZvySkArxOFFabiJm8n6 +AZZh8B6nO/VZ5z3h5yw/tvsaMr8JGXMoRV/V+Jus3PzrjLjDlCuHR0ZbMeJVFLPg +pAEAwcF3DOfy3Otl4ojGbgQLR+uxuXk1/bk/f4FpCECKpkjlV4igyO1hjJB6XNba +37C9aGub5n4J6X70CnRi0lsJn2ja47WW8gcYT+KBIyIdSaGz3+/EyaQ31BWKq7lY +W+Ol37/Vnv9BeYb4mAdUFg+4qKaltfxWZNlweH2ovkQqGKaLqeS4dumniQZE0uzU +GQUjMxb8p1UJX46Z/rJZ3/X8md0tD3vw6Zs4lALZBQLSEEGMhVG+7/gmqMXhi4DG +aUNoxLVZABGvm7oLZtSdXW8f/Y1ABZDrLF68yKwyrfqVMrJXP3D2m30Aj2NsAg4J +VC8UKgGaSIiZILmcG2wnc1NmqlAu1yu0L9LYEI4mivs5hQX1VgvBRHsdCPwUTFjN +5dAZTuWRXyapvP8gORTNgAd6Mi4D/mdd+kMdwrX0GXb3IaM1hbgiImrQD5xDYoCt +kJD3+q3q//G9ZEmy9sImymSVMqOpfSu0IGCVBZ19AcMuWzSDviC6WJzNtDvwdmDG +OPXpNgvcwbCnKnPthfO5S5jy4R1x/XB8G26KpJ4q8xMHeFuvZdDQH8+24RBpMwqz +RCnSruXy2V/DijDvMJLV0XGH2cxck4wePyCTCv8vUAD6SeJ5E8tXdc7NuLEyRyXK +69WImHsdxTFLFUJTFXQvzTkoafxfAuqDb7fYVkINSrHcpXbl8/bwWcZNNHlk3OkF +8H6Ed7vmwilFJ+OalROtHyvqS5djQIB5GaUFDpGrS4Ox6qtOSpYgk4KqwgUkVzk4 +TIb+3bfeXe1kUzHjRk8PFuW2/WW8X0zv1lWT/377iqsbGHB7nf0bOyZyT1ZbZqms +CULv5keI6qSt7yEvA71DXecC/WllTbRo2OeJ9S12FWIKYbu8j5PtE2EPtMbmk7ns +7lC274g41mm2Iskic5Q95Osi+c8fgCsi15kispNU9ETs6o1PDTnMh5auqypHxcXa +bK0QfyicDliGx5ubanPAa0O6mVSNC8MU7zi5Cc/o9W3YoqcEjxk05Y0+gCd7VcgR +VYhKYO6QXwJq68XIsoNdniesAtna5poCSohJMrbF+FEAQDR+KgLeMY+e80EHpfsv +rD1lldWnYYgHH7cT5eySBd2NF3pp+PNLEaOjvpzRiaTA2RKpYmulcNQWKzfp4Ovq +PFnBeYNnl9T8hOIIVTG3sNTTtR4jVQ6R8b3krKJBFd+eqwmmOxym2qtw+/VixeYo +KF5lZQYCejm4ZWFAfOyrHroKINyAtC6zdrEIPaaiBYJ9v3cvlYxUiY6pFRMLAVIY +jqePIAaLipA/hEajTXpGumpnuuqHwBJPSVXPqWwI69D1mnwX4jqDWdylN5ov2jBz +M156DD83oWtwtpAs8gM/Qi5gC1oK4fnThSMVwiQQGPsAwQhhtBvbkhG6XADLvKoL +rw/87T99Kpnroi/EIxYVRd6qwhgyqzrYkzAcNHGB9iJgwYxMnXokiG7ele9VMjGV +AjCfUbbwjgdTjK+iJcHEH9sZW5xSmL7y/6zQuvUmG0ATGXchgtJmNJQVsx8knoLZ +NpCE8YqJPy/tCaEVJT1OnLBXnGWcviYTB4MC9z60jFPhzgGEXOgK6U8R+gYHGG8G +TriE7WExacL1m0Q3OL8gmcoPem/27J9XsIrh4OKsogktPQb68DJGRGIM5sIUuISh +zOJgXhoijMxRUqNydur0W5gREuJPCIv3QMdU7Z2JFfjq8MAbHb784RQls6DRUBUV +KsSzwU8VefvEonG/a2phHyxTHvVisBXoQ+R0jbAA3OReR8XL1ngme+228K3zIRho +p4lMRy0+uxuhFq5YZ+oV6PMJHzveE15WHxd5zsqpwd2pd7jSTd/THHAua/ZVdLDr +cVAWfcykc7PaVDDha+6ZnxkbbJw5WXWTwsc2XjQvChkNpMqLaZCJQVYfynXPSLg7 +afi08tCAWI/aWbmajBrsAD9TKktzYsp7lYtESIopQDz5SVKPTV1ZkKMgXQzIliNi +eUrsLNuQCVb3QBGG7E7oqRP7hx/xwWjYNegQrVJwYGlUBpaYjB2+K+oHl5EDtJr5 +n8sO7SaZP12u7zF5nOo3X9Tjc6r8D99Ajhv6+UzOv2G8YUOPlp0NAEvlb21jWDOV +sAQdSbEoC6DX0TYxLgWQmqd0cRUzW9ipO78h4VMCmiYmBGp3kplHW3QrLaq4C4il +sGFcEZjPfS+ZES/6oUES69X3qV7ij4+ZoHr9d0IM5MrVBzz9YMEcDS8V9Ebgqp83 +aq3GZCe3rCZSjfXWCTJzwyFBJAauyNOLDaLm51nK2DbfZxrOYzgSSMpLA6iUyaZQ +TqRa61Ado6dQo85j6Es9+iL8xS06Eb9aq3Efb8vnD6bYJ9gAVJ3/IWGOhw5NHMp0 +l65XvXTHGOPBVDyiL7FnKZbqPL2qddjdXBuvUQjb1d4oJ1H44qXIvkwkgwCcD0np +PIfhlgUoXSCSdtEWqyEl5yoqVOx7Kyz8yeiahg9t1ZdCzIhUXdKkiuOki7zBw0jI +3To2lg6iO08LkHset68Sc4AKTqaFam1nNszQjwTCEcpbUR6jLHleEBRYiBnkV081 +bngv3b9LLEmmHwQOYIvCSZL5oZd4o7XXsUTnzxUPgRIQiza+DVcbDXOMvh2I2hGN +fhHxE+MeCOrA+oMU+5t0mx6+cYrzUHj+TBwK1ErOlMECwBLJgJ6JIk6usi+t4KBJ +w38PUuIw9An/mhHoSlm2GWuQpP+aR9NonxBc5HXQEEkmSzRn20673wARoYtd/IHm +XIITi45x48nVUoiQS9MYwQgpC6T2Azn4LqWpBr6TNRyr2oO7JqXoE+gImi3+GsVL +UsM7xH3ljtKCQp5706s5WSY4BBcovhFhArk+SRk4rcr3hVTETHatO8LM6p/ReXQR +XBHQe9LwCrjS/BS1H1EAKdFRQHHH7/o07/PXGCza5jGBTTz543xSv0bqok8PmiwP +BQwucDUqjAhZwTW7rjVO59Iyq2iHtzbnXmXdIUiM3MFygV9C52WiwYgv/vsw1bt6 +8/4vrRqH1SzcrCE/PAF3MZAM5PFY3xJbUjwX65A+8UYTQz3Zdj6jr3UhyPMI9IgO +1n4vkFHR3LYhLvbmaOkiPpbWtaEG9oMpX+AUuAgOKFHjPsuPkAxMS1yUiLBaX854 +Ld8+1xXSLKOUAJ16Id/o9D4UReViaTN5yAi63/PWRd1gEoTTJES93Y6O8T3Lwtzi +dljXwJtJf8GnPEngW1zpB8x7eflnimy/ua6W4t1hngTle2+Xtu8n+Dt5Jo6ex25P +wYymQuFX+8w9SAqhwA+uMwvO6dc3Fbhx1Yv74osqwD+luN5+7RxST/AAlqih8rV1 +4VpBJ9sFMfb4sTNvI/9E7R38A262j7iPqoL3GghL4V7soyxTT5tpqWEQ9R+mYCvm +xkH00qf2l1OvGZRhymJkGwyh11iytNLkmzKnEaQ754igS/G6du/yoCYjm9RO+yiI +LT+GCk9uOhesbUZiFaW1am7ipQcHpDeIHiZ37ax/HQuAoiKauYHTCOOAUuPq8cMC +YbXhfX2wPx3cJOguu+RA+BB1oBu2tm2g5tkmlLZg8dLUCpJODr4hEFTqwiu/UMor +vLEZ7ZAuUvAqsoG51yc3tEoUVddEylkPKJLuCZA4qzhJv5qHSwJY9vwKRjZlHyMV +lUQ/ThDmwZIKM8FEfDBWYopxu1B/cPVfStOqYybtA7jOdAuIzFcptd/HpHXzRNpy +1lAZQDxOmbxUrZ982YxDhjLBLM9yqrYO3xWsNsdJ2kqmCbuPQi/z8OY85U0F7cjT +JPrMjFY0pHw0Erkaxsut0XdWGTAtL43P7dsYkILsORhnHeSfQnDhNuxTe7Vhib5s +PCYAjc2xkSZdZycDhrpYTZ4YyY6aUWJ3Om75lnr3PdzG1HP9PCxuUNYkuIy+lhX4 +wBlBhnDbaflqNRk5z/Y4t6lPkSRXozpyb9EIFdUKgS5UM0wZJ1xNfqsHl743+t4I +auAc697cdtySuhxeQxAPdJ/qXAVfdjmFOeZe0BzrEByu6/xjdKks+axofBzC/EQQ +raLzbLsZCPCoEURxAfL0H0rViiklyZU3wRNVW0TYaW4BAHsR97kg/2RwQNW6QjvI +0sMpBA1ZeN0kwISQrXgmNcAn8h43+MJMByjB0YzzV3UjJMPXq11S+IUEDoeupX7Y +N5BwQmhMmgYCuHeYe62PEYSCXCzTEFOn5R+cjyBfkagkA0BQTds2RHZuAj39srqx +eXjc+0UpiAK6Tg1zK8gGPPAfjyr6AP5hEc/jMADe0FbLVCcd7yeX9XuNP4ci71wC +RCW7Mt/qRQ6L8vUO85c9t43JKlyourdRxd8ek0K8El0BV8grXkvdxbTrsF1YFNNb +TXLkY0xcsGC4R10l7MG0OQR00vURuR/UoSCQR1DP6KtoM4O6qIglLrRyabBtnpLX +iTaVJacH+4e21Uesp8Zx1DsPMXVDroZbH8Xp9+9oxA8lyzbdv/9YdbNB/QynGfYb +/NcZ+UeCTMnHzy36OqOvYoyV1Eit75JFzXNhcTU2ElZGdHFQoOhk5ct6U9OyzUDA +G5bnsFA1WEcRoUu5d2pU6T7heGIt/NRhm4YIKhIYFU+6dNQYrCVKr7DhJoJOkVA9 +/6UNMpqQk6s1qxj3y5j23KH2Evbu0rmSDUhqAa9eNqIQvy/oIKm4CWcK1MBo81Am +SwSfDIi9GGGQ0IwLr55RMb5GP6N1H+MmeDLiCeX0uYTPMDxiFeJzc+JrCPhwvwyN +sY8uAZkaIdCjn2MzpDtT8V+pqEAdudDzJJEmrzkBdooGXcljpnw1QP9AFsfNoZ7e +DvEhXjebUB3ZRwImh5+vOv7eCdK3vaTGHHiQRb66H7ZUj0FksFjsUtNkEy/vgpnA +Ps3denFonSo+7i5LeXOWO6ZFpQLIiN56bg/WV+dWqZvgT4w3wqaNtfX3SVw6CffX +dFQB/pgSF9iLp1KiVJR8LYYKRLrCqGiuOZsonLVmI1DLyoZ1lMCxfni0p/6C8n3U +6wrqilqWdkBkYdMkP7H3SNytFsd8cGDdrrBWATyS3PDg1l67zOEW+j6+/E8eMC13 +81Uu4BlxrJZIvKw46xSLQ3nFjpa6YGakNcm85kXUnOhkCRs3QBzCh8O+W9YDOIDR +yeJ+J1t9ww1yRbIXkaFT+yF5PjyLlGxkblV2p2eqOTz8+05X33Ks7Najcy4WlBpj +F9I7TcWgT6mw1WstXxQGYIBpjsMi6nACjIiBAJUy+UtixDg/p4P3CaNQRcA/Ym8I +uBlcryAGC+4TRiGGjgCGLp/7CRzOVDMNt+W/RkWr1907R66MPyPzAO6eCbIbWIBw +osgYAOfwF6yGeGoG055flbzC5wZ/lCQiwb8i7rKU3C5YzzKBioEltAZ41BRlz3pp +WmHSndPAnRzZWLJ4C+QxosBF2l2GgBTSI8pff6Psm6oo6aqR35UcgnJHTeU8eeBc +oth3L0JESVMbzFxosST1HhzqQj5bUA5pA/yT1P8BSOmBAfrJXvSVHvvl8Qw+YYz7 +6qL3FyRMWp48ZkgH3U6SEJjFN4IBavBxYtkhokVNey3NbqHvGDxtoQ1Fl96qMaRu +j378jk7OIetpj3Vky0NyVxXgA9QZFFSA4GHmcOxJT5l6mNWJtj32LFbExN3U4PIN +bXUC8uHpK0BwXfxlT9UwIo1K2jBeu90V1WR1zufapeTZUtr8cRQiddG3+3TmvJIU +gBlLhTSOWoM+ec8SzjHiG1xTDcv/bQHVVyLXVX33rbR4ZkuEjfiHe5OZkG854cCJ +4RfdYhHSqYVZcVpk4K2xeR9a1vPkpwAUaFTFBoMuqPOMnrJC+TilUhgRnY0gS5rZ +uCLklH0EJUtYeXVhrVuQELym+2ns9eHe7DLbc4xO58RjN2BhoHcFg/xPYdCxYnS7 +FPIzua/mzMAyypVZlUcffGe6cK1AtDk3VF/8VnEqvrXKO1hTBuD0G1XsZi1Cep1Z +yu4V8iKg34aPOpZqBntEvAyTnqp8gF+zR7bWGtVUOdmciGcY2ch040tCYujGrWXu +0Zamry6xd7q703zFRzav2da9KoUDBDV3CZi7fjCGpPc7Wf/2yd6yZCsLA04OukJQ +dkxsmVp6Yjqhaw7gnJltQZJZY+iZrsl3muIm9BTR44mOHRwEJfUr8CBWyOwi3KJ4 +BVVQrol1WkmGGf1+cmfiAAY52ncRz+q7QV4db3pQup5UkFT5VIHzGOX77kvA1aUH +n7yUAkVKj87T/06INWc2pxaxdrMeMaOlgUhVUPnC1BCdJQ1xFl6UOUz72O/YFabI +HP5OoCerdyT+JR22hRYS78U1ptvGG9uYrZ4Q4EKpAPkeddOHVmVb+VOeFZWusDSg +LYT69zTli+TqYUEv5X7XnSsW81hs0jjDAd9TQtK1AdmMaqgLnlUglQBwnCg6PS9n +6rlYVlyvfxZsefNLoWBJgBiybdCpe92AFt8V2BJQlAub5jo6GuRr4g0rf7Z8nAU0 +gDt2H06azmgeJUATRRMlcn/9NCjnlJosdhukqfpFu8sX9IcXITd8PbcTpWbsVYhn +EJy57p7iByzEUnGXuh2cewfMk7pn4+E9fIPeRR+CalJPJ7Tlq/tfZmRXGvZDIxkO +InsaHZEpfzIGc+Ena2CjR047qm5taZ6Hg8bXzDThJwcEa3jfj3IaXcMpl8OjWl2g +cy9YmktKRSKHnIkSL1+1P2uJpPjNudyLjSKer3jMp+Bi5qVkqcoa8a6f52gymo6X +L8+IWRlJLsvYSZd3fjXQFJk5OLhx4ZmUlpLnTrgra39La9dfMa1DvdPsGJcGTSDj +2728lRFdm+YFCjyqPAkzaG8tIeVi3sIIKDRaAqOHPnTEmV5FsghqxytzDqIZzkSP +rSglWpUOoRF5e0CQWNTMeyqivCppPlIIwZhMIrEDSdS0e3cKYC0aGu1wCVj6o393 +elBJyX4xpflOVZPX/4r5r4mi71wZN3GEgm2d5TZUgiKCDVtQ7jEWozEnfesA+6dq +1XZWtqN9CDpmLhXOftgypHz01LFVHtC4ZIBwfx4PPL59SiR9H1xnoWIHQEz2m//Z +ThPilz7HJjvpkGO/5nWGmn21LFUISI6yAc+S7fy9QTa9nHxcsNWwgjOWe0ccQSe/ +/3kpwlyoL3f0QidZcnIoO8Q8UhMPEVvCsd7ZVyXBON3adXDAWGy+of7z2dIckBYQ +suYybJtFmJsL4R6CaEwM6PK02YSNcuUrqGbXLkCCuQlerVGqVNa3Z1xrMmCh/Qa3 +rDzdIcoNremHnKlzFgAMUY68I+ekPVmr6D7McdCA8/xtYgcyfeF6pJjFEYbucP1z +lpRAilWL8jadYtFj9tNX6SakT/QkY1e++Hf3B8eC4JKDfvxfpLAw+bR3wrcEfuZo +BLZpcUHjSuaPkMqqYYTonlsJ0UjzysNpJjLjFUuy4mcglRg52nZsJnBin9+IvKsL +NpHIh9NWBrgsQTipn363nCHJxkFyQ6XfsTOqTCnLzK8B3yH4IkEE1zK6kH78/uDf +H+vjAvsPGQgAf8o6JCSkHm2tOJ+/oNdxDHjGgvFyhOTStxOKThfkZqXbpn0sTIZx +Q34CIpbyNpwVILIM0y/SMOYOOLhuVh/CdQIsFj0+a1Ds39hzbn8WNNWRryPDMZzu +1d6otwXIdIvVWJ2+mDEounm7lkxWWNvTfqRR4PVOO2jpYY6SLyaK04gL27tUVc0y +EVcwLNk/UMceUP39ySjoXtr6swI/LM6Ep3s51ELnpNDlMXcPCABNY3bwCo1fpFWQ +kV6rJN31FZPJQuV1fjyQeB/8Q+0i3LVNr2bv7EWVWVhkzaFJnLpRI/FIdOSbAKkg ++kWLdodybnu/6VkkrEUAfk5Iq5Pe2Uu5sh9sIODeiAkLDJRRoocmmZ7zD0lHTmSu +XdGiF0ax1XhP8MF5SjTcDLLRFeRSf7x+2PhHyrECC7FB5qIv4ItHK0HspHp2aAy6 +nm9tCn2VQ5OBWuuO9f36A7mYvPL3/YQERy8WG58HjpoPnLKIwM+fiULJL/Hd5lZV +PWICg8V6kVceO1wK/wVY0auOzXiHS9dn3lphKAvmVraWoJWp9tpMO4rAkH6BFS7U +lYo032SoJZ7wVVrFEiv8HO3baVY9KoDuUFcl0GmSFn5f5jufnE5pJIbJ0mJ3anw7 +ttC6I5ro6o8Hmd84moeJvaDH5+0T/qRyXIL+Z1We0MGEzd3dydWb0OoWk6pcUDeT +ZbCOze8FPNl0+g/Z+nEGmAJAHB+My3TwVGkJUKB9kF5Q/7Et6vO3LOzQqMOGvAZL +pqgOMFlS6UBU8j3vmCxTku6ciByVqFapWHOsHu6cxfga7opu/3pe7mFzv+zNBNRK +dBQD+nya7UK8YoayNH6FL2SSb6ZyaYc45KlZNOSewcOv2Qw2ZRRuiPRea0866Ye/ +s5a1aDEKlzdpH5yXukXFaJS9mE5L7TXqkYZevrVUPCoKTPa0+SY5t5HTUYc/4Jun +2zBA+6LOVJTYskdL3X4hhOOwqRHzHhI7P6UPd7Tv9Swch4Sg1zGzMubXHz4kCPlO +LEPcC9D+2/j7C484M0Yf9wNhQa5IHnAJmHRiyB7gO480syk+I+bKhg/aP8gI0W1j +vzKHGNA+r6cRr4hndA2gvyxjx3BYY6JwAXxvymxpcYEEIoBizzg3fAaeDSzBTvrR +phsPluIiEma05EPcFygYZ5PNCuGjmuA0DIBMOX3LsQTVyufedXolnEZcx8lGcXk5 +iv3TgG36J4gEYfjqiOUnO0866teOpZz8JL1txs3X4Z34TEZj6QGWhGmiXsmPWapC +mmFmj1MRou47z/ACXd291+AjqsH6Xybs07KKCI5f3LXzRVIJRWz3dULXNLJR5HWH +gBXEnvcpshdGXuhtKXjRAPGerjcVOsVtRvPvkRzorTIyKCc9ADqlGxWTiZxn4IXx +UEDbQgd4bEioNkO63/12roqiaaj4on/TiEofshzcYFEEP6oozTal0Bi0wfz/JIQF +BlzlAeFR17S08ySOl48A/J6zeFuGejPl+wWmQOUuh+IwZOqQFl17hJgT9yOCLXHi ++bxyPUcKw96l6fObrZPHExbLPHccnY5rqNtGm0R303bPMJ5T9qA8OGhl0vEFySE8 +q/LaEFM9893iFbBtPzh5p0vpyBZwpCJnNyTeRT3yuaNGaJTw/q7sp1E9gbhJ8Im5 +iVlsd0XgU2K35RaR+QG50WZ3MHzC77oJTvHIqHGrnowjd7s8O/ImwQG2zY+ppr4o +Gk3gSeCPnSU8CFfzsuR52Baa1ZXSMXBOmcXjLBwxBpcFCmgnLmJ7nffIhMtcOnlz +GTiPMDavgPvCvFiN8BCicukjzO9FQjd28cRmONXqRACnf6g4qNqXa5svTZ6Tf8wn +oMEP/gYHUeFirstl1RwTEhb8NPPaZrI3ujo6aLvgTikOwD5n65ouljQ1iAJJNtdA +C8F8tqg1Rqak/20UUWgKW0daHt9oc/GokZS1JzWdQlnzNu9DENaf6uZpc4eOQnvT +H5tEdoH2h6+rCW/7zEqFJy8ZN3sDu3PE1hPBcFd5zjoj7YFeGeswuU+4t0Nsz7ou +mdpjvEr7yYNrhpRm3mKHgCtbIvSWchcDAorhT0boOu3dxxqApJwuUvUFTqH2GPiQ +fvZTrodIqIbzbquEbp7aadvXu2lJAOVF9XS+dwCgSpYiIMARIcQhMgstq9pGPQjT +6zZ6kCvipkDJZprbsp/B2udrFGMWxDlievjWL6NZ5T1ks7dtXn3+RPv2XO8IAR1/ +FR7jenemIyyvh1GxSer1rDCYzI+D3slqkMB7JxMFg8ZDuQvdZiRrb4Hv/xHkqQAK +co9YH06OxueYKByrcdmcuh2zK7CvPSIWMKSp8punE/kBM6DcFtECSgZz3Z8wFhOf +WMsOCOg2ChTLtJUlCEwWL1IXjhGqhPbZQPaA2J5YO+LZpPRL58bRZar9bJiuU3mz +CG7zs05hnlgkmppU7Kt5HjyCDwEvb7R0/bEAc1DCaICShZOkkqm5QPgCHXftGgkJ +JG+YLRWPXebKqX29lrej9W3GEP0lHD5kroPqKOOHy6jmdqkSDDp8Jax7joV6ePSS +WsULSAqkEj9eKVQHJaN9DucExtTqR2vxbb2BETwLvHdh+dowJuPPY4/XwHQMDym9 +zgop5avKZiLMtFGbApIMCRvVkAAiJ0P/Mqyddmc6Dx+lrWwyV4e2RAACQHNhRwEM +S3O/cRGRZTjSEE8eQ5no1pZ7o7GC7ICDDFYH5LjmEc7H3boGNtjTUNCmjdL7QC/T +3ZATuC1x/ZLrbQZVi1H3w28MI4QtO6LaaxQ31UTEXlocROcw2SGBSnjU7DSE7dY8 +mScVC7roHjkcYTschmoutmENbo4cQ4i+CvufYaLTXon9ZFDuQFr6qtuksOa4KnAr +rNhYqAEl2vxqg9gY2qCK+UT87CRie9z8noo9/GYGupXumMd1iup1KtHAqjfmjUcl +FtQZQvgGwyt9ERDezC2Ur4fqtiIK5p30wSecDAnay0VFitCkKxQ7iEOwaY5EER3i +gC/OnpF60zbXuYlUwJNmUJIL55ySO+m2j981DhB3hbWQV17fev5KG26Dohbk+3TE +bJFiKyAUY0DolcHMFYBXji9MdriLyWR45jhGbqEpqshfGS1MPUAUFzcntqw6tnFA +KZV2IpcX/duZqjQ4rsxvtT4QHPSURyzEMFgAiJ5PPwAbker3YzTtw1nrPnhOhsza +Q0YySmfT1tc8JujN1nkC+XSiR1GLEh2kTUsx0VUD2TksRE6GLgt2m7K6z6ZZlmfY +nYxoOPjbenuxVag08SyLo8vBfLuLOASUF5EP1QSeLlHig443NDoBVjv/VssW/UFz +FnKEfKnTlZYBAOvHBzgyxF/JwTPfGumbp7ePblZ+pxGg3wCoD+nLxxlEThxJ06W9 +8n0Vx7IGE12FkiivKP8L2Z1uyiIkRcIohb46suwghhyJ3BI3HMAES+ExmbpUzgfW +Io83zKcKash89JgB6djX/ahASbeLfMKRtc6jDdke7JbNYCo+CBufXcbUEC1Gk1U5 +WKUNWE/6iYQiM6RhD3+ofTzPrNuoRU8jUgMbFbkwqiNn/FuEAvml2Kwh4t/H1BVL +AD6qCTAUVcRPFqyEJvr7wARqRbnYMXdvwhaj3Dh/KamGunuDO+dV8GVK57uS4SY2 +2Z4XmaUFg54f0WnNFNnNTY1P82yZFE6Y5JFmh44foTrHtMQC/uPaLpOqWLkpUVa5 +APTcMx95XQHUamvEqL0Fs7iJBfbtdhCTNpb2W4LSg9oIetHNET1ONA41ihJYME+9 +OaVnHyHufzYOvCC8zEiZ4bWHKptpWrCQ2izFMvsGpIQSF5npAdZooq/4Vw3LYITR +3z26LcHQBIeKWP0jbvaeYsYQkOip7kRBbqpGn3PrJFszM/16MglpnmuBz/7MKedz +6LL33J+uTpPKr9uhCX9wnU3lLPZXdQ/t7v3RxFylwWS0woIL3KZXJ5lla/3cyrBd +/EkKh+i9r2zQJY9iGcO24oMBy7HTZfvNT+OYf8gN/IgdqUy026sRA4XgRVOdmYrF +dqeddzeVWL8EeDY0RdAEXzGHvWXGA/ZuxSwHqcisWVjGwpb3J0+EDVupb2Zqnx// +XHljnSz4gml1pjV2QzSsw39B7QGTFKc/Cl+rMHLHytKlgggMoRg5oRu57JUKvD+5 +6sUN8MD+zSUToipkjI5t39eQk16x9YEgvEd5WHNg6ZCCaaOcuRyRzvfN0DPy7tut +UdGrV7cIE/aajK6cCHfYF3qqyVobfN2xmjaXdUYh8n5/npMC++wYY/SUlc+xodX2 +p4IYnnaLqqqYtbaL8GeuFsY15y92O1HLwK8+9JqfInSgX43d6Q5D2vsXJcInVXjD +H1flYk+UjRh7V8WYc8KIDCb0i5cVxqa5JBXQrLkxqVb9+9MepK6cRmSm+EYIF6OA +oO5q15mT3tTappLAN18qic0pOuhAAd2cbPfyCu6mUlaCv9bV4C+pJfEpWT2/gDcO +msTvXulE6M3hWp4rJ+3EzQxf4r0i7H4p4moL3nIznAhMgcONPJhAjVCYHggMUV9d +ge1EH2DfpjR/+cRtuFXngE/P8DWI3Shjl90EpiU4cE5pt//GbeolhEWU+16B6SRd +M920e9OxV0DaaGAyzyDribzry6Ki/KpqUYdV2k4/ugmpHjQvngic8WOc2VbJkdUE +G9FZaqHimpb0+plCN/dsQ07RoJC3BFNrrEAfrHreQiY94GYLN7poFYznmV12RYXx +frqqG/UN6YLU3vJ7Yzq8d3QikmeJhhXtKT0jKSXiK0qNVOuPSi3TG6q8A1HDQiXw +8zq72wnWSZl4MNU2McB6jkfiLz8d9AcN3WM1DVdTjS816eCf7S6gNIlvpR34IaFN +T1aYe/gqV4DyoQEcegB4cca5zmfU0tba6cto/Xo0rbRNJbeJ8pPejC3F53prTEAl +vQF0Mjs2GDuKJuXw7OJfo/rY7tcuZQ59zoP26sB8cOflbPCwK+WqgK7lDRjUvtV6 +fTBqJ0eQPMnf6l+emyps3gbHD1CeOKVsbSrSzxBb6Bn4g8S16wawiIi/ZfVt6utb +M+BCirLkG1VTwPusDM7kJapzj72cJARN2x3qoB+BAQLxWLdX7TfcnKwxGKdDS3va +kqoGHX5E7bicKSk5UGMuL4iegXFLbkEAQNqMtSZpga6f5Slr0NH3hMKQ8VE9zF4d +F80vtACdB40PsqbJ+Hc6CZv0cz1NxIbSSwlON5PzG4o77582ez4yIISvy0X+DSwW +i/9KR6vU0iNTk3axFbJ9NHfNyNyKIm84FLCeJwf+FkYW3O8rbnxuwYIPn9NL+KIk +pCriru6/qO7VqO5ziMWEouXkgxXPyDbkQJXBz46msf0+6NCoJTYfF08+2L+OPe+M +JiWdYvJrCOrTaQsvvljDlkoHXWICLq072GgPZHi2VmDTLIGMM9eRa4NHXe3C5H0R ++XKyLZD2sRtELVwMhMuqkuyHbEPxVTHe5mjIweFiJChr1nDyQl3ouwuwtHwnZ79/ +fr/Phmltf6d51EbPWv61FZNHRqZCykSgaBAMSLsTpWGNUA9Meo8QnOKyIOoBW92e +vmrTMgnG79UN/ULWnBhf9OHI8+5eBnC2JurjBPsjBqeSDJr8glxsatRvELN5XudX +i7jwAvU+xgiUwFGC7+xZNpJEgrp2wHXgAEhAHWRuxUWAzy0dpcf9ujpcn3tqyNje +TpGxBhcZ8XIVvEhEOHBOHJfwLZgdpimGwSaTFQfmqsEg/c+AldHMZePrzv4cL0H+ +LJBxti2IXguXQ6SH2WDokf1DV14loLeA6qcRnlfT2y9WXvQuKu7EeZJhz/gXfh3s +aW1QhSZdbMjWjBIoVMkAKjNlVSsoEh5/b/MCn+4T4uUHxiFWLGhR0GuXPf3dANZ8 +vWW3SjdqgAVPJth4dyZ/bMqbdBycyW99sttquy8qrpnMMqfJ51pJXHkxq83Fcott +5s0mfzTCRk96+sbWQ9QSpbjr81dB1ZesJr1urNuu52p9GkEHfs5PhoxkLSqsDzrk +XRU7YUU2aA3eHY8h2Tguvs/BjdWJkopEb9QSV2RH9ZZA0iOVwTssjPOFjM/HffT2 +pMtlRRZ822l8jbpPa778dTY8HI5RPTD80ZbSm2okWqE2csvhv+45/5O0bKNZLS8K +qb5I76wKMBU/kW7b+/kKjKvvdVUwmDIn0JkJlf25o6u9hycaM4jA7mSQRhxB03uc +JJu8BCRf1k+epN+GUdcHYidepwmNZErbYeUpo24piBrU/7weRctAHGb3PdQKlpKO +OVdFZnlqfik8FOo9mtrFw4ye514yghImiy0SCThP7ffmEUw7kiY4cKgv61kNTzRO ++WGw/0OQlsL/pnMZgkrczxE+CvH0744BrxUHS/yX0FatzM2qMSED08K7ghetYl+7 +IEKkmyFovPllI08vrhF3e0VWj2pqZ9zidpL/B7H7hdfp/IMSykI+OruHDvMndQ9z +90lBN3SijgL51SbY1vr3lqIND/p+yil4DOcnVzqzumjYYe60Hjo64Ro/sn7VwV1e +6aC5I89tgeraKEzO1TVw0cd3B7VGVcR+zpecevWFxO8re0g9NyqDf+z33JCEKkic +/JxNILrNO9tvn9wUphoPCoFyFyT3b9isTQJkVrSxI/y4C6rXYayrv2Xs05ZpB812 +7hNVLM0gW+d31W5+SnEmSH67fzeMrSg471kCyynRWES75eNMDgUgS6vWbIHbwZl5 +bMvbu/Cp0me7iS7qe+xMcZhg+bluZUg1uvg56hh7S8bUTv35H1IziR5MdEWM3ATQ +Qjjx5s0BA+aIb3aDAP7thiVxQJMQ/rJ846ZtK9ZiL3TQPhA6u7Y+clgiyXKpjTx5 +lf/eZZh3UKxVcacf6EIGpC1bq9PXsF7CjfMiA6IWB8wUqxwCnXeFPzC40jLd5YBh ++0iGy8idnsqcXymxSOK76Q3+t7twA/sIdaRAaLM3rWjqNjSApDrORzSLs0ZXhcr4 +QHemzR93Cgk/UK6wvO7m9HUlQfM0W6ovckt3QNgYMmbhXm+oso8WJI7KlozabT6d +JsRJ5Y4ANb4rmlZRNButf4w1QGSicrL+FgRzPMd9A2wTA6iN2lq6TBoIapZSJq7J +rieGrStC7QiRKjF1lTu+yYc214ZMoR+5to8HwUwgQQ/zP4kWJ1/JRizR4f2G+JJL +nhGSds+TOmAbKK4axZay8BAiLsj9DEYkYiXXdm0TnTUEcxYEw3FPb4JAegKL4SHK +qfaeqDWB3zqh2fxEl534MSXlVZwhXJQd2/CTRxAwSoumunX4EGB+gugykV8tZRqr +tI4Jq3LtCuIsD26PfZB9UxNwFzu8vouzzcLNpqlcBPla39Ka3mH17DBsQiSWzDBD +XDOEs1p5Rzmwo0KcfgyhCAdbwWWRTukhzhAxQ+F6zIgFLxCQW7WDzFjqZslTROa8 +GmJvWr5juiDCMN2w859GwPaQ3RkVl6H6M6LwIkyHhgjcMwL+8CVD8YTlexj9d4/J ++tmTNPEFd4MbN3oFdsZy/DoW7qg7SVgBQHhO1Z3yPaJ+Vy0rP+JiXBz9EB25/bqY +cdmHpNToaZlfTRJOoY6HYGflggUh172L+4A7fT2zxgBY4CJtpxXPPL9kwaO+0Rqs +B5ZWDsDcnrC10hcbJZbe4Q7cgp5a3TpfCH7GqJxZrxDRXfH+HPT5J0mIhcNoo4C8 +AazALntmsge03WGGPP+WNbwOlg2I9B3cm3d3MHjpDUCwMJK4XSj4gs/oM3pKkcqs +cgeY1divlIMMMC75GxNtiaY4dQJOGSxR4WZhGkf0yEVpbxerAPaDUkij6kwVyVQM +L5FvKgyYTKkUk1WzT6kCXxUTNgphJLu+SgIod6Eejlhmy3Zkg+b0RFWRBUC9sWbX +qiDCe/lJhNASkO7LQSZLViamXGb+aYFmBaMOSdZUPM9JTOXFW6zF2J72E7qpJU/9 +TPfagIJ4Gqq+lVG/5WwWkiwQL8CO7V9loSlSMs0vbwvWpiBNdiSg6BU5xu4QaZPw +xpgvp6eY3knLhJGxQICiRatHVPdXWhHJ0qF7EG4GtjkbT794qpY+9iD5KyIZRLpN +tU548ylsxcsMPnwZXGohfm+KyqwShSR3Dy+OjRSatook66rPIUG+TlBbdhLgE2sA +C3p9QjJZ/T6vcmrMFM1BgZ0MAqg/iBtNg2jQZQt25S1ZTLMNhbCOswIuajfLkhkW +dmEkyZlY/8+2jDny/2OuQEXZn8mAN550ljqjNhn0kNx/gKeVq1zBQAlfpjxXYM0C +lBz5RgYlQPuAwRFy6YoWM/pxqkYAGr1oDwDdMc0GLNvvmNZg99lLM3orC8tVP/bD +i7z8vHsm8xyyyndZhkDgzt92ZzyX6QR/ITDiP9fZJihLW/WDhI9EPguDSNTnQAAs +sc79lpgqMINYpLzxdRPxK8HFYgDtxWTyZCgJ8QgkljGKz+VzqXKRdDMV0sgzsQMo +nHYNblL4FFBa9pOH2StYw/YrOAK+pRdG3glQZmblJeHcpKT/nQlu3KtLwY6iiq8F +XsiMvw6LzrTeFVmilcdh7EGyQq2NQ2S0M97w1BJBnaidxXHDFbyW+frE5OBI/mIH +zCS5Ty/3QwlQwTBNsg7nwvIeX2/Yd7DMvuFk1oD3zWuZpejcN0tmQiXOht3TnKQj +XfXgG+JuF0KspQ8uMeQtumgf5kRvxshJwUSw/iRDoNWaQPNCgDx/l+QTabiS5uP0 +ZvnxyaCmfnvK0UahP46hRG2C4fCunwINFG5Cyc6eHJ0VD7x5dEgJP5lrd72X71lO +YbkjlwwbN7aJ8HwSFLIzNBYc0vNIYd8crUjFS7CQ1cx0717QoJ4LZcRXHSZIgOqh +lZnxVzP0MRD13RM7BfdRvvb7r3so2REdSYxavYv70+uiMZn4zPE1RFmSpcQZMvDP +8sAxhpbPOPG2WWEf8RHydHyUcfMo1TPvneYdzSn4r6oRQe2Su+LdlXB8rfa2KdXq +P6OVgD5ASIwzpiffEyRXRYFrqv+HZqm9IsX5vq9QIC9aLgG1dyb+plYjrIMMzPZS +I91YZ/jxuLcjCRT0xOB1TPPSwC+XtXa6awR5uFi9Vv83a02DwDVOr8fma1+b9cQn +xqUQeBHlN+WyCyBiAyJVza/I0CbBJZSODT3oSi1ocLcyxVa9ulM2ADl76S/jXoT9 +UEBDC1azUMm/d+rpN1ES1lreacpd39HFqSgk8gK1jjAHQv7GN6w/7nGdwSzNp6gG +bxvHyTq5FSSj43Y/6txI6ThPLAPRh0iVCvmraJTyH7bFoxX39gxxsGXSfz4Z7vY0 +d9NfKvYvO1/xDqwl2r5ZngG4Vyw36Kwk/sbgI6q49DizLL0Z2I9Olp06U2YCZvpB +8y9Bkp42nFuyG0MxgfCDCnAg+SxID4m0kRDp/SRf0A8RnEtYDuJAxocYFgNOZ2IZ +p5YenWoeoG6UFBnlF9nV2opXbB/37/FuIx4avvCt9KG1KNtVwsH4JsqvjGOuniau +hIKGtqCj2vc0tkb1Xj1mE0kjMRvJNtvVn90cM3z0/1+8w3f9nIpYIQK9SGEsNUEG ++ahWqtlN7Y9eN1lDe1+w63JC9YNCYKA1nITUvmsAU4mUNZ9YfGPOpgqcFfaUYzAI +mVpmjraSqe2YTtqajDclBaKnIDCblUQghNshyo3VJ5hr3il1qT+CioaoVFtfEdx7 +u76L5ZXfoMPdMTft64LmTIo5VFrIjNbCIybfGgO4Mjt/8uELySdmi3N/H5YEEd8E +00SoAJan7Ho46YQ6x9ER6e7Nf/IvCDuiTAPGlQmzOEfvHCn2l8ju+lNos/JaOvTe +I9qG/HZJTHBAhgh7oF1JhyYV9yMI4KlrWuDDE9oHkWJsuasT2niv8Jf9VpWZsM01 +awY/uz2zmj1Jg/NKn1CfMkt/bdwB4CTQq52Ea41PzrgOqDdd0+pyau43gw2WnAzw +zpffXzIgrhaIDS9B+xvdPrqT05z9xpY3i5jgOzgGAbaaC3jmRQFo0eQCr0VQkym5 +L5BtZgVLxRXtOM1JbqXoNhakJnomal6zFIXMmY6OMrL/U4Z3ab0FHv7YCz7wodXq +Hnl7yBvyeEfIAST04NfSiqZIKux2WicU7vxmCWfMmHsEsc8Lso/knOKzWQacUiwD +TeVyxf787UisdFnBufdlSyec4JAEJLQY89kblYz5zyyG+1+YaNo9FPDbBdX9MAz6 +PRVoYXKiayah41QyN91EJxHaUGqsiCk+3WRlBpsJSiJeUXsFgb0scpbOup23zNjz +3+h6p46oOOVecdkLe9pBia610aMiSQOt/GMV9Rg/QarzQOWS9V1tDTec8Yh/73ha +1nvFWz8N/mwoY1m+7hd0ssB1zNM7uTBMII49Ij1ILWnECDut+aG10Uwc6V0eWS3z +oimQ6POOlg2B06r3gbGrys8RlwX4sAPcOVnA2TPZ52S4U9YoA4NWYu+CEp4kZ1ij +/FLwOiNU2+s56OjSDqE/fY9LHU3NEu6BOWtugEkrf0eM5M36p8th2wR7SH3yi5Uq +yvRgjsFtpW/65HJfPxD+9734YWgWZ/qIfqom4O9pkjSIITcpwk+/neOfgRB/8QfM +t/w5tsoSpiI+pLDL7YyiAhsZP7FvH5+E9BeNW7zzFsRKlhDpgYjk/QOxgGxlNRRl +TuUtn5Sf7JWxwYz73reM4l6/6wWdiiJY4renCWOra16xH/GragW7Rz+oJWBNaRnM +2yqWsMpCGrD9p9E4Bda4KymPY+ihJKqlAwN4CKyxogJpwuI/S3ZHO0XZuBH9hH9K +Xi0QDZ/RomE4S1Zzbf9pzwAJV/PCNGEJ10Yz1WCcuZGHwOkGYezYDXrrlEpMWxto +WyVYu1aL0PCNFhaCB65K78DZ1IemczmmHXBz6Fvbrj1L8GUoIFksXPWkR6hzoDI4 +BDlgGXSsqD9hLzaE0mx3pZoKoH7moMO7gQ2JXAUapurN/C4i5Tu3iUs7GvePP6HN +MdzFHGzeloFrSRHqqWGxvXG+Ll9bQ3e61Vz0TCk19L7WGKR2qEpbR80JElcGcvNI +szQ8E7uoaR+MOFTdO9OU1/HUuOqCNmj6r98J1/YKxlyKw5+FNiv0fsasmyJG3Qzg +em6MneuXV3KwRKad6W23e0k5gDwRniDzBDgENLGqQjrSufb+VG+eOkMJ4dcTX28E +XYnuBcmzJuBNBYefZwi5eqt//SgnkgrrVIEOnp5YZZHUfU6qGQc8swC5rxLbUZDI +VNCzr4/3EIbQq3XGo/qPoWtP2NCj7iqGcf9wKizE+EmKW84GEBwNr6wkROKeWAoh +V4iex1RSfwWaVGcaZ8PJHc0EPPHbESrAQQttynHwEkKQpkIbUIPzWQbVPCJ+AxqN +AfLrNaDuyKwS3YD+5RaLlMlJ5FJufgMMFmcO8flwAokWOix9xLPPUpcFymqADmln +4hzYlHYrLI5Ugsla72HbFdIl97TF3PrwPYso8oXKN/34tyqBpmfFvpcfO9Bkjs/M +n8DPdnZs1CHV271eOXW18TSVfevFTS+8nk7R+rhQ/PAlvMERfBBD5DdCxdqUiHr5 +OCgH98QGV/z9YaPT8jP42HO2o0ZxzfLpSmGHVb6RM8Y2DcGQTsPK0tx5idaASjI7 +2rQPX8S3mM3TEfR3kCKEo2cepsUW9qIUeUSuzzE6dQmgKWlW3fLCLi8BsIzH3COl +q46XlDkPIbNKx/FLKD4poRGMNaWWNTA3dNu4s8BpnGptqXH+2eccizObdZ6/Xezz +IvBen6Oe6DtooRSJiLj5jTmbeYMdgzFcMElBa0Hu+9d8CfxW9BiVm5A0rE676AO2 +KCoWDOZgFVFjLOILma8qCBy9iQ6DvpPeqz4tzTax7UmvkTIgs+GT6HuzaL1mbH6r +2zLrqJFgLWHBd3rDAfJsx4YlSv0LsnHihbpNI6H+iJRH/zzPgyRavb4eSIxQDKQJ +kt1smlZun4iGGMXdKRbe5VmHpx8PMMHt7PoSbOgdh01ZuFsOLoHlqvE5ZpDcAKAp +09rAyCuLCutLsPV6uszDIjp7wo9fs8+1G8CjsOmWLHM2y/dLFBtBGpWHmqegTLUS +sGwnGCu1ZrHlMQPNvcEP3CwKhy1adAAM/+iR+7NUDM773Sg2g4iWHLrsMJKd1XH+ +0N/Bdhr4yuJv2QWyKewBgOKpMhn5jT+6J3K5+I1qpYiAPvcf3et2/qnftJyGboGj +zbd22GM0ff7XL3c3duLwiHlvENx2gMBwQEtw/NpbtbID0m7+vE0Aah/kBMa3gCZ9 +Nf2IHUPK8qyeDishQpjm6Jukg9JatzqQyYBv43LGMGYU2z4I1d5Glhzt5djMa/1e +pFi5luLVoJHcdI7900EbSNnRuZb9hCOLcRcywsYJT5+i92+Bhm3QWXle+2Wi4hlu +HzCsRVmhd4pECmEj75OPzweRmDuDzXOMbuj5drIeHXDC7a5Ibhqprtp+ND3S4/eO +I8Q+QMP7hBqSqMETFO3wDU3xZhM39F3OiX4XKD+x94/24vhh1j0A2RPflWoLrokX +ZzVRN+33CYS0kNSbL55DPo26k6b9V7yK/o0RVMDLrLX73vWIROKz7IWF54IuJcOW +cf57vKxv/06+BGvF6qd4b950mzMVdZy2SeM3oUjgTH4IY9Xv+G+IdDMaFWAugUVg +C70bXHTSzenvCPcmz8R5ih0g7aIjBShGnGjscTZI5FLrb1M3MtEtYTe162lwQE0U +Zz8DSIrN2iTrQaMw/ZllGOkls/Ys8NoznlkLbQLMXBk/dlAKQ7WHsezsmmjLUPLj +30raIdJy2QwMc9MnbBF6ZxaeUJ/fDVoaSppRNn/qLL/FG0HDqLt+uXta+m+NdUhO +aMTeEi87zf0SmVIhNoJXf34aemy2n45ybB4nSOqIoqFVpxbk7yGcJhI390cjsgsy +4Xqz7Ia9Qks8CFFY9siwFOTqLt7y1GYnJgvPwnxNke/GMYpWb1e2tkPv0KY+GP5J +7+HDV6FEZCf1AfKs249xRLtcIujGudnn0cBMUsnyda7Zp0WoftePjAJndDnigsLN +96NFF6uK3nGl2GiGc0nNec2nPEBMyXMK/Ao03aRGBK+ZTniq5nvWG9+V0T8ZrEEj +6t3axQGmlFhaQF+c+Xy5GMp7Zgl+bhXOAp2wG2RM0R8cnWhruqk+kHtJaCP1Q9TY +3ZcuykEpr1yy/oR+MA2ZyNRFLIa9awwBha2EktpYs08ZZkCn3ZgBuZTvduoT0iua +LV+YAk0s7EPpmLwaD584VyR9vy06Ry2z1RuNhzmyzE+s8ukc5KM1p/cq+IQG8iQv +yK/+a/7Z55Nl/7ZrzGLWiMwJYCr7Xxf9WjcUfPEsc8DU0M3A/Wh4Jp38hZPVy6ie +lnj5O/7o3ZWEt4AYAFQQepWS5slDcfBdquHgnaGlwwUPzOi49olUTa0NP+KZWaHc +02AZCU6BASIHc5/lREPZGLZKkj/FNwGJCnFtbwG5cCGMXRGOWwu+sJ0E9RySQc14 +UhydRKFHeX71b4KUCC4vakdWQ2qW93oE/gO49NlLIiTTY/0GLSsBY9GlnEDc7tRV +l1BMcWP8im2WbEqqWJkQqcEx3gfcc5Q+NIR3f3hZon+TiDO+Ci/81/rWZB2JLhW0 +k0HDOLajKwUF49pV3XIa+q0jU243glQBaDyI2sOw49XLeV0mH9wkN+ZeWdL4cK4v +k2nT94Gdx1uWNW2H1h8Qs9FuMjRIrBYDWycRK8Yi9i6CqN8XR5su7EiZHywRqpPB +cmxVUoST0OeQbePTcBIDsTQRzuicsGQvIDNuYEvbaF8ZsEmbdCtBkaBRMCIsveAC +d1RAjOFM/FEf57wcUOBMg42VkFoU6x6wHoNplzmm8qtdX3ogARB/IduXvGCuxeWp +Px6AhvGSbp+EiCFv+xXtGQ6Sc2FN+4hizPrRY4BE2sQh8PJwiLbFb6HhjLvEJEO6 +eHaK75xBgZ6UoFwhZbujfEonV0qK1F6IMwxDDhidHE1CVHckh+gmtA+3KAnBC61U +2PT2T5bZjzA5UNkRLlZkxvot3RhqAF7uimrj2u5q/vSe4gN5g4fKz5peskwkemm9 +jwtO3L73KnN3iVTgrdPvCpPaAn0fwc2ROLZGyX75XW7zf69q4SRIFSeirHXv4jTD +H44szkdeAr2dGg4yXcdQXwMhicEDmUqSKl8brgIg15m8mkW/iXYBF9E1N74B0Szu +AiWML5l29Tle8dcvKHdoidPaWgh6ovdtwnFFjFihEVjCeUrNwyjErFldu4HqKrBi +wMpp+b9OR5MkFz7T4TKCMS14LRUdZNudpRMEKhElUjR4AtOzaKSYPmc2CFAnCCbc +cLPM8QcC2DFVDhu0k2V2+oHLCUr107txLfJOB/KVWyHF5dOd1mQPkxzV0mN/nNDa +onjstg0F3Fu+rW9KYuCm7LXnMvre2xn2aK5hsf2E9LyjmZsBQVtA6DIRj3dddwhb +dnkGDki3EyRNtXKaYHp7kdJDVvCCQwTcZVk4qnf2MU02+QWsKmVYaLpw3Vd95C29 +CxhnjKfSwqDQ1uJx3d6BChsaPmrdITx7OIEwJ+bClszUmPs1hMGswllpuSwLHNFu +Ksxq0bJfpz5rTnsdvQ5Ym3S6lQ9WjlwGz9D/NrT98a9QsfoF7VBV2kEWWhDiCsCF +BKpXFsPWoWzko3PC6w6+Rl/hK8ItM0/DAPTW+IOh3iq1GqzyAe/WcXcqkKmaXNAn +GmH93as7PBoQHHvwtbcL2rvhhu5xcsWxm5gJMyuVUoQFH8Ldw1XWC67c/P26NjjH +SsA650ezHJzYiI7mb0X2FOgLRSI9ATdPgj7hbF4mz/s/gnlb/1A1CcNszIlf3X3i +pgFY6XrLIEwVzz3B1f/yFk5P92y7Q8PnlMm+d7X9O5h9ch5fy9OuWvI+K2z+ZN33 +OmSvSlwf++JL398q+kWvSIrDjfmaQqtzXPINdrSgdYpPc/lc0KG7Kb5VuTrDzkVU +W9yUpMqVvZo69mEFnzFS1kp2Zb/sX7+Z69dxB3Glcqtv/2wuLYzNyLnVf5xKs08f +vL4EQbZZmu8cAsqJc3EHAj87hVfSn8n1fa5gRS7MMmTE99tSedpSQBvbMwuQBXFI +u+uNhVWw3BkZjM0QxWjafZftLOU8juXhBkcOHK31cosaQKdi1YNoy02V/Gw5VDaQ +JQJK9MDYCnvdf02HL5kBWLxHj5YgGLb9ePidvHARFd/CEnzDmbxtWBR02zAGMB2o +ggO+nKT/ENNHRE/ewACtz/ZxnEUrSa3AVui6nI4kRYUHNjEcpKldzGj/ASTbVLAX +EWx3OPrwTPHBUJ5LKdqZTcqIz/00QrWj0Kvs3SquUjtvwI32PJH35NIB788JS04q ++FDIreklIFuz7rkw84tnqW+YTI+nPtZm1KaeTvHf9ACQ8feqsExSp/fPm8n5REZp +M9WbLCKFJ/Sn4PpRqcq23cS5TXCqd0/15wlZGztAZIaMCYoHXT5gGVNdSV7HovIx +wOcOpsjEkl06SEWVCXBxrg2a3SIMhmJVkHkD4SJ3S+NMX6S1qwa5Mfgvy4hW6R1B +xQIreS/hgUSfX9uloPOb+PMI9+9NgEy+OceRB23bVEY2ABMwaXQ0O9ucJEx+arCq +ZhCXASfA60fr+1VtVER9aZ1z3iJTFAiZaAAaryvOC1yJV0r9BlgnPs+VQ+1HroOI +gtIL7Hq9Kp7vl3yF2u7j5rEv1EXeldBNJ/L7DJNjy9ptgnE/1XTRzQrn9ivNDzXZ +yW2vJ9XPdUYpyoJ7ZC1lgJIhJz6lycU6621WLFeLGdEDqt6EGHWx5OydWruCUUlg +f/acN/6dm2L8CJ6d/ub+eBnSH/QZOKrixXar+XJ43ZlQ/H8mh7XjcqxbOkzYLYXI +RtwImrloMvwGENCAnvkERfzWtipgwsVKhPO/+3KD+TrU+t901R1PGSSzemKDQT1d +/2NRGWl16l9y9mT9lhXglNY0gfEqTiK3JL0kGe6j7NLYOko4tN+1BOEytHJRrwHu +Uc7kalJwLWCvn90BXN/6XEk13benDTlCH8geAl+Tx/BVPH+R7TZIbXk1r5iuWaWF +XKNtoRWnjzeGmseBhId2hh7h8/OXvf0SfJsJHbst1beyLdKVWZf4PsJGx0INmEIH +4gncXrmzkXS5iUXR904gmLg+AL+9CxbyqOX8WCu5UrCHvVGXaIyv5+OjR4VEegzX +1diz/8Mta1A2rhvyLTTAiXHwtLAyd/Fd8gdA2b6EPwVTDYH4G+K1YVNTlPNxL2NA +jorv/1TSJbZD/Y503ipu+aBJX2p9bQpAvho/SdiEy/rVO3wG+GDsr4YTLnfcb24X +HCMsys+XSIVxl2l5ZFCakVY3MNdImJlRb/avb8G16libq5tq9bkjP3pp9+Hcbmv8 +Cx7BwcNjbWH7ZjMUCrBI8vhgIApxbb06RnLMoZRpVBaN3Jf4ElZhOLc31DXybWs/ +Babj2uP6e1mbLbPAN3bM6vUxqpLcBoWntQUpfvzGPEGhlPv2j3v0wPwdhnwkk7le +w1PYJJtTxxbPdNhT72LKY1DAxfI90XyQE7Jv52TrOgZL01BMCbCPlKVjh2TRUYEk ++mnj/5sVTSffuMyl6ucBm49z85+7F4a1o2H9i/F4WScX/2J8Z/LUzMBEQmWNmGzW +odaAJMFAtygxLypuigS4AtvqPMG7swCwSZdgOJsc8n2j9VCZ32e1xxrjZh/0oTFf +2kaLE1XHkNVWCUwk21drn3lkxT8T1wHeexWrEVlQvWbc+sIq0hhbxPmgkRIPdQuS +3A965uPWeROheL5GMIgl6/1ntnzwrwNS8E1xKIiPJLyzQcn6DqGEBjhS78gbfJyq +PF9yLiszROuYQ8dGbXUyKBaElMfguVXb3/7NzDNIeIJinopPNOF0EGjmsPJZXfga ++Uh9MhU+nfgHn8KsXG39LSgCYyxeydBfqY3IcwhH6NahYaISwntZ8CjJbu53Vc1W +0HozVmPa88HjvD0CkGE38psR5qGtXraOj/0W1EYochqaRmB5JBFBiKj0p78Vvg+U +B05+YdsEYCE/2fYI/SPASv+aHfWAY+X1Ia+8uhZiPSpPYu84By1iwIigwK+9cf9x +um61YMupPtekpBKjG03BvRP24nIEPEFDQjhWnJWH3m8s2AadCIFYVXOmEqcPSB3P +b410atsbNjWjmHHggAPbAoYM0OVDtKNtVsmkxjoA1b/yeBkRvUxLkH1dSQqocb+p +t6XjW2exPs5vhfq2vtkPtf946Vf81NrDgnGGqBQR0lwSMXPAXRx0T3ivo3+uI7OW +S1IMRgAMn8pOGKhgeaLl8uYJitVwn1FiiN7GRIuwmctUlyMw70z8g6vXAh5jzMPZ +9FNYxhT5DOySaLlJBQ3+HRqQMXPz29BlW56tpNzKS17YxTVh2eqtYtpzOAP4yFat +ME0yLAtPwUzxIjGUQ99uESt7/0LiZ5exD9iRPIT306uLrA8EFMXqOieqqwrBNV34 +SFZw3d3ALcOjmJrVICun03U4cpm/Yrcb3NURFN4HMQttUSXKQo531psoctz8JS9O +WB+oBO+tb7gzOhF1KGdZknogT4CD+hsCzFlT1sUl3WITEcrg3NcJCcJJZ65EWhnA +xjXnrr0UCbExt+NszuwteOKhlgXnfh8uzgO9P9r5dGYSi3j4wPxzOh51COoOqJpi +g9ek+CPGtrmSJXXXz8zHguCugLfAr3Cli7/mwUYkl8C+VkaTuYnCRJ837SpxIDvC +35QcZki1mJouaBa2Hw1DGiDyYbJgTnooeZG/blVCmcxw+M183GxeJsY56zfkdcq0 +/H7Y1IpPpbzgdl/cR9GUzJiDTfyko0TyKElrM0uEJqKje8Xl3Nnmo1JEU2iuag0g +AwN6cTI1aPn61dhcImuU2NJnXqD/6zdK0qiHrEKoqN1imQ6vNE172fmMopGZ+QGG +fIvbhpkbsjDcK2JpqesEWYUF3nqFs+RRu44YCdsYG+hD8ECsVjDiQf7K/SZ2SpEw +7U+nUYA5OvAUKnFDDTIjl0cCLbspHzYc9UydPBm/RBTOZqrJXtnrCp6YKNN37eod +bzjUJAxUoV+zrLRJ3LXAfUDSGXGl05HDvG7/te3ugGkiq949YdNPhxWtef33nShv +CsLrRRHC34rsnr1DdQ6ErmNyfTqK55Y3dugqZ0LEfniaXO1S8j5UV7RLuo1bwOdr +lEbJLOvNw/ojgFS9aQ72oEWTo9unPzhdqlXRcT4TiyidZIP4ozo+NO/YOFsUQIgd +kcqvQoB88vqwjqvDOHiqUUfRSRFfMfjzajCndBVbXJFKlKipK5kFzgl/OmDBxz4W +nTzoNLrRwo8kMYrgN8nSLk9nDkYA7QC2hX0AP2a9Oz/I0TBVgZ7iTM8GOd/ss/Km +SYTU7WsF2JCJE1cqRNvfu+0qGbUZm1fgA1fJt7QZ4r7cN2LzotaYpV5B1eNSB/q2 +Bws7n9A36jDjEkBsqT8dHi+yWyGiaK8VCdMzLQxuyNqDsxqpQ/Fi8mdW3QSrie49 +Cq8S4QQH1FSWl8kOcE5lhFQmDLBmSB7fAZPM+geXOn5GjYSr6Sd+BB647EFUs1ef +KptQTACsL4fq80Al1p+0b5H/7NpvRfh/db+Dms08IBOTL+J7hqlbQJ6O3RZ3u892 +OQWPRZoNrl6VZBcZ6c/FHahnUTrv2C5Glhh+66q/IzoJf8fbPpeoif6KbxVm07i/ +kb/Tr2qbAAJwbDUXjvHDrXF1ME3dxopx6sOEOUsaYGNIGv6dYXoUy/rI5SRPSrVC +EKbxi0OtfCkPp1z1sESWtUOmGPSVetpWV3XfC/QE4p6u7aLMZQMePLezMzUSwyjo +lbqA696waPxHq6bsGXCkco8skFuZjLLu7Dt2+3N12NjMJXs6qlYsUIk0Li4Hvd1k +em1tGFtwpRBNwm639A/KEYTZEG5SRDKpDDqwxFhorSeQw/h91ewqPZnUGGy7GXJc +Nbxh5ZzfeRKwva4h63R352sLqubqpvBMdVgF8mcV2BvTkNHt5IFcGDBl2oXoRoWg +ZyuGcyiRMNeIfEK5gOaGOW/A36LzKTqZe6EvG9yjZKWS4oeOb3x+h9f8vuN8si8/ +aTLQl0RRMQIFCjyHkSKd4poQf6Xx2jSyc9iObyYdh2pMnNFJw+VIFYlvzmdL8eWZ +/1+502251y8gHrs2b1spX0wthkvnD/138GcwjnRRIrwql1rg03/7TwfGz44uJVe2 +Q77KMTayT8yx5KvzoDsP47PW3U4yYw8GrobU5xOQGDWe9CbjTvdmdAaB5/0XsQbU +W46zAUMSB1Icn6RZGFg8jXS2sWEG5Mgumz7TKireepi0kNb0qd9bOdVu1z7wEiH+ +n3ypQibbyQk1OFnNeCz3bMtO/eKSW/rcS1EfFpbwil6zZ6vUS1aHQ4Z5AV/StkWp +KGHVPQ2GmZsT+eB9MpLRr9lhUZCoexgDbX/u5DbcVo2xpzsOxRWi1v8ibahTIa3D +VyvwAFHXsX58Z62KQENQjDkxkLEKcJIQ/+47vDPrm6kpRMLTf3rWn+zw3+4LRO0A +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/hxaui_csr.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/hxaui_csr.sv new file mode 100644 index 0000000000000000000000000000000000000000..691fc06c19c7976aec73e754c81780de616faafe --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/hxaui_csr.sv @@ -0,0 +1,353 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +BEoanZH/TfnD97QSMY2/A9VAmXxKgnRQfGOZjK/uhJF3A/G04zIXIPBpErpt+b3P +RpdZlvZQmzYxs4rtAADmU3JxuPKI/MC7zLhC2JJBfiI4Qp/r2uyMVQav/B4otV3E +8nymk6WmKIb3qNlUoGaqdtbjMaHliFW+uo8WahUFRE8= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 15200) +hesWwhJly8dKXNL852ywc9+S576nkfjyxvdWzhpXUSCYKHefJGueVndUmR9ejPtk +Jr2zkGdsfQxzq+EcArkC56uQjv9bJ1bRy0GJLeJoQ7LNk4ZS6JSRnfzA1AZ2vizr +WybVepr2F7u9CIsdsYXSgzc/6AiySOnlYmz0oMTa8+CSQ86iCTLtpoto3K9d4THy +qZ3Z5lfQD9XmqjJKD60B1en9aEj+DY5GI8LyBsKbHHV7WtUOjvgyPd2gNbc0+4eH +kGt/YzyHZk7ZvGBnJyMxN9rbRjx2y6/0cJoe3VjBHpOnV0STjXpsuI1bw5vcfEW+ +0/+z0s62dW411uvtQcSRxNGJuawQpCyQtmnMCjZi6HfrkJRPrp0TgCOuIgmnf7ca +T4Q6RWjZ8X/NMFDNAo8T80nyHqZ7VdG3RKy7zjCXrQUYsJwGtrzNAQvUiTh/6W8j +BSZ9WQiFLEXoEgwGZSNFlLKGADNZ3upT7pupliE6JEaD6+Ph9/EeJX49bJ+3Yqmb +mfbwjz01n/rVReB9x7W6UFAu6JgJ1jadC4JUXcj7fA01iIqFq6z3HCApVRI1Utl7 +QE3ELUO/E9ROfI5DuuiCDjxlRo+bteeWcM5UOctlzeM7ju2OQGidsfZeYTqDzlO/ +CJa1/3MUzlENWVYwF7sHZIKOMUedSbghPL1e53QblHgoyoxGW4STrYJ1z6kzgObm +tNt45Yw1AGPavO3sJ9eYuoj2vnRDOSOYDl2W1dD7+ObF2/9Aa+QzJSiksV1y5P8w +RO1HPX0P8S1QtSI8wbKNxRFoKuhQuiSUVBd/20CVp9MNHJ8NMRotG9N00G6cYgcZ +EPINz/lLbyE7F0ibU3bZaKmejaj2sDyBHwyMd1JYLYhVhlAsklk3ph0Jz8O13cpK +slwHVWzcq9HrKcQmAQvu4Qm2Tjt8k8Rhkg4VSyl/R1kMe+NriMMFtwgpelNS9ecN +Mfo/e3JYhaHnKGhJBRpfJVpp1lgOhPsZGs/zRmmAAo3ZaNYvrAfH5rtsqoGQU7n8 +mJsvJqt6FaqQ2n+PWLOu/NY2tS4GpwaZ3eZLe1+g3TiBs62qFvMGWGwsuj4S9xeZ +PLWaWKmxcutZ9BCPEl3HgCy7R5Z4pZfUd1ZF+1Rdyfg69AuVMH0dtJwvq4Mwyu6B +7yDCTtvsPDbbph0M4hygNc8uBxy/yovRn8wlAtWURVo+hsgQKiPdB3vfndJYgf/N +goGoJMG/TW6HFK5xUpqn52wtyNqrkRuwGcqCc4E+qp3JRPIx3av8noBEVx1Q1HVS ++tdgD0XQO/J1ueVZx4AWu5W5OIY7pI6vsufd51ipkG+Jv2Y6i3a0pVwj72TmcP07 +1BN9jMxmVWt9KmNTsybPRHQtl9FZBN4Aa7SNyLIYM4hqTwHZD6wQiVfYxbYb/plg +kbes1x4VUePbCn2+W05ecTdtVd8zfPS/AR0qfe2fxTqmHqW5F9vhaKGoxfqEjLAK +2cEKF41bF4AVjr+/lruAp2H9wU1QJImRCo2nxmHkVi5QSc74n6hUrxA226aDjH7y +hxldS/0xN0d/HPEaylBxcFSUmEP7Cj05e+q9s95c+QoEVGfj6/zo+k3avnTrF4/9 +VQnKBRjwFMlo5irB4pjQCfrt4Fj3eHVZ80ulJcR68UorHiNMIZInk1yqOQuvDJBk +Rr0GV7t4cQghYIXAYchwRlClbv0OuvV1euUDaIZJ1Uc8Dr222eUy9kAUFUj1sVBj +IResbt666kshSu4DyaChVDnpjhTOxSacOcIU9JxuUtJGvsXCXfItLPK8SeYbVRzT +M/cFW3U3g/VaQLjrfCro8pQGmRW95TcFW0tAgm5Mck3VbyQ+Xd8Y5YDiCI3wiBNH +Hr02pSUES68bSO71TgUN1JVf2M26tepamVUO0kVJQzfC9+TvCFyQ/bWKeGK5Kfr0 +AA6rmO9AlahMKoOfBSs7S/aNotH/wPwXTUBg7Dx2uWqkRLiqLzHXQa6eE8H2mGIC +CkHLhnutFP3BXYzUnhFFVb8RayI1kBzZKHgjicvL0+XWOyxWw3/HMX179zU4oifc +AZNxZq5fADtI3nah74CHm7922/o+rQT+p1Qi+lh48/W95kkJ453TjOXiEwDoOmYh +vnjATvjemWblNbC9OOQ/zIHYnSzULQPC850l5WyyZmnR9ck5trJu1h4Q8b3Gstuu +lW27T9Vrny67DIn+ZquIZ9bp8yOqFvb8hrCF0Imyk5ObZHe4850CkUgctx93fDhF +AKT2UlHLtYJBn2siTOCD1+YpP5ujBeZ7YfLpKp8T8rTqY5KpR/9c+hPiCeG0fEIQ +5a+VAa/P7XptvWE58ZoZ9OY+TrHPueSjxPo6vEGSXcZ5szfPwcDdLd2e32TYyVJY +VqflDkH0UuRQjIPqjWl6M9D1apUdxqe8BI6UxIfK4GyoDy3beezHDHLgWfPEC8v1 +fLcjRmR6BH3ppmPNlA6KSquBFGDnE45yQ92cqN+ajRNw69Q1gnRNmrrpDtIBidG3 +zr5ONmwwOK2jJYJARXzeZJFyWiDAIgbMC71b0rEIeu2c9QsBqGmo/wm9EaqqHcHZ +UyKofOk/l4gqIerJpLsD8CQLO+ho7Y4VlZGZz/Zqu8G8CyRY6nkDaAnvfyIUgxVo +bYuFa9TIxgkhG2U20+0bRZ8WlAeqXjPeZCXhbxkL51n8twQfx99FBuJq62FAU11D +4rtTQZ3MW5168mvpD6X7dktGu0gBmYOvmo+s/aksz04OYlMKfUrFvXSzL8do8vs4 +0rVhxEoCaJGD8c1IvpmGktQ1ED8uiuocRe5KT1njw/UsvYYq8z6SlVzrIEUK3myq +dj8P9wnsx4I7dar2tWyG27TfNE5yw2EqASfEbU6zVZQSqV8j70AE9y3a7FPIrjaH +SCRJNlKwS2IpQYBdXAlsmiVgMIg4vD4JQHkB8eei1/kGGEGCR1O1wSUTouJG83Tq ++Nc+b9o/IDOBeRPU8fLE2kIHD4zebSfxC15hdg/cRNoR3epPqKMQqzlgAUsoxFPO +BQmS9Xt2XiL0T2xrRhWO2WngVrVUiWRnzaEWs0dOB68A6q6kyNlsvC2dCBopeBPZ +pTi7mIvdNsGC5/iZpnPb2/BtGq25FfuiNrFolEOofqPPf1JxUeG2nMChJ5Gt5koE +K/TiiP/R3UJ5IFHkD5XBhlwZHHpHEXyxHsHID8D8l+/osGIAcCIOyu9rdmk4buoS +joCJqB8KpdwrMN7WX8tx6/0KOOMZ2/+mjj9ibxfDS0B2ohU7ZZQaglX3NNL0nf0g +AS0ORHCz6oDEPQPD2vkrM6lSDfbAtwGe2wjzbBm5jR5uyeJc1vKXn9dRpuJGQFb9 +L80RP/MfgBaeHYMRVIEXMQ7WIYaBYeoF3iupLyzjriDsuk1e/9iH59x0LqPLtF9q +pwmLg/1GRl6WEgAtNx5vA67EJW8uuxAhI5rRJULcrXcXBLoMtou20CzYC3QmAZDs +xUDaFzrT1fZNxSsQw5FVrDEDbMhoJvfNRVrAvuky35/bttZK3xVyjR6XLJuwP7Pl +XLZTScdGFd+AWHucWa0iiuLWDJ2QX9w6SibKLZzpiCSDyOsZ0y/WiA4fZL9Mhr+J +MmlfSyETjouZJTO3iNagwQ8D0geF1WoxFLSGkZovfYzRugLY74qa72qCCW+6tyEs +ELaSRgWcGJp0EKd3hzS042Oy4a/9ZtQj6gxZ1zuMv8w/uuBSUBKIUK7QtfN9qOx1 +HaqYFGmYeTKstaRlV9rdNSf2b9r6BoLU5CkF3rxOQW8AZyDDIl22GKDFL5O1F41m +M4b7lDCW0Go5b2OWRqc3wPMULRyTYyrgEZnfz2NKy6F1BtqE4lb3pw3YEw6MFQxb +3aFEXSp36+R50CfbTDmMNY6kQPY0wJz4kEJxzQcMBXEqV7Os2OPSXz5yv4EKQc9v +Mi0wriRgcdKhmd2HkE4UY6FXoDR1g/RE/5ERkomxE2EZwFjd22sEwsIN4liDpuvb +x7mSob7mhqpJxWDDwEaOZ5T0cx5kSon0sMccncRig4jomcGGOuSH8ouK199lSAp3 +W7QZAGOm/rJ91cx/LnFV6Bgw6h4gg9k+F3ebiL2eaFLMqwNOx2WgAi4NCmdUSFYp +SCpNy8pLdJ3AcxhL6W6tWl4MGQJzQSzvQYLzAl4KqHLzikMieiAMxZHLguV9JNJ4 +IFwp7OWxBOrJgoqqJuyzXlPiUuA+NA0gFyFv/Nv4xwNKB623MF1s6iITIXel97Rb +azz4zMVdAmOxHRQ6Q6+WwvxWD0d0onMd60t5m5FkjUvIgXw27Gr+c/SW+Xw27tXa +bf44uYEO18G1c1nHISmRr+EPtkpXRU7F6W62k8XbypeBl39VBqytqAXJgdqE9ppx +QQZVu3PAUkMXBALBzQTwUAHB3thMvEnalzQ1qRZWw6X0QbKBI1DO27Ksmutp33ZB +mDChxd0TWhWOJu70+T8MrdrtgSqjSa38XpSF5O+2k4cfzzzP3ZXpyfj+pxu1LXbp +ePMBGmdHL03kdG3Jp88Zsa4pyRvzFrr5ioIe5hhi7s+9tSj1m+YZOkBYWjPsq5UI +3efSSGZaTUPqPBkw7mxmuTeUb6B5j5wnQSzxgXtHbeFzMCxza8nry+TEk+Ogb8qP +LweA42Yg/8MyrFndwoPfxpCWdOsbT6jMdlMH0Gr9Nz+ihQGz1oJbWAlqLpRTh+qw +28PzBy9BbGUu5N+15oigeK9FLRoe8/HE2sTPGvREksMi4NmKeZeKLXm6EU4h3hNf +TADlagf5Dn4ywuNUJuIn8yakEbMC6qq07OEUgR39WRYy3pE4Tx8uKzwxo++Nu4xG +6vdzTCaP85N94OqjEr2gxgpkvEf5p7jImqGnCLjFDNsE3tcesPaD3ZZeZv5mPgzE +bkbu7d4hD26bgSJvoyMG4HDvJKvNpviwE64AGnPXIyN7IndRSp7YmZwsqPkB4m5c +h+mJlHsnk/OgaRnpkd44MaAzi6sF8FNBHOvxnk7gMIqyvxh13vfurcG1MPuPceL5 +aBbtln0KdcyThvw/Poa1w9y8FXZceOwPjzAubrDlsTxRgP+phi7PHBug9kKdmTY9 +zRnftz+AeL9EmDDCIMw9+DwjxwslYT/yq8UBe6ePswrfKiD7km4RkoEaUCCB+XoG +9RcOUgbpRXCQEPlvarKsLN2SqQJ7+iRTOO1kDRG2xkO4bITVtpPP1tVAsVz76Q1U +GkDbX4VltZ6WWQq+lNo6ye66k9sgb0c00ySJniZJJaJpBs/NrebpcsvUxwAIMHq7 +QhdQLphoWEwl/W6jBTYe5SngUk+MVURXtbMxov9jObUUmHhFU5S+NHjzReHB0mJs +k+Hw+lWi7tvW1MPfx74g31zBEywRu9w1JrNBrK65e+uobFS2Z38zkCM0T/hfq1QG +yi8CWnBL8ITMD3vFRPR7PPc5LbVWMNNqcuacVZhalIPPyF5fZW7rgMb88mUiBsmq +jMyoFPTCX8hD05mkmSSs8LHvrUbgFs/OAHo95E+C/3EsWecrc6CD+Cyjk9QUCka5 +vlurBZ5k8/+r9bqDnthkpB4lM0Ozb6wTpU5e5r8IDRJBtS6gzP1xWgQiXudFJ+ct +X6vpRjqy+TFdIgaXgwfItqnYUlndk/wklQ6OkgZ79qi8fi6roeHbGaes3sqnlr64 +RoF00V95R18OcPkT0G5F1b2/oUOzLCaMVupA2HjZGhkpkfK0FeOtj1H4Yfr+Ro9f +7TimasT1W+8XSEM14ceu4KMgp7/blmtngc0FlF1fJv0Ilp4S4NQnr3nZOfIdSk1e +JzFRCuYqCyBoIT7HaCoF/h9lR7kJQoo5S+cFwS3kvDDsE4EgyooauPXUIrGcL9iA +Zk0gK74lWg+17qle/kPZSBwROlBfcI2LSOFfHxpI+rQ6VvReIKBgQkm6B7zU0Rkv +v9O2TkPUhPVNt69Ahtemm5JkXzzm6OoI+VNf2/Sdfyv+ZXzHOraOA0YWjjCy7oU2 +ehxdAUgVv6H+KfIfB1nCgnvcY/RJ+BLy5m9Rgbnn8W3CoCnDCuVXGOCdkTbtZGpK +Gqz6LaJNpQyWV+vCD+O0pBIf4IxJFZaXopm4+8b3S2CdXk8ZkLXMFPr49DPCozeu +sJWpxB/jYoTI/lxK0GwifEFRc9WaB0/g95tojtlAyRgWaxisCyV275lDIzqZgGLQ +jSqr9/FygpUXKH9JxEYTp5MqvB6ZjPcpCTDIEOM7nCGSaZqTpuR4ABxS6B55aB3F +cmkOAHEiA0vO9w1Rh1SsSO8Td948vrJ1KVP6XKQo3EPub6sizVnXSGshiRA+uuzT +YN73N/kdAu3B2H35uDsvACMTwXAqsJoPZoNH+gmjNBf2oCvTUfFab85l6Sc0Oxn6 +AcRCvUi61Qa2fy4q714YXzdWqEwcsAL9PucGmVVAlDdp4uGx8fK+o3ENbLFkc0Qq +oPaNE8v5P+m3kpOQ7Uewuw6BW5HGr4TbKGzoofPGQUwpNekCPzFySU7on7UXSdie +JLXAKUAj16CpXFVFZFxcBXu5NAsmlxA9sb/sz8jyTl7EsZqL5KXI7hK1EVEQDH7m +VS7tRRW0W6ZaUfa0SOha3q9zdb/DgkjFBPB/PlGCFgjw4k5jzhX9PYoRRAYp3JJk +XmV9EihKL8auoqfWuXRLMgZZE/+54pV6lbFQxTUga7vzB5/5OeXUJBbmn2FcYdDi +UYlM0VVVCrwDYkpQ6iw0Z/gtZguvwnweVQAwi2SH68LeIkjyiCpmABT58N/qqVnI +VR3x1Iaf/2SUegPJeix+RKcNxR3Yvx4djgDghO9Kg1g5Qm9jKU+N6NEjrsHW1jni +f+0sK2ejPbWBfVS+nQx/OfPfnWM8KuboEf0l3cfKYZrl0R/TSI+nDHwjrNHrDRP/ +OdXJ2C8Cz+Py92TymfDFj/Ep0fFsThf03utjyEduethi2bgKMS2Q+uo/ZWyIDdta +jW7gN47bVSVctje9sS1bZZwKeYPk1qD0A25zJc3HLhqKw4MQedqpLlQtHPZErQxC +Ev6kfGZ/aAiXw3i9CjycCD8h8rmiKiY5+ChUHmRJgV6y+eCNbAUhKru/aOI3X5nD +zRhpgBypSwTrC63oRUHTd1aa7LVBY/MK5iBl8/MeOKkVF86n7bMPduE3oFBsYO7r +iUIEHt6YbudSf2fJQ0gBcdU/phDMpdZleaE3cL1WiJa2qLx7Rw9s9qY9zZBFegxH +gT8l545xvuBSAEOALJ1rXmP5VKTKSSo3SwCUzguGOp7Qx0nnrRD5uQ6RvqchoQhU +7FxZxdoEc33dlcopdsN2chJZSDge/TuGsalhHjSR6hq+84pJ+w7TYtjD1ItR1G/U +ubu0xCpt3xTQXQSSGY7l+p9XaQa99HkXaBOXp+pRB8raxFbOH1u72sqNpOZbN4B2 +dOu7x0kbprzQCzIdOrdZFGnCxU66O7uHQKxUylw8nP3+2KvrpWojId2t+MYUR+pV +SesNYTZheHA27rNDVLEcMGupZsY1bLKNEq3iYafUVgAaoCq0TO17Dh+5c/iTk93A +GGh1LYe0ru0+e9WgUSTz4bYEKBK0iUg9lyXNezwh3viNNOQu08qM4V05i8xf2TmS +r2Tus0VylT8lXOLp50dSP8CnDrwx6xfmS6SuK5uPKDY9VMDgwJ9+ckEeqGNd3SvP +ilr6Gm28SBFNRBKlobmeD8Z82HAxvwIUJPXDBFd62gp13Qce0nvz/CIjCufWxQ/X +YcSIA4r9QWTsjtCCcV2VwF0MEJab5tdyy8rYeGpmP2dKDEgxgAyrEMna2S2WTT59 +VsP8D/KCGBVSuWhjVT21zuzYn61tEtidpetJJEvJXXAHanY6hn1ZWQvtI6XfDGCe +Kfn9Flrq/Ts+pZTtEhzOjynTWDohNN8Uh4L4sfZSQm53b35sl07pFxBiSjK9ofm7 +6AWd2sYppaxAfRmWdnWPCnj3Ng6kBYjZEJ5bWexAJtUen+5xGh3opmI7tDo8wzEM +VVlr4D5M5ZsB1BAOOuf6jqUMdu429L5gTQOneaenX4eo/x5rN64bKMl+GVnthOW+ +A0C0d+sdAP3U3Mjcerm8ICiIsZ0UNyvXgya766eFeQotcdpImtD/nm/dbilZ4USb +qQ3azTMnv/AFZbA4QsG2iJsefuTUVCiAl6d8zmjJtgonRueOZAfhz5y1QsQ84Wic +9xhP2s3aCwPfSMPk1nvu0U7ROqXjiEdJxfGjnbH7ZEMHO0+bJYutWPzFimI2eO/P +04DGyac8Q0PhW+38OngNTGuwD6mNX7ZoWXn3CPrf78bFa//YW+OFyMwpECgh+W4B +y9mDSFzJi8ADmfN0+vxVIQRzSRH+1JkE9uOGGgm6MoMDf2g/o1QMzDSjEIyGk7o0 +Xd1U3ErdlvEw2nWtvYMwxuqmpH0BgIZgME9tUiStjqRM96jTu7snP+XfNGvxBLTr +YgCr9wsIZXAxktMmyyRS++uH9rI4KdE9IZg9w4jGYuW2A0ezrJVwqGeKRBQqlep+ +/ZvSit4BP+5M7A06MMYngBoaU2JxqOs9Tldm6SS2NL5DfWRUxNSUTuIzDBmKkpKO +tNfD6H351lzs/D7SjxNI5gRyF4VPs5mYEg7KKS9JVzjeKKHXDCgGb8kBcLq5vCxQ +BbHXHqsVsN6JB8t3r/JmcmSzMYL9k5wT3jAVjgJ5DXsBBnHAklyeUspIN7JTRKrf +s/sceF/hUcnu6zbiHe9A5ArcZrcoVLAoevrXLUjHUcvvohCo3VvOumiySTBUVvCf +LnP613CPHpMOFTmGr/tAxbzPBOZE3LxzJLS5dRabU6gMeUAbJXwhwgz6YaN9SRBN +ZWfNn7umY8NLWGZVf0pgjsvjGBGlHKlMy8odr2ro6XeNG03f6wWKgo/uVNBiK+zN +P1zPWIGo8rZkbssbSMFoc+Z22HC/O/UXAg9vwQgky2Oglg0u2/Ti7XqpR9AwjESY +j7tBICQq8azAAxToY3dlsmfWzAemfTaW6HRkqJ8jI1RUSgN6jJbqNv/bQHVvyp++ +f1QxF/A2+TomNoKZbWq4bDIhaDLzm9CnLnCOGZooePP/JPVj9BlwbXz3uMq0u76i +uWIhsb8wVWa9pBJBfa2bYURMk1dQP6UrVSIWwKAUnL6Ttpg12nGDCzeHWWEhy6Y4 +JU76rr9p04RnYWDjI3PkRSCVl2TK7SdUzQJrieGjrYkwnwr/iXf6ZCRvuEdCXw1q +cmoNHhMCl1JKqjXuFWCZuGYsWx+nI3syyP3RQHmOgbxsZr5sAQTvQeduKXIn7p5w +Xn/XJ8+SfCxyN7cFrA9b7CD3Z26lRWRPfeuUYZJZV/x45qPN0kiTBpKYfJrITx0a +mSAbFq6wBRqFmmOeW9zoVb4mLsw58cE9pGP3gUjlmaym0wY0SinJaSGOZPXP9eY2 +Fms0VJM0paIwWd0Cd1t8uaXvtzjQrj6MEUuQFkU36FmZ3kse6YicahSoWIOX23H0 +6DDt58LF5xghHzOrHN6Vm+waxNboUleKTsSw1XQO34yk90AmP9flbXAFSgf5eu1M +yXmIAwJPIy6WbFKNvAZ8XO3y16hOa733mtpIuSIiXOiUxYtMGgXErvVoOP1Lc97k +oKXeIOcEZCUX1h8bvUFo5oEHBdmzstNy6NGHQh9zHpEvksnvO4mFxUCTuWzcq4Jh +paZNjAbvQ2vzvvnXlhanO/J1pWm2KXxhKP5I2UFFZb+t3y2WA7TF/KBjIeT+G8oZ +DtojK/Dc+TS1GNDDTwKtl4Hwzm9v4UBgrKmb1QDPTOpH9xBzKGFeXIxA1PkuqmqF +xrp2NJAT3CODG8fT25zFNqtPJ4ujvCJCBh4+IKBdqhUWQT8bRTSDHVvoVSZaIVPe +QhRm2awqVDTwqz5AGvanYuovIh3DUfSftt7uICWBw5P1Ui/yzMIzaWRZFE3nSEV4 +PdFvgN8X+HlbBeh6u/j0Z5carTJ31eqdHxEidVv0xbsWmz/sxaszurIxIN4kw32X +QxI0JHLgXGOwMAZ4StYgou5RWEZLF0rVfNB9gnhgpTa5cTeKLcnQVOkNs4h7f/U5 +p0FcqUiJbXxOZkWMnqX4OwwaD6VEuK1xuRhzhSB5fE4dGco2jsatLnmRMFicixHJ +1uh/yWf9qVU3jAHAKG4sTrPcAPS1GrUp1wrVX8z+QiWZQPdyuBx4HPQUcDEAE5p0 +8QwJZ7YCUpu04RDNTCMNQa9V8eMuCG43G37he/ef21djNktZL6UWseYpCKj5IlZm +TNhYson3yvwt+aeY2yGCmQOfFYZ2wj73ozp5UKWITyee1tkJXlzBrx9yE/VN8o9B +AVw6ar68yTTmCbAI9Dt7EwLQmuJo4wVIu3W5AfrXCZ7b6762hSoRjWpoM+CleRrz +pH61lQ3TyX27zrO+lwSTLIBSs4OTRtpRCw5++21xJ17LdiYup25Hq+RaGNVykoMS +n7JvDfJhxz/hsk3UGwMiZQmCPUhGfguPDfv+M3NksiTRBUFSymQ0ncrOlh1ki5+D +dVdsrPpBCvyEtIl5YBoWnVZKB0xhBhjJexEQT0ealLouj/eZr2HfCR86RLmnNIh3 +BZa+eajqH4rInRN2Zy6EodhDBRJris78/JlTIqOUXKMWzeFD111wpRmg1SNWuaUa +4B5tHYenoBXpJ5Nmz2uTpjNkpmKKsAH9VlVnU17ZDIQS3g8sEQJ4CLIMmqy5dDHS +h6poQMenaNoHYK7vl72qZXqNsyUIR59L2ciWkyB07TACEaSR89+UQRUDG48O84Cd +1nBKvSPxAM+uWH0KrOCsSauy8GdKpm4R2lm1h32dzWMRvHchcfXn8QktgrMMzxQi +4T8wCaRAnJrVnS+ddDKltBeQlrMGJ8xXVsIS+t2IZ9hsQeHZa5qJlJCPZy7dP6yL +tD2CPOSzz+4jccRz2zPg3cKiT5v9iHXp1+zhwoXWtgjHr0TffxKP1AYR+uUj/8ME +83lLx6NXtGGQUxQT2zYnSDFnkRPJEI4fns8rNUh6HdF9pK5/3ykbhb0XIdH04Kof +CTVbCYLqvqCpRBFTqgTE7MIVpeokPMz2j/Vc6OL9GfmspqsRAGTRqVW71nzQgQsm +JN1DQujA4ZgM0+uDdjkt5+1/aa/0Omohj7MTGlUNngatsTyeUp+F1GmBMfAzqec8 +jr+3R0iZK4L97AiZElSizdULhouWz3x8d61nSh5EmOVAdm5xKkMOCn15udFcR6gb +xeRHcvRNpRBagFrBQ7j6iD3EDsOFSZY6UebMgUUEO/GK0+R9QaR8kdCamSSMnJYT +C78ERJ96uq2iqVFOY8XXh5D4zIj7POo5stZQD13sc+Ni8Ayr55PfQMg945IZLeUx +F4xQVDUCOH5Il0TKFo3Hjhr2FUq0SW9B0RXDtLNqpTflPP9O6y5ml42bTBbq1ppZ +IhcnWD50QqYXv8znQM6LwTVg/zJ2dS8zcte9IMy/ujrrb+l/YaAaAKraWe/wdBz/ +ZqYhSGLo3fUa7c7HHXmF9B6UnQdZRi9GcG7AOm7JTxL00XC26L52IcNVsypanFhr +UJkCgZqKpeL3xmvyQewjlioXVZV2xCO53wL1zFeU/6hV/DC8n/oWoPv+Pg2sMLlQ +LIVdXudqmq0e6dzWdE3RhLR/BVUmNbn7NLQFoGqpofVBh2NRliIi/cW8f08miphZ +bIE+3WYSAaaUeDZc0j6FEYhgihdlwAH9STqLmo6q+21nwaF4gUBilBwQL0ES9yJZ +CATsGy3oEA6plCaEkC5iUY8cXvMNsVhlOqWH4yjpdgSFNZOCRC4woUlEi0DFZFsT +vH04Mh7LKFkdOZtSYbJQxnHc2fORUDexn2CiqktmJanEQrDT0kYp82yxX2Fdn9U3 +eQozswF4f55BIkPrLiKg3tu00iCLHJLiON3MHv3w53h4RsXtLpJ6Nn3hPYxjao5j +891eFkTu3cYesok9nNT9Ln8mA3xsj7ldjYHRB2/XEU5hM/lPvpr/JOkbhA/JfoJn +cfFYYj9rv+QasTYFs+r7efVDaG7WssK/gxRZQ8NklOmfuc+OiKaMECChmUhiNidk +R4sb0JKf0pKuAbYAtUHLuHY1JBYt1QEqyJTwHXDLV5SEo9D3165piT6OMitW7d2c +kUIZcnUqOduNg2RGSIhaww6nIL1ZioXXs69HexdQIboYhqZwbhIio+i2NVwWULMi +KeycyYpegvmylWezjIHZhcoHxdpdL1LIL8OZN8XU2Y7l8R24zwvuvP5Rt3jvOZGT +9LzPV9Xh87JVJMu7PKDDyrm1HXYUSEHG6uMwD4xYjfeihEv9UR03H40uo2JoqTBL +xCdnoEnDL5zvUyCZc/D3xqmsmtRImHBktYvmZI6eZHdHkZh03fdTFLyh4kOgWHS5 +nywtOLLnOhqCZ+9wpNxQKR1tHX+pqbT4bhzYB6MtNCm21y7pUV/KvfF35JNJBiQR +sDWUevfArELT6+bvJYN07MhgnXgOqMoH0fOpG9PlOEpmR/uPZb486j7o+QC/yt7Q +4MCheTsCoTIVG0CQCbNIIaOhjQojm4to/+WR6nnTlDlbzGCVN+eWnOQ3xJheLmff +0uGziM0PiUEPtUAAv09Y8cCMiqS8rGX/MRP6ggv/Bu045tmf3B+3Is7N8tj9JG9R +LbIa/gOn/PPJCWqkwv+BcfA5HVNtmRgxSw9btE32HkB8JsWwWYDdRVDRrKVsHQ3T +W2hdY0APoxq12qbicyDdwDTxBHuugRsPZJmpawquhR3cZLi+52SGQn2MZjiQf8lL +ClYiJI4xSNLv0ZaErqWaJLZQWW0oEw/XHlsWzPj186Lb3cVOgqDkw5Zx9aYSuSBd +ux85dwEPhppgvJJkvBeOhDoKyE1PPDuMNGwkB9toRzNiN87nAc946yd+DOhOo5XE +tZh186Q3D661YxZO5UNceWRZ7e0EpJmwhFBHD5y5tuJDCBCsXlnRCiSc/H6mX0nb +7XDWIFsxQtUloMPYJLMTVFv1ixhVTZlj1FVXP9aLOefXH0+hpJmiyw/gySmuquFS +jCea2211o0jnQ5Fw22966jSCOxxgcyEybh0G++dFjqUUiMlf7DRFKsIm8Fh7LChx +Y6Rm1GxcKyqI9yWEKUHSn3djjiaQiI8p98wWdfhQT4bOoVsnvPc99W1mhoQcthW7 +RTSYVzII4exmDiuq2qKmHmomB4jXmZFxpe78HCZYJBB1RRhkWrk2gNCA0fsDmI8A +RsjnpghFwh3wOHtJFEouXUyil55k1ISx7C9S+2vpgESWwPtxiWWfo8bLeCM7+JEk +spa2+KK/i+2hQL/5ShdzNjo3IHYeZhdlQavjkQVK+Q8PtGxqQjhdOYfL6QctWtOr +NBRoW36G3OhCxQoZoJBeY5WZnWdwjq5U+tHI6XXkIz8Ydmmwim/7SaH0nTQ3cXou +1OHH8I26b5bQLcXyR5ia8vyS2y3HsAAYyPrZVPMTBYGoC5iUGOQn2+J2QBLvmyJm +4DknjWVbJYdzo7oYjicL11hDcbRB5Z22OymJ+rp3Rbs1cx8XRj3fXwox+3iHSh4Y +HX3c3TYRfQgoTPdJgqsh2Tirc4XD2N0Ati45nEyium4EOolnzjpCXyAC3KdC811t +EFn7GuZXi/1Pdin32ndAlzC4/UJvD7HHd5D+xcDJk3VBdUpG2uE7J/h4jQxMnL6e +E3fKI/N0u74s1FyH2EomsYNqNa1Sf5qJx1jHjVglxaaoHsjA5CSrX077cJC9YSgX +a7BsbKspyd1cI9SrWuHNLG/VM5BbuQTXsMiLcOxWj8eFzDMrqyu8os3PmvXCKp6l +eDSP/+8c2OSN113obS0Q3/0M+s7XJjnkdHrTX4L4pC7oyJVVPPm/Oma51pJkUmEg +s6tQ4mCS1x9ES67k5viCrf+nYfF9a21429UBzh6C2dKDrDqzyWX9h+wAOusGUhoG +rt8QM5phk8uWWOBEHZ4kBdGM6sxspzsNaINzeOzioc06e2AhZiCNzMvxwRG/avcK +A9Bv5cf1o2QIBeL9qW4OdYHBgsqt9Vse+pYeRf50vzgQ3g0zthbMEjVuGc7XPhQu +23fN9rCqeBm7uFTnApGYsa6vmhxOgERxw68OWSzXaagmkU3ua8stR+gXfjOw/P20 +Q+2vNtWOw1H+Qv0GVu4vLWDOGhbVOaxFOqkv3RfYKh28HJoe+qIh3LugR9kjsofi +ltNPnYtKImNoRTKslGSImNXDHlRI4w934qiHQUn174ge2769wO0Ap5Sbn9yDsYZH +Wmac9uCDJZhG3kPbg7ql8+QVrKEbNxqNW2YnhZbvQvhqxqO423M1OaZ+bzA8YFiw +UIhn9tRxZqQinPuLk3mmAZu20Qlem4pQ0yg0DbwMOLD0rD5bBVNbl96XL2SDcvxp +TtPNsSTSw+laWekzWw0gM7WG8qSsWq0wroydU89xZHFIsiutCEVGZCnZ7e5+Frp+ +C+m7sJQ+34GNlV8Yb6UW+FvfbtCuK90aWEIr5NTBzLowBdUr4MYpKCsNIzcFNXwJ +qXbUuNd9MbA3kpjNQWlJYUcFWDP/sO/hnRsnHorP/NHlZsyCRGoKZP1h5RHO9Afb +fItwFFwaKILfWbqZHboykDyK11/hjnlNxfYY7o+jSGSsCtxjJWElifoDec5uRhQc +StlxjDpo3hH83eTEoYf89kWOXjsxegPQ6VrK1b2iaLE1jY8hhCVp+B+v+ph91jj3 +0rSpHZDUwWAsTF6P+97jok3+gsT3gvBD0KmJKA+byhcXQ9cukf5EWlhgbbNyCqkz +m9qUWPW2SA2qqsatfIc0ehBe3N4kE3mHu7/vbp73KW5rLu/5rnkKu3GHMu9BYRvr +ha0uLh0JVsaDdNvsIUtYgZEoaV+tc7fOkeJmOzKJYGd1nwf5lzLJX9FsINYXoyQA +1ViG5rEE7Is0Rby2FPTXBEnp0QKopbLF2RP2sqUiWTE7Vzh47SdJoe65J+90OBzl +UD/YjWx0DJiInI2oDAmb5a//Ym4EqqfxRyzpgFYUffmNfaXqJPEcY+Sr+T55B2t3 +OHhhLtXSWd3Nn1SgwkR83NxJ6ulaDHxFH58Df8WWTVhlHWmaqyALJHzvznZ54X04 +JrO7cf+soyN+6/cRz3nPrZQt2OJOztCPeEEdk2deuKsi9WRhGnjezP/6RoEn/Akr +erperfKPxKjaNXQ896r5d0ijCGinIBDZf3vvEbGGoNYwIASjgEJ1ZgWn2fMHRdVz +BIKsg4OKR/lq77QXHtdLK5K6C6ZrUXythx4Y30Nao7JTuvmV6M3pYjpKvy9x+xom +Tr10w6B6jezpp7R/UnZXBQCZjT2BE2ffTtXnWlZCL87K+IBDh4sWQ/f0ytffbUw2 +2v0rofzOXvHnT0mOyGjZbCdRIWWUf/EAwZd7+RnEB9DspkWGadJlosLjOerRSoPu +YehiNphl+KGR2mOgq9fz1m3Soz8Jyqi+yv4j8UdsJR0cLo7eb5fNOybL+mtfzBYu +CSLHqn7T+T7PE4O7olBvUvs58vNKjLcyUWoBIgSnev6xm+LKLfhPlT4NR8T+lDlf +B5E/1n0W6ER2+UFxv4eKAbhKpEbGyA0OkLSavdhTxbqnJzGmU/qbpUMAUVmj/xlp +VpwBFqMFNw20WXl5ZgFjHkLCUh8FI/gUz9R6qrvpOvoaY7AHi50aUS/atwIy4DTk +5QB4KqvavVOxZIUZs8WVmFOzT4Et4N+ijEObe0ZvJ3qHh5J80EMf+dAbIWRB1MtQ +cfVWGDr2SXgI3imvCxnmJqlFuXB92aEn/s2QD06iLnbgvPCSynxuZUMdFHJCEpaY +mA9LUTtXFe6YoTLz+Pd1EWzNmVbh4PfxK8oEsGOa3NJMcap0PHhCSq8Ne1UTtVKf +Nxe85RJfZrNd+7ksxVsaf158rZFfj+CtRhGGkHPVEgkfypJ8mm23JQfW0eLPQJ9F +UDwbR53Ug1sKeiZyAvHcPPkQD6nxP22Mpwx7qz0US3dK+Rf5Vt0lAM6SvRgnEIac +xh9BNZNKrEbzS2yGCJa0qC7eEUNineQoOYWgwWT7zoisrFmhoJx5c4BO0pKgB0An +2byfwfi23h/2u/gbTQjFRTida9XHaR0cChyxzDvCXezm1cIWf3OYdWOS4YYXZrtv +NOxNWo+Ur2nkKzwv3QhZ7Mue5kIbNsuPASvfZjSYS2M8NQWdVYs6VMIQvcq0vlQZ +KEvd4r/z/7stWIghACkoUbGwbQE7JcljARZegAGQXV+wACYQYlWWIcDu37SP2c0f +OyHsHvN+VM94jK3Oe7lRsxkH8It5ACxMoeDvts/OmhmAFQlSdFknMiRvcTp9XPgg +2K+x1qHNGR+Zv9P7zeCZtDSxdhCLx0JWuXVyP1IeVqb8lGIa4RloNW/ZL6qw6/wv +4/FGTxDzjOELaVHy7IDl6GxcsP68EtuoMw2YRGFAcy7oL7YhEm3KBDKolLc2WSj5 +tB2Zvq59gwielNBrZ4vv1kqQ8pZcD+17o/IAF1t+ibwWPISof1lsbzT+wctVQ7sm +OYhsa8bQhvYhBhEAdZ9QS0mSmA+OpTEcGZKgUAJyI5YQ4QFCj6liEand3w4FvwLl +KOFPizDpg8SmKL2bakJwNX+OPQiiw03+Ebz5cXkh9ew9v+FSoTAmBd9Wg/Ys7nNR +8I82b3AC7usEzPG8foCqSCTwtbSWahvmog8wdt6LbxF9ReU1hTlZeVSeMrBgmn71 +fxQzRLOrjN7ZN+5dxKcQKXJy7QFbGxEZeQG/lmtTEIlJw3riBvfecw+hYaUe2B+s +saVTbG8C6HG7HRNhas2okfTiVVu8lcgFo2DPIXAfP6o4libsT/IeEEv1jCyKHq0a +bZJoYwHlglBRaQPDlUm6/UAVyBXuUSfRnLRuq3vQ+rVoLHjRHEYOY5phPh2Xfg7R ++gk/4SOkH6IGQUx2/E3sTjuTa6BHsWnJgYaiKaXUI/GUfQ54yKVY7P8/m/Ifp0Xd +IORKzCPqJNuMH9neKBRPF6d9Sx3EtE+BVwnl6FggLe1zF7pd/pwMx4R8nANIl8l6 +NyWpqcm1cCCAY3WSwa5vgCe1xL2qNO/cw6I5CmWBk7VnspEx851LQc1W+zKbK+5F +24mbAhf3QMjYxYHM/i0klbpEyfdk5+RyASyumvlxCrkjpPkTvBBIMdzhGHUIWsAw +X1Lwx+FqUZx4o/8StG1tazpz9s187tkCaqrIvoelNJIYNqgdk3gi335oznCzWoBO +CPZ4vhB4buvrWzxjwev5qtgZ07GnCIDnjOVprUp9XmiM9lNRW4qeKUYUieSILLzD +WIe84/rwp0eJ/EkByPgv2PA+osuRJL7U9W6Gjq137SnGh4j882v+dFBZc5nAFlE1 +Y8EJlL42zIhhd4WtCsW4snDA1Uu+dnKREilXbnYn6eWIAb+vFILODzrTwtY3Y3J9 +RjlcAqS0yOfWnbZ84bJYk9yKULHR58xvAPA/Z6M0LhossdM2FCwomkiD675u9wve +3AHGVE0oYn7AHA47F1rymbODV9RVAnnWyJH2pEVa5cYlq3GjyF1SLN9CyKDc4rlP +rLc6YlIJ/cbmHhqocf8/k+9hDFCTIlPYJIfXz+yaMa0hp73SFVF9njCMFGZFqmFp +YVL6KeA3hbTPV4RMIU5rJSjZok3mRG3uOEO0YFaU6/qQPq6hfpciZ8GojYUh63dm +Uh+KkJUWzJ1m3dFKq13Vm7eCZP4ZVno9m75oA1L6+0idAJlBQqvh8RojcPIoRB4b +Knk5z2Pof25f8D6deaLm+bV3+kZBeYpw8GzCzVaqskWJMRrAaEOtVBelf0zC+siS ++xW5tlv78JDqyJkHtP7sKsvuzF0PtbEhlq9O3hl+98nzsqLq7bchBphscT6IOHHE +P8UxIIHMePekrG4LbcXRzx2dm+FRwXdNCIkfeb6WNDFT6nzDDihg6Yh4RjKSTIRp +TJsKWQ1n5ushOF2IHRb9hJWSSQB51c2M/RpNttu0TU0z3rB6pJ/f0OD264iRGHVZ +HUhwJSWqMNoXHWiIuskTNpI0NeUpdoXPcqfqdGpayYik7r0kEFDaPEB+FEghBQmj +SFwQdlrUAg1ixLW4Hw1jw4P2RcHnHWDvL9r+LMStLFAOGYD/FTO2AydRFfEFAFE+ +8Ak3W7Uq4B7DnmLBbI3mJNP78H8d59RlBk5Fxui5WKf7K9phVMSDFLErNzBj9+OD +uSMEWemKhj8Ur9ANyDSzGM3HQvrX8IpFxibrV/Aj7zUIJ3Y/uTWh0/JlIUhYX7xj +JrT8BDe2vo9lSKtcrmQEQ+UUV+EvhPGU5wNb2aFDbuPr57H7sMFOhnPWXDHo5CCS +Sx2jKOrdDVT+xD0iWCquwmC3baIEfholAbiQryqIy4AdXGopJ7+L0u6Bf6HANX+M +hoKsUvZf/za5eRGikMVnQrOc/gAZfViu9Om3AIaQ3tADmUPv3phP5TIAie8/fiEx +99kqwZTvNFD1HMoQ4UWeaAO+K4VckF7dMpOGiCITc8XhIj/MapLFqG0BQEM2Z/UQ +ndjbCnbK8qqSI20BHwYUt/BbupgRnhTyqVgqGoigwVereIREGP+N2YJrQycOnyUd +IaWwhOgyfz+4UvAK14wfyZ9zFgkGDgtQqFbrqFEbdrb9ddyOq3aRTjdf3MW0F2Yg +1LULqUAI+ZCJo8tQhqcTIvA+J8GdBAxKTj9zcpnTYvo7C4LI/OsdLL2G/JOqLtL7 +7inwT2pIN0dKi3j8TSqmQYPnwaetIK8vKBNsJ3ktjAJCE9SXL0znNkRB8usYSijf +pr6/CP64tqvnu0PY4F/fjIqnPC11vJk94MZwLHvTPiZ3AIsSQUu2Yw0uhfjJej/K +xx6fL3j6dVBIfOb1FquhmbQzlLMzkCb/EuHnZR3sk7ywyh3kPgZ5ZW0hFLTTHt0F +VT3MqWjLgM55pLokiilogYe7Z/4DwfhBdyu8CRdIcKKxp0Wc9dOZb7xKq36/fyp0 +n0AkJQ+oqOA9QV84LzfCrDJl3anEwYrdr4c53mwCLJhn3a31XDAu2A9hUrYeN1MH +2ILkVs1oLFKPbq9cS5JhAVrTtvRVD7uHX1JXd0ThYyPfkzJhzzYn1LZyYLilME01 +Llff07AmcDXX2/y9MKvppNFG7/DW2G+TaTDcMP/MX9tUyY6ud7C527/0QlW7p3XG +tSKWMAQ/njVzt9ez0s/gnntY1b0IB/jhPMS9LYUK28fg0lH3MJpK7NJHzFjlLKAo +yHs2st3sfNFyLR6yIjsscE6ak4d6i+uO1gB947Q8352/vT6btPx1ulYbvVsScJil +yZZvsqrZB9c3d9g7g5eeFjDAmXTEzbb8T6gPjYXwPcUAZoUrDxYxwYn1QTAVyjxA +9cJ7ibEXxd6wr/nlqe2R2iHu6or/zqpDeKvqW3kMj6U8b7huIPxNV1DGix3t2rDo +6bgBPhGgdGAJMl0ptfRqvSTqDQhasIw2AQkNuxe0nuhM8fCYAkCYXmZlEzkWWlzw +upddZ8/Mp/FABOlCOJH/7FibSgHfGYb91pQ07jw9WH2WnxcNM9qbyRayCk1nqK1a +YsfrmZZBd0S5795RWQyizaxJ9TqsVk12NN5p41R7reSHfmxPsjyB7KjK2bRrQ8/F +/eyrO9paeRve/JbeMCWyIGGeVNvV2gsGtDT23mB2KKCbTMqx9E9Gi/vMLopSa1OZ +B8yfHruQyC8j75dEWsEJmgcBnbz0gnBvXrSjlEYvLJ5mlmNt/Ak7z8sUKsqGez3j +j0D9oUKoVuIiLZoX0341vLveK3wNgOyO5cMGp2osbc+Gtss+kcZ5hBuC+qyJvwvL +oLj/NRdLYCYGp9XqvqnNS3casHPyzUm+8z5gZeNb96iX1a0g8G/ZA+di5YKk31Uo +2XIe23LUK/yFde2cvmsgfOP8HjIh8+6Cf2GD2iXZ+bPoLrGcI3VPTvDH2LWJKxSH +t7oqWV7Rf3FTyeqjth3wYOD3lud1tFrPYwj3A5gUa3zzyk+zzjSjxYTgL1MPEW67 +6A0Z5TEAMqHFeQfXI9Klqy033TkbLEdd1enuviclV/WPLzKECX+vhNYzM0EGu232 +IgYJl2kw7eGujE3UP2qAvJaMcG0kQ8BwDuuvbTugzxubG/fnTkqG2xMpdGmblUDz +TdZ8XYkM2XRUEc5OE7fafffna6suLbdK0kZvxwuMbvQKy5PVnJmYKs+4/DtMZ9gM +1QCRNk5nAamS5l1vnCX2gfYvUfQfXO+QAaMetueXTfCjK2FF2huzJ78tyVV63eam +JAD8TbvOA7k8IwObBx6agNQWjaFBbi3mlUgHgg/M5c1OcoZrPKaPvg2GNVJlmLJD +LT7v0tJVNJJ7/bdvAlVI5F/CjCFC0zWQQf4EyygyysCx4lq4ZWE1P4kyDwPa9rtk +A2u8eMgaHLgP2n1XETE9f4D916oMGE+ylT3IXM552XY= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/hxaui_csr_h.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/hxaui_csr_h.sv new file mode 100644 index 0000000000000000000000000000000000000000..03d1dfb48ea29b6a691b63e7caa467a92364ef9e --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/hxaui_csr_h.sv @@ -0,0 +1,238 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +Xglhz4jas5WFF6qnC+7Y7T+U3mYR0ZDclvrnuLEBgeTASeHTSsdR7zd6cpqfiNZG +tCz98gytv1ASFEuNOBQItzh9nkOm9qcT09aONJtF0gCpX19VMFJ7qGUkqb2uxR8J +h2LSz/En+HRA39DsjKt3KBzDqdoJr+MS4bf1BH/kAdc= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 9664) +V25TnfUJKbfSOsBjKO16CvdB3XdF4Med08YdzIaJZZFsWDjRBTKZNwcdigTFFemW +YrFVux1WdyMaRyvV4A31Qeh8FYInGQuB1t1Tdch9umSPrC4gK7OjY151jjZFWu1F +tyTFZ5r4s4k76AyLSyxMhpazBNESXwjy8BRoxDM8R2ih8v/EhjmbCE0pW4SDjb/A ++DMOqjzdQzUn7gjgmufb6hL0XCjlYEW+XIPXEDHfY4/7Uj3I5UDDowsnB6cm5xPV +ENXLN15gPIoESRHjqKzk+0MftXxmIurFavLIdGzYrEc2/mMH0wk+hNjMmaxAEpGH +V0b8Z6nlmdyY9Mb8Ta5NlmnyQd2orr2cPOGmRi2jl5r63WHivsnpcFUtbEvg/ohF +WFrJKYt4UNHYAvZU1i4bgzcOabAEsErku/ORw2CmMxynAa8UFSuwp7ZNHUP/JTim +RbdIjtn1QOUCL3hEoe+sMbyjKiKQcI/sqlpeDSQ45w3R03RUo+zZ8UJEdZMeOX3c +HrzmO9di9or50pWxhb2oGg50bBe3VovZklWIY9cTIhc++iSRAX18iisOwERe+0lV +S3G/cl73DgngqJfjiVcDgvDb67JkcFRV5AmLHnutOxKYbyBDcq8LvFdWTxXQCkRa +G2y3Sa7hsUhZoIiw+7+uRd9fc0xU1FRCN/xxm/qKqtSZB02Lp2tn8I+983IP45FP +zAZF+vAfO9m5YXg5efskVeNr4TQYKchVUv/r3fefb3oNKCosiv3UQRtSKHDrab0G +Zay3XaV2XiFg/dDxjJBKn5g7SW4479JFn1F1xNJJCjmvyPO1It2xhX7WvPpy5B8/ +EUsH3juDmT8pxu7v8vQDCB/cKtg9AyzCEwTSIW+wkaF4bJQVZFQpt9KMBfxFdpV9 +CAoWIKaog7FbUrmWm95RK+I4ntaD/+HX16pFnh1AUh6LfUD/QHIggl5Eb3eGzw7Y +6raxZBjlFYqG7y+czF8pZqwJ62ZIuUhkD/m45aWB2FOuHjs6kOEZE5nTuokbxqAc +/Nym2SCD+WB/MBKqizBaDxqwhFniqn0Q/kyLevShs8gmOa9H+NLGtOI1WdPTdjzy +sjJigFoeVBNW/pKU1uBRaoeoSWKpJq53JTCz4leyjalatwFLVCsqv9r7XHGabf/9 +OLmlYKZ7KWEypk9km+XApLeLoxaAnY5mfBxnWTk91usvoOLxIPxIuWcDrbOC3Dmk +SqLv/+3foHRUuqF/RRzRk/Uq8/lXt0y8BINWn/Spy7nRsIMnbo3mB2N7B8RazhBH +qij/pKj68tUTp1k6Fv7ti1YFtXghfxz/SfJ5gZfI13UvgdUnYFNG+LIY92P03g4t +2C0lIIXQHcKucS9lI+KlLniKqdJp25c9c8cCuTdZGm+ZUxz3zGEEFDs1rtOvplWK +KigjZKbsNnXoRSibSmEyRlVul7oEAF3TuzoLTjSyQhCL5fEUGiu86P/KWXWmvyt2 ++SFgG7yasB506aNHZzpzjLDEg5XFf21RA24bcMBxHHV/o7LlY1fd8+4SRIVnI3zV +vReij0nddXwlK99P5ZZLdEnEUIbFVYTFit6iiudqFR38ZhOYFaGH5nFMfpWu8Fjv +OnNsatVDf4WtmceANBfsumUjUxliRsBrc7ZIi8B+wRH4fXMtvhoMGGaudTDkbaMp +7yHQO18Sdr8ygjW4RKwEkDHlX7u4UFZb/2Wqclpgjh7lViDKE7yu8DyZj5WDA0Ga +5wcQ+4jsr8t3GYg3s+tA+tlrO1ggKvD80GOSvDyswQl2b4UYZyBWrZHoFHEi2PyG +u0P1uNv3WMUiJSb4/gjG8zzqmSUvlrDPawcICEZGnV4wQ0NN+GBCO4rCLpoj2mdW +lKXoWcqxjDBzfpzGAZpv3NGZh4SFXmEPl2s8HltZqV+9aOq9FK3lMyq1CFEJ+Wpw +kpaTahFctFaKQ/pmPjKwv6YtLh0V0fFFkKlMIovioP71LHcbhIHwElhn9EYzag70 +XePJ82rGTd0TqvvmFwXwUDUEjz48/JgK63Mjjn3vLUZxyIogs7auDFuMwCEZ2qO0 +GdWz83mNxTFi5O0nHDYedo3O1QTxRq4d2Pnh12O7+tG/SXMzoeEDereLa+Ob8Em6 +UJp93i+rZjN46aFjo4iCZz02Ta4uKPE1ixMET9HFZzgKHFRHOfTgyMKk0CxLNYQP +n0GYJDOOehh1jdoM45DD2kCsTWVY1O1YYbKjnoaxeOm4pFH8diocmv8eMWDUw1ES +/tJ8FI+0bMAZmdxLMuDlNL1Z2kexaQ4VNuB20E+CiwGMCmKEFDdQDOfjGC0kdtP/ +7YlJjo77qnxjGtrZ94qGSoEPwj6zNBAmDo2HQnRzQ0Ca51PAdaMtnpirAnxyPbUV +8nNgWElHMTV3V4S9PkMSSdctlqtUhTNqpEoXD9zw3/ZVnWYgKp6Gb/F6tjUYNHQk ++Mow0loBiZryIOxofoOJAJVrCqvIQeGHVwhGSan2g2FLPHPTh6BuGlV4x7HnxApa +9Vh5mlwCZ258U9SRGp2G2hIrDBLI6pSC7RqRvDie5IMVDQA5Gndp+0pxFuRx83oU +v2gesm0Y0OJT+GOgQGi3jHX5pPP3HqlQuHJDmgwQmSM3IWfYT5yk/ikox0vvar6R +FJ+p9cePioZDt7SjPwRA4RtCJkTSfla8WI0h4hBIkAKSTntLGXMgGS4BTgNNKtVr +27FOIsXTjhxwqLGjHtOm2c5S82OccCAY+J+Kub6UOqkuiiHwsHPNK85hyGMesgse +0mvm+zRWAQNdX+USscwIvjZDewdMq7NqGIcTAxDemHXp8ri3aaGnvh35JZ628xfl +IoiZrQLDNsnJNbcr0KNeU/OPzIZt4gjufZ7MYw75Nad0xnTAqHxsL5x7hTHAfJaO +rEbWCeUsjqVcFIK5d5b6CBKVHa0jcGhzpy3vUN2Ynt/ysJlPWQ3ITyQBcC3YIaze +N5Y/apKZIZw3XfrY6nfMmiHhe1pxslv6cNUQRQirxs6jGcrmDwJZZ079OgDrKjv/ +xAqyeT8GX1IwySk/M+wrT3pgJ82gQwhd8n4CDE4GY4n+Yzx6jGhpMf4Mv1Yp0c33 +F34gwnf8xeUgpGZLNQWwEFtEG5HU0xgTZDNxnq9bSK2ewQgsYISgPQY2qeoiggIb +g1a3JRoVyWF7SiQc47YTM4zGu9ICfcxQ44lN0gBRBVTckEkP89r/QiZC/22Ja1da +nb42ffwtGfB3mN4r7rSk4Q6wIdNd6MR+mksW41co9lOv0V1rPt/HP4yno19/BPO2 +/e0sLmV/jtkzFnaKqcFjrAgkDk/OemiUoqes9W9WHMKX136wbmKlb09Etz4jsDyr +z6GAN5/os8oVREaz/hLvNZ6xR1dzd7ILNbVnyZSrnEeRgfTVoauseL7L5tBLLr0o +MS5CRL36qV5CI5aV3qKFDyDXAiXeWqnVatgX0nN70RBK0QXRbKyt1Ykh7DBugqmr +QedqwB30iIWuEhe21hhHdauwFGh6ZKliuB6zVp378bxRItnPLFLubPSKzAsCgcNW +AXf08urVVhagRRZgKzybpXr1mwet5U1OX6Y93NxNNdQiYwPRB0umuYFjI8JW6ifi +uCULmq9PIBXUvi2fgrCCpj0NLqdTKqKKqvD8IXj2Em6SsG4eEdirUfWu397BwKOl +96QM1nWorbFUovVtuJ1gmiBcuFjlqrAXqWxCxHqCGknVmxIJ5qPcZ1BmTtpYjgdm +lK0pjS9fqYZWaXyJrTeqZVql77PhQZke9kj+ABaHA1p0Ea0OY2oesfDJeV6Tdo2o +g702Y+KtS1ejMh8yKDXmHoK4uR8ugGWWxDDnQtFecfIZb+ZRLdZjSC+oMoG7od9U +0XuLFzSJsgcOi4Nf8U6NgRPkeohaLMNSZgeo7s8NZ2WI7fkQ9r3BHMBJt8U/lfR0 +BwwkS1YoTRhD6QZha3raUs0k6uonjBsP1uIoFcX/AEuzcyjpbvhxXJz+EKeFQ/P/ +peAdj4m0a9bD/n/ryb+0So8MXVoKDKmDnwQpVitaDLl6i7KKQ0ZDdYBViLgQZELP +AiMb1gGDv3TdHSy8L0F3afMl7u7qKhLeZomObclDBIFEroXB0Ah/m5GHrvIgIMQV +ImOjkYX0Iba9rImUTl1XKbPxezfEjAauSOvBMBqVouGcjY/GSuXGwLSmXnK7FGYG +3q+0MGhHTXDy1pSEHW/MKiesssCNYJlDAq7mHK7fdwF3wQz6wLhEsMKfRLNYZLPa +xxremjGpDK9wdCcJ12ahaWt7uRcE3o0cqvhEerSMQRUsvqcwvR0WbiWeLMR8QxPn +jw0un3k6ipRLHQyqp7GDwe19SjaOkjEVuc4w5TN4GuAo5bj/Z9CJXFq1Qifpbo5o +fZFoPdpt6Tm0W641l5gsM3iqaBCQGme4uOFYfKnANvmzHKWu5tWBGjynTObpZwE2 +0lNGRfmWg6PozTmoXumeQvtI+OEmGf2anSvUu2GH1PPjv90azgTbQhbqCEiGBf8D +2+xcAsZQlO0BqTyjJpDOrLTd7AwTo4MrcoDcIDOViD2pGTV9lEWWs47D5c8/DrFO +ZviekJpGt6maapuQT41wm0CHbG+q/i3cbX+to5li0a+UTInzWMKsK6YSQaPenV5+ +GR/HxpMs9uboRO7UNxsodXvuDT37uKMFJWk9kqb58+hYvp5QFP396DsL7gqre+f2 +vQWGno/MxdAa+3Yk8UQ+ajQArnu0JW+IVKaH8U/3VyDE45Nf72j0JqY9kBqRF0pM +sb4KnFx5opnFnRKxW+865B0Wi4/m25eSM9IAb8DClJ0JgNyyvPDknpdzCssmqZHH +v7iQX60bdbZU0pMLYbi3BFyN6/R6s/HzZ11eMPlMuyfwmXTPsbyeYZrwZPmLURin +1jk9VBGE/5HiH7PMdKdjC7vBDuV39T8FquVJRZsIHQaLiCA1Tg93XkT1hHVvqtW/ +XQyel2l1Zi4VF/seksis1tOBDll3tC4tzvs3a5C2a1ZUxLvUGlxIzqsoCS9s9idv +/Bx3/v7jxFXHCzRB2VS8U5KW9bK6jeTWxtDlMOSM7eww1bm/KW8AseV25k9uI26x +U9AbEkFJUvmbc3SNOoGcAPDPFnd2+hxyg7V3qubxgXT1C5qfuVayCnRUVVZEdASb +EWGoLxwAMqsaNuSNvY0Zsb6yLX13YcDclBxajYSqX6ldZgU/pi+Iuau4y2NYrTYN +GtxRkRtOcuu9ohKjHiTUCd3vf6BuVRJfqi7UMTp3Cs4q2C99BdbkYOGyuwj5tOHY +2gDWeyecU/7ImIxuymobDKwnbwDh2ElMRQt4R0qhWveMAA7XuV36fc18KsGFfiji +PO4UngyD+0k3D0vu8Z6VsP84EBrM7u+hH1/fZCa6taD/taHFqkDTv2/wc6ZKF8Dy +Ksh5A6JoY4HRKLwqS6Kbg1Bey2Yh0e73X8PG4K3zRC0Z6wH5kkp3bOHimqqxdu7u +EOoTlpPijY5S7H5rx+9SYAoDts8ocR2khtB55Hkvcuk7lQ+ev2hmtAgSGS8Ep63a +PGwpQvw7lTHInQe5O9uzY4YieihMtj/Jac9bONaQMPPunLkRbBNICgKdojOaeH4Z +hC+IW4BKDv5BNmbyi36w85CVVATOmQtpZu+X145tMbtM6AQJiBCc2OkpPjtY2efy +6+g3ED3nqCrDDRu47nQiz1uBON9oiRyaIj8k2+fQSWZi3sYfhsC4fbZCZW5uVYxv +K5yn9dYIzghtjYsXsfHhllXiTRDZfNSVZernQtzVXut2KxMx+BRwItuOo0GItv/o +wNNkaC0xYmGqekbLa8LZIy73ZTiXGuvk2pgFcPu8jGYA/tRI4YOOzuQF83DNYi2F +o3XhiQaUqH82SzkMgzRUOvFaMq6lWzgzb50iAC3O99TRU2YI3qsgsxoTxvBTUYo7 +gI7m72Tsa2trtWiYSOQjT53sm+tyL/hPKsvgyJYVPibo6IvCNlJDU0+kDcAV7c7X +feAppH8dqyUvfVCLf+8AtYwOdrt2Ft3Xa+3jgEEo5bT0yVV2GRzwZw/Zd6C8NvS7 +kFmOcSiZ95xjaad7Ai9PmL9YLwY/HYgK1icjRBES/jgqiYN9EieAVUCM0sYCGZeU +bFPuE9gGKR5mVGD8U0acyFs2i39nAMlpmpL7sA33Ay9z3L+5M9LgCbus6tJc5BHO +dM9SOco5D1uSsy1B2oJgk6KnhkHaHk5faFmUklQ1ZEXYQj7+2DwUaVo74i38Jm0V +6WL3IlDCrEUKzSA+U2NapkG7bSU81mOUgIzzxsboZ5fUc+slTliLEjp711GuKg2y +OSXEW6qOY/btOcwiKL2saLcqAKjIDGQzTCYvXJvLKoesAUXvMToLxTS+LYdOnErV +F42JRJdCkL2phKbfIwryji8kvgDD74Zh3+uRYzmdRvXV130jZhFs5LHYUmashg4C +2yppodS2xtLKPBG3BOnfp2MY1MwZsRjDgy633vDpviR/6zncPVNrWFjwKHDrE3DA +3DFXlGiY7dMN/y5M9Ggg/8U83cILxc875axPaY1HpVe9naz3bKxo7zX91vof6AL3 +F092hDtzwRlADio/ff9SaHyAtEWusZchFH0w3KYMdU7c5BQtO4oUqYu5mrQBadWH +JgUIL5A+s+HJlqykun0nKv5PI8q4aRFuwYDUfjQsVHkL/XnJyENH7RnV4O/hjBK0 +Heg+yaqYDk/+qxbACsZRZw9am2cfBYOQ/mlCFgosJ6LKn4PT0X3AIjQGOuMIZiuK +NMd/cScc8dzxLqO0ahGCmNJE8jfmx6DTYzBaZIJAL90/UdUC7zZwUwR789geCd3z +jRXwnaXROQQoQUjT5LpDLIt2g9ZoLVeuEdsInSB4axGOn1bHT+rVKsQ5lVX2Ujc6 +oVNUb6vFvLmESxTySJvRH/V6xLJ7CjlUv1z8z989cce23j60fFyCRxDg4mEQwB+E +ibHvLcCD+Y5nvzpk6hcAclfJhX8QmAUeUqhZpc0wS82W6w4k0oUrsGIsFNHDEwee +BI4ju/JELirD8RsLhIFlpQ3Gce/ZBPLZnVMgoTXC18NOtDRQu+MDwxxLe5MaBzj6 +mpt5yPHWkqiN1GjcJ7gP1blRtbidKRNCd56JsUsHY/VpyNnewDAdhIzWwwW3bAxd +PYWG1geQJlW60XAKknp6NsLnscSuXwrpD3y77d68ptUeSMc7OIDoKqRLefL5pET4 +L1HDs6Wcr+cSGX2lKfSLTq0J1Ya3QbsOccSYdBWPGM4cMNtq5QEjmYmPCpDwBf4q +O3guudLpz0/GFrXcDCpo7SDtI1PTijihEqrDCZVJnMhFGwfMbiYnoVvR2NANuqlQ +OL4XPxnfNi0DyPvXgbkJy9NTLfzqoDGQ9qMreWEJWqeE6Zjj/2UZt/LZi1CZ+pOU +CDMCLhcS0ExB/LCogI7jslfustlwj7E4/AaAblY5vRZkbgN9E53DdT/sC4GaGh8n +f3QFStfyjUpSOvmfH9ZcxIG9BRjLe5YaCAkuPLRV/OG59XY4LKfLItRi57d/FfPk +B6nZThbOvtt9H5GQSiKcoK6UpIUX3IfO77PYK4962qEaUa5jp8DLIhsNoDdKzZ6i +xrm6V5ciFm8JxLvzu8ziZ5FPBvjXQfkhNe5P61TnTajXAfIpFejdFUbqnDtdKdqu +WRh+sRGPmaM4lXKyV05i1p8OYRtFdvvpOL4jKXgCecpgJME69hrWHxHViCcU1axq +u4669YwvBvvtxPsNYS6O5gE6NR8WPP993c1kjnsJznCbOilzDfu4hfogQv5+lpuy +Y4nFFUmitCYmk8zAWGPsHlJPUrps+LFZp9bvkhBlfS/4u14HYdMhL+aohR669X/G +Usgjs7T226EsKju8zm4FuxPnJPxhYObpY0e/kn7cjet/e5PlMyafCMZfReh1kSEe +KX1H45SFShL9WR4AfmTJPycy6MHa0A+0MBTFUcvI6D4WRP7SSM9Q/f/6dIVUZzZm +cGC3gTttaVQ6rEtLVVCkqsdfTD5gUFHTNSrA6GzT7Z4E3MggQamfz6B184jD6/9u +Jgkvljf7fcR3KI6GCu+Pjmkqh/jILA0IxU/DXxqgLk2O11HAAdExZXwRCdS8m3xu +BMGkAHNuEdM58CSBM/B/7J9uClKBTDM3n8uQR3QjGG8++RI2AtDJIaP9vyXM6JB+ +Nrp9nQ7Uu3ADWD3PwEgDeT0mEG9phTA0QUR2Ew2UDbyg7nC6XUqCx3VpXTJYBXjP +SVqc16jhMJtUcw6ixYPrhLQdMisTvcmdkZvwB5TDAhvJDMWbIBtQw3fjQH1VG8YW +oSyDr+S0hq/1t1EGuvNaz3WFfE7NRYOBOmdqaWEi0qLuNc5C7Es/SmDBfCaqa4kA +UUCmHn5RH7dk7pu3hKxpb9nS6eg0IUqXXGnXu6NDvMdVplUWqsoRWCSIJ50GCcQN +V2WErsP4xfXEyPwjftBUvu9DDz0SCbvUhZCBrmIOjXSRiNB41E9xH9PdD0maA4Uo +7C7XjNkmpg5gKF8ruBpkNXakGetkA3DW9Hley5xUMKhjPMO0ZId69xu9g9mUGGvv +Kj7DugihzQicl0lBUb1aLz+lZoH2mfa/OpgqlOnSAbnjHvrGNigdcFdLYcN7aqp+ +CXt0O7g2K1fFoJe30WZHPSG7dhF+H3YJjqFNU2LZVFCCiWcgSiNC4V++HJUz1zDf +42BbaTbDSMvyncMeCizr8r+5gPrlnUbF3LXG+gEXmfQ1ZnhRtnPn4ssfXeQosrsC +8mZYsXKGwLqEfgBU+hQz6QMbxDbmR0/XMp51QSIKLu+ZDtSg4d9A4FXc1aBAu6HG +gBqg4xRJaOHX2TGLc/qxZFgfdJIwJXNJV4EAejguoysvLoS/9qLOPyC99jWbygYY +a3uOH3tvPLQKbuabOCDGxN4q3EAm9lt06WFVKkjLfblwWa9d6rCGOwtXEqpme7al +rzRL7g7KU2TRyTJNr1bU1y+UIIZt6UV0vl0ohfEZ562i4+DjItePLYyszjMbEa55 +4bBuVS2l0hkr5/u1JCjYKILfZJ7p9T8gL4Xy0f3+GunSbOWFjOQRssTgOtlwkfC2 +KKWWJ1C0+YRnU/CIrEgPC8Kz2bVjUfamJLgNeuVz8oAZQKcg+gC+inVvLhvshGSD +G1ejQR/lxYK9GewSt3y7nopxn4o/cdPcjLIeUtd3n2r7ie7BtfWUaEkCq6pDQeeU +B5i66i3IdHEXuYBrcQXIPGOOcRyq3ZR291i2WOEkICg3R3oPvSpS2CVNpBloobsp +bb+ZzulCxq/uTk8JGam3OjREWP16HSeko8MGH0szw/o2KcM1+sQLFIaxCPoI7/uK +le1Mc5xT5gFMpLKsxvGPE1PO6H5mhgO4EtCJp5nP3xrX9L/GP/HHiMHLEbJ9KfoD +k0lgrofc//6Vj5Wljiys7RC+gItIUGb7ciTDfZ+Zjeb9Hl1oZCobFHNSZqECovRv +my8az/83yzl0P+nVwkXVi5z2z+B3EHtXNdRPynmbK5B+Qa+kSSF52+uOAik+Z5bM +N/ywERqcYkFB+W56w1oiIDU5vD5JZ2DYuo/GzR98Z5awPeyYw5FqoOf42e0gDndp +hhUldnqOzt1sz2oamfsgMwNArbbQI9gCgm81wv8nYKzxU/ATu84fqZE1ULFqJnqg +b5+xNoB6DBH3ZoiqNf7sc1h0kYMiaYGFZnE/aMufL8RNSCsovWet46qhQ8qZCDTK +1S6+bZBYlA+tAc8sTaOVoH+vQD1/szZTRI93WDwXA9Lok1H/wVd8LNZA/Geq+1hY +LhfNAKohB7okxUdQDmhmAJm8mbuUbM8F6oxwJsE560U5409LBG44nWWbQUJZT3I/ +JhMTafg6qwfFRia3z9W4sPs1M3xLQWyaDjFx8Ju4lOoWDJPMkBPayKX5DDMz60Rm +2fnCJNzQyqaAtrfwjnhW9hWc3+319jU4DDqEMUi4g939Rdi40aUOf5plXWV8WGm5 +UaHlfxkcQCT+JwP+2u/qqjkkQv4gSXLCjJBEluLePcfzTs8MsJqK1VLNmGzy1PSw +La2BEkaVIse5DRXA9Q7a7xljXeQBZr9WprpoebslitFfC/O7shfHI0Ncw5iYVSbV +k7n6q0clwnCU0BQvEhigfuPLyg1CGDBSRuThNdpgijJiycV55NBjy9yQY51ME3ZL +nLWxCWmz0LXDMSK8gZN+ZdOXjBIjAZypY22JQHfIim447qBkJCXhpm58tEva2QD9 +ttby1xU4E2bv1TktatcbL8pzHZOXrE1gJfheD6rW+/6pbHVFdUt6XyaZQFHYA8oC +elzHjVFY97WS5/YIkC1GY+dAr340F/KWtt9qnlMEkENsTW3dg1Xi6thqut90zVfm +3CTePvHxWPzco+cFO6L5/vOeUQslWbFysnPc/NaSlrnIoIh6vqSi4na1m5I5IepS +Yk6C0MWDhdSdww0QmShag+GbczeeclWxQ5+Fj0BKYFxDJplR5wOrSGU2FVxpYBEX +vcPPnZD+Bp/ks6JK7Lqqdkm4Zfx7Hdz3sXYFiwIV07kNeRncNGk6XqFaqJrSYNrn +WxQ5XF5BAWnxt/5tNMLXY8UUXnSuDjYp3fgprgYXz2mMFQKL1fraUrcWYERiAu+n +QrKXuczVnvoipB8qffc05JoVEvOdd2U97sXzcyVrdfQSxFlyo4k/PmIcPJU12ITa +9FtLo9SjHev8ixxayAy1ba6zmOctDLt1HTxfWNSmnY/R3xh5zv25j0ZWfttx6hEo +mqVYQx8924kPjzWy3YudXY6ieTKtdOzhtKycxaYDjKavUhdh9Urg9KstrfxnivHK +4sKJdrUtDFpC5vujSoDbhzSV/HL31djzx2DOSZtosWYE/Thc5S7a33MSwpj+1Ngn +ywRLYBle9u0MrRHiy1prttwaXk1aP8VbapqAfLLY2EMMQeKFxvyrcBfcgWfJW5al +3ZAk+2WLc6db12y3efnHwC1/hg5PQW0ixGQQBxOxTEnZiLs/pNvh29r691uj3pjF +untDkMQt5/OxghzwIkZYZjV0U+HK1Vv7ghm+ReVLxbhRN1MFxCCtz/Ll7vhMqR3b +zZLjDMvgZ5bmiP884sBjJBxh8HKoz4WrW4NoQbmgotqEV/GBJvm7pcEYtBBYoF0j +q3pX/xKlZpWypI2lzXqNp+bO8RxJamdR6uQnTDzfoaB1G3McjHDjJZ+7zZs+NkNr +vYcBVvt0W3A+gqld4bwTtG8mMI+WyVslQyzb1m5aP2/5K2i2AnT6oFUrHO/UoiiN +aQEP8iHDScb00v8OHS0xITJpZbHXy8KlaxD4Ekrzdp15exEzrvBB66kGbxw2HSh8 +JDnAfOnQzjIesGmkA6I6f+H1rYqWA+bhrImCU1dsCWHjsi+k91x7oospeB0YX4gb +wV21rLhL4ug9+ApXEKC297Biozg9Q1VBXM9l0ISwpKkhPrTwhFnk13zNR5aNA1yg +KXx1ffnzHelqGgZVWMcKO/383+8XMaMBWaBdUJF7n/oafumSKd6Z1KQbpuXmrucF +ugP+AX2KbnXkjBVrCKDkeWrl9uKGT6fqX0LFlerCJLX5Y/TppMb8IBeomTtQR2Ze +DtbXrDZilo4Ytv8IvZmJJg6N+spx8cwkKYDQE6rajFatZsw0P/u5LglTHM64X/9+ +Ewz2JcBHhG1UrpSaH8ZA+lj4+J8KBH2pMyORNACrj3eoeJsOsjrLYHy4dWaSP3WD +l6UuZSQt1tu6DLlzCKS2mTABKeQmHlp9jFSJKhtvwzNfwSAG1qyyosXEheTq5GS+ +CKlr2TF0p16nt+MF75fxl7jEKFOnOsY8SJV6NlQ+zEmmLLRAG2yE5GrBg38PiDFO +DNnyPUJHBOMuCEHJHLkNEmiVrtZAJMIRuPSxZCSPAMiB0tPyHv2ldRuVHURdpzsj +GaNmqxKJWfdcNgCJm7Xgfgn55Rn7/sEStgBIidqJzHilXuto0GDA7l8MR/st9F88 +MvUYV+m7SjKTontnTCE7BMDlILXnjYcFneU5HD1QhzrMw3pszS+PmCV6WK5PJdQA +sihOsYJ/psnO9qivE37YFO/JZ5OSlf5DqdT1/hBD6+/NMsIBDcco8EmEKfvnaVDJ +1NJJmLi6gVmSmgLeItG0IvJNuN1//SdnHxriiVCfqg0QjwkgOj7wIDjDm1IzJm6J +aWJ7Ru1BVAglCrA+hHWXBgryxK8FTKmykR0TaN2zSKLj+cu2RbN9esgDifa9tXSM +sMXksF1Qq1gVcEFkvpwejSGbnzE0V71ntj0CCXkcxll2DZuOBhMsBZIDC5CPoFAG +dU8s2GeVcxU/ZbpVyuhWf8lFueo7lipG9XBfqnvlCCr3clwZnAqxK5iVXRr/9h+b +S1NLiK75IHJq2EqvE7oHA1j8FSqEz2/HDhoIICIa1t7HaXGaDyQJ/gCkm26TmuHw +APvDERkk/1aypX3/nh7IvhS6f15uOkhBZIRP+MGDkqbVc7pI1vylyDxob1PgVAqh +HIHF5sFcZ3sIrIaHofSE1TongxIM3AqkWzTVGkmKk7P41x81+B//+bT9ZGyGSOOg +QjMZSzZkrTywG9cQe6ILkCXWBK4VturDww5lnF3bcLqpVmsXmh9LW5wU3+Dqre8B +0OgyOvzTI8eZuPe9Jv5NM8AM+2VRmRDSJpFh87KCC+I3A3QBUEC0TocgkJaM1Evz +5pTCAoTyLrFVQO+GFqypsWg8rFjnew1sCplGmSt+FzOFmL9kve7yUJK/B9RXf0S6 +QDKm09nFzyaTfVLlNSaGRlBOZx+6BdW6CjH9aac5AQ/H9TOvnn4KRehTEo1dcXcX +5qMoQj8fRdeD3VOAslyWn15fzXoJA+VGyoHDi2yAXTJ6hl4dLdfHs7UqyOmP7x+d +FL1wdbqO/vyvbGjnxydUBrGQ48HZpE0xRrBcJHzGkDljrfJCYZ7Hjq6ABuDNA789 +kjrFiQ9LMdSCB8ov1KaFWw== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/l_modules.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/l_modules.v new file mode 100644 index 0000000000000000000000000000000000000000..06edd88cca369f548fd979ee1f65fe8a4fd730cf --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/l_modules.v @@ -0,0 +1,98 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +Y242AJE3S/1ANzr6+KU25/a5awpzNB4pDaGchfOik9m+2Fi6iQRkOk0U4OMWz58Z +VuFIEHsr0UoAYyVmDGj4lzKFFaufIORdvc7Z7L38shyvft5HoR0Ci1b/bIKFOAMT +RhZ6QTU6+yR1Ty9wbcjuC2Or7ypWbgdiK3nljlSyFBI= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 2960) +zCl7u6ofds3NLjRQlfllshVMmAyW+b3G/cnbOoCMAwu3eHOpxZyRVZsOlErdYi8P +gzgXZjKNY1HvyiORtvFC8kg5pqOwJ1Dg515IDNFX99fOY8+0yR0M210/sigMvriZ +0YEcOXFt2Wg4uZjB9tu8HTvib24WqbplI8hpheI/PaNOAfwPRUU7rC2WGTqoQhNQ +6G4ZfYhcEzg+iemHBgxsJzXNbaqRUkOol+PQQ557TQRUBEMmQE51jCutCc6tOmo9 +NPfgLWYdSKB+UKqnInZ7ByA+u9WeoaYeb7CJVRKnSH8IzyIjQnINAuW9CLOrN48j +I4R4Trt5hMSr4gW7FjLeaKWFNmokaH75U3WzIJK+y7FtVCsqU6j1NQw+JIKR51e5 +y/FArMWJ1Ba1Nb9wmcG/WTr9PpFIQE4cEBGk6PfhfGxsMTrwC80qK/CXq4Lg7cog +PjHss9ptEEoY5Ds6YwdRwum51kHRwG+IvSegjZkk7TI39FfGCVVb6cKgKqTJyD+C +SHJtwVDPvMfzQKLLaKwwe+azQntqz3DHFiSm0wt0bacGvbtyo/HWhp8UzmLDLbh1 +pR6PIrOugz+6HFVI0BFo6n2QDtViu7v3Ca3o94aKczavS8W6ZVCxApk2z/bjsjMF +LNqLnvHPSaXtRdCHNq/L/0yJe0jrEPiA9J93pn1hPiFt52gr0xwRlg1PUfrg1fEG +1+yqsFMqVg7kKUbdp2MeH2TebJ1qoVMTQo+xFzbZb2l7NzK1KXmWUPtfw+hYqlFx +nwcZPMaiAfIMmM365tQqUNnDACBozJ7VffN0M8gFRl0h+mpWORffNNxkBv1O9Qr6 +FsmgCClbC8LL6e5zXC/TWJF4Jac+++txPqF3sNGlNsseAN1akwlqZCGVpbrnT6YC +trGsi5uj2Pxu3QoiWqV4O+CX7ERVF8iFfITUAWJhYhyRRx6TcpbrflZCsT2r+Q6w +TEM1YsLAIEHAPrHCg7xR9PzRxW2nCBcyhG38xYuMuxwOycylENwmQaT2N+QccYMO +rLwg73eiwhBLDqsgbR/f8fZIfwI5/JT8TsCePLo4m4i4+WSZpgH3ds2o3sQWqli2 +s4BGkn7tl2PuaqJ+OG6JONV341Q3ZJGbNWAkp2iD3L0/rutlMWlYpnUveFRQcaoE +B/tsJbmvY0Ojr7tjBAxQ2Fz9FEUK0e4rqU84nQFg9OUcGPVddKv3+8z+VB6PerEP +gIIII5hOKGTAyK9KxDMUDOVEyle0XOcu/i1ZjSIeuVIYZO6PfB5c7kcnLGhBJtU4 +xYceMOAbtG9DqJHgrr0OWluKZCvwSz4dgfgClfag8E5hv1kKs3N8aaDqHCIZPFg9 +8Jbg+nwBmbezNGD7ILD6GBt5ssq5ybaNqbd/h/kDo8p08VXSH90qp8sACPQmygkn +tVvS7MvYLff5XZW8ecWTHXKDRt9PqwxThB+EKqnCl/csNcrbKUcFV8STTYgJjdzO +cVegRwbpRbZPCEgj/E9ZAQ7Tv8VcsthJzSm7HFFgODPw5iMRRriWGUllsFyrZfUJ +N1wrZuzBL/rFOT8IOLi7NZ8375m9xVA3EwKBI39M57H5n83aZeX5od6jgQygbyRm +xOQrHwn7Q9p0EuvnYZMHJUTpY7tnNzuNd5DepDLT0VkK2UCFS+2umjqN7F1+Ca36 +wac6XA4TSKEG7nnR1e3rpP7H0LfQd9YyVkvIMmrT5htS7350sWife4xCRcZ5FlqP ++uwQqOzVsGfo+DM0QNNTDtRav5EH1zNO++TYFBU/kwJQyb44o8CmS5h8x3Gxccl9 +n1Lry2V/iKvG548/AVwfOCqFOf3qeuu5EfD9pRGHD3/aGLmt2qUHqxglEcYJi/Ra +a+GaB1/1RX9lCQUKRlouqhrsVFLZ6yVBXQAyYm/pbtk7+fOhnCDyOfX8wIjC+kAw +LuBOHKRbnmAtbh5GJrdtSTb+daVHnGNKCXgiEWY3IzRDFdJlMvgNxNjqvHYH/Xrv +cl2fNHyut0lQeEdophJyumpvyajIravGi0RLSTSU4+feoWbK7uSMUCFv0J32394l +s1f5se60oINZiKiY8CzvcYSFiE0Y5tTvcnohXN+RNdd51a1NBxm5sN5K5khIaq9k +87B7ClenzRbUfs9xXf2j2s1rSjNXCOtooHPMDiXfIvpE3H+8aJ/KD9evtADaobpe +g9hcxQlGBErVetj71mhHZMA/DQOiQ8yrBWKWahlO9nXaD/9nCnoklTRxhJKx1ERo +AWGVmo0wW3Dx0tr2WeLheeb291IlS0iL2Trzs4cDd/k4FmT6BUSKlBoOkUBrExZc +hsj4HOu6urtdNSEdWSVCotpQ5hv35D4DRJZ/tOFOdhdn8nW2SXEvjC7EU21j9CBL +fFbq2tlIWpb8IxdbzlJkAPHIgQEvAJybUXSOf89aWdx4tixzJdak3NuBUYQ/s5w8 +F6F25g9gnSS3n3tOSmvggMWXERWnr53KdHgx1y3xH2AM1EAmXiWhEsgknBDpf1gk +UsuggkHmAO9bA3ae3gIsLjU98yx/K+COiIrrpntJZu+l/jZwMWR3/K6CfPDdwo5n +N7dI5fjTORqWIXzx9Wx/tVfr9JH4XhS23CNcB2WIAlAWt2qjroSTGgfkLXRIM31n +fLSVmWMAm5BAAKC3DbUjnfhc+1w5rOUWP7V41c2PRn+FEUpBrdpSoxnFqw1ODPNw +XKLmMzr30JsDvRM6RwiqGjTwvNnCCs6DZ6eZafc4UNBbTy36yZ+5slGXzWYeCGt8 +BKdOYy0VDdcWkuQ9RVQ4hZETNOmctdDhUU/9QdOpNjdPaF+FmPyqZYOJsABrRwwe +K8XXrJ4lra0Idx3scWo0b7vFd9K2/OovAT91WIVHhGAJoZUZKSl1t2CY2eumEa1t +2MsssJIW5ZyvR5LWoomi2Fmu/jzn1+oqo+KAuINDwSPWgcRgYkmcTepgKib+KOpO +9iK7h+xzZ6AkeTWpXhUyfVFC807So/0biDWkhp2csLJhkJ+aUcx7m6s7pySwCO5L +T6IuYq8bwSWLAbIHsrtZgpJcuNPg8W4ckfyt/2BleHrK3AriSjyiVHPHOfKgpqs5 +4Kxe2i7uQ2h+CPseVNjF8r48OUiX+1XNNBIf4t7dXUySOk9vVuPhrtYW2eWZz4Wv +SN/uyfP3sbfA6/1N5tjZzlDqTgiTp5pFT74GBhQTTcSAtgUULHN7A+JG8gfYDrfK +RBB3k44Ee3mSP3JHg3rLpp72GWATSJAe+a5hW0hGkPzNyNd66le/4LpKPLQSwluv +luPZLDPnzSbmOmjm30RzV8gXsIAIRHnrE0qo50Cev7f7o3MoeGSfutT7Joz3QXI1 +hoVB4uvPIWikI+W4+L6HSdiPjuE69HIZrId4RX/MEMHB8ZUrBnLwd41Qv3GW7+sC +mbzW6pwG02AlSIXCMQ+YMe27q4Hz9JxpkEdYIY7S3t3qSvRKnZUf2QgaDUPOtbmF +vb2BT41J99c3eYfvvz02gJeEy4B/F0EzL5eidO8RiIjd3DcqKCSXxNyFPGdtXYFz +Ij3tHpL71pnQLkvftU/Yq4iNtUJe+xpcnwhiwSZQ7DcmXSIs3zwpOdJbiyX4VS50 +8LIBWeIb/ehNx6abzTGoOzpOo0zqBG718p4t6OYMeICTGfhnudBdDMHWAZB5BQG8 +QnbwDbaPtpodkgJSOMTW/QgvYgX/Xe48x0GPr6f6VxG4eRPYetN2LfKEy6nrn+y3 +WzyouO72rAwJ7Avu5L1DrEASPiH9BVLtgkjVMYkLIU3uTLsNfmLUe/hn8KpfWOqL +7HZWhw3LDyLf6XKW7/9AaM+NH8MkyMAMffFFLEhUYOAuuy1TP/u2YluxUJ1nw6No +QPnzU3YjaHM7B5WVb9OJxWR/i9qn2ygJVB6sTCgy+nKrxInJL9k9/ty7Q0LiuTZC +TZLSTxJH7oFhTcYgOqYkR67qmI/nZfq7foaVjI1H/Ns= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/serdes_4_unit_lc_siv.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/serdes_4_unit_lc_siv.v new file mode 100644 index 0000000000000000000000000000000000000000..ad7d268d0f5359cc54ae7f2c1410ec2d7fe770bf --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/serdes_4_unit_lc_siv.v @@ -0,0 +1,1855 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +QXeuB5YSgOHddsZLV6GdIqcXIRnWr3s8KotOVEbYL2OpkhvuSJ6ywoEtxxxJSqqf +TKd6YVZh84S/Xe6MA6SAnk6LjURiVXUxNnVjEYgbnXvvl3QtfzIOYar8V29nkEDB +PdNqSzZKZ3MCt8nNhT4WyYDOzs8ns3HPnE92BjziiOk= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 87280) +1cA9hLqXfbRZ9FadwgGJM8/U3MuwP6Ql+5IezJHxOUpVDon6FBYozccVoA3B6a5x +TKg48F8s1zesZh+lFlObtrLNrvZkfDtHjEb7z1FFJW0jPeqPwUQ2cR3Fd+izyN+v +1n7iBiI7gMQKJlZltzi1ntMxoH+slAcCKdcvMMR2Zzq5HB7W+SV0+CQZ+yIJsirv +s+UzbgVrtvq1pqNQUYAs4dLJgHK2i0nBCm/GT/If+yvJadkn/C2S14HC/9mua8hN +9iBBEUrjjQgYo+/YVU7iFirCOYHUitqoDrWeJIvX4N5c33SlRyiKpoBar05ieeOV +mjL5Qp4aqUG0bQRTXW7eVlZYbV1hQEpZgo7QMTvfAzgcLYaK+zfuo//o9ZDPALHy +TthY/MzwAULp+8FvbOZFY39hKUt1VcfmxMfQemxoWWfNcf1CbkL9wLlj1WBZ9dMn +CM43MgV4x0eeoS6FfA6aojFtRgkZ0+JIJVM6W0s3gsvoFH5Rvbv3bhNfPoRipBnR +i+K8gvMSLp7GAcRF56yaUD3bFRojZ8I39PJw5y+zzMrUGibZDeZsAdLtbEfW2j9K +9supDrqmHCD262cbVF36b2X93WWYn7zvHAGAKt7rWBsQVxSkRo5qB9WgMRazAV4+ +f8WOjmGr5d09I6N8qaRj8wcjCgR66LI+amcrMmwP5Jhpa/OOHK2YmcaQl3C3tZhf +WifX9NGuF+GIh6xkoPUIq2VrI0Odj9DEpGFofKVcMMncEBtyItSHgKtHOlqlCJRV +NCnTir78RcZrc/QsA5QVG6912PcRaN3fogs8aMLjbWQdX9mcjG/kCHXBTm4YmGL3 +tgjXyotpWiT3tWRo5oGX5SLpFkZ68rOFYEHk9s1+J/dh7ZWkiQoo6f4x2sDyqoQK +dDjRwRKJf+wVjDOrdZOCXjaAE9JeFUFI5WlqjE96UYfu4ReezXd6zFVB9WAbGpu0 +r0+R7WabUa5TKdB5+fGLulp1MGIUyqxh9J0Mek2SppmsAJcy6HMLTOpjifRF4Shn +iIjQw8PP04h5fJhuaW5u29fTURiSw4nKG2ZMzDEsVl2AmkXFqdPrKRjo8Xn78/aw +q55VtkoMr6pWRu3vD+WDGJI9RTnG7dhTlvx382yVBxn7D/V7Ww9Xk2Fk7aPukzW3 +CLOd3022Y4jQWpgLNPBjSqth/gPe75Iq5i952NT5MVDVrq+XfpxHGP0Vl4qc180y +U9yScfsaNctIGbbrzhNrrHrOsn27fWh4/Re/zuU+IWD6zro7LXyLI10LyCXt8c+I +kCLAiEu4RkB1VlTXImOiqTZGZR7Jp3+pwCPUqyQMc1lHQQtUA28rfvENkjuyKKvP +QMbiXi9+5dxqm5mcI/Yvmj6Cy1Twhuv+EVxZvvFaTmGHLwI++Ok026YmCmz7rWb2 +MrlZ0RfpLK0ObFeHywsJxNqyTJh51s0yh37uFmG8tqJmY8CQApODfNw+WiD3CrjZ +yfJQTR79fzFdfGIKgVoyS8VeMIhSyoqfKIs+SdHkVmnZ7N+QkPIqD+K+gJkT9eRr +uJmXWPHhvWzs+SOk8R8U2npZhQSlguJS/pB6VJIu8VP4YcPUVF5YbdSDVzGdgj6R +uVy3K8D9LOAlhB3v2ETYTcbtWPUAPXwzvSp1kJK6aWCDO5NCh43bL61mcx3KSBlo +idgd+kO0wFfleOdc1lGWIofBYAhupbJwjbIv6RcD4Y62ch0Y6c+7YjuUeFCsuQ2Q +VhMV22mcYXbmFcr8h+1FrC+f3XVsbgrhUSxAT5rjy3MnfE9c+yjysLJT1hCfNR8d +rLExuf/62jZr2nuKFjbpY2ZQh+sXjBvnAgMAqA9RM3l42cPf5xD9XqDFMhYS9Vki +9bfCXga+VWd+9mo+ArBypF8SMr/tTOs8KKWO4MnNMMvJKPTZSUEmYM4AqPMnR0My +Tp86/Lznz/HNI4kbZrBGYBNnW0kGm3MvoOuykH9DKqzmv80z1gAjRB2H2Iih0SNX +rlAxUes9qh0v3sQY+wC2ih2xw5YBTzXEO81u1NlZDTpqYOEmtJ1rBPGjKKsevrFZ +mCprmqp9IJnySWDCM2XoUKmXVdDV9NXKVNH8kC45yefoIJX2PSEv+0AhkEKoWfF4 +jG6lNSF558a4toHxrzUX6p5Lz7DEm9qBmHZTYPNvua34h3JVnd6/ya9LnvPrCDwq +H88/aj+zgi6rlR0uY9ar45Jnebk7OP9ozIeg7j7/v6wxbM3DrztDs+XGSogEp7IB +UYpPTEAqPBIybFpq/lbTa/pByGmFW7z6A50PsWG7APLOYxjUZefDHHQXAqOl/2a0 +VFjtIF4wPpFARPMEExrcdv5coADhbqMtmJi4f0+60utSJAI1KccaIH3By7ANeYU1 +qiUCUrX1Vrt9Bew8fmXDfP7wyF+WchlA68iutr5+gjffh6r1cJYSuYTZ+YMSAk5d +aVZKGeFYE/YV3ljanM9aRjeI0YAVHILwNvKs6ANcOR7gqI9JnGf1o3CUcLt6WIv8 +a8q3i4r5knnWW6fFk9+vU+hq+s5ftIYNSMbbjNdYrpAgsKUi9QSoNMlNIphympl8 +NudD1qbHc4hCR5ENLnN+KiFG7Wu9g6Zsm4JlKPWSqzklg8bY/uqYWjKNUpajWrfl +SG9q1PePgLQqLIgc72WgC5R/rAF9KixBNorckzWrnQac8RdooQ0Elrwh+sY2+2zS +KUnO2nXa2yzUrwEEWzSAmBTIE2HX2Zano1763d7DRn4UoI4S1+qkTkdqh46cxVE8 +MImnV/Mcqya7S075/sR8AeoJ+pC/HFsJtr5U2NB/1QphVkAUrmAhWGz/L7j6ABLK +DFSxWyATHle+SbX9/UaRCkwh88LbhnI7ongB52ybXlcElQ7TZ3qaBNOaupBJ2lXs +XwIcTZQXcmPJx9Mh3KEo3jun5n/lpE95KuEQYEsqOIxuru7/AgSXHP4oka9XePSF +qlNbxhWIgpWgHWCIKoB+fIPMOi1FzAL+gT/653E6E+RkHNy172CLldOqdkO5i8kX +H9v7r2zzGidc7u2uhVDkQZUtbPrZpFsA6fJkorUBHXRRUSiJrkjtu9HoV0X1/KiR +dMwCAb9Y80EQ2hUP+XDBe/+CJpRIg5zAq+uNklBlSbev82prhq5TMjoYc1t1afE3 +EDT6++5eO0ufJicRIVjM08zgdMt8jFTCdn5OrGzQxKEay3V5gmTXoHP4qs3uMGuD +G0ZahijXZiiVzV16YRVkA+f8ql9tuSN9Zlc2fn1WPjtGiV0Tz9UNM9pM4P6Hk4pN ++lZnRuk59dGbjligcyx7nNdhmrrnNFhJw4g8yv5QCJUWiAKM537tjczvOjol0+0J +hC1PcNNwUTBkZNnb7t1e+07QY6kkBOE6hrmO/zCz5K8/uWFQ0AjzkHckcSvvm9LE +tn85B6QHqKyrZk1iiWojspxHsdkW7EzygPSHrUKzl0VFiMKr758Fho9pCawjSgdJ +pjtrENh2QD0mtNlaJzJaGms8fiS1kczIoFyMceKosuyoAh7rNeoRph3vvPMdjS+Q ++9cIrN50d1Okgm/gveizlKoyZ5kmMY6wIfgYOB1mfaMQtrbDfp2KLZE7w6Zw7htj +swgos3m+mUP9T0mvbefqOE55HFKOY0+yf6g0dEupUu6MDG+SLvGFl1oN3puUFvhg +UniRgVTcoj4hg/JvjzYk/eVtV2/D6CkK6BX/uBJCKe6CuiN4z8GdQ1ZTlckWcBIf +btGAh3BOlwfL4tjQ97R17PEvsi/iF4o4AwgObSuJIID+j9aPTBU34N1qUmoGJe8I +QwazpU8XRRHc5zhMs/MTdnD9519HBIoa31xqPcRRcZCNq4I8TnAby34rZ6Q9EK8z +TB29JFPlBQHuHuplVJ5x4rcN+QrkRsWavmr/dIYaQFmmsKlI+kLdT6m5MaxFgPvv +Kdn7lyUAV5lqUB0RivodSvkM0Jnzc6yFLpeZGPaq6qSSfSoBWUcVaKaL/MTEFOVG +hpGRy87jxW+Mu2bCV/knSqBr4KrcQghtQFSOjZ/WvmtccLyyo9KYNRYXTy1xFvPp +ydabZkdWJCx+5AwWVRAdScKCGHezLMdf7nJZja3EbSRIqkxK8ORkinGu7/OrDQOa +5AB/R44epXO+W11+zuaTbkt8bjxWT1dyFx6ENzCUkaUi+uVd5s0reBfHnMvUdVNj +jtlvSjjibw63ao98WmRX0sTKMFUf+f5V/b1BeMMhXVje7mcRFMeyHOxNA5AxNv1D +sgA8yP8BrKEi1u/Sveo9/9e7UAAL6JOHtVxouLALp122aCjjHtFYJkKX4v1hMDd+ +izVlobvWPl/jivCabyz8YcMBQwCADNCmHMlTGuXOMMZi+1dZN0s5psoQk60PJKoR +tuUpho1neEFvwssPujnbL/vMpDcU9JY0h2R0GW/xHSY92TXzhfDgaH5CdBZyCHLN +cDF19QvNc9AS5soGyydnxAVUbLCdg05nr6fGp53HTwDjViAuty7KGzotsPxUi0IP +llIRFDBa+SOVMu+DnkdZseQweD+dL9Ds/bapn+7rFAxWSG9u95wlhBRplSHAY6+u +/qVVWcCnvqm5QrZl0e2tuWWwmcthBYUIU07jyIkl7hWrFjsYNq6x2eEFA52nOuvF +qHSrd3POJM6rMc0o5RQsahnzqTSyeJls7sSpfRdsEGjbeXDFVnG+U4bmAvAKA6Ui +/s3Dh9wLegA1JTRCSi8bOMW7thuSw6BAhTfi+RqUsM1/wPgTQckMMV4deOHD7w86 +PIWrrA9EEpiyPbs+fDcuSVDpjgATXXDAFIlLc+tbtpBy8EjSLIGp3X0p2eEny+QO +GdTFeLL3Y5+zBIZwu9PI6ChD6XqWKeWjaODebrxcfT5oJbfRcHQtqwW/IHmakabf +Jmm4NIh5G1913FWyZ9yL7E6Nt4s97Q/udbPZkvmUpJLriecV1tPHCvr54LsQHew0 +u7aurI8ZJ+K2L3TsPmdoZ07wBQMhgywZ1pzaU1fwf8ORW6HU5cP67I1vOYUf8mnC +97ttQ+6mrPbYJ/+5XBejKGGra6j4ua4H02u2VZ7ybuRfJ5Mbqoey6sp5hScoY/5g +q51n7eRjjDPZ8drZWw5sSk+6o7V5Q0iKS6V7DU2rknylkgEUN/j6FL7utv7QcF/N +grkRJwtJQ/Bu7gDuio2JEeylV7B0Inz084NPlteX+kKjFbskKuM1NcPlRJ9kSzhv +eFluqUwzcH0/u3bQmBSzt8olmLHsfkEKdoYGkzT2MJGh8q3IL5Xe6xLSB5g/6BuG +dVvz1EZDlVnyepkOP2pNGXbtKydQxMLMHNXzaRrPG8ThV6FEPTeo/0Y7k39FOvP0 +Zc8ao+wmHbFy3hWavrQ1yT9BodSn5sYdjN5hnQCuvJlziIj+ml5P5cGl+AVuvscF +Vp9kvqEVpsygbaBDYeA8NK2VFZTnrGcFl8glwEPEbzecvLzR4J8NN8HPMQ+8+58L +SadVWwtNojPIAYzq2H12yQerQilFR4rqaPh3E3s1gHfmGlAjlds+R5StwrMmqbUx +Mm34VRyCfvO07X9SVmVgTa5DrZTAmJQQvLT+OEv+JGOd4XdKNSM0kuZlcLljTdVa +ip5I9T3H97PhtjCLzTUAhZjySCMAmkyqo7bu2+hdPk82wB3G+kOfLcAEaQb8MslZ +YK/qBREr9MWp+OsX/lRH3RU27tFSUlrjA+bQptI9QfYShzBu1CrTu0G/Y94+HB13 +y6T/iVU/pWBxv0GT9lXgsMu33zQmS1GoFJ8HS9u4vIpSpOYqnyXpd5Y0HB7mL0oM +AJ+wBsaZWFc1cYagbkVvsLIyXF9Au9gi+6TG3KFAeUY88qHl5H8YY/+Ui34ym1Gj +63qQlyHqXZmKHiKjqKSR6dMg878G0AlBUeSevHk52bpeKyx8ECksEjvz1Y+kSgFC +beHmng/UJD7957FgeiF5859ku53rDRjuUafQOzWZgZqcK+6MU2uU2pNYeZgoiAxV +j6vqADop35ejz71YXw5pK7kbFHI3etryGRMpVQNx3rr57vmYucUxC8Hx37SfsZa+ +opr4uoOPuqF2hN7VMUVFwXZf1+FtXCYz29YUUJ9QqSRKI8droba/fZp35zF847CE +qwWk4R7XiWgeLnuVPC5RpPwBvO3fxfemeZOuPBZEcaYGCNkd/UYg8ms4X7fUIjpH ++Z2eAlDzogsXfGIQPTGNMFqEc15eh9FTmy4cy3xZYVaLK9PPeEu/8vcP8PVeQ9fw +B+joTNkqa3rcKAG3Lw0jiy2QmjQ+KFlzFDKCMUSP+FDWjPtmw/JDVjwKfM0tYa0X +TPZGEoaD24V95n5NPOXJbKbo5PuLUOwas+tdZeYP9ujHcXtcL3WB0MTupnm7dj5S +7NvCblr9UcwidzpyPn151NLm9nNRwGDAk4cGint0qxKp/berRbmvWqyE7ojwFG2x +h1FwQ5w3ByfgntoRsPGsKDmDPYDAliPZdP7kQydXbz3kdVs8Nqi2xzQ0Vyk9VgYe ++3hQ/k8tvdavs1Glbw+xNDh/UPdD48xohwWiTzz5locE960RNtRa+n9F3dsd/gv7 +XwqMN4+tzIctqgNCCEuFItNrk+tGG6jMiqJXZqvI0KCSskHe56wTQNSpITkU6KA0 +an6wGnZwgnvQ6ARCz+yeT3DBccAFEp2jP5+NUHbdC0zu/LF5W/WLKlleSeXACHEe +1356eYuyakTR3JhKhQU1ipAW/1jXG0uKb3AlfciOF9HKKsHvMoWJ83G+USVvp3zM +KYofaosS6q/qNJMKyP5QObbNrhJoMAWeu5JE5mkezj5EDTdsnC2493u2Td1Eole0 +1d4FIkImkc54RmGuo30Bt82bcLiXbXIx/yXNeS7rsDgtH0FeUY7NZC6ivVlAXof3 +FJsGov74kJZZkoaLsdhbY01t623OYxa0Q9ioSrHMWeDKnODEIyObi91k0ygb6idz +MY5POa1n85BhrMJSO3WDR45GJiZcDiwALGbtbNz7XmRVaabop/EqYQGwi/gReFNL +pAwbjH35QjTLmIdU7A/zLvjATLsVSEfAy0djtwEEMgT4GEGIrbVqVwKPIp3B74xH +29yZ5q8MdztlCjNHM55kbZApvQGTCVaiHS8UCRfF1D4M4cbODWHIHLpEZDK7fEUM +xH9glOIN8HE4LDvEEXkcoE5BvXBZs7I79t4+LTpKl/U8hkAPM02ozBcSliarfYtr +Pq/86lhMWoahNQQ0GSe8SxWeM3QOqXDHB/aVesUqgAAdFHv4wrJ63t+x9tOJzwle +2zRbzshyA+1A4sE6PDSKUwS1yMXfpKXFEb8IjzOT0vqBAcVoe2JmBrfPo7zZpYzc +RC/rtJdEZcdCQgsXt0jdzBTR0H2D8aoLlduLLGm95RlYIfjabNsO8AKVGm/YaPjp +EXrgAFl2+BBYYVrcJY+Y45ZMN0xMImPYDcnG4SJxu4xPdvXYN3h1zSWkCNfM8gE2 +XHD7+mJR37HPXAUS6ENaUCQU78cUj3pl6M2MyzC6YzXU+4n+8td+hL+Uv52j5QUu +XI/GH7YE15qRPCaoXoaK5bQnPKHxy9ceAEXvRFnWih7A4DTXwRBJwpF8qQqx4Ncy +jAev13omQhx7MVe1Kv9/YxFsU2fpThugzBGm7Bce17BGjAEDl1tQXxRJuaCXszpQ +LtupxCH1GTrUeki0iUj6f8vfPtBxKwk3vYOVKxDRpQk8wKk52yd7YybBLioeg/// +/ecfQe5Oa1lYSeWMUH4Av7eNGpGVUSWDdTgshkeY6CZyhhigXIpXMvyHgKHigj1I +W3hrQAW3YpK7VEQP0jT13g8AABEqdqhP/kDdkdb9mqPuzRbeeAM7lVnPqsS37kYs +8mOy3bqRYcVY6r7H8XuWilnnkk3Ic+ErI2NXDktpFVBfy8QSZ9urs+Gqi5mlq1Kq +VZQ7WGMbCCdCdizSukCtKM4f7zcXY1iZIiVzDx+M8hJGUBXrvLMqI/riNNZkynVz +xNGIN2GDYz01TzxbdtjQFCoY42Z0cmwkG9wsxRMT/MxoK9fxLlJ0pbm/QYze8ojY +ZO/4/o0dgevdjtTfyFRJ66AG3HbCXKwxs4Dtc4QRuXGeAzX0T2aLKzd43+UllR9z +uCM2buE1dUZe4XDelwzQoMrBSfhLErGM8v0EUpwjV8K+Hg/OKIru/BYmLUxKhen+ +d/KXuaSuGCPYLc+UEDx1Wzzc3CPqMrSBgBNrX4O04XtkKIgRPz0PdYZo4KTfZ8nG +Wd9MV6EzH3Jpv3IgFpeIDqRBxhs33wEP5Tc3CSCY99Z81c8Gq6fgOgoUtssskWnd +WojpaeHXpytI+3K67qMaXbEbADBwByHOkMvMYnDOcuNvGe+xEaYtkd04AR1kECVW +tMzrfK8x0JnsqOPppp4y2OA1FzS07twDa+edjb4iDMoWTmD4a+Pr4KFtLiWimdf+ +cQSiksnBJsdBRduTciMPaKFlzciXSjgmT/ekmPHZk2N7uzLSmc8Y7Cd+RjRIa2F4 +63feTGVn5Nc94ARIdKxvScXh+05iRq0GaRkATGkTCFmsj1ChVZSwCiF60qW8NGS8 +ZZC3qfKUm0/bwzTDTcZorGZusd0GwfYZXv4f3w8NY7LiXV1Tj4wiBGbjlgjL45bX +z0YCNOBTrD8yzw/1FPVjleG05dH/M+oveQ4W03ZWAIm0zkT/lXNR2vwV47xTHhXW +viVfsAWSq5KmteyTqzsUOdeE/16a9cOSfNjZfAR/5rfns1634QiYkrTF/3hXEwDY +am91xY4tBc20st8zyBDFMnaxKu764QVdHr7nM5ctGkfL6Dl09GE5hXNBMSe9nGiO +So28LVmfMD9HaOz+JP4ERNZf//R7YRnQRh3VsD8ptC5K4MdaE0L5RQYZQZ07MkHl +2YJMM49JNhrv7vhsjWbZVK2SO+shZBO/2lDLoc4JSCWBIY6k8DzpdjQ9+u5NYnuy +9KTfuwCkeA7U1IurB4kAAoY/Bl+rdvRLZLpu0XNfll0GfkBNrxM91GdvsktQZB+e +ME/iGpVwXUbgqL5euy4Mee+eXj1D2jkrgMCdN5IpLiVZbsEN8REV+mLF9pix1bBj +PH/Ip0PNHRBLMZXumuretblbPo1yXHzKgP7FmWMUWrFUDru0otoWnGsvT4bv9gvR +2g1tvqSLyVGuqaB3b41UeIpwSyH4LsRiE+iM3bc8BQIQzxSY5uuplHDgbAbzzM95 +utFC2wHY2ECKlZC8jMomBuAg5h0MNqcrTY5JIWVDf4bgm8GsMXOiUHupx5lRkhQM +JWGSr+9H4Ju7gd1wnn8nmPa/gHmp/PelY+PsG1YuzSQjrDEqr8y32DqMblVp9rdY +t8C1FZuKQVumu70qozWhWyhoqfpsOyWBGQeqj1L19swl7br6fmSvwbacU37FzykX +ORcSi6BTWAu2nKGSTXMkhm6vJACDEc0tppCXTkag242Sw9Pm1bg4672eEn50YvuP +q+Tg/65U/emm8fWJgkMoE+NPUj7thrmXL8eLYoWoWugtaqjYk8Xz8t9Jz5wyyz8G +azJB5gPoDDdnxtUIla7aHbrqi7NLG8M1GPCjZ5IXCiPGboe03lR6a+MXIP0DntHr +GumO77qttXtUGOyVwhGWt1/oSsUJjzLKELkSZtOfrLJz6oLwKXkvbPX4G8R5p4YG +TuON5irF1fLvBy7LcREARKXGAMl4st462A5e9+TXr6tct0QRKWfscU2+xIOg0bRs +7NCl1X31pfNNoyGQsprifkxRGNuplPjgkiFB8gVnJ9I4+KsVfeb62r9fs+RU7GV4 +I0HqhYxFXS2QgMEsurdYw273spHy5GSXjgNvMIuSZ7qCW/ukhb8sHAaGIsXGOSnj +82JM1osShjmU3E/vOguI4Wjb83VxLoG8zp8GzAmtCFVi1JqMPhp9BfV20c/wpJj7 +A4YWA7gsRhCH8DPz2vXRcz5SN1KcOpksyjYib3qxVGbuVpIsfEANu+TMYFRSLJqd +HODjlCcobW0+4ZJ4Ud4lVNonWy+7QIlsXpU6eP6lG2HQ0V3l370jvkcWWagIdUfh +IEhNrZSx61hA2ppdVBXTE8wpkaX3JM+RxVO4ao7eQ4Jm6krPcQSlOdPpeXAE4Ubf +7On36+ZEgh1Zc/Wf36ew2iQLOKArQvNXQwG95QuQP8HfPttEzy3p1D8Ru9pJfF96 +sz/hrS+pobZIJIcDMZgekdaToudhviVbIBquTnUWVKaxPNSZKGJxdvD1sft9T/V2 +ihRHkU5pRsQLr7zZYKR9aYXgLj+zcBQKnAEOagGYnUPSJPxf6vlbvK8E1+CoO2M8 ++OcfzBpLEjvX8KSYcLzDVOXpeOWX5e0rhfC39HF0w1XuGUYWZJkM1CqAXvE/wl5G +5kwj4QahwZ/9JdllwS2W9YO3s8BSA2KO31kyiFKfHSRzIwCY2VZf4S+a6oLwkvfe +i0M4RWr3FHJATe6gHCAtCdOQkXdBxDLHXbqE+ktqfpJsdKnSUv03y7lwLbrDNMH2 +aOUzn2FEe8sLzlyD5H5lmbqi95GYznXyMuWiUA8CJyuZzPrrFW6O3xKBccNngnxN +GUshkBRw7Ps6WZanBTR5eaiqqanDtsjE5wBHfiAIe6gMiwNv8ytiCnM7GUVVfp/8 +bj/madH4BEOQhBDyIvE4Hqxw5qTX7SraVQjyifHohywYP4Z3SVQ+s1lWK4Jo+8E4 +2n14IjLLL+fpGv4S1IN+tm9+qUtZfGlE1G9sSG44v4bULrpnvNShwP1BhCSptOrB +jzftCAvpP0E04GLU33qPd9JojETDMDfQZ0+Utt+L5qmaDzm0J7Ooh4RQZEWbEopS +eQmK1LET5KxQ12egq5ns3sOAd0ZovUxCQHhmCtr5/zBM0y6c9KhiB3D/kBWZfpre +5mHYyDh33ICbR3spgFhM8foa4Xu4ZfyYGMA/orsMXYduhjl1zF5bl8V4znj/Jy8A +wnvQ0Bu9Z7Y4hXsPA6Dy/qj6bNSzsu6xrLPJqFWKjLoTFGmo/q9Wem5WwiTy/yX5 +t9mussh0ikDUzK6VcK+Fw4e9TWKQ22v45hkieRFnB1h3o7CxLTF+IMvPfEawAhj9 +BAmziigLfVLMajK6AS4Ox2y/3UZvTd+K01hYHAdJ/+rfmuF5HSJclN2pf409BUY9 +8Uu98FRwgC8M4B2yfEOrEpWSVBisehVBuVKjJ6jq3TSgYjLbQQ/iBE7jcEZhYdlj +045lIPyTCvJVXk5TtzeUJvrivEovpjil/9FP1vKsQZjcvoELkoBqwKql3cVFdFIX +Ur4viZI+zQ/5+2RR+bDH8qr2wbxoOYgrGHuvjA8FMiF0kbuGAwIKG8zlv1+kzpWi +BFyZYoLQ8er9/YNsxV24Z3+3z+7BuJlKfwi667SwqkA7mFn5+EZvxhfcktp1MUOA +O9l2sPlkaMZaKgw9Qtj5+sDwhDvqH/l1jmS1iw4I3bvna1l584+53qLWtAt5OLv8 +G5Djv/LcAqrYQddBQVo+6BzLg+Kjd42I2L+dmJPnYmXzHBias6JVUtx8EoM9UGOt +/q8cav9Nk+oaNbqNny0IzklAgKAnDe/ROfevBcIuwk0MXo+LV+5M+7plIrJuenhA +Fv59lSoNmf4b9/TfT2x7PccVxAsk40qdsdZNZZWgWt7Nqt5Sr3yhXk8hMmw21ub+ +g7pJYXX/dAYnJgaOnbEHp5PXGpuNW2doKwTvzqVU30DNsZnK9siLmVwrWqNjmVQD ++FKF9Y5pG5GDRlMeS7f7tF1u3G6ORlfNAQjAjoLe1lZuvdkuCapQS/cYDUZA5xpe +No/wqvekI+6vBvkz8dhzt/T4l9y0znfOjYHqX8SRipCtmNEXjdxZyeCWLPG+d0mB +uMwXh9gPRmXMxaOkJ8MuX2F62vZJyp3iGwzmoWaLiBW5N2r3AROuCR+aYnT3gNAR +nMLfgNehKSbtxqPGz2jPJ3lxR+i8CHaRvhKEiwC8aWjXzhpvAfEcZNbPfU3FL1Wx +cgE6A6ML4dbyDlMV9XZyc+J47w1N2tr33pRIHNUFx0qag2TeL6kdHZA3gs1/zuAm +nu+27Ce6a9yVapohP3wOBdommstNPwfM8KoLVFQr0Yo0M679Efc6Z5XxsbZ8w4E3 +JEy5MYpnCK6G4T9F0CPPrpVYYnHX3E+0SaoWXDT890w3dX6qhF0+v4ZJ+uLgPjKz +MNfgIZl10AybUETCcrWjdoWHS6CHQ6IC3WY8YzLp1N9pUg+DZ0RL8r0lywt5AXZr +HHNFvZ7YyfIPq1ZuJRt1aAcK9/oM4QsmdxjcX4EIlAiDLsuaSGe7dX2f8YeQPzCJ +3QGI/18ZrxB23wFyYFCstfX9PVXLlXfzqHINUSErE2zGd1ETRRu0NXT0Vyp2v/KS +e+TG6Buuy9jpExQMExHPVtAKHUheaYWdvB2E/ELR6eC8DEMpx2sYXwD/gsnYHLIR +cv5uhzcgrE0X8wPs3wD6aAnMHTFVIwGZYEGZRLq/FsfDPtGIuZC94Iv519upGRHu +hmd/qrwzm926OAeoRXZW+M0g3kIjdjPtNGgk98v3oBUjHsy1ra4Xli+cxnPltdjj +Tjnve9jh9VP9QG0+VxLcxI+F55DV9jkEFp85ZH5JO6U/OE3DBckAuotvrnbBGqcL +1HJUdYmYphr/eJxf3Wkj+/4KZ4wObCFPNl/r3re7IQlbyE2e8uyzKweeqzVzrVL7 +eg+3gGkHm4dTjvotDpyKC8cJ0oyEaD+Ff/Zi2jDayNpPbrgs5DRnE9JVMK/3eSV2 +Kinemumw5jFNmkjJwj6793CpxnqrebrvqcMugu0VLhLBKx8ku3y6ebUGBYbfxY0W +6uhFAqRxZLpqaHQeJR0875+DW/G/M7pic+21i5RomhC8H5dL7kzPJ8COwPHXUbt0 +u5iFCzsOHpyP+n/FIuKGwK6vxgt4dSQpX4w9rcOG/v5lPVlkScu+Q/JLj1kjY7ff +qlaIdL3joPKGWojlJdssbbWPP7v+l/Db3pRdvp3SrPGVXYbt+5fUFvzPUf4KZyEf +CWlu7wdIBVwT7aM+Iz+XiGM5VMtMKQy5Y0rTaOdedsxj251YOwPn4Px8iwQcMrfd +8HUc54GMa1LqxAQSRITTHmhuwmYAfiCTVJjjlP70I+rqE6WbYBGOv6zkR5ls57wD +LxQDTODmkNKKruYS9m6PwNyQeQxEnc/FCTM6qQ49RhRQztEjZ+j76Vk7a2Va3+l3 +m22fIg+jmZL5F5SuhjwAnliDiirkSC3g4+L8ESXiMENJIKCPzH38CId/9A9ZZfbZ +0qUQLsB/QlQbubEpKinQNqeDRfaQREckqgVeQ8QKyYwimOAW5Dc3ushEbdfvDysg +mBNjsjsMiBUBwziH/Sb50w8m3oQM587UVl203SGSDaE4B9o33EiS/WfhDEcz9lc4 +9Ta4ywiaLO0tJCBhqx+Of8RRk2r+Efaa2z4niNygqRBDbuJ8KhyXhZJ/QwbQYQ0b +qEFZOVpWBvXTLZ2nh8BUnew1/fkyQzAMUGkHq+uWgPJRjD0NptW7/klY2yaSppGy +xKkL+2G0Iztinw/J3ROt0ZgwttBf8OBO5yLNQgzSHFwbo4KwchnDxe9NQFiJAiqO +rvL7JcUxbOJlOU/7OybfbMvDks/db4syOjgxRU5iIhOull1sVp6kypVyICsWl/V/ +8syESokcBZuDt5cWsozKYIjwijzK3Rx3BYPH2kpAA18CpJHxRmWKFgrAij8RPASU +Yb/NNoj2IlBJRtw2TvEB0jO9HgvGrDBWNYhyJrtJTu7rkToTAzhznXawHLMHJjKv +soUVybXCYlKc3bVcjhKVWBqAOWlwfbxP0jk1XS9ytXEglheVVk9uN0a+0wuAOxIU +/dxGKUTrcYaULNRQsRAiwQMswiyYIuYZI3WssiEaWZmvD3+pStQZjuksK7+4dg8T +Eokk+3J3u4UIq0GGHSU8TLk07lOJ0knyAMFN09G3La6dAPb07glOWGh0Ba5y7zTh +NsuqA1+XmBDUHiTuLqPjqWr6wRW8NKnGf0ZRn4GQDl0NoShJF1nPuT16N7oxsKm1 +gfryY9Byk0vM04JfUy7YUsyCakM9kEpoiFEmg1v9B2G9S2NdcRJv3lM9c8OBqrTj +al75jTWUrAMsQ0qUvNYrZ7xMRBU+lbat6eZIGXfOqQeJMPyIZRVKof1DjBCuPCCo +hztfAyY+myBFeu+cLZ3Ro0XwdG1n5hD8oE3qW/X+uHdvfG1/gUxClCjklXP9Dokk +99LVAcFzFiEjit4ejtm2SlapSKp3yHJGSAOQrAnFCdqDiglf+PEQtujYNN0+bBsd +DqnCmGnhKXKXgIe5bE9wVRJk+0zmail4Cbl7nDYU91gLibz9V78CTROb3pm4edpy +Y8yTpfAonhB39aYNNs9CPCUNsOI7yE0n0xLAGyhgq9xDgVJaFjI1+fOxvD9omscj +qkVjEwnGNtAjXYc73983xDa0MKMqEHNuEMmp9fqKXtTJr230C4gnY74ZtkTSvMW1 +/tmpj0/MvVoP+qCrXuqZ93jrY/pCYq4wXt5Ti4QWeRf7i5VE11/BR77xVSeDViiW +3yjU+N7dLnPaGaDvU9V4SeBvF4cMUPQUKktoeyOR1nibMNrfVkuWDv8p+kVn2GH5 +WD102NqEn8GenupusZoWb8YVtGwJ+RiyfwIWJ/NTsd3V17lypUVkBi9VZyMW/k4c +AafLIl96yCpu6PizSQviXzHUKeCSLVV/7nuT4fL5mgE89tQjXiRbgR9nLALkVX2u +pnttMCEgWGg9vqtoT9BHfut552Y5YWbC928s2RO+i2/UpnyjFJ1OaG3jqm4j5E9a +x/2Oi6WCUNKdiqtBKknRUts1Fb9Pp9JB4lX+hVhgw0EGKt/oXJbu8tc+3Rd9TdWA +CAAAoOdKAR73HJk0rLlTEFzR931EnwgWQ6RFbMYwpvfXD6CoG1TE2+kdrVlcNS8a +bzNj0l9u7qp2kk44Eg8R5WGvZTr7WQXYBH2RO1VW6TmSUVGkpZJzYAWctyFBYv36 +bLSeoAsK5R4wAGA5tZI8vvAsu/hSQBQ6EwjMV7s+SqmAMefNSCNKCOEQ+9FiKqo1 +gqpdnsQws20oU79s8rLlIiKLejUXnKKtPnKTUAFQdXgqO2YHXGP27xnLLulkDOM/ +QBgRH2CKVgEpy7pyfLJSCGvyd+usxaVI5rKMQjJlKu2GTaFYHE/QcZPNu66TWJJR +fEnQeAMUkj8sKpYuJtTLrzYNCtqRSDQHi5e+Ci5KbnV9XYMrPPLDyoHfiOQL+DIq +wQ1jR0jLLC+jiTKiwDeQ3t0MH1lRSTdeTQ5DCNyAjompyCqTrwBgvCJpJX9XiSCh ++lYj3n4J+MvDE4C0hlnKG8FGlhXaL8SUEljNVbXr83NIUnA1TsOL2Eylhx6hozwu +mK2XvwWNsLOyIgGOO5nNIE3k0wGc7fLGciTA5gpIi8cOsjLab2dmofhqa3AIUbyp +CURTVSi1alEW2QB8kTw9ehi+/ln351wrYpoMlNQcOqRm4FsftP6gvuLjSB+60+kM +vGwHQRtnWJuT9cZoJj9jucqmIOKEqDDVv4LIaoTPlzJszUj1FQQfC8fs6KB4DXhO +d9BPBDaaMZL7nGoI9YMZoQ/D90s8cv/qJhA9QzeeU+B1dU1UhcyXXDzvppMSGku9 +q+eNvZVMRfYdcuI6LqzMFHKl/fq3riXpoQ8wuq0aQ7TWF08xLm0d1gRa21fZR2cN +7CPi02yVgWwtXyYfhifIWLQU3chVNNJPY+t7hBdXvjMYfT7TcE2HAurMnekuxdSy +YVLrq9VxJJztYzQc/eIyqFJPlUxOIgYXyd1RP7VWthPw5GlXdAItsMazbw43B7Ij +laAsW9Pc47AzDcNLvNv3yWtFhiXE/Ikn+dfW7oMZ4xwU+AicVomrDSNa4b5UaWHw +zAgnKEHTYZjf4EqgOu9XLheNnP7nHponrtlCGD26V50nuE4kbC/En+pezp0kFhLL +jbc0QM4C59PwXvItNkLL5scxVZxEE0Fd+aZz+UbE/eVRWqZXDZYIw7qHkzaCobH9 +y4g67KBxspigoE/cFRQxzdDdjM/1IDYztncDxtNCKF18l7NrBjryqCuXYsWaXyoc +LkYIb03QsJMMyRTNHOnt7GA+Y+WFg+8fw0bpclRPjZv4Sb2xNhdymF7Q0QDZWVzO +SYi4r6eVbKVi7AassjFfkRMLsXvVGPvDqxPqdd9WJhbkb7ZUkDIEila7vj/Qtjsn +lKIdvA8XpQBXF/MySr1uAe2D5gn0DSKQ3Aoqtz3is/yPTfRLrukuEy1YA0PYoET/ +9mIhhsSQUZOAGE4Qa6grLF9/B0FXcwTV0atXcFq4YPeSzh0lU6AO0WW1wHhfO7DQ +WhOM/saBBnRpR3USO5v1eg1kgADxR+PPh3vj6w4x8BniMck+RGQkZ4vGwRs8TxiS +fIs20OLWxNQf2Dp+Il6dEYH7g6bCDjFBbxuyZnUfZuaWrfNVUcpGXwoLdIDVS/aC +CC8AFe0KxuI0oRCz3q6+5Xi4sJgf8p4qLhLqpzylS5gB81pMe/tweJ6rxwEDmSjQ +MSpyl/l56lKGiErLPF5WPYMwNcZgk2tgRG6A/4Fu64qZo4K6yYnJ5F+pIJTjzekn +PdXxytQmIuPeQbd21bI1rapA4Z+YqJ4mEykMSssqcgft/hDMoRtOJ8slc3J1l/W7 ++734XKfrr8yJA1WX4Bcr3Oqwj09hTY53Vd/xGwY+V/oxjDwH795Y64ZCkB99qD8S +ER/+KUtDqiEE5Ht13RgaXT1DvxmcomVCHIr38S84RNRrCl+zSAg61C29yAlCNJ4n +GgwvHDPSYP3zbagPyeVN+yf1ff7NNWfi2IOwJ4msNvnb0W8JH6nDHORFfu7oCnCZ +yd7WqsTaPVvTlLSK5OVLQ6jcV+s1uOffoi6hFAU+l+bcnyKWNTVytJNPMZ0YOuL0 +Wf3ry62PHIERbWphdx9c7VX6PTMxwiv/9SiySCQ67SePOiPMNGMhXMszauQMpS45 +Deu6H0sF8mr3q7zRcU6uXaxFP2UbWH8IxjTMQo6fGf2iYVv/Jt9E3OqEZge6ZZ0r +ftthHopDHHslheUblaqo3pg7cXyC5CNnHLuzv7dBTYkFKFIJRVN11Vbs4Z6Bj6Qa +8AKYcQAkrk3wf2/eXXxwbY4FeCyeQ34PxoONKG2nzkfSbsfbMlDYL9PZCj4jGfx3 +jJwhV9gnKtj8ImX4G9B0tviCsJOKtTJSLb46mbtzFlYGd3Wm3eEKYScz8mLRRyzy +JHf7zuYJNmau9QExDKb5+JtSm8Zaha3V0bjgcL/4JA5SR62Yzdg3BDYD1iRmBDKY +LKxlCwQh2mGd1PKd1k6Cd0ntd75wNkdL+EUawQNNfbvey46L1+hNgVE+kM9sMB3m +lnIPxUtHOjcs9r3gasuL21koOfI87zRqEOLnWB9qfCkNnPYjw24BP0SaqAP8zw15 +hI8Rjf8+uAkE/YsnkETO5/TcInEi0R0be/BUEtgtkbnBGNNflDxdDauxMPl+tsfU +K7bPakm8b8rw1gYw0RaQraizk85Z/yqldmUu2OlKW+krZItceApUe4ZwgW5NZof9 +zDsV+3BUm2w3ueAIj5VsL63JqFoEygYENxgFASTMCLjRMRsb2A4f4AFiSEjmN8Vp +umN5Ft5MSqQNFT5RtGkpyywguwVIuvT3iKjxzrnN0EMN2jeB+DkSoIg7p4yndGNT +tiXMKIQN3SXBY0qdEUeLn4glxGZpiKbJqi0914YVarLnfn0+6uGk0RL05Zaa3dCb +fBDgDRAI4EwW3kYWrXb9d4v9j+/ERRif2tvBFz6f/b8PxjDici2ap3Pz6SBpo+im +ecKGQMt7REYODGAPfI50HViQ/QnFiXeH7K477heI1o8lkJKnH2noH1ZlGiQzZEHh +rRJG/e1BeEO9d/Q6+zX7PoB4d43EXzbokjcOJLwwEoh8jRBXmHm0flvxZ8+i7WIq +BArfc4Y7bkwtiFpYQjVI7focGeznkpuNi6NVXOsf5p4rNM/h1eVnbhHIvjXPnMP5 +oB0Nv+iaZA6GghkJASSY34S1aC+InEnOuIEoikDO/dpF+7h3I9URhrY3wPrSOouc +0S23epKgNxDsY1dLaoxPNXjVGEZ8W8dkTCPfojg1Fwl3BN/6Wyvmk6VWV9PR6LV/ +ZhDoR3BbBrqCMADZeYb+utw5YjPOxvbF0hdCuQRfrkrKJQr+mD1xcNs0o3U3Qhgv ++c11QVR2v9QGdbIYcP7y8D4CCs4zt6qMMeYhaSbl7jIPtys2ONWLkXcXAw35Vqxv +Y1ZvsYdnYvsbpo/llxuYFQDa6gB+wbnAx3X/z62+G0V537Bju/UnXB4CXPgala6b +Qsve9wagEQ6Eh5iezzfYBHOsqQ23//AxPjPKMdeWNiw+n8Qye+2xy9YhYDQdLIT1 +3dHFtFlkzX6jUpYcXizoOdBu2jiMqVXEbIW/jqeFDoiDW6m8qoWAV8kXBSfgLkN5 +3GZTieBbk6a8fmQz8kPWe6m1yyQIwfW4zFB8+b7HQOVAqP4jxditB5RKGJoE4dXa +CdkRzBG7JgpqfqzlN35CYX9Xd7GMLw60RamFMTevBb7GXqHA3IdJWODmf/v4a+rV +2m5Tm8rAwK/xvk5qm/nunNKtCh7AYG8Ngv1ouxSIebND30rMkdG9r0dNXNrRvCJ4 +Sb4fvVV9nXjAiQD4SkFBffMFxsC8w8qC0vCSEWWt5fL/Xxu2VQ7NQFn3Ry6cJOv0 +laWDwiPvg6ep2HmkvUMsVJHP8Jbajw/4i58oXEBGdkxYOkHMPCvHxf7JyJrZ3jTO +vfcS1kio2xSvFMpINPRr6MUk0u93OXxkhOpekRCg9Y1rPTLX7tmCNThOIvD2Rx/8 +oCYQoRsTXnnl3SRjV8GBugq8BePLWTea4UekcnpfXquzhE7d1SarPe9SUrqi1iw2 +uX+Po+4Y7AQZASmU0a8cCpfjYpPQc1ywhRY6uV2hJw0e1+WKzGtnVbj2m7Hc3avH +0Mt0QPxDTG5b7VUS8zHpfRauqUo9Gj1GwghvDYNBlaHksGryZyBVd3aId/IaJcs1 +vx4zul+PS0VJJh1VLcWFFkIkRp7X8uEZizJayZyxTOEpkx95UlkoTFilcZwZKOPt +0SdNNJigKAuAURS1g/F7onolh2eJT9wdzFYrxfvcHp777W5GmfFCf1G10wrjZx3K +CRZnyqntMdQnBjDF6m18ysxREwHTedMCBQt6QVHxMpjca/M18xrHeMN6W6nGxnCR +cCp9YNqtWm6zr30AVkbykoPlkWdMyOyUZ5DfidyLHPox/jPzpRDFY8WQBo2c0/hX +6vdQzfoufZQXQzJfYciCjUXQKHfALAUq4NUSMzd/b9DK5LkPNLwxAD0RUAexlGDv +uEKehxjQUewBo/oRsehb3rUkakwC3uJbqP0SputMQlNq7tSp4T9lK+wbimUeLlpx +dR540oWNap0pQ7lt3BQrciwXbW8QiZI8dUiqqpgEqqUhjs+ogIe7zlRkzH3lK0BH +MjR7lEhsIw9zggtLRc0iNuj0RMB3JnrkKp2XLOR0Wt/oxxFwaAb9+AbTr3LWN6P7 +HRFLiISIa0HaKujApVeDKHY8wRjM+ubRdACniLu1060GQvavC6sX7j+hdYXUnDpY +OKvzUIXqP6GEKDf8zqKA5U8bbQbhg8oeIi1JQD4dsUNAhXgWqbuCjfm+qcOSEGsc +YZWc9BuJZNXIMzcYtWc7SJmFHUbcBygS5F30geWsHCkGydtF8PdbNgZ3MawDm/X6 +d/zHvj7eNITWCKb0i1Ye0du6hepyoevfQAkIkraZRjIeHtSGBTlkolfE2N06nm+m +HpY0wmoHNIopvnbjbVYIYp7tLFD+BycUR952unBiqRRtiCDdM+9rVejKuS74zZXK +Jke3IaX0RlTr1G3pMUQRLDqNnGmIEy1nn5pa8LGa4sk2UuXiUJLj0k/r9eJQLDT9 +BNtOZG1oB9hk73aan/m+bl+/aSyv39AJ8L7ENAHEjrMJV9vmTJ4uKDcn8EesqzMY +v1zSfjEhG+VVPhnLWQoOcSBPnpkhuKgkfYmspjxRt1XqW+GoZEqmJFN+iFMTRpMh +0jzGUr5Krrql+KyirnAmJEZYS3JVLBlnqBAZo1xm0XB2zdI5iUAj1Mi+YGQrXsTC +GosWm4VJaeDr+a8PjS8nUOY9lTWqW3m+XwEKqzgYbqrAMp+FRrMLfG6TtBHLMePa +XI0m4qIueYVgXikpJWJ4P/t4nTt6I1uM20csUADHFkcyNk3jx5dr8/g6Gjgtb/O0 +6O/UFqRWlDIB+Mx7zMktymp8tkIhZ42W/+QVssnZNrJtLo6z0xuRgGrLAK+1jaPR +TToN3A8ljc8QbLTdsbAe+zTeGrwIduh1Ex2RMgUH+1HcnzUjDi4kCQIBeovbTrp/ +hPI+gKaza+RZI4TPU1Ovs4r42ZtoImbm/wKXnSdOOKh4GaaQcpFCb9X+mT5YGWEC +ORoDxJwpbLXklmwqM+0/x7yXVPJ+UhYNGWsFhqhYlc4IBCLZcPkv0ZbYndR7znno +nnpqr4/1J9rpGgLw7F4c21qbLwRXTo3483+IZhuw0nvE2C2v1KknJeu+WSd1zu7u +4bqNxb24QpNWUglDPHCNRwb/zjU45aTL3HWg6ShJQDZFkn6WkotyBszshPoHZo4z +Y1s0s+TK/KWThoYV3lRM47FZhd7OEbN0asLAVhckauLCedC4N077fD3BFbhtcg93 +wySQNfDhMudNJmB6sAq3qkdPGmj9hqbiq0EzeHJ0Imr4KwraTTTWKERpNQHssYV2 +NLFME0rS99kMJuIbiuK2iDZ5km9FnuTNT/pyp5PRdcv4OiyQp1Ie6KADF8x1FCZ8 +mvEUu/sM9xQFTkj+YnUurvQEm+fB8H/6BghLvlL5uWKcem2qRC1FiXeQjUDaLObC +yf9ar7bKy5z8inG9EPSpCoyEyMTBWqXOkspVPNrIVnEUkJBEHiqcdkv+d9bNnMFs +HUcM3MmlSed0nO5qqaL2urZXAN9GYrhnnItJdpK3p5mGUGmJg3uEfx5hdMJsDazN +9gC4MbFuooV7floQ0cA3z2Smne9ZaHiY+msZvyl7pX/SW18KyB5zOCsgO7/ltQhF +nyXl1vOHKcekCCFoV62Unoh2GaRL8Zu36bc/x5G6qyZUBYG5F9lVHR8slrlC8qRJ +exE32+h8Ay+xxLcf7b4uejsayDv2zrxINDOaJMXHrDgl6magk4rXjPssZf5tYMAr +GBlhsliNq75KDPr4BFJbZyDCfnZAIGcBKW8RBTThrjPDNbiytbIRsd8qHuG0/xbF +dqF08CUBImMQl/ZqKTZ4+P921rNmhiwjsJ2O/4XrWfML46f714JpTu6yJdLTUSab +gRtLNuUWgIcGJUz6loFy1O5FfK/wW2tqgkrexKRZ5qjDVYfXV3fcCYxvmN+MIxan +5HzHgMNEVk0WMiQ7xn9bPwVqonc/BLiaddVn5ySN+lG6dEWg5+heC5g2OwR6z8O2 +n8QaSfmP5rrVbP+FMQSgnbN14CZnBahT58nX3EEuBcTh2EP6joU/y2mRAXjp4F5r +f9eLdH4YsH3H2cF3q3qKql1j1joAr5oBs0143WELqnwn2IKARD/nMD+qcZOxBTkg +OktVYq5WcwIp5Ajx3tk80L2xySMwEFwFXWEIG68ym8wK/BioCedHuMvTun6nnILQ +gsMrzT1fN/zMG2Y3UxirgbgHsL88efNn4xPhTBGlRjbK50TyhRqcX54SMEmG4hNR +1j3gkGfQK1Uv7SQooxgajuPZRnjYGMjVsK+iby2GCUZIbMkDuqekJsaCZHnGVJuV +8ZzSrD1i2NdfS8h+Lp9C47+y8dvBdbRuFQLqthu1u9G/rcjVfEBjv2QN77PH/qau +QtB4EsUihq6kAyGgPW+V6/PvXjKpYXtNpVFqGiYG5NcLpD/VteSWNfGUQ7foW+VO +EQYBWk1Ddxt7N0G/2uQpe6HjQj4G1OIK1+evjL1xb8w7Og4mDEoqhvCFf79FyCuj +i8n6uWDzm6ih1qhxpHZx6mEOc57qLlOYrL3kPY6s+GLWzmAM4YVNHNtJd83VbIm8 +4/tss1y+mWy43K0fKVDodwYe99J7g5vX/Cp3DCXbAuE5vH4GQTG9GrmmKfWa55W1 +MUu0S7uD+Kxyi+Kxgp4LWYk4wGOaWjnK4L8ljvPL3CWnSSAr7U9ofA3Yfzniz9ee +QUFCs3U+lFns+EBsauoEMO5cJmcYqGJ2amJ2GJpP4OsT4ueJXO/DeVnIG0niwfvT +7aWm7qTyIP5wY2All2caQoY4HJnc5dWLhPPmlncFi6rUH7KA8tqrsFQsh+YpGiq3 +j7Zl3cZLXYxsphlljxuCnozHB+9aWBx+x1sazeteq/Yp6Ng8LNzVb+esHfXb1qDU +LU622RRuPqK/VzZfR43VQppoA07eUrIUATCPyDwUnpQgTIg4CjZixTVOwNVg4Mwd +OId5OFc2juchgZwETO7L0agx0RvGusIaqTv6oalLAWFaNALEsYzD+UL3VnxnMqk5 +diDdfqKPtaI67VhqsvixSueUIvjK6n8+0xGzK1gZn2pPLKvDsBUucVhaTM93ov0M +3U3il/CgAN+Rxu9CZyDaj2HXwr9fYCh56I9/LUkbjAc15TwQqfrUrvI1PQKopOaL +tI7VXksy7z8dRorP0w9Z6D9V/AQWt605H0QcOcD4B6Ybx+bLH2sfK0GfUC8AdsNI +THTinsN/f3x2i6j5Cq+wanMK4dxcp2zPSaO/eDW2v23h+06toT06TH0IUyjSkh59 +zqejub8Sgqox1jP3EKo/aX7W2JttRmpGHj4eYvu5p60btdtq0WsdWNNyo6Z01vY4 +53ZQiVCuJLq3vSTfr+cQTbyZvFi5+ZTG/aWHxiizyTUN2k5LAt+gc6dM67n5bbRE +2MZ0CWt3ZiDeGLxMYgt+m3SLBeoWN5cCDbNl63qdxY0b1Fb3Vqhusi/Ibs7y0dZU +Bl/W2FrJ/lRXyItpY0nyj/jcQfEVdsab4fKj47GhsbciZPXuDcqLxDnYJZKyQZoE +Jcs+NKtQSMv0kY2FwmW0Dj6hNtZyxKv7AYRTB9XNoLUp9QUhKal1vgklFX1rw04D +exRV8yMxhPRb8hlQzoOYUoAS+WkepQ9oVTGKwjKI7SzMBGHO+M0yZ97vVOSMW2FS +GQdrtcBms+p6PTpX7jo0O6Mc9P3/yuIaOU1UDFAeL40hUs0PKOg5S/yzbZcCmyE6 +7KV50xbKUnr4CayqdtuDcHfnnVtBpQXoWXlZkzienLaEre+BgJnJbIFRo9EowENt +2Q4pU/zX2UTV61CiiRb8jnpsbqA2kSKlVAsfEMTiIF9ZbOcjDFhqAxt+ztEjXTLm +7bnAiU/roKF/45tnLU7/Co/TkWaVlWY/sGTxldt2CFdDy3TyYpt73/eBvocGadKp +K4QHlWTP0AzSEJWjoWv7bt4kOmgi2COVB4KhKgGiJ1gkNJOU1ZZSIo9HB6oOOJ/f +rF5iiHoe/FxJv2Vsn0Wchmddmach7Q+a4I6lxi9KUaX1wj38+RNKmfIuH+FcbkKS +o6aff90XX+rDI5WmTcCBw1iEmSFjSq9ebt17odizETpQnF8BsgiZdjIYrLo1mrvW +esIMWPxA34ZLtJJWb4ZFvR6UG59Sk/u0ggVbrnQeA9aJrOZYPoGVHpBM1l5525Tl +Vo28VOuvVniMLv6uwR4BPgH9eweVhVc8cAUvE+XBpBq7Uwnq3ztck+tY7pO2Xz7x +RJHlJtAkFpNGDDBuTJQWU4/KqYu9bDe2zMmGthMYJPmWP+03FYdCZH5p+7Tm+z/j +1JEuTNtJ4Vv8gNxKvUMftIQTPSGyz7FI5zm5Natj7iXjWa9U1Xkx7hzSxRLh74uj +6Lx8MXp9AnWuIIrjobw8rDueWueRb0FpJaf8lttkvGUbMl8R5KFEnWJtTrcX/9sG +aH4sJbwYRhinwq55Ue8bSWVMQjLC4PmTLiniKOFH0P+hOmuY9MCMshRtmaiHWE9f +tMoxQNAvrBwJnJGCSgFlctZhFXZl38qcPZ3Vb7GhyFogHnj9b7sHWeFjwSPX+GCn +5mdcnzI7Kdd3uUdWYdVPuFiowW7Czh/yBGozYes9Uv1rxTneqkoVNoHC+ZSrZ1oP +wf4u3p31/t7f41SjNN++vC9vg4wZKDIjyY7uxbK/Mu4zBP/AijU4DU3sLUlLDv3i +Lgev2ZHsBGvX4xwmfebp1sEAhqt2i7PscWZQ0UPqqv4mPmaTzwUtWRSw1kocUoYs +dq1ZJXXRMFSv1ms5Q6BsR84tB/vPERl53l2jg07YDjPntBsRZjPeZ5Mxg/jIjs7E +NqBleQVYly/3OAuGMq3h4EMlRMbG1cf4MSFD0N4AyoxzJcfu4Ts/wO9adROOdIV/ +RH0GqwVzMDvf/IJQtavyAfHmUl4TPqEgZ0Y5a87OsjEIqNRGO31YPdnaxIpWRZOM +CqR2df+LvKWVNicOWC+C9TJwSzv8TG8tExirZz6cs9eoREujQPANe0r1PAzswyNK +YsbHx9ar/hP0t+aMANNaMws5eMv4kr+bDzWKyvxuFG8pXvkOye4uZz/qpFrrmnT1 +5A5ol7LS4nQrXy+epG2Z3v7CwdVFab5V/+HEfsznTZBBzw1+8zgpUdIORvXR1VoO +VTv1w7dK69qCL4NalrPiJe7si3iEqHPeB/8sidr8x2JE3iYUf6MH/JbPlOSHdj6d +ZihScHQvOMaP3NNsXO7eIpvp4iA01gjCFSFBWBgoGMlJYGYhg+1IbT+mi/SHz9K6 +LRXyBggbTK+DhVM2JAPoYwS4tZMwdfi/2iPjw1d39gEMNcdKgr91PtUXB16je536 +/UKxiWHVTTd2Ewik5TmldrTfUGM11ObIf9Fn23vJ/qsUrr5C4xCIZPFEVXjBQY+D +D9XLKFHGJJ25+uyaDZb4DCZf3XZ2nfXafrveBxr1VK1+KSmFzuHDLdJGi51m6bb9 +cZ/h4KVRmiOzEXD7vkSW00JUAkHwFDKNYGdSfmgjIv2vbjxXg8+pHAWSrpDyWIGg +Hwh1VcNnNffKGeS/X+coaSJyKgcF59WgNmkgPn0vsRxfV+eGSB3clpucBnrqvKcd +J6F30316bS3OGX7X5mTNugO9fr0gpfuEQHz6T0i1UxXNwkwm1OLR0z4WEJxUxy/N +joijtBVssJJajh2rm+Clbq2Z3x1ckvavLoODqafa6VYj+qGDFEJ8F4qosrd3i0QK +eNaS6IywUAtVE+RylBSJoImFts/M6MZQ3SH/ql9cuRV4XTrkG6GNl3Y32kVT/uA8 +P2jg+mHTtfZmIAlXlF1tZsMgdjTYs34KXpkrllvg3ppM452+I33/ZArPPQgRoydc +sCgUv/hvv2CbBZugZ8GXkX/sJHfq3ezViH21J8O2JMPfPpybc9RCvsXZoadMFsmI +4C4Wri7D/s0PIU8bcI8lmGarm11rtkdPdP6c5/W0NJDW1tzLV175Zmc3+YLBsTuW +ixgx1sDfLuRvx7ZZrg3IcZAcZPOxSQ+heYjg57lj/9rjx0iyHAbfMVZ2eqMS97q3 +APJjT3TBQ3Kq82J8QEUoP3ApV/uZVMigVBVoWCSWqGYqPKznf9wAI+PLftfdLvlI +e11cId2W5L9i4Sj9+bOFNfyG1zYFaCTpaDcdM4+FXArDtXqVhK9TWKmJEuWP7+wt +2gayTIx/xsKBcPes250dSxhpNq2RZW4FrB+c4GpAYYUqUMTlMQywxAnzINsIvpCI +m5N5dpjvJAT6mSNlXbNsR/uF0zFtz2taTXxpzB9r7T8vtOHfpzFWJ4hq7UmANFY5 +CGg9daCHKfbbN3H9SKKJM46ko44zBo4zfMynUJqpwYjboRN34WNc+Df8FoQIE90V +isx5acJePFuWwISNAZMbGh2DEJx07GzKpP7gdnxVNaj6gQCfsCFRudmiKPrZfNDH +r2+N2PqcquoNRw8S17PA5hCbIZEvhht2HqXVjw35nPZAs8qpEBFFStE9TYmDqgXf +SWM/aMj5NPtCaaEcSHUXQkQC6dq572Oov0OAZZgNWlMO13CAVCEeL4/QcvxExd9+ +Nwobs03QnV9XeVEdLCagYSmkFClHCJOHTmVQ2Rj75gWP57pHUxQ1rF7tsHDLfBtr +wH5OVfupX+Ivp6nXQtTDvF8Dc3ybdb+/0xm6K9qhmMlmeIrVEoLvVClN9qIjlg2z +VcWt1TVmwPYD6/Gv4mxI2FiqfHz9OrV1n0ExPupA6aQgf4OkBiYZbzwHmy4l6QOk +eU6boYZzT59/HhrBblwIeDXfGA5S29YLe/Lq0ykFWzcFu4BaeEMkBLR4m0DLvwNl +33WUM/Z5Gs1j6AtYPDD0v4MrjbFeqP2xRx5Rw1IC1Egn5FDiNaX4zw2gWe/eHZZG +IQJNmDVjPo3ETk3nRCeQQnuoyOKYrYzPAZ+pd1HHSsDD45pgA7AocbQ5Si+rN6yW +9ixGYy+E9XOoiIZAAku7cddWMVsSEO5Vl1ZB5hrswkTGBRmWW/qJ86hVY/oraBZo +g5CPdXgzkLJE1uOuFlpBl+9Yu0FVRCfwQntDDs0wcAgYABYSKJK0+sp80JKTOMKg +BYMVqqY+1yjKeI1KVfVqH/hF8adhMlh900CWkvLF7lq0ElszcMzEJp3XSivQbxct +oCDKBB9oswBxuyLxA2YB9uV+TwNKyepUzw3Am7dtf7XGG6BUX8ZhHIjBdKtkDbr+ +i5FbLEbQTW0kj9a+o7TE06kF+jLAW3FCujDlW2hTW6PMbWtyFiGogXIa8Q3VgsKY +joogBKRlLB9GespXkLCAUN010328lI/jX0FYrucHtMXrYnyFAet3/nDhc6qxbxJF +gl5jv/iC7UR54UYvjeKfV++txEwfcWTBzUfjMhK1LGc6rBPF0hfINAfgsZXWrVNz +bYipCMtJTKeQSnMOblNkBAjYhV2V5+yIBsu8TMMfXi+rA62JxrtwIxh2fVzgxwEw +L5abLYyeAHkODERMVuUeRxAHX2sa/jXGjCijUAIsaKikSFyxk77DjM5pqxdfVOwQ +bXlrpbv+pzNk1/kQTt9n7qtgBa8jZSlEEZGlKj14JI4lUbyktk4LoIOGxn1Ofnss +AaMJcGu5mblEcXNZwsYHnNctqaPicwyMIqR1pSVE4GhQij6qlAI0nH652Zlf7PvH +XfQs3ocPcjhLF76+LgA8bNn/Z4YPqwfHQbT+6Opc2+Ru32MgVlrjV2+iv4B3TRMX +WQHgWOTbfWSY61ymiBrtlJtSgzjXnpZfYYRs7VEgyKUUSktWSSHoHN6h/Mwuu2GM +z3dKwZhZ+0TS7vZig6AyBliiIPHvpxRxCzlBsLxM8rzng3pwnBYT+zPogQPT/W6O +xS8Us4/ZqiD5xwLRH7w8xwTb2O1rKFQY75VNsZmC5CLXrapGd+JHlXBSUKBYTFTP +zmny0HGl18Oi6prDNj5lqcE4lRmVwA1UGfREVTQEZZsz9qSMzE6toCjOXlpaNl1c +k2TSRbRNBBA+bgyUYtvma+vekrTVeOe4nYfpwSIpmgFfzDNiipJ52VaC5Gdc+8dc +FWqseX05KV4sg939LeSi1MTb9H6ctTG0qBe+a6TNi7nwDjk3Yet+g5GIDX4zbc2i +krM0UImlhl/7FnFTWlBEEKDQKu8EvQDjt2som0uQRNtvQXlMfukWWOM5A0tIsPTc +YaswKPRfIXbPENK8w3ZwRBJ9VEZ5gcFLy9w+395OMKFjVcfmXBGeom42/Fb9wXHU +WB4oeCqLex8fZzLKNOkG9XE3ej1gOlKBQIwcAwpSBRyZlv40NZlgEkd5rfsfqvbr +vwsNdqve47BgrvakbQisIZNACOPJ5P1dww2O6H3orFBr60mYjEr8ePluGqMRHp9A +Bd5SUQlGVScBlQfZxkpL7GL4F8WbsvEB9uLsa73K3ie0eqX8erqgbxvvMrYhV9qf +8IGfPHV+NA/rYfLwDVZZK9CLbIiun74nDFO86xcLDlSRGQXAExcVAAP5iPGSTmvh +D9Txt9di1JQkqSWThsCCe4gjwRevhuRXQ3cil9u3wNTyNBoxcNcfXvudA7osVq06 +DGE95NJzRDwb48b7SrgGvExtfxH9pWtb/IisJlhxRTbInpELGyNzJqFvKIKcmGZW +mjpK+buxQ6iK79kBM32TDml/9nJ85+GFYxd+qqgrDb+GcvSr2JPQ4NaN1nakzOTs +Ep7o7+xMzRbvCC9ifpqf3jCMO2GEfFNxMkfKFfK4pexRqoGcAUg36jq0/LxXuJcX +AQqc83bKCdez0CBxXDpyzRUmfiiRNuu5KVBn9KiqKXkWUkNtDbPRI7UMX2kfKXXg +H158o76j3KektCtKJ2K2mR20qcjY5gaa8+7PNoZuyfyDcu083712ypvbfR6Zdjb2 +iUt/2quyMceVYZFukoCfiYByBSdJ7V+h0T5lzSfnrMlgZzeIvsDJdHBRAe4slB9H +go/CQNiuOvCPHnRth2oMlHXC60l3isVdUNXf5Y7Knwc49ZwhVSniNNiON8a9RRvv +g66fgn9iuC9RjGt1G0/UoHG6bGpL/PpboCM0iOtpS2eq065CM9ZbyLe78YXrnajQ +YKIeLxpBRHo3+LKJkm5JWNu1wyyZKrmRNQPV6eXo9CbMcZpbI/djfyWW28EHafZo +kSGkjInLkCTwylt5dKt0IiaJC7havWX+gJElAcvjCS4Xhy6+lwsQgsMXYUfxH9lB +gSNLbWulZzL5PWk5/LcVZBciN/q7S9M0DLBnlio4qHy/JTL0lQyT4I1kK3kI3YCG +sOhZhvighV+gYpzWGmlpxFhttPqSqnZNKScS1TTzbl/YDIkqYGcHtyyOmeloMDSC +aM1CoRkFTc/ZZqH2NMZZcQHe18OIm0Mz+1mlNZAp2dT7+wnh1ezvQHMOExN8wyKx +ZNz9GzrWQcpP5zYs7LU/zav3ARyZeCnmzZio9KdJweinPjf6h+zQ5OtzJZRGuk2Z +0G6dE0qP/MOpEyAhmdugkUj3X74WDolWE7pJd2xQ/iuA2xqqPcH8hJ6VGub/otJC +oEsJWomE4mdYWvqgqALk3VwlBaFaJl3f3JNHqtpPhUjKRBR7wUuHyC2ot9PEnJJp +e2AflvWn/2DdSuOH1YsYwan0N3C/H/fdhFI1BXVxj4gyNiHexvDomUZ8OuE6sfvK +wpqxQaIr7/FzKsXSHWPxBNJ7lzsquT9oyLx6nnxjxkeU7O0B/u+AvKtjs647flfe +5ejCI/iRJ4XPDcsbLJ2fFwskb7Zn/3o2xJb2Qs6FojgKLlcmCj6h1D1Bn+KMwqHT +EvUCzh+o3saa0IAumXpHKk9s6mStp4BPs4Hffo6uoud07GuWAAhX7XYK8P8h79gh +zhWGaTTpyezInytlWjnjlOy5Cdsfi2ChfU1bifW1uQONy6fnvphX8wvO3CYlr2vk +iwQ9g69+e20fWSl45rAqvSkQL+xDwDHj1adYeImJIin0wNFNPcFJ5y+oEOW69TJI +3Byrw0rPNCU3VRsKg3gJEa2sm73QZwF2Vvd/6q/u6JeHJgt/hWGy7SVogLnWQd4w +dM0JrEk1WMEi+089GO+CnBbaH+JHdqM3+0rVoc8FDRnChM2EqzNguokH5lHnmhwh +7QXyA54Zg0ja3XOE8vT0qvmWdiHA0hHoOc96IZS6K/GsvO6JgdBguc2G35t5N/Wn +iOLUFlDrXd+UyFJmZ28nosU1GqSsihB6hKFfeTl4D4OqigWRCLn8+3f+01uGg4Cb +bAQkZ7uVoV+i/ZPqLFGL03xezJMi3fCVKoNhvyZG9vgKgBhrNf2yKhQ0+yk/Jayc ++9rGg2tZ/WRjDL6Cf5iukDCWzptXCqVsEeE2QMFMCeehERi/gSX2JdTVQZ/hXl2H +wG+fO9v6sYDSiPg0GtaVCR0YMo/kX/TvEGI75HUQK8tR6uyBBK+N+cF45HBp/bts +2AlSZxKn/mD4EWO0iUzHCDqUgAsjZdXUIOUcUmLnIy5JjSp8p+UK47HF6knP8wd8 +NhSBmmNik7EWOQEYmcSVNlyvWAs07zK9aaTMVZPhxY5JftPkUSCzVwxitBoJeGmi +1am2rYCxVT9kheWFVCcSCd6ygVoS2UCXFaIuolg/y/WlejZOaXs+16XmelZq4cBz +BwXzG++YQPK2pE/+YcjWaX8syfbmd/z/omKYhbHQgDgqmsJWfAfHHqlubNYU14dl +NltiBMim+MPjUGGTMbIHfNTQME2PFL+I96n0YLjTwYOxT6bEqYhbFbOytkrk/Ggg +whw/ivD72FLcJdcO9Yn3cyDsBnJ/Dct0KJUs9XBYMeC6SfhlyJlFC95kbSwVju+A +5xj+Kg6lLVPunOEm+XSaD7vLGnYRBKpZzje3gIoR7dImhSmVsYgpF+pDt1vcF9Xh +6Qv7r7QZoE1Sv4+cDXMCIHk+9RCX992/nEcHCHHKyCyZTmSSFEYMQ3wrk4w3J6S6 +nIl+xnuaaAYkZFGpHx5a3+yikaCLZuBFnYtbfCo2ZWILY9SAB+wOv8w+p4Tjqw+R +KWYfm7Xf9DTfmkjsF+S2pnrE1nadJMwR/L91LEoE/p2mFW938Gw3MzsU/dDK11Uf +UBNNniS3tjcGkJJDW3zMR0O5JbLKKjqhl43v2zmCVHdte9NuIxgreTK13fEp5n7b +t9izNqJj+n4dAjBroUe0hoDdnPZAU/5E++zB5niJrkywqf4pGBjN2cw/oGCe0EXX +dCXk86ItwEN9DBUPBNaGWgmorRRcLKyewr5c1xghPF6bn6+M93P6ds6IgZkfggoP +klHZ3MPkOUP4/pRF37OgWDDbe/CBExB/Jr3B3S+OcJCcQ7mOMZh1p+Bf/CjDReLu +9k1ZqAZmEyI9AabwBUkEjzDR2TT2uTOIy3I8vYXWYIjIksNG8dW0KKJnmREr5CCC +xHiQKMB5w1KazUZbO4/Y7UqDewmzwuORrUJTeeWnuYEloLVxb90VpIYCb/cTos8I +c3igGgTdWBV/f/L4ohqtFCrri2PqxJhLdN5jVE9K6uMDhYssu1X5Su7hoiGX2YAC +RijdqNOI2dKYjW79m4rKasrGxOGh9xqdTaWb/eF86xR2dh+w7mYnwsBPnysLgXIm +vMp9q9WdvPvxSAqsQ7v6FiKSbFMSAFMyEyFKW8cSZyp/YB/ZFmiQiIdA3nPhHJdW +uUyVj1AJuTKEM2RCq3CHMmFbMD7TBcGvLY0huYDk6Y3mfq8OjEcxclXOk60qcZRJ +JST1A7TE5ZJMLG/1Bgv/6RsFOcjq2AJoYNAlnq0Zy4YIn/frGM1AuUQh9k0zH8D+ +n/FHz4sfYhoFvsbPdZfRzG+Z1f2d89AuBZ9mjgFyC8B96O2j7FDb/XxOpST4SF3X +7qxd+cPxLClLvxBZQ8vPYPStEanYAyvn4WZIqDPRjEO4jIC0p1sS3d+wid4AGl3y +tQQI4an4jSZTvH0VzRRzvNIpKhDfPa2FxgR/zLGEa6ojgkTNVWZQFM7st2ycmXhr +k3BuOdApQsDwgkoNJw0iY0vYC+vYdrMPid2W8sezIt12L3qeyeV40aTMsiivnj7Y +av5K4b2EAKM1cJxX90JYPyHEaZAfaHATDcG9JNKmxd96io6rvt9BXEPnWSsWCwaA +TfIZEYL6TIMiXvyOtP/oWX0VrjTzFjcma3wS7iFTVo98hKQ5RCuuzYQuXf1lPQtK +agRSFxoJixbODC8/sFWeeyk2F2wr0M9omBWgGUeW2brfka8UaQWGZQmuzMV7Zzr5 +flq5hY1eyyZ/cnxqHYyePZ5X9Y8ErlJ986rcEiiyfCpIRE76CJ/qMSiwNpqqEvFV +JYOwQW5nD9zjc7QaTMYZmnvRRZ48BXro54IkK2Jtn61lBe1TytGf9VGMtFWoXWvo +u7apJ6YXPPCCN1ZUwNKQjPJdCNrKBMTkbiHtL+btDl6hr3fgDHjxNmaUuBWsV5hB +3Agjl/nXMPLnLl4dfX1vettJX7dM6dB+AdbFeDcOAa2Ue+iaY9Dd2SR9BTNC1lvB +diNmC8LXtR6TGCFrv4e7spZ8BXKopfm6jREj8lzorzbuHP8m0XPmHOHoos+TPoEO +jK1Pjr+R52HWowQZOoQdZAJoC45w1i5JMSgfSH1SfbgI6TKn4jJk1F7+3qBWkaeU +h0q1jlNPFLcMDY+RErR7IICCG57/Td0/LlXAa7FdIx91pIiqDXH0FXshqb6CXg4t +bOYC96WmA4cHqPq93Woxq92/EdlYrEDZYiLKfUqOLj9RcCEoekMuN+DpUHGrWFet +Esl6uYJwIF4ZsFxyx/9VBBASz8S00Op64rMThMQQh+sooOUOHv27cscFH33KN2cD +4ryjV+Btbazj/joIK0VP1Pmgn7Uy8/vktrEUx4VPD7MUf453io/9bjotIQ6N28wh +C+/fCNrna8oTLilBAO9mEQunMivNWALD3mjOOwyMz2ULdSOgYBB5wd8lvYlh5Apj +zVn7CZjbdvJ5SiAQ0FRdG6C3qfIHR+IW7sWP8hHJD2rnVnwbxTokhbVrT69OkksM +EU+45k6Mm8UX179k1WH5eZGRxKPYCQgd9TRMh3lD8nL3CMZoZIHHdwZgzFnLIeW0 +45TmKStBUh6ghg+XNBx4QHonrzRwxFL/pDNZB9EMlQiiivoBwTBkDlHqR6YgZ5XA +FjNjpit+1OcNbFPBnf0JMIZyuqFgM1UUreoIDFnjke51C/HEGkaqT3q0JPuEPfTa +QOxW3X2FA9+8xKlt3HoqgiLWCal5mcDNYMqCat5AYivtG3lzmlZfeyFbwSR8wTiM +Ear97m+/dgItB0qMa5E36EN0cjEigkok3pI24mAaHevAvi66mdnSTjCHn0gDEfzb +iKhun0RyaQMSL7UhbQrVXqWReFNnZ4KLbJLx6IzM5kpI+mFa6z8+xXvTLCT7wXSw +JAbRN8hqpL/kUPXBwT9xySsuC/t8E1y8D9WY+Q0hcTMyI+IiMkkWg4C/Sz0UpDwZ ++LUJf79DLf7GFv0VwqTCInk69YBiBsBDwHP2kau3z42tx/Vn+T/ebR/bA1yGWxxw +0M81qEZNrj1HIEd41sC2jROedY+9pWdEZ2GMop79ygPUyaZoPjoMB97XTKFbs/Hf +FDtGE+t+WCtv3FHpt0gDbH7RjaP4ILtekqRZxCRG023TxSxexBcZ7acBKCxQl5Ig +7GXPzxujlgxQpByvWNquviIvnDIAZc+qd4gaelLByfKw9AkjQU4PI6TNAFVWKxMZ +Z05PXxGUbdvORiWLXnlb78ReZGPp6rfbBKFS7+3gpHwOvuAtjH6F3PCQ/q2EAqEG +I/mD1+CSdHNmQTsWPiqK7NA2GkWwo4JWDRmgVFLz5rvwsUTgbcYzsAp2J0zE0JBD +g69h8iSbdyfj2/DyhylYc8OZEYbKtETD4hP8qPEaFWF1C+DmTSpDG8hbyM8yp9SF +IfEqf4HJlCAAJfK2FnPRaXI1OfPrAJp3T7Frb3DciXAH0iPz6YkD17ByyzlkeOEQ +CzbPr+5ymCYqHR4BrziBU0uUM2HNwD4VLwLcoPWjg3rB9pJXaaKoTOUtIA746k9N +CKplZiKJBPqLLP8y9XPbN2NLYWCgsfu1dD4eppskaVHyd8MWxbZ2G94FHS87i1wO +4/8XN70EL3MKRbAFnhGhH0T3H3cmPXqEw7BAnZ9a/4C3cgREwx0MIkF2XbFkb4ve +aHcZqGGo9/6b7P0vYDQ7EUKfREe7etuYtwJgAJHboGbNcQJw5tu94mVJfakIOBYk +Fz2k/TTsPnNWYCN1k6Rx39SyD0jXC3AH8WtP4mNeiVAUKZ06FKzGsRw17udJTHZe +bNkVDxKKetaBks8YhGuawW7aZEfdQ27ZzZ4xSMMRV+VXi+g+/lcdeWtg0zJakr0e +FOGzoZhWWXjmeMhjYwG0YJMpJL/soFwWbVjwgvlqzkrYw4ueXmLZnFQKVLFd4/t2 +0mWgpyow16DRHcJFqn1bKOqyZ6qGNtJQTQTjdf9GGmA8h4LXied56qXsulz6tGcb +/C+OLtdVRwNWOryIZf+lzd/i8rCduzs7WLxDlpfquOrHD9x1c6e75B25R/cf4GP9 +ifAycFYXE8PZXIIgE+M4CkcLkp90R7sMvicc6f7Owcf1/bPzHJSFInACW+DgRr2U +EED3qRiYRpt/9T3P+BGVkqC5YQ6sZy6+/Rgz2c/zEjBsgT+dugguXugKlLvFNEXp +oACtxi5cuSPlw9qoji/08Lfr3wdpxOiKJV7H19aAQZxcGMEEzytloDl8sM0D1TAn +SzsDwEp/8t9Yspk1w7irAMoftkWn8FPu6KzCiyDD9j8aIRi+5H1ClKF/i4fyqT4C +wdrZW6B5u9FLMdYUghcEsfHzy6JhpIO+BqkCKm0lwnKCQA5dnByuKy5zO5Sktilv +6tKjcNBD7+jYLpYTAVe4wHY0npbtLeF8ULMoHbEsS5LkZHuCQG/fh8xtUIabbHh3 +UKuPHoETR2jkhYd5vGC2FkSOsRryhTQbiUBzmZFxIyIg+Xfi7kMq+nACnDVqzk4w +1wwydUICk+VxMvSb4l4Syj42Xi4uENEppbeRlio/ew8u/PhYuwEVWJbTsvvTDtD1 +mF3Ob+oLO0IaNfPXiioA84Gdr27yRDCqfvgjGDx3bu7qnhQU05/ADZwjz0RqMpUl +JF1xPYS3lkfjJ1um2YvuMLp9EW3KWccOJgRWZCId5Q4bP7MybK28e2VFGnfKTqMV +YT9ZGCsDHzz1UQKH4rmUsFRdpZYVWozjkDIB16FcFeFejXWg9mgmInVxbh3fhVzJ +bmaEcmD4l6LrQlx6O5ZUM/vaRc1RNBheTR8vJcilIQGsRHkxlpO62YqRN28WVyIT +SgDKNrkMGA64UuiU9hMZeaJQsZpXCg8mAKeLkSerGYyu/3WpTUPIgf7rcNQRTBHB +N2RUMgHyqzQQf7Ax/pglXN+lLbhXbrrRatKhKW+9BCrkp2O15Ei6yzR1mlfDpLM3 +/wbDOYNNVmclnBfhppYi9e8G8B1/4YBe65omiDoY1DsILnUewO8bGYgddkgB9qZ8 +h6qPm/0zJypMl7giW8IGqtxrHwnpK3hwvMAJdaFBkf+3Y5J4k6IoNfxjA/Yca9XL +GsZJ3RsI/GDD7oh2rezmkdaLgt1z64J68JUIcM0IxzP5Zze6Lg7LzdHNnQ29y7OI +wHuRjJpka/Y17bbj8jeSCD310vGjivLSBkJHXOqim1MAIjgkzFwjGx1onEYiayLO +XoTSaWpwUJ8ZNplSHUhSOKnRW9CjsIbtOzOsliqZQJNy2//MG8N6ZBnxTaFy0iqz +EhMm4+H5D42ykCQJtyBBGL6Q9g7UCtHMAi4qajQfEdd/Urg05WgGAtYQW81OqEo0 +23RMc4qF1jB/6XVYxefeUE3ybTa2VMClvTREm6DpqVkCAYn3nyrsQxGpY0xAwHKt +KKK8CP/8x3miQ6UpUgt6SBE3uMrgAEIIPAuHUPzl9qjD9uhw8hmIsL7D4Ehgj5IN +TtRuiTOTCW5Ci9FKZQrg1ItMftMjjStBpRjjV66jzTRddzhqQgjvwaJfuITf1ZlL +6auNac92pLx6jDKvTiHeIbiPLYV2Xnl2uZzVobTebyOKJe1tADyi5fUjh+MDg3xW +GSasneLLX/oQ3jC0V0UjaHYJUFzp1AxwMDcvLX1cmjeVkxDCfajuC8LuNHHLXQHf +Ic9u9SrW9WIpssEA8GHcsqHF6qvJllAFb5vSjFjkkIP1VmG+ars2oGuQCKorOc9l +YniKvAtGmaRiynIQ2m4dF9ShiAQwQyndKdxcvv9+CoHfTPFQddYhHylX9xMGUKGm +UOeno4Qihh0RyIJnZOy655mtVp0YACfI+9z+nQzmGreF8i54b2rep5MpLPu61bQd +Dy4vLWQ3n7P0/jTiFEBYDihfk5rwnDeMDONDcKYwcfhbF/pE84oOf94I9XIee61f +Zbz2JQx0HcSw5SMZX2tzDjP2M/1LDWPTM2cbcU8moUCvNfSQYQXMdhLR7GSJQEiH +mF7O+NQ5cA3ijEtj/jiBFjy8yfvDisYwXkAcTs1c+p8Hxlfu0SMSRIMG12VQopwD +wlUoEYxGgP2OkKH0TnHS9ZBoCsEGOT2RyaMWcJwMc1mmvh3r/5c+760hVpO6U/m2 +CYrDZNfDcuHqv/XzFs8GrJwAwk5kwQ3vE5gcMa3eO8AmyhvR7zqm+AsnzB2qmDc0 +zi16dt2PBfq5yMPZu1G1p1zvgkjWvBU5a982kS2lrixcxeTFRI6EzlnzBI3Vpvsx +r8SW47KMkl6gULu3cSgphwmbm0JuaQcyKTaOFEOPB+mjsaXIPHZyvVZ7gV+By/zK +oHJaIrN9iei5Sxpo5gdedbsuNt1B1UwR3hjWza3JaUbsBfklqbmr6ZXk+xy1d2/H +KOJnfMwXk6klFxD8c9WwEH0MgQg6xWD2nO0K2/Rilc2rk87PvxAcMnPrDNawy/QH +2eWKtivBD95if7ElpQA09m+lP4+FcPqD+WkiQcS8fi8i/ixa8qO4rq8wDvz59Ix8 +xw4vw9m/C+t7+TKM5k/upJzogpZ2OUFK6qdCZH/i+8D/Bi7CY94DoPr9ImMAVFCR +Uv7UtMZq2lRLGZCmuYPYOJcI7DfNNObwUSJ2DJWXU95lnNp1u/7NbJTavsYzt6W1 +IgOSHbz53eeIHMKBe2avf1i6GNDPujb/sspP3457toF+o1A8Z5NvOFr6ZYhlYNuG +IcAhtZV/GMOETElH9dUFclCjFhpcpi7JYBDeOQKoEGEZGDlhMfjakav1tVUtKxh5 +e5QxMnF1we1fGvhSRcTDSsrpRLO5yAqD+Lw7ujR+O/KULPS2H8RWg4XkB7tBlo8u +UTK2rlgfwGJegT+rj0tdOEV696eXQl7g+bWCiOk2hWHIufW/x79odsLHzkAfBgeU +8fWumHOBNn6qlqpVWMve7Z13Dmn/DOqJ3ndBbm3oAYWT7Y7abBj6vCYTO4EceyCl +ChPPRMZOHYD/FhbFE3PN2bCeN+KIDyGj7TY0eOyH+UL6zp9LaFjYNZODXXAasPgp +DPjznxqCtyhFIWVr1wYOSy6bivlpWH1R+aPPdqcDt7kMQ9aKzekIgnQIMNkl7Ggo +AJ3oOH6usIfMRPVf3qujeqxwfR5s7FzmjHoMSgAF8MDBllRsrRywoBa0nRPldJ5t +4+eQGUF32CWkxlxAsOBg1zP8I9JtdPyaeeZ6a0/hiKqVyAjqkJCxnhhjn510eiHv +ex1NkB2IIiipI8+LNhacW1PEyegsJyWqeKfWOmoziZoZirraUM6iWeln5B7O93dW +ZtMs8uIUHieAYW7wuW24xH8MvqxcrcjA+4Jzr1KRRRoDn1myiZBnNBA+FIE5SYPK +Y5X0C2nx7iXrdPons1ZBiKtS8Mm8kyKfXoOXp36H4TWjTpVlCxK3tte1sznkoRpO +JGtMNOBKBtHqUXaKWD7wL6G9bpGKLoejDFBxuk8ldzQONCRylwCyXXb3gjOSFras +I+VY1uGMuZUNibuhSMbUxeKJoRn1voYOs89x07iKDxFdnKocDIXZzEm5ixjTzrCZ +PZaRb1D1kUtsb4SN39hPiJPLl58HsRSaWZshHSH6OclRZobGnElvBudB8kuwUUSK +2xUpnYL6RpI4qPME5QgpKyajDK1vf1CQyxvstjb4IN6RvoobfwpeQ+0jkihjuJuk +uYbCkVOrKP2sEQcZAJBd4IBfjmgRgLbgp7UpoFgperoZltwaOigPEsIrKMICMpzf +vxi09MQ94+bz5zjOq6ptTSdlNjWFBBB696G+dE2xBTqqTIcRA6IAtm1UkNE+SLaf +Pzh34Rdk72DEVDOITZP20R+lgYhc8vQ6JtrbyX3/VfY3JcoZp2ohpBrcqTvmkTBI +r1rmL/d9xUEsPaEVej/IA4ka0Eqsiopy79/svZP95yVh4pIknSL/iQw1misCqZgp +Pl3A4DP8jJ3iYxJOKulhemlFRSzZ8yXmzIIuVciA0g+b+3n5gjLLnL5LpeJ0J8UM +EvOYbPmsBexQe1+7jkgSFznpNndCHSXc8X8w6YK/l3/osalteehIlPzYCkU1//Ma ++Qhp+t/15XzWFKA/SiGFBYRARZd2/6NS8O/vIf6QUcZz/nEgZfZicPmeqigOLB1C +s0rXFFec8E5P17IzYGXEnAnfR1MoulEBFUZmHGoIKAbOM/7c1bNs3tbVMuPdWoIj +dEQxbJAZoz3E+5GPhG2DYDA6iTKYCqHTBc3eXt3snp3SQV9ZTmH6SsUaa6yv21OY +XtR90P2uKnHOjdCKOiMSEYjBB9VhzINSHk/Ix03jWZ0m5tAUV/KjL0z39tfayWGs +zU8rGLjaGe1S4uHiEAjpCsiZuOpiUAwDUMEW1AwxaT/W5rNmvHNwKMhjn2qe4Gx0 +bbQOE/Fyg/pctufEnLIGVPuIqwWYcoBVfinlb+2oWb1yLmuhWY/y02EoqnDGX+9e +WKdybfaNHfNVFq9o6d7yDXbiCeX/jO7o4WV8YitSpxTwTwpwqd+GG7pGT4pqpbvb +LxSdonQIk474/YlyoDp7A9lA97MjQiZ/WcyhLBQYbDv3o9f2UFXjGzLgyikM6Upq +jqbxXwJ4RqloqkOcddTLntGca3Ny2GSq1tlo4oWJBg7BbN/zf5qsUjR6LdDfzDjK +l1Pd55733kAKf1GMmpJKZthanTCp1yMab6DU3ldHbEAK8Rvtz1Rj0TUsY0o1e+DK +wSgGXzhCKHyTBETVBKuACDSd76yL7aafocys+YAcUXWhWBRwmEkGB0idBfKeLkja +dxiS2CWJNgv2kJZSyYmcUIpEPAt1ESSzlEhIIchNxSWu1N68Yk62znHtUhRMP1Zf +fzFnFa77Vzn4gfU1iXATefLv8JSarAwxjIZheywZO1lpaCBQtA5WPpY7Dw6ycTUX +Edn01oYVSI9iYQOmJqV9iFfHGqiYmpJG/ZBmck667zJObSzuX16CZiljQnCGcOsE +6gTGAwmNJsefkx5TMeLYAJwrRQ1KkEK9m8z9kXnMGZdAPXqhrTEnvSfqXG8AD7O1 +5NsfhCEvEZA46rUstrjhuk/60z6iGSYcv/FJT/C5lMZrefiGrAu/MUO8sTiZCJ4i +PHDzid8t2UBozK2m8n7L8zRHhMBScrRk23kuxtDqxw4smRRyWwJz2NOFnPFNcfOd +DiAJQPbPSbqRZ7kn0qH2XFI+zYpZUjqxUIeG+2fZRqNeYmxGrPoT8aMRfZWiY3GB +v4sUB+gsaf8Hs9dK6DD6KFdMyYoIQ1NJhUROMAStdlkM24hc/x/iML5pj8S2rkjd +maOO6usjsjD7sV2WYW3nL0b5zMsd5Mj+xZLWCLjAhTn6s1Y8HzzJ2iWkIHDjgJAl +7+jL8scaQ2EbR5x7MKT9S6OcvtFRiBUXCRWR/rINdgm06gFQ/EP48OJnNHY98hdz +sRWE63ap/VFAh9Uyy0ZNQeyGy6dpgjPhC3LR3ehPhwlSUDFnP6KOJWok4xiISX2y +bJ6vVfUeBAxtn/iCPkzIsKReIlVQqifnbSjMf33iUX34VaBOxA1REBznDmVObeBl +oRrTu+de3ibcH4uyv7HseH+dhMuBT7Sv8+IdyR2KO1FUWCTyrcAxIUvEqYpOmtgi +l6v2onKm/L16AK3gLKNVqPRfzeynAnZOP9sN7j/y0+KPmAft4RB4LunVBnmRl/YU +cOY+zqt087KQqKx8TTX7peauNxxP4Je9om2oRQJ+hKtIVMniHwjeNWEZRVQr7rr2 +i/wCvSCkwBOgbCiRAb5qPKlV09m+gb9uJWSgCti2xgTikXa2xfyuI48dLa452Cea +Gyfv0oJ3URGodGasfVmDa1FxpE/KgwqlStzqcgjloIVmeANn2ZzVXHyO7w/puvb3 +kMA+lnq4dr34Y7SvJ5zUzZYl0BGOr9n3ANzEuZFSu1XKNciFSUyMGPd8dVI5PfIC +45Usdus2dnICvCht8lxdPNnO+Vao/KIsn2ilAWzy3zyXl6cxCcYytkaEB1CTX5UV +hLjyccWKxtI69yk2NVKS5vTMoNHNzpWAkw4ImAp3Sr3Zxe3TcVusUA0Axmx6td8k +LJn3yUlzJn/SRuCAqwP7yiBWBVPzCejGtVnnKBCskST8sPN8l1kbSI6Gdn9+QqeN +OroqNzmnIbhRDUsAeRQXRH9Wipl5e8AKwjpX3FtyGlmW3beXU2Wl2kqAv4x2lw2w +YsiX7BRzuhFE6JTVcW24mNTc9V8RUPLneipvabeVpJrrx6DOHIulL6tm/U3igISj +Ni/WCOWXizd448dMk1m8VznGMpwRIc8EaEcnJwMf4nxEsFQDZKCSdE1VhC4Wq8/i +fzAs8bcmZx9LuNJuOxIcSNOtwSJy3gblD1NWEuO8ZNfd+TompGFim/G5fLRMKHoT +eGmMIL2sCU3qW+maOw4juY+N6zavAcZQvYRTyD+jPyZdLlxKSDr0INz/7Fo9+OFZ +G44TQ619YlbUWXaODexJIMZizHtj0UDtiHNJrecJDcqyxWEcjHw8P2R4D9hLclc5 +IyF1AKV8R2VlG4CtLEP+expAqTnBX9RVK881JDFYDbnsjczXBSRZZTE/4rri3zfK +kGysB6FIaxukphd1d8HyEMAu51KLoEISLhAhszq57jyvimahINKkDHtUnv1CjcYq +SVOL1nIEHmQfFyc81/CDfzQ6R/+OXUwO+xoAwbP8De6ESEssfsOwW7F+4zYsPD5R +gLPXjNI0dY47jSFCvQvTn2mBteSwGG2ZrlZIxMxmGwkUMAHHFFqDWcDxgZsSfWU8 +gXM+YK7vif6PEmxiXl9c4AKMJE2QgjYU803gGHKNzsDRDy8Jk3VSHRTGTAOS8GT2 +UxAsG2D8qFjODc6zDaE03AGz+njnKos6B/Y/TuE/p66BneRSf+9cRtPFltCbT4HA +i5ZQY+6r7H8Sn1mH0cPU68OJYGcnmN2CcmCgQORTpHdWfLLeUiLl/PpHvv6d4p5e +FK/oy0ZfWAYMUgSgmm6tfSGVh7uJ1P1wo2aXAsPBU6Z+8ghjK2rCVwGYi25SYF3Q +OHWi64T3iA+OeesiS8LeHjqqOS3/w8tKKRCR3sehKXRkfA37Ma1KEXulEpCJElbS +o009n2ZkvxYP+VgOKodgDV4aO454BVioikK3nmGm2cq+5v2d1rnT+ZitJEDTn36h +UWoKKOY3RCrwQwJTjLcKV1gUkQIpaXbqZI6/kl/mLeb9F//Ve2p9V2YXWfzyNhYG +xL5X3Xs8yXcpM+Mg1ejaOVDKtIDadHhtfyNGu2kNnrIdYZ9bDKrVNYdEe/mZmTS2 +jCQjnxBcJdSIbVAQb4IpUjLCP9+7liFPsRhvUirfIp5stugG5TvcsBFywzVfFKHY +3vqnl1XH4NcAQtvf6NLrzdTqCSj4/WyiqaJyv4YN1AKhGHXatd9fCSDvnqliAPts +E0pomSb6woOS7VQZmPVaa5fCItOIcTixDPM3MFU91STDKJay1pY8/J/+V3DrvVJ3 +owrGtt7whRYDQAGVcfqQk+9Oc54Udq2kj0eRph9MHmtwc0wU0bmQ/CKGGexxYH15 +64Pr46TZF/V69keojV0zNOEsRrH+CmYXjXXFGj2LRTfJY1VbfFbuOW6nw+XRqPSQ +IyBhm+swyH3rvfW72B7kkNaJS+oo2XD9qJjTC6EQ25/mRh8hculsXHCpEM++UQ28 +GhVoKc8bRmdxzrcs1GODe/qsIzoWH97MkOdeBUzl93FoZ9zvl0n1Rk78H4I6nvgd +K2WykaHhFCcrkadrxXVCyUfvGWIeseWBJJC23e3mA4qH31ecFM0lTXxYd8FTE69v +RQPxQ9fIYtWUVXllS3K6Dda52iWg5IsyeyPSH//ErtC5bt1NuSfpfg6BvqcpX2b1 +NsIlZiZyRpr7Hk9yenZ804RYQpp9tCNLMMCZXjNRsdnAz1eujURNFN94AXZcLCbU +okC0f6GuB4EfIyYjciT/VL9FcYXqXgr4cZDpooAmsfnCRUS0fu6vSfx1HBZ+WmY5 +K6dItYEori+2eSvhdd23qcP0Ee6UDxkT7lVCxBcCAoBuBhj+MTIVA9ONBKSp2n+P +jvPyp+26PMuMkGhYztmTKbm7tjLUI3hNguZbNQoog5Pzj4hcBt8jbp3SorCv217d +DHdkTBBggfwkN3QfMBhHEnB6hlpQKli3YOpuLTANRADA/EwWHRme01UXzI6usFtf +Iyi6uR1swz8CoHLWTgARe7ot66ZeJa81jLg93auRPsVq9nbOWy3Y8TmfJJZUEYCP +h35kpUajrrLdcPRVn8dOyg8hCA/p94zMgkxBNkgiL08eY6k4tztndWD10ivKan+5 +nXhpWRS/lN2b9owwZJc/UqPRa+h+ji9qV41+uf6CtBNFD5O0C843OS7Qxu+DiGOs +Id67wc7bUo3L7Sv5gvRd/ZM0/yKRgz76HEByUo5Uw6ZgRNmWKkW6aSV1ThaouMLZ +sE5+BYcXn9Z1C5YVk5YyIZRPqsKC/stHcyoIGZ330j3usXJllG1mIr/GK+45GxHz +6fmOyEpLMq8UGbjebsTAGghcAG1hvymz4h9BTCYa5kl3MhFlWAaOI9ng3hGKlnkQ +il4Yi/njJCuHy9pi/06EAsKThn4OyH7TJnYeN49BinDF2AUr+BFwIDzUFJlX6UgR +xKTM3OWraq8e6LLg30NxNPwywWg++h5V0YnwzhNTERfJbOW2kOvjMgHBbvfafFU1 +8aO/FW4CWD1i9czk7ci66Ue7zlc3+wb4DihaXtXfvq5UQjjscp2jyrbRQaMrtMyk +KMpjidjSsa4vWmT+tN5OH1LSvskRq0NWC3fHftQilTPV3b60qd0yShxMt3C0NTWb +r+dA9u3EUimyIZrPE+srjbj9+S5fltHR4cSdfP1Gg4kxpeseA8AbKdjtLPfQqvni +FxufFB4x8BNlJOd3mG7P5ESbhtIGfIqyY5V3Ojqm11YQUSrSqoc6x6tYoCKTv2EO +FAoMrUSENPrxgAGLugk0z8TzMqy0iNKcjTllvcyNDxNquBIPM4w9q7Ei8j0Svi4M +VaB3dg7tMqxCdotOu59Ydzr52S/eJl+T5JT7yRrwC92e5YO/L5AN41D6EEGf6VrD +DHMKrVsek3P0hBCtySJWc8D9a0W+38ITr/vEVuLVpEJzA0nxGFY5ExuQZmYNKdXZ +yGJn7TulS0RF4F6dVgsBy287AtBB1T+zZMbKc3nzGTXE0I6YsoMphfkDtrvVWmlf +JxHMpajoAS24txFwZfw2k/iAuizwB5VYwtQxMYUpdi+HkRgvWw1AdZxzZntJtymW +jMOQbU80BNmkkmlduK+lYzEKaecKsO7xMQ7A3P3LlVgPT78mTliT1kV/fs8pDBnr +QouSzbgWexbPznljXmStIpVqB2tNZFNIoezZxsvj8EvpLrv71hu+5ac4gcJfdiTO +8ufIQV9pWJRF9kNPQPGSz0aGJJ2YlCEN/55ya+NYxsKY99LOuRBk+QtB7Iofmat0 +7Sm621SJVLVBMHXHqDzJ5oF2zfkY7SvDI1eohOjonWSXb/kGtK5rOVDevFW8td6p +rS8aaBVglNHqN30uMTG2QiUNfTVPnZxtHmyfMtqmHohcNKkHZfbVugCYhTyM2uDC +uXqNI43yavbyrT6XPj+kxDCMR8Sxe5niLV30NCjDgCxhzmTB1BbzrZL3nAYMPr5M +oSkMVGb8mYTzmqst6a5QNNBFFh9Wrrr+2FYKgwxQfkyKs22skWAeu8KWeUPOwGfN +8jC5oI6xKHmvLPY8hJTol3sZOMPT/TJesO9DN2VVdKBgRuCR1Kd4tqOitXY7VwiJ +PuvDAoPNZ8bUNkBwIKzbjVoL157dSXd0prMxJ4Au6RNoEwX5DoOdySVLP8DFzOAN +UZtUxGKU8LFi4Z29BGVZQxD+fHQdDPwR4thGEU7P7FHNIlHsGK7ZyVkHDkEILzJp +Eii2w7BeV5eJh3B0vN8j9+3K8x1NVAPozWh6cw3UDxLPsaLSvhEMOT7+MY6uwFi8 +VTIzA58pFIRRxGlCD1PONn1dvbczo5mfkd/8hqlXMz0kqbFUlja16pPRhsct2ujW +eyg8KGZiplzJNdv24xBvXGs/65SwmPpZjuaPjZucdtWipSAvXJvcifk5e1T6RCh9 +MwLkd1c06FEGUbxQvCLsTWAKB5xh+xaDIDkEp7HPeaDMQYU2q71yHpdMjU+fq6Nr +G5iPCRwXW8vSOydJkeNr/WiIE1t+GCwVFw9ipfEFDEXB/0UPC5gqZg6AM4Y3wRQt +Dh1oEIIK2spWFr6i1uTU3heG4+8P9s+T9rsDLw4mFCBvgPNVnca3qf8QY3UhRFg9 +WeFy9QXsBmEG4Bg0oGnBmJf/y4nQYdbtho9r46OcWeLiUFotaD2RnyncJ25Y6+ll +n2bDyTOUdaeJGwl78q0xO8OYJmovN1fCIr6M4Y2vIJVwQTlykNREJ5fzYvDvt5O3 +/FLtwhKxFUvEurRgIMU5f84wJcRjnsvH0lPMIrIjnI7lcNPWSIkIFg7S8vAuA2p1 +bcYp3PiCzsVG9ySXJJACK8ekjY5brdNGyqqA3zXfDdwcuoEV160S8Y/VTWbskTLh +A7QP403vK30D1bA8riF30QMQjAhAvCWGdjA8h2jwsNqM4s6nDvGhJL/6kq2jbL66 +F2J/eIICrbupvq6yL0yLZS44Wm1HeYOEcrd7wRvQ/6hnzTbymkBkWP2dWX9Gtsy+ +tHEdcNdwjnAhnj7cDR5tph4Aexbg15TtMEQ8cUlMWRLZTz3a+r2DNsGdW0uWheZF +84+aYHoT/STrjVqHrIM/3U/PMSOmEBrZi+XkaLKfgletM+49FfVaVsLdHIqfcsI8 +KTCKyGdQoBhBlOmc6ESNxsnj5G0snIMtUQF4qr0YssX43yfcnT+jK66EI4JtgZrr +1rwYYB4R0Uc4u8jUxwHMiuDsGtRUrsaMQ+DMln40Nua+UOWn9z67wEaXmfDgXtze +92IPenw3icjsMC930mvnNAXzNc3y2CXB620IbaBW0iP7EWlmnonTOB7lL82dDnaZ +8ZVoGLWYJi47pA7Nm65vJED37TcX2FkwupFC3R/Nv3B61qqen+F5Pro1Rwbz34tU +bQ6/2mZ3azHYl2fYsn2v5oSYg+SuGFavYcZ2b6/al6KUTD2Infprg0fASna0jSw6 +iAJ++1pTXcOJLhMjRexATjd2e2SmQw3Nls3XpF1NMcmTe6DN/Hpdox1IY11wA66j +Bc34q3FQq3oBcT2NJ0bMJKvrN/ahxqiFopwHF65hvHWQ2Kkz968MJgSyp4GtVps4 +G+Md731zFEPV+h1RMUZGR2DPF6mxCrVI+ciPfrTlfz6ZxbvLrZrHWAf/YBO3IS+1 +taS/9Xpsy9c56CldAyDisJfMLBEymBZr4GCm566D87EnFI9AXCpT2RNr5l9eaZBx +SCQ41W9tYySMfJmQMHCitTLIqP9fOLBRuiVgT0c9OT9AuSsu3C9hzKwZnkev4ynM +mOkLPKRvb3GRvJK2zx/WA/GrxDEV98gXdJaCqRxC90OnPK3Y8VMMt0l7YwyuwZOL +LVg47VlxGHRnj5/f2z5yzH3em2XJk63I+CRVy5piV9GX0PSbwN5qbfRvQ/jIr7+8 +FTIJ9rEzJtkZHXnHJmy1EbaJq9Vw/UqkAQNCUohQK0wpgwqTlXWLvJrYqgLZOCXO +Oy2FJ0TpsZPPWC9y9TcPr+Ki9jZviBCSB4AoKen4B+FzoR6Y3RsNgtvVhD42OZDJ +TMPnYTiHVLPdmryUsqkuKfT0/K/QcmdyGXF7TEjytNR3ZSNUk3mwKpeu71r3v4MZ +4vA3zCLSGtKxcRyNnnRCc5LqmTrCKAOYXQB9VI0Xk04LekC026HXk/MRN6loLH5u +JAcY7pauWIOs34sS6jrYoLJ4xHl6/LDzedbhSAA5I2h4i+cB2B1ymQvAh3+aCkzz +VP2yq9OLJZgXNYxipLOpVNtqdFI1ne/cwdrlNVHk2j4nTYC6oi+JzCPmqVfHy8mv +Wvj1ft8/ccitVwkyUN3fcUsk5SS3Hy2Qkbn0VZZ+dET3CVBW7gvfHtjhyRYS8ogA +Y2e2TfctZiBuxCFEzBdndOymwsxx3n9MR+Uqts3jyF6jQhBr+0mOdwPgMCBzrKlt +MHJDcczp1o+TF9W2N4Qovq8O7/PkSDrkmPNne6b2LwFKfH2m2OJIGHLcMS1mzmmz +zdDUphUwEBy/gTucOCPCCKplIJUZsWS7bf+dkpGMRmX6zLc4QOGjgRCrUeo11hzm +MLPFFoaQdRGu22YMB7sK8zFHn7X7mkPTfP8tLbRnq1d9aDTuJdOoTaKY0NHsrSP3 +KfirA8BfncLcSmMa39Y+QVqO6JkPvxexFg1HIgHxMt11d/hpJwmb9x6DJ2SBOp2Z ++q6m7j8/36dECZ5BBJWyD6YrOdOC7QnRAwEEeWdSH7rbYl40rU/lb0LAtDaJYNLp +kSRyjdg8jeyPtx1GUs7J1PXUOjQEIv+qtAYTMB+a0dfEN/z+rjoSRfLf4xQzh1an +/5p8o3AqnuY0HEjRGLDSz7ADVlLXwgd2IgCjBcnIdP7nms7kSRiVBs1ZFNnSl65S +10RGFMkWiR2v849TkAxW5NQ3fqqufMb69vzWj6yKeQ0k7zBThqeYft1lFlJhEAHP +U/vtRvUmlPmfROj/ldtCg55rmS9D96x4+DiG7IMtbKy3jQVt6K2D8h9O8+awqbdO +zPQgiMmsCvsVkOTeoIKJDYAQc3sZqObzB95ggb0C72ODpEaCeC3U1YxnA9YWU49T +vlcQE9sFwsYuiU8f5E3x2nY38j26K0MOKoEfp9KkFdu9FZ2iGOmU9orPmk+KQ5g6 +4djyYhqrpHfIATk+BCuxOgMDtRBxQib2lJZtHOoZUF0YyFBvczgFFWNqFn/rUUCk +HlodBKvVeTloUObAQXAHcPWHO9Mc7IZD0CWEYg5cefeOeVWIqwJ1XhD2hC5SNKen +S3QfOZepEPomIKsv0X5PKkgewcmZSQfGO88W006PCNlByS2aJ0elN5Xe8sGowUL7 +NGctMGyWrr6oifCA6bCNcm/+dii5E8GEkpR+ZC+Wic6NtQzL3FTi/uegJUg5RNvm +KiboP9Zc0Zss+IH5UZX+536QsOn506LE+IcnihopHP9q5rnaJ39yVLECTcxpTc6R +nUoLBVxJNSTd7VagODxSGkB6hStACA1SaOtRwiNQM1Wewt3KEvJNsztC3n7n8Dn6 +cCOWns0jVLhn6Vrhv22er62TGaBn0g7bvpjAzzWjR6PbZr02RWng1qQQjjhRjJHD +djLKdO8PmtcNlwZmZzw/aK7Ye8D+ndFXC1i911b0MEEO5F88v3CUsfo3qjD6mxh4 +3xa0rTSzdtKJRyKiHgJg2DHOHiagUmlrOin0Ap5hRRJ1St9qcLidj10bXBHMYu39 +Bh5jb4UG9UgLgvuJWcZzSorp9mryMoZjX2RN+/4iVCBRfJFBmIIVIBnbLotSFaKo +djiScyh6yKM5u+OX3s62gQG9Wea+MybUffXZUmIVMPnVw1wS3W0hjigGYdo0A+ff +kXnlBByazOwohvdrkjMfbpwSubdInrJ/eqMeGJgMi0chiaFjBrgrTPT7f0ntmGkM +aK1Dg08An57lsNYPglWKDefsCiR38ArjWUyBRv2zqPdV04GMI4mIKUnJcNaEE3Mw +mf5OGlxjIJp4l2Js0/YFMAMJedb6teSuV/PEgSCznQwu6StxmoTGKGM71LV/ozp6 +rt+wFguY4fLPacuBGkyjJRNr7qj8rS/ICtX1cSH9AIUa96hRXMAG6LAI1sA0bvzS +qENlLzl+BIczc1RsBcKU9YUdGjilY4oHV/RO1kI8xs+v3fQrqSpwCyvEhGMTQp2y +/Du4Go2pdgiFL4KCEFokNlKVQO5hTdRG61hOxaB+79Tlm2b/YKHaLU49vhOz68PR +iyIdHI2oiL1JSwSu2+g5rndsVUUAYkSb7vhvMKBN9CbqseS7YvDlnFigKPUWRgCI +YM0/7uaMQeRHOVrzHSiiMo3X//3XNiqf4tNlSsb8b51/JZuUbofwHY9DTo6idgdx +qWQ0qxW/BKqZpvQtWWfOTR2RZeN/mO4h+n00Zho3+0E2EJX/hTa61RyHd5pP4F94 +uZRTlTPo9Z8ElMRSy4nxUz5fyW5wJKN+qyYZGca4IvKZNSX6wHAzGHSmNDue2dTs +uMom/SbouobDy5BZwDE9mUWycSt4dwNj6h4jYnZp05jerttYqJ4HwQQN1St8RNsE +ZLX22l+H2mKf3hwXUpOCzZtpR1aghIlB5/RkFRdUhks+gtsgyHPljD8uvCXc4LPS +XBUieb+aBHicH/cl8M3jTXXpk8bibMW1oadi9S/kTRN4ixOrjXLLsMi7hiK2MKUS +pMXzH7W8PilzRDV+9nnPr5AeIzcpmVWrS3/SXinLZMqKsZgwNkzXJGoBbiX2kABa +nzs6qvUTL2CshAaDcnhDzkCyyTBswnuZPgop6hWuhiIeGDgqTASqOw/dulaq+P/H +merqu9464tZDaY073cskV1t2Mh2nbNvTL/XJsLhcrN0Cj0oBUdtzJhxxYvBb5JDn +tHpQpyZPXddJSKig6slptBUIWUM7eZ+YVIr3jg0ZsSDRAIhlrsOCrwBKZOWJMSbm +65eroihj9AeFkdky+7CAM/1+Ne+FpQp0ZKKWoCOS8aG0YtN0u6HfgkSjbIvvs2Lb +PLDYNJ608ap7Plw7pPKxcS13JbbihOT1gOGqA6vQMRFu3wzPK06YSBBQfbTuJxZi +mRdT0SSurMPmLkZZ/Feh/ahDloz9b0ZP8UkAmYyP3YNI4WmpmUMGCy0Md1d2Mk+N +dK72eqs1zUGiMAGCLyJftPNWxqtWSXOkgz7vdewLYteLhGi9e9bmFYGsp7uB0m8k +pYxQRlZHIr+dngi5hF1U5ousiK1qy5CBW4LaUpMcmxTjvgAcenmgm7t7iUJVqNmN +KHBOFkzAF3I+tzWOx5dlSTgPfcfbWQ3kIveoZylQ2YstwlHZO9i74zQ5wgjpmH0L +xe49fngoZQ4NibHnW9EuDD2krOJ1TJ3wryy93n/BhV5kjYVGuHfBb82iQJCX1jru +JZvjmYfLpmin9v83EbW2F9ENMoeWWF3ff0Cxcx/c1WnWhYyhSxp2DSuT79QWhttR +/6klcwF4zMqJOj5x8mhf5CStRnlrCIvfMvd07P8l3AmqeZW/rolcJGir/2VTt4ln +MDhf8/EYS5NIvaRYWO4RIt16ZNkZTTfm0/YLhImsjO8zYVqCRroqlzSlXFE+2VAC +8bhgOW+3MnSb3NlKB0xMVTvyOGVgN6Hk1nuHube2aKjuF/4+q9zddsvmxU7ROCi5 +CgFrFUN2hsgYnL8wpfBZa13f/L+ywNI3S2wSzkb38vQFeDUNR9Wmg62IoO3TgU5M +oTOO8fRjQlB8eqq2HvcUwENX49q2MBL8pn46HwCzToD7AX3zFokvgXOIq3B5GZiJ +GhGaSd2xMh/y+AeuZ02NN6evzmhWhW2W4kP+jrGDZWtfv+9EjVY6LRGxdUBGCX8N +Z6RKdyuHq/XTvglVfBT+D7LigQ7kr0WH8oZkmKHuBiwZm2f8h0UdJeIGm40MFPw9 +jjBnn2Ud5TKFjp0EY+e4wDGExmwjDQhciW48E+PfPZMeH5xu9EbqP427HmLqCYy8 +Im5pRF2mnItqJTG6N0LCIY5R2H7bYehA0kHgRl7Q82kh7yQsXcySX7uyksiODiCR +CdnXZ7LkeSBmFha/p4SwQNessacEEf7YTVrQCTxQPEFNg91QEut0Qr5Xr2KQXWlA +kbluVygqED7105UrW10PHLd8Svme1mkwygEhyn47tn9Jt5lQNtfOzaajhPN+naFD +zGh8101vn7Y4qdGBG7QEKD7lhtcZpHfgy6flAZ4xGxm5ZvIZBgwcsEnk+uWvXSy7 +X2I4Xeooekj85mcjYRBUe7lMHKUKx/pWv2mEb3rwqBmaSfA6qJxUZ6mAcO1DG8lL +I+gxAaT7dDC9qpeQI2AzkI0dEZ3KnHvxkn/Q6lznqer/FHogBfQsU2Pxl1/wTb59 +b55DTwo/dxvVT8vYwaEJHABlfdDeFi72wa337oB3x7NgV+vH/mQIvgXBmJleD/WT +dzj4x1ppx0WlN6t6C4/dkb0voNyiZnkedBOKhndVR86mLGmb+b+7TE6lzv3j2sLX +qvzRswyYOUgOvOfYhRczufPiVyUDUtsDPri0yqVfiYuBpBe7u7TUhngCvOdRuO/f +pfdj0XDiVkZTwAG6JEpu1yv/uDSJuRh82rJrye/cjvXuXt5Yz0wNUPgcOn7RAg5J +oBsGNgp41EAI1vOVGJQDUnb1eZ/8fEJKmqIsEwxxZdqKj99JK9LqxBQCylbrbVDS +l0K/0zbIT3+gU2kNRKkZg4OxBhUJHOg1qHoT8i35VkCEyoSDVicqhzfTsP0wUDHM +WqLKvudJU+Cvk1X5gx78o4nrTeZjzjQ6GVxtvb4BA+3RBJ/SUmhcP6WJ9dPrzOZC +ykzoAC6AQsaD6WINnDyQz+1UlgjnJP09YUgk+9z1htzvwBV/dZvZaPtbMNWmW4uO +3ZaqGOvJjmyiTeUKowjInz6XHubWflUWv/LkpKR6+7uztsPZq6UknbMaESeJ0Ewz +go8u0rBQG5obXIYe0BPhOQjZz1SCWcBYcgyLPIi+6lzPyAf4oZa3sgoIS1ip+FtT +2Hq0kbfWm+g9f/KxXYrWuoNN4Vj2wY7HVik1LJIenLYk2lMWoI/ly0x31izzmCSR +9hGpxqrXgWzrJbGhljpIAbRJLkZwIzRSqgfuB3tIBiRfaq7hstCda9/2+eqLjSjx +GeX5UQOKsgHn1KiDI5Yi8vVYgRDiHTfktRdt8eXliTi8BueShupl0EkzCwVOhpE5 ++vZzlqNRLkIzcjuZs8YaZFJaurR34ki2lkUJP1HwNuyNIHTd9HO+cc+NTLO8DXod +aJnW5HdmOv0Cl7UfgSlTp0yIH9lq6vxDfGGKH0d5U+u2RisVKQgstlF85720hFF5 +fFF9EGEgf85XeNwwrmb6nuu+nIa6yoXjQPIUorh+KTRT1+2OdWCelE7nBL5a1knV +im1xlck6uYGi18NzpT0SDTUHz51SWsYMvjAszdVpCHPfYRoUuYxNYCXdp/ngZc+f +YvgZvdlE4h8YffCkzQDq0MqMP+LWdiPPoU5k9K8mZpYIen941KoCRAEoigvnXZhu +90ZrQfNOw8Mf+eVFSx2KZRrQjJECvLuSeJY9voU5OZFNFy0g55SuezmKnuZqbfHm +qBfFpXDgOG2fzcq4ATkbDezpkMvq3DS8TT6YK+b/tTIK8OPoIcff1Z0WRyGgydux +4hLYWevm40phezEv1g+l9Sc0ifH7VGnpBtMGla7L+1Y1Nq88zi5xrtgK2hKYNhBh +zok0vqLuIHklrclWnuU+UdbcdWCnlVluAqnr+Osox4X+FCIMRIjIPqVeUE/IZWHI +oSvC4ud3q0V58nJ0IEw7/fUKdyIvY9POwCuahxiaPchGfJkYqCH82oe6ChkLmVL+ +YuX7GYDuZs6O+1T2m2yFQOE3PtwGhr/gLL5pOH2ytYSIwJuz2AMQ9g04vVUJ/tS7 +mkCIq0CdkOQ236x2IPIrp5SEWWDhkL8P5PPDbLPbVaMb1w9XMDJhcTaVj4Nnxn4l +HhwU0H0jO8vmMl7r5B9oiT6vriz83uo6g+nVxtEfJT4REYfXBMLtRiApvlnQoeyn +ciUSPjXQfbMhn/nLrAAGAtcAzONvwJX87Os+5J36CpAniSOHzxl2ASRFmsYAHcaR +7AeACtUWXxTWwg86vFa3MV1fQVXfTM5THbE1iBPnjY3QN4J1xXXcTusndDfsoLUs +TOoijdTPXiMPS8XuPmgKkvmKHBBueBRPY+T0236cBF4VzoUnC52D93m6VPJHeeu6 +PEpyUbARa2KZoyQmUCZCV6fPvNce90FuDcwQ5O4FlG7yDrpPaeRrfgkPgp6FrXvc +IjhwuicriN1c44JDw8AppSA7frHBI/DAiFiGqDs8XRlSKUozlCj2Ch8RfjrzVdEE +jgBSldauHYzHmP44wBw4tTgdtClR27YL7ra1a8cgj4qFTSrJbZRft7HVHt1s5HqF +la2VP2ejwp0Hast/GpVaDqlFYE5nAuCT028/IsmouWAfkx97daP3Ip/0jwpImyyN +5/NtQd7MEHjg0+bQQyXC7i/XoC7iUL8JQSmsroidrk6Q0BnO+7IuvGMvvLWyVweF +tN6qKHLGASm8GonRg62cGo/ckuvS17VEc0izWGW0cKnRSgLl6RbxJLhyKNg6wqvV +6LDUr2FDLYyVCIqA0whcvZeU/0C354r3MkrtBlQVDAZgA4sGDLATke/OOOhc9XuI +3TzmwdIQihZd79aIAx9aS9U+10rwkLbeGaNp9fkgiHrZkDvbr/rOL6BAEt11B1RG +CwuAHVC2GL6oAl/di+GVwn4jNIonTV2mV7BLetAZes5OM2ftLE4Tt/WaqwE028f/ +1m39v+kuPkZ2hVsi02u/XIjQK+pVVof8+F1tCO9DmEA5Jul0P0m3jWcdpvoOW990 +vw72W/yb9QsrsYRoVtJwFvZ9TKrNwus59XXpvch4VhC4aKrUD1di+ug4fGmfUALx +ShgXZ4wHt7gUDrTd+pbqMEYB46imzH4ErG1M6eFzX413lRmhIQ61wbvmOMSJaFP8 +SNFF1d91jtTH4ppHTqSJ9Tffn0vodCZQJ/ulfzZj8OBOsKEaV2W9mQQespcWA6rT +yEcMaYEuJ1IiqkZqiUDZJjhvfVug2dt+n/NsWGvlhwhnmIUfzY6zJLzQhbapgYNx +ecHTS+tYttiT1Kt/vgWyaBuvfX35UaQVks0fddCj0GOZqCXG3nf9Y2GgkNv+5c9g +91fGYqJKLd6LaIuLRHO249jmlgdj7WMJZcG6Lk6ZaoO26mya+ugXRJ8m18xwkgD9 +/l+LU9Gra0uW+5zXiD+WJXfY9szPOxwoqlSSyWxr58no2lZtgF1PYNdpWU8ivP92 +WIKzY6mLr4ab+K4UbR6cz+akf5OQUUMt+dfaAte0RegaT719fD/VkcOYgYOfWxNu +eOU/TAmLhCTAZLi9E4QIBGU4NeVYfRH0tT6KOBB0s0dYHXEHnaXPtackTzdi/Maj +Hy9R9cscIN9rqVsT1/S6NySEkZtS8Mgm/QxZYJwxPG5XMvmEVFgC17UNDvDGGkwa +66tvgFDrPvAK/XVWk+LG+Lh+o96vZWtwoGr4cp1F5eB3vWTyiDN0s/ZQwCpLwzIw +pr//9r5h5T6vPWPUYjlRsCoYyBdJLx14yOHchhv7BXYuthRTprrwjqib6zyDT8+b +nC5IriDCUZFf6qcpiBHXQhoOqM06uoLNu2OEErDdwYK6w95P8wYVjpMU4MgaTnTQ +k5PDLjcCuhPsxwYebWsRC6qeS/kfF25xFuzS56eii2UABCo6Ncy1Nd9w8xS/sIUT +PxYDRwXK/NZeSuFUTGMj0h5JEy/C+BXHsBG+oGN0We5wcbV7sp19WrhfT/ymOETI +kerynML399tf0fvDkUKbmAVgdwlVU6dIm/Lk+BU6b0Dncgg5WxqHnCiyCU04xsc0 +I4CpU0wQmdNWfzmw5pIqoBaG/CkK7JUy9LXPqZePK+w8noZvZom8s6cqqtMWyUzA +5Y2YubHUpyIITh0PSk4DdX1TzNfvxUHAHxu5PQdHH2WEjsxOBqUMhlGsa8n8/R+j +N7BtUi71BtWLo9NmCOf/kblaWMRODueIJcjMameaCrAhzwWE1VuDL1gmVJEWGc6P +tZnZiEoChARjfIys2LNd430D2QAVw1RXve+D5FhVOMih8tKYtyP8r4SZ1RHdW1o6 +ucqOggSp7kCfUqv8KW5d6sd01aWoy9LlOLgnjMlcd0+nXgkyOAdH/XGBviwGRSsk +btYufcEU93RmNj4uz6IctXdNhxXFq/2Hp5BIJeGNOogTBDiVhGQsuHTbwrPz2P3I +LQ4EBuMtspiLtjVKceYK+24SnPE957mXZrovR2ViFpF1hfSizlmnBE7rILFyfDTw +h8+sDwHOAzQq0cMoZc6Fiuo9uu+GuzpyJQ3ojgoI2cP9SNvhsWORyizfMWIlsUjQ +7ELnfvp5eujxZ9vgZBjEOKngk6wvBLRon06jMVrbYiXAvpXTOd7MVaAaO1edCSdN +lxRddRZ1Ts1f5Ic+i7WD+fi9Dz+Zfi+mt8rIbBTRN0bOPR63SaMgg1RlBKallIJw +do8hX3WDkwvZWqIzSjW23HF/n12lH+wW6OYhMGEQ/lhyL0e6Nfx9EWGd3D5wxNm/ +Nx2gVroBpjjYXLUrw9c+aBlJPMI5u1fouL7cWV+pHENglOkK8im6wH8wdtEokB/g +JHrOkm1k3NGQFioR70VvlvL1aFpULw4ncLCeNOfyGjd+WphPD9w182BZS165hKR3 +CfrT8+OiiK0dji4aV71o8FTq12NrNRJRNKJKfIBRReDCCyUnpUwC2Phmiwqv+KPV +nv8KYT/7Gk9UV6TNNoyectvjXBtIbxi2fYdtxl3kTI+Ru5HepNdHVairnMD/XYf6 +A29swzeIYn0Sm8xBhh9KRDBcG0sVEbjCAJpvF6Dzs8ZMF173BzN116n8Mc+GVHRh +xr1I4RrE782L1dWDdSdkkto1obxL3yy4NqGtaeZ/BNXPiLg5twv6mKVSH4zzlWsN +9+LJ2yNPJmT+kXmiWavT5ajSn+SykxDB+wo6xWk8AuAo7bwACtT8TEBsC0uE4x1E +zIMJ7lz60oTElstkh+4/Gcxfm948sOgWPzG4Gi3JLKrVmnw7prjc8Y74S/Px5tUF +FV/eVczmfUnWtYp2JANbyUycMdd+HC9/7oraaaGwwoH3u+ybpduztQvPxz4BRuS/ +FVhxOm9T5MQHbMgWccKCZ+WA3B59ekyGEpnbenCsNoiAqdw/rnskz0QpBCUT+ogu +NuRILBZ4rhDZECdGN3+ud3Fxm74b+vQd+nn2jt3w++p5bckOHWoVSgZwsVa+uqw1 +B34Mf7qt2LvtDGs7iNg9E0HHUStanuNa/omJ3CRAveLJDYW4Nl7PiV+aQ5hMNvsp +5w/rXtwTtFK6LnJUEfjGidxZZ0/maYdcu0nYX6tV602Lq7s6xBff+KE71o3PtZkf +NersjBPvy8mdkmgJYzHf0TQEZcoWXKh1JTWbO8yOA74mIJhjk2OncIXaxfUKUVdZ +d1ddz+4HvXNmheWoGN03J8CZ3vnnpNobjgpa4REXIw3pb1K5wmzLkZIoo1lGIMx1 +EbbwEn4AB2HMKooU/Qjlz+Og+pCT3iywqj4YvOjvsx9v6/PYFCnJScd5M7po15AD +UqFRr524VHKpnnc0paEm0oIvMNVFt/7h7CZl4VCm0F7yrdLIfco5WIOI60szqQxM +t1u1iAGDL20FclXvh6R22T1bHogdHAG53BBCoQ0Z9BNwLbZIY/G9d32CDqi6QJgw +pc7p53JD0/Zl24Pi6OdriROBjtUvD5+LYGpvAXDEas34C+A4UPL9vI7Lv6JLlpmt +rM1M9/7iWO+r9KdHR6bqtvFYpAVFYkU/VxVjN6sF7mpqKW5asDCGj/px6412dlCv +MhMNo8S8jWFu4Wh+x/3jMzOWd/EbYDPuXoueVlCfyujvhS+ivmH/KjinoaHuebS3 +tqDitfbxB/niTNuQ1kqmnxQROqqPD163CiIJViUErYeR9NGbuMQ9v2I1CsE49JPf +8AVVe5m0iJkefqA1taIJn+e6JqNCxhJkcCp9tW7V8RxUyBlbKiqsAc8CIuGhysby +2rv31v0vTxn8Gp1B8Zo6zc3Sbn4ISACDWxqAvU4I1ya8DoUBrUfs3RuBh3U+wy6F +Tl+oZUuVh8Ftq+1McO7bWKMvSkAh+eVwwLUpnJ8DVUELNBSZ1HvvNds1QK3YdT9B +Zz8pQj6ojf6HbjDCm/RMEr+4riUsqiVEqYRYVY3nu+d/tvTvAH3Lhir03ph71af0 +vMdXZNgcVlG503mTK0FJdKbW/Z67we6yHAeARDBbi+7oCdqnujN36yXdzjIF4tKC +nZm4VARb+4N21Pcn6DZRDXXaVQtOpYX3ts7jeGLkl1drkwWIrMNvSZLegpj0K15W +3jGypi9WbqhJNuTCzt0A34jX8BMTrmgiJoMLIR/4LzvNloBz29yLrzQtONEL9do2 +nHYM2Ht4atLr+JDmZnaOmG0I4NCWXSwC6vu8Cyuq8AdXWl0v9VZsPP/sossm7KQE +6BXYJSYGnw+JTryLrMXFuSlcdXd8KfhoeDfUEg4OMVBExnTy3xa+El/sbwW9fjL9 +1Jta23cSrFxRtUhw4Nt9wqZie7xC9oMm5lOxpNh1opUOP9wxA9lycWMrWLaK+lsY +dg99VkSE4JEEwS5JJmPiYkg5nVtSj76/5r0FxhVPTc+Tw0wCURiHZVy/gJHKzmNl +wnxryWaISIdkxcwq+3GUhmbe/a4IAQx86aYX1n3imkC39pe6yK51dku1YEPCENOL +IrEbqcbjwGexkgXFSr6gA4tjo8/RflH5ULPDeOVr0EHalmi9RBez4Oer5HDOnjrj +4bG5mL/esQueK483ch+F/WA1O8llDfcsHY+jRTFTEsj2ueL70hfLeoYV1ekBthdt +lcoOMjXCQ057PoEqa9OZ72xPYO1JdEm2KC9n/JpLBJgEnlO1W8gu2dD11tiinUbC +3LHtxAM32/WcOO/WHTQNWkocVatMEN6a4JfvSqhnBYw9ChdQDlDT+aOdYcJ/PzVM +Itt22oo8w6YAof8lPp9JlxJkbaLzR7Fz9JLKqMTtG+v9RZcJa78uRYVvSrYPFUkc +P6m8VgrElaB6dv3xQ+JWx27l+HsQDqN5Vm0LVTvXQSHIRLRl9Odc9apa4bydxNMZ +sCBQe7zH2nd7dTJoAmS0gKK480IHa1MaQfqGj6IQYW9vAs8z5URH9QhiVAhCmjoH +gHGguFIwYNphDN+NZkxwHboNh1+SCpD/As7ig40KUK2TEfdR9GJmUps/R2VvmhXe +zYAVltyy7WO5qQknVUN0K93iSM3PguWMrvmAcHIRWVPbQ3mXhepTTcdPICS/GQwG +gYQVt8swZO3VndUcF1R2G2AUxez//v8bjbBmTA/shzHuJUPW+mCLzjPE0A1/SyKf +hNOtDVCzomvlDdanBe60jTezZthJPFclo5NUEd0mE1/lyzOfy1464nDqzXhsiZzu +43vGmKMjFc6Nr33CmVSUCW7CxCxVTRzgCXqxGmwRJqM9MVwN013JGs3QEug+pkpx +8HvzT5WLYO1XOZWIsPVk4dS4hhjbVWw4K2q5BytfVfoF24PPv7ILgy4RYiplLDyY +YyZlfk5t9NsnOgv8F6fhTEKAtMgvyIvvH/i8IycETAUctYNEWQO21z2zBybj9eve +2/w0mruK1dd2iYdEjiRs45wRiBjPZNrTyJR7TM3cxCD9OAMDtIv35nyIiQMosiIi +LtYXlU5oG0f+sqy0nS4ghWpTHQhjWdS5O+2tp+g3ODG3lwURy4NHPsD9RTq0zAjd +ldj9WJ/CHl0p5wbI4wYO+HSJbulz6hTAKmImqE/zt7Lm4KKJEskk2P0QyKhV5wUo +uIhKfd6sgmoesifTBOEZSsVpbL0DZEpmG5ObpPpGMBj2q2N3z4jrBp8XeJfCJqd2 +SP4vFLYOpDUR2AS+bG3rQ3aagOPC/WvqeYFGWn5Z8GjlxPmElTOYpvGNcCB1k+ce +PL2OlInXRAh1t29EA1uL0AJngDPS37F9ulSw9RBZsTqRaF7oMVx5fsTkKBHsqZsO +TpTf0azYVlu4/aoL330Hb2boFmOo5w9DcBHlfbOb6jpd9ziAbHeyWhYaWa7HZG34 +BKwO1j6Udzp9d+A8JYrqNkkO6SEKjOHAZr6nnZGCCafKyav2NUNo7rhRpro1WfZR +rD1ajjwEPguUcBTEUHoVczYHyyqd3ADuMcEVuFVXK1wVQMWPRnh3TbCGvD6hbQo2 +DkY3NRc1OQDbGLilGkicGPyxngK0ZARhYxHksqXEeA4Ws5YhOBy6tm1y+avQqLai +wj6eiVxPvSLFBpcLaxac3kE5ZdN2Chp5Yu/EOd3myoXrpn3kknv/EtNqU5ykTRux +pdlaHFPYdNodCimRDRyVew/jR5+5k8UvGHX2UENX05PffvgQBtdgg6gAWcvFcIKm +7YyA8Zx3U0+NUcloIseRnfEWG5k9BC/8KyKTp2efDAMYn82g50F6/4HqVNttPIOt +xut2vcka3D8GbpenaN3BBEWDRDXK2BpYngP2B7Xft+825ZFDRv+jnHGvIfJgW2/f +IOGRxZAo0FaMwUb+o7OL7wlahCbmKhWgsS1Z20JbFzDWKk6HWZDFbb8EqE1b67ms +VSZ6lSIsyoAcRecuVwnjToEnfeoQAcq+n8pMyyKspp8EMLSu604VfoeKDx3HN4/o +eW46j1W6W5B9WKf94nrc96STsOc8d3vGfYjIFqCxBC6SW8fSMGPSH3In9doXqfgL +SvsCMLdBDgY+HcXrQtj3jrLDg8aUE57ItTTrYPYAA6xvN2uwnoleBcw84QdKs6Sy +azgcJYfG64Op6US6RnXJ5Qo5qwHasF47+gmHiXmwrP1F9siiNW7Z0dVlG6zAzRMI +xdjHtTZSv6zss4YakMVNte4eGMsO9ErzMqRLv9ZlcGOPH2sa+X2SzLhSqCS/Tbbd +40RbQafkb/w+Di1KdXYIBiPuQNge5PYfaDguNvhkHskPK3GWEsXk8hSwMKfsgicG +N/vNY7onaq+O392TrHY/luvemdsDh3n1Rhy7yvW2uj7Sxs5Ie/bwp/+rKjbSeTvW +Xup3YZiJiNSuIcuu5+tPNrI0U7lsaB6dSVoa9U3+9X2MWe6o9zOtfZtV6z5Xwy4e +kcU/MipfOEhfWqc8ZMIlfAf1SYLLJDpEcnPrwiVrk3BJrQNwSW4wjIQkljQ3G1MT +hYRWHqX4Dsk7w+zRBuV3bVUhg/fy5nOYEN62lXKEQEu39JRyiML93Cx1QkfkGHdV +rRju3vOsvXMDpE207qvVfQgxYZV89OPGvuvGaVSKRcvbzixqaPJmqBKQBHh3pOzL +eqGlXJFSonyrIMZ488C2LA/cUaInz3ycvLIE5b8DxnpqQFHtw56Z/miMhXUWGM0j +7Hb2YSyQsyQCZD2YIf2PCICiWWbf8ewO11NGxtrh9T/+iOqjIJrDW1BymwLuJDM7 +2LxRfgYF16CLWUSK+eZq0C1XG+5cnRjSwmcyu4D6aTTYh77B6QQmlMdIWY3NFBTH +biLopHaWbqpvJGYxnV5dGuSuzXF7WMlEjPdWOlFcFh35geXnyrVSTtqneARyP/qA +G4Pr76rUYgcPq8Y0cmjPJCLszrqNL1mQM114dWFNbkoxSeoYMWiihEGcq8/vwJ5O +ndkMzdZ/xdMI/MpmKHEip5k28K4mePPYz5ZzGcmM2e6QTuNwkEsK5vMa9BR5GXTN +FG0Pe9KuOTQ+t3UCvTZ/4F6cVW4RpHt8AOsC304v84INJH1QyZBm4X48KxnVUQcT +U05DXZrN/nbUcwVUfgwldbhDeWVnC0u4Kxz5tW0Z2cTQlUiQ2yTAaD0heFVUh0db +QmVCh1Cgrj5BoH9jUv3HLzJvAeXM8mnTmAmSmg2bYAwaW79KSkv9UhgV1Bxqb+K0 +MNulQ83qOGy6X3TxuAjjnBJCrmvE3Wcl4uB1R/rPSU85eqn8LIe+BvHlYTL02zvr +NEGmQGrBuX+VHT8LCslzxdQat1ivUIgZ29di15RLNIieiHqOYo3vGeZV7aSHLGJ3 +dtiITDdVF00PcM1y6ixoLj1Q44mmGgQBC4YxraWeKIyNHEXZDxTuX5v51hiYyDWa +kPL5+02CoYmJltRaGJ+dWpSQCruTSKaKlbjZd7urHRbe6pe6MngIu/wl+0pZkk7h +2eqo1bO/9cM89kuYyJcCIKReR9yN04FOsdIiy+yADbprGMIc0hWCcVZqq3XRCFrA +U5umLlx3oAwPjm8WFyX76VVYoHy64sTDYMdJfAEAcQBljIgv3SQIxyM6I7Fygaqk +9bSSBeitbgyfoB1kc1C7JwLXb3Pg5sfo6mp0JI3Z/la6pr4w9jHCGDwWeIDdWPKH +MoEKFQcO8L+CnpixvyFWrNvZ2C2oew1nsiODlgZtc5ZEJLrfa/bVG3yaVw7pZstd +U4fQOa9ixdNXSjB83vWJu3LP0K64BqObn2CK0YWEM98prQ3j0dcJ1TrBFvv7o9LY +M5isxm1bcoG71PWH7QKj4hml9HO1VwdvGe/oftWe3tVT4tpnfC29ZowPDcix8AcS +MPcK5qgqyYxOUPaXDe2tTxzqCUAHCpz7N9VpkbWp5A7mpvcdGElg/0gMV9bd/9m6 +KWOoh3hJ+QxPNveAygN8yRBfw8FGm9ibiGXYJMAf0WSJUCEgOfLbITHx9jHpv59i +pzWK5rIWGW85nbbq9sbKP5u3I1tUZG4kby4HT0qL4GZSBq+KI5Y6xciWOnMgqrpC +mOb/qTDalIy3OiBw7Y6JJ4I6BuMgsPpRjqGf6tnSbqV02V7aAvR/nlC31b9Fw0RU +sCOpOgoGrjNwvqdShK2KDDRzZnVsXevdodViFGwRpeuffZHlyN/DIMtiM8y/DrZR +NRhZMyx9OT7UvooTxGRtTvJLs7UYTLdAGbNQ0V5njtiG9k/s1lrzRvjqgYrIxTRF +83F39OR3OsJpXMZj+JENWpYaSN/VsaTDTll4SpqQh9uzHWWmWzmKjsiIzJQjiUxq +YDGM6JaK2uMmQ4QpA45HU4jltUzxWz5zPdAlvXlt5SXMFs6IaaVMhjguNromesbI +ln8KavUKExnXetUIrjfEustABl9tu+V/E3DA7Y5JuVVg/x2T55LdNdAg0Ih4GIYx +d2GGrRuMiXfeErk31uSUpTqx3GDGfMml6AvavaRiaByKCUNigNKTmoZppckDf4SF +ygnj6p3unluQtGFRzn+ari2XcZsrwrEyaPWZctUmiXNb9FyTbqUleSxuv5EH+8f7 +qaIvgE7oaw0c3PBG2UWs6MrkCb+5IKJqh5StOX73HluYxdEpCMPt5bym9VcHfoZM +6fBvKCl92Fj/CKBxFCfyWWSfohMLe/dl5IXbmvl6mhrzBq0qCSUtWXqWnw+uMbSz +raF3DP8cmkEB6Bv3gKVJtjvUOsBh7LhzWq/9XF/upMOLTQEVFx5iIwr1hXsdxpNa +Oi2p1YDy46M0Nkb8roLYX2fo+Xj6suqETXvhu62xbGjRca+wKFPXN+VKeFm9JX3o +G7x5RBV7nuhagANpj5pMynso1t41VjgK9PP4h4RxyGGz5QxZOu3p/DnM5goCXFs7 +nuITlSSmMhsrmXZ1Vau1X7zFwRGdGxBQ/daCWw7k5XowKvxr8dyKmZ4mB2dCLGQT +Bvgj1dDmAfxkk/XcXsSGc1HtmOyDVs/lHkRPSObh5Sn0+JzQ3SrilG9IhZkdznaj +HzSvjMWqISxgLJmr/9Gptf2fM1QC793FF2FVwPhRj+Mc5puINEo395jJ2djV7JS4 +Vxwg5oUuG2MNWhOSyQtOfSaLuxGYzaA+3aEFPZM6dQPXsgS74J332SFCnbjB96Cd +0sQ0ALNMWUc50DL+FjgM04nbM82bnjR0/Dlh9Hy1o4LTsaxnpQuwREOPLvTj/z4Y +YSOT/ZKkrrYhpJhdBRfdCmXxaz4wUITQaCoq+UoVCb/9PPTkqAA7z4oo1JAX9Sl4 +eRji+04YvUOqyPNdlbUt8tkdjoXvY/yne3I2Da0xg/7df9k1pQVXRtYWX3kLL96H +SiBv2gDLpqUvjkFOb3UaB8w25zwXTssZMNtI5MaWagu2WcrU4F/Va2BUGRAgQe/p +ApEFS3hCFXfmM4QHvIHoCKuOwDLIYBrCm0JtLbSJAXM7k5ykIFdkoTIxSDb/LI1J +WcMIdlggHoXsPnd01AT+7pDc88klR9ajB4CdkpSRv38ovLix3oBItOyjmJwv1WuM +bXeH/6fq0ZDPK7b+MMhallbeUuyCR7ILZ3i1fGB6Ru6Kf+bKbkA/q8jRYO4TEcgY +Lr7de0ZZS+B/gzmUZK2IyjqCdkZ5gaQzcONM589NEzYTKAitzE8HA8k7isGpZEOg +Uus3NJ73fUpx4mgn7fJ+rF4u8KQ3QSNiCs9W9NxhgunH1M3wJDR3409Z5je/phVI +h4HryPWCg1npc9DQ8p4fevcv0c+9njx4PU3rurDhvJX8RsHl4n4UigQOhvjywi2T +PCs94QyBb+OZUV4gDWVD2tJ9fOfvx86mUasHawOeg/IGslMgdzTL3SSTu+c9Fifr +2p8Eyvm9qv3mRnQFuDoUMx8ltfBMMf1zDecz2KBtlLBlUlllQH1ugwzcDDf0Qwt/ +WVilpr1UX7p92K1k6SkjVg+LXmF1Sk1HJveoSryqrsx9h13hIVK2hqhIgKt2vLEb +MR9bp29MR24Awygws9Edn6kU0ewCDTMdsovji86IDkq8bnoqosHUJEC7GEiwup/w +6AGfvjJDnzzWbZFOjU72a9KVvAeJZ2OY6tTRBAlMkxSaDGoPt79qoQyrflxjAxQT +8IynLJTXDyFuSM3h1ha10fPe1tQzZeeuulXedUwpo+i90SEiKWBOygtlUtJ5bkaF +GTope+v5OSQoM70xdNj+Xz6/gi5ya0IZ7ALKzHTF9HaPClpGLjT5hsSgBXgrlQ6S +HngUASJdKYkmQENfVpwGojfYVOYVQ2ziUxYs1voawf9tSOeupbj+wVeRb6Gw19Fh +t/T28VWkXRoUPhsC80Q+dxTfpkFcSa9salsrZy4eoZQl6dCWipw3z1FoocfWvxtR +Mj4EgNXgD2p6xCZMYFGcSYAq4nVC9mgCsKxv67HqnpN4Ie1geh8rwIc5T+2/sl8U +5sjTCdyHWkvfxZTZALFpRa00ekqwwmXHf1KosgO0Vw4F917jWgz94J4ZTLxHdzvE +wLKaa0XWPi9t9+EPXDiKHpJnxTWiRoafLCooqI1y4eE8Fw5IostDP9I1PJwHAQ1h +yDcTvg5AWegd5LzLzopGKMqT8PjQUKM98FRTHA2tXLp8BFK5sjpnNMKt6bWANIOZ +p6lWkWavaMUD87rRaOBHGUjsXcpZD2WKoc3uxtPfx47XhSt1JqE9ecYOjJuRxIf5 +qBHa+Ee8bD1hWVpcBQkrz6nhietB+mwsVeMzFxVW9c1KHzetqqVcyReMKtjmcXLY +avNiBZAvgTXxhhOY68vY2EFISnoc/Pld7k6z+LnWVdfGkpcFO4uYBTx+09ek+toh +civG02iZWyqsM8HNAz9NBSK6wbUjojS/Zwn4qU4dA6zf8UhSk7Z/xeAiTzk2QXj5 +npTFkc1OhE2W9Ow+xMwbGBMzqXWDsYgAAgFDc2Q1HXXv3MT/E0D/yAyxT8Jexi4w +VzL6umS5MDpoTSRzTZC/fjDxOw0goaq+1S7ipkazupNf6cH5NpimA4ka1v5nHlip +zFHBwfkHDqVubQsA/EBWRhmkyINtVdqpe36JkzKuBNNEqTOCeCYSQovOj5I7J3cW +sTJrO7nKTYUekmGw4zonOBvkOR73aFQLGjfRge/ee1hQSHr3iX4KUfHaek8FQMeU +ug8qIw0RZRRxtNM/ew9fdqbU1rcn2jNUEjV8r+qtxbSa0Z/+oxKlML4BUgvOV8JI +ZXWr9doAg8k9bKTWE5EwiS2K627vsz5viZKYAo9Rx2sCr1HcW1ULtkbWAvjjVwwV +Hb5Z0qlBi79lyd8XfDOb1a2Bh+D0Yl2V0C/z6o5Rwn3IOwlXmA2e27VacvlwxiBD +FnsY08EiCX+0ZbNUbDh0HEa7nPSXNM7S9TZOez0ATUfvONofxAQUR01rJ+cAMuCK +oYdqaHLfI6426cVuyJoSJjDxBexMH7D9ydclAlnnqipPKqhMqIvTDbvqu/R4lB4k +SkhUVXuaOnM+uUXPQ2tRu3tQMLiMhpB6lf3uwhaY2OaZDj/ukir8Qn/QG/KJ5vGQ +lscDl4kQep5Nu8A6rnxLeqIJYsmlJazT4PYyX7iwssnLATVhQnhLdtuR0Gpxu82M +AenENpIyj7YBul2jcXGyNR49zViq9Zb7yzXB4C2ivGUwULlscpls5jrOz8eX0Nuc +8RX5HYHN1ViYPKqRR2EVmxZ719AM7ns1Fniz6aMWBiUTVPhGy0aN14OJ7n4cUuTB +vqtDFnkzdgzYbEIqNSbxsMTbHJbYywWnj/92MXXP1kAsNs3l8X89rO8AdP1pW846 +HpcO+fpHC3d3wHADqxEfvpiTpUkF3T16mTwUGF9AP6cXr5wqbksp3i1qpAh1U1yB +b0aM+xNTuxMc59CwXgRZLTLYmcV1jJVU8I5Tfn5hd2IT8UBKm1Xxd7z2YsKIMic1 +WAsedrheF6sNIVHHxuJZtNN8KrKNiOSzwXDcUNJcucxE7LOvrPjFfiO3TizrBNVN +OBr7evTLJF6qSpN8JKWXpTjIh86+ziFdUP3KPyBvpnYK1PtdLC8xKVTl/usiR2Ex +3M/RdBLlPhBnoqf65Xl2Qmnq3U42BSDOAyFQs1WGENgXkDwPsUrDOXguXIJq5iyi +BmXMc4rb9dyAeAb0bJ5H1u1g73eQDytsx1Rf6RkAFZnjh1yCBwoTeOuQH2XmX3At +3zn2X1EK1Qm5T1LKLnC2zyCmq6GvP9/7eeAlOaThHLIRZL7NPGtkHxBOzpGQyzRP +LkslQTROWvAJKPuKFwTmQsXNpmt/tjc/HZYYttpASilh5urN93Bot55r6qOcSaFo +4JykkCK9PjITSvMjRoKF5qbigEryE/Y97+Q4o+76EIV5Zv6PfdWTlPomS19GbAMJ +8uP8vEs7KXwnVUiB+4O2SaQGzy8rKFL1vBTb1Sh25obz9QuzdYo0sjDlt0W+h/en +oU1k8y5QF1vQ/CKQAOWsLU9DPgSAoxfS0LgdFco3mx8vOakNxcRLxrSGJ4nz3UDI +OrlhpGGwoaFHX78/y1ZH8KBH+Y2C/xH1jUTlI5EM48C7s1gJfP/7ON4GQQcNG9Qx +q+0YRFxRw7F+XW4srODSN87CCZ72OoSA4pReopgjKB5HURLc2Ong2X2VjpOTZRBd +Iads4P+MOjBYWA0vq5ABTS68TqvcoHNsiNICB8W1UHnhzkZNkltO4t03SdUG9/iI +L8Hl4M3nfyZwNTVGVtyGm4mPBOYaSdFpE/byXuiGAYqsTl7/Q11tXVeqTtiWTMVh +gyx7ARIW3Au0iB9ZTSycbu0GE4A3WAjKFUlWnzH6APrvGblrTGqf9XlX7wICyf28 +c7QsXl/XlOiQcFmTWuMZk80qGj53gmGw5sEgh0vkukrHwCP1g0CDc7roZfsDq0CY +MOIiBADVteLPjKA49+uspIyJFjxO0zSmVNBPpmvpBgakGAHoiHkn3F99tPBL3bNs +pChmkK23SpsE2cgTrGbRlOnv06Kjexb/PxQZZGn5WIVxthCIkoneFNGM+/2kiHbG +aC/RTrK/WoLtxQuWibiXJbc6dhb9mNd9IhqE8F9EM/AJP5FKt1xWKOf+cvSaFpCc +w3vcip7Gdoz9EsxNXgSyiG9wGnNSFwab+zEM1GcyhvJIb5tTrz4uB2vIRQ5n4oNy +ju+cXVZGh/A99OG/LztoTr6+YeJb8KpSbybm4Cp+/uCJOOADpi8gPRqAIqt6Zg+q +uBU23a1cHkA2oZbvhdorqSuxnyzaELt1gjQKWCBfqMNoLG9CViU8svqdNxdlEcyV +KK+Iwo5WXUTFCdE5mtlo7wUeniQ0oGppNO2mOTbJXYkbRzB9ULmBYDfeKu0Le46B +MCOhc+FnzypWA2/bp5CnlKfQzm1fzc7v//NBIOixtDeOsOJQRHpmEYC3FTIcQdvo +sI5XYMxW7j7fUG79utoADR8FJwOQ2XHSICGtbropXdEsGRcLyx6/jfaZq6j0Cbaw +LeA/xhb7dUVqABZWmLxRgnNQSmntQBxfy/vm+DGTIboFU/m9Q068qa2KsUuMQ6Do +0E2V1GBJonuMSqQ/fxLfN+iO9cZImYtqNszxzHA4HjdP51iu0F+56IZGNjjPLwIJ +TB5fSuXQ34+yThAa7SzB5CLNDx0P95R67T3XksZmUY4w8VOO41ZdglBMlp/e5wGl +8Ne3H9ohO7g1bJgJqYj07o7IRDEAIqIFAOQFcjJWbJgwFVCnHTshcDdlp9qlqz6p +IGY2DsnXIz97PaF4v8UaKVt8YnzYlvMOUb2HXZ9XifmhVbJu3o1kbBTPk+WWlr4T +j5nJjbnOIy3vrqXyeFtDxrrekhncASNb/RajXMDatrbIbHAdcVhUrCB0UlmjTy95 +F5jK34QGCiKrtTnGI1wVcONAoQbb/R3sr6yIe5BTXNAjP7WbqEatvqFIrKiGi/sP +sD9fP9CgeNcuHgZ954OJqGD6SU7EEJ0+ejXiEMqk2IEsATNR+vplOVcswwy+PKHO +ctHKrOV+SkvLbqVfXKYT+jcheCL6Tv6V3AiFfOjDFWSfvrtu2XoiIS8pQz9/eVSJ +7w+BXvPpubB5arEWQWuiTNjvl9kbaaIrXTK7c89vg1osVP+TgXj3ROthSS/Rcabh +X10oOemZ9wQrxxwiEfRkFyoMav0bB4jmBsWmju+gJfgJgk6J5Jg9/b6bAxo1/ITZ ++/dr9DkHNEWm5xgG2wQ1jTwqap6+YoLVTiJCYXqGeCoqXKLNK7AhtaOT1tXiTVrv +HodI0uSoqw2cp0iAhsthhR2JbAx/Vw3zksWyeRE7D9pl2DjI+yQKIW/4z/HgGbie +1UkB2vMY9TvFuhn7+/pjGHPpLu1MyjeQeXEKK59RUo2Qz74lTMJ+OrqQ/eD/TOTy +MN9R45rA1CWQN7ru3o/b6mhdHz8lldMKmtL6AJhUVtzwUehOLU4+mTDd3xo6qgne +VXT/4dBa49yPY62o1Z4pctUatpZMefHp43yBhoEu1GqSud3NiB9px4a8uqdayTO1 +H2JadYnn7ZIqVWFOxZkZf01s74oMbx6DYaU1vLYZ64Ym1/DbWW2VFKXqzq2C5llM +kbbndqz3tfOfp00LLNZr637IEWXa/1/dBZu5jE63ZWnpr4945eENF/GqmClJcJpp +5RciN82CuHRzkGKEwPiF4ZEuH59jnOFpwnByMnvnqrVhxhUZVi1Kw6O8xrbFp5CZ +FtR1sXJdOUvsv/uGG1rWPI5SEQEmDL5KN8vT43H6V2SkaGwsxoFpwmYebmrodEK4 +lrHci+Su0dgcaUBM9UT+j+eOfvBOlfVxcUCmNa/Bd1a5qeovKA//JBMs9JWl8GUn +oTedEkUeOnH/7PR+lDVc8RQgLkqapyd8ftmrlC0mR6C+LYkOAPwtUW/SicGkjxEa +MXbjTysvBdkGmCssd/9XH4rjvxbLVZ1WpCA8hkUNvaGaVRv9ZLAFNGP14uOCUQ2Q +ewYHDuRx2A5h6gmiEiRi0u3gO5yKPpYwYUlVr5ZWDH92EYtaJEprTkC8wyhOHoDd +28yEPHE0WagdqnpUXsAD/xZwNAPesv8qqhGEZRwg9clhuV2l+gPm4MvG9DVI278d +XRwvTP/S0FEFL8PYcQggelXDTTDOI8o0Z1Oem/jZNGrcfZAvwiQcB9aZzDbeX88i +6v4Irh/p9xwLKtnrxfwEsSyX2mGmHgsU40cPIK+H/Lpm7oo38bXGEaULovlUUH0L +BHilH4kYhUZozXhJkZR/X1Sp6tv76MptabW2d87UFd48IkzT4oCg17GS7iu0kuyY +T4Pb0l20jPlPyBr9upT2UDN+3Kje8KRvOBgNbUP4ZNrnwVvgE0Usl9YE+cdn19mu ++Bt3eglw+YBQWNmiAIBmcGIeVwas5OXZmwA1D1X1ZSjc7HnkU5KgJP5rkxtw0csw +UtMg1+6lSPEksi/NpCx5t8pj6nM8/dxYUTgKgeiQ8YjmMTpzOE6zT1obu9K5Ze2I +HR2tnsMrxYmAJp0jvcJXXlWG2kwMILDcQn6yeCsJcVwZA/LNXR81ZHTfVIATXBvw +uJL9uX7pTwu0WxMOgJGiOL5Q9UD349NmZ8OS/cJE/F5o/KqmPaq6Xq0AfqCr7hQj +7mhdyBluPelgvGJBforLFgn5cBpoGHjjger6LHI1rly4sA1+Hum6HHL1uvmu5hsI +4GzywukLDX7ttADyhsMTaf27lpWJZtsqZC+hrQDrQmvasVzfpgKhtvYzub0zyBiU +FkZNxIkHOI9SXtlH5+10Mg9s94Cx442FHAYpShjTqZgUg0ePWjGxP6IMz76phoyc +rwhXtUeYRdhiAeZYaJRq9+PYVH0eRdCPUQh25kOp76rNusinU8uQComNxuDr9Uam +6c0Dy3SEkbtBtlSq/iYDgAQjPZxmrob82qL8otbwRMChmKw37SEifXWv7Xj4o5LS +N0Db7Att0k9mICVA79SBXcHimAr08ItecOyN3QJOVw4LLo+Klm94cLL20XdhhVyL +j3M+ButNsWnB+h6/kd2S6CEgATZQn3kjYGCdHQUFZfl3v8+S6lTZif7urLWEfa7Q +wHO1X7tYN+i6a3GPzjMvEcMYJHjOGfx17S5h/Fbg83Vdr1y+bwSX8U/AtF8/Fgk2 +JFvsU8Eo69lvVmJ4hj0RjK7qtzbGq1JO/ViC8FIPWnrdt4AwJCreoSaXQhkOgig2 +U5ZDtDFHqOynfvViKuYw2c6DArp7jPuCYL6TbQCwJz2RObPd4YCI0NHvL7MyPvv2 +BsAkj3+7Co8s30GLnxUU/wT1VD3sGKcfqKWET1iNtmeXaS0Y/786OxogA64C9GcL +inX6I2HFyAaRF/KP+sMxNR4dD8eyxDj7v8lHUOvzGjtvGhngGwPoZWVODbDs9gWX +yJOvaNfCZNkEWY9g9CzKM0sBZO2mwYUw46Eu2Tqetx5N5+B2ELdfcmd98ntlz2K9 +7oraHnEJU/WdWN8/IHePFfxWB0OMLhULkQ8Q2rrOpuCA77PJZ4Posjsjnb1TvDrf +TnaRE+wpO/lbZrxNiGxq0DX9GCnmEToiqjguH/iCgCJxjjbWGLbV5YWQ4gwsiymA +7VizrDYFyukX7d6HPRhUbklFa7Jp3wULn7wfTAvmaS6s/G+igYtj3JNuehKjwikf +kVeaHegDtVh63Izo4te8A4YbrgDWMbBMi1yotyaclTWY9NUzU+AUDi4MFINE7ECv +Fv8xgFzbked8NjsCnQEI2jCYqbHMX5o3sxLAgleMCScaRnjTEQsUHQpUzZfzmUor +KoecWMSHO4+nXM5/hYoc2n7bhgN7eOAnoy6LMqXAAh12ImTQVaw/365IXl8L4wt3 +AHYlUd7Fr/Ee1np7K/xkZcBS/5+u3oW02WNKkE/VXpdTSX83ImaFstXIWaGl9TdJ +mEmqo/oEbb/DahWQQE7U/ovUTqJ05TlIt/ZJDB4VSIPubQruJod0/Hig37LSFlqg +H5VfX4jfN/ACeT7h+cwzDzv4IMRN9HQy3h8OLkSgMx+tI9j6g1Cs+b/fStuUpFWD +m6y0VSZR58TIxhzyuDEo04dJCMCKkw0kq8rDyi0MJPA0T+CD7bmdFB5CDr6/vLRO +gsU1z13N6KQ+p6H4UDn3lfGnGKfjmkNZ1eK1PwHWmW+u+nJtiTkMASfhfOEjVl6P +s+ZcVMOwXgov4m4EJ+XqkK1rZ9JZtumOJf5hSzdCeMIWqS+g8KIbawEcZaVLTB10 +p/3K+Hn5y5wfV4ML6TsSw6hv4z4bXKsQDmGfutJbLi1RBOp7vPkmxZkMMBDLbVJk +WwYJ44o3UUiyt6F0KSWRzpYK84ajwmjNA1atPWKvLUTLx2ZkESkIVbLZxu/Ov7Wq +uZz++6LP90jt+niTvgBNyo4BhkysRY/HW/0LpjSRP5UIVEyCtEw0GI/6yu/HBKAG +3249H3sR/0sjLefTc4PLTrVVVXE02erhPEdtRL+AOJZ5/R5iz+hQGIedi1M1qW0x +X9/StjQ6JyNgjwe0gK7ZQ4Dr3hRf+DhsUqRsY/yy0E1Als11/K/emhLBA5Inzjcu +NWOC+U6xryW1spRWBRep3RdQSz0TKOyz+8m/iJYpH4xrMSooJMnCGv23JsmixBqt +4RvEh1uIQ5btLnPZDaedzaUDi0eBvLh9aLE0qgsO+mOpp5PzSrVIp0lLVTCdJSOa +qobCjHsY9raxMpYqBR8JUEdLbOQPTVGx13EAp3OL6A5ZNag1efnQhXPm8XWlN5lY +fgG3THxqrgv1prEu5mOPBttIxv4LqfZB+OkPuADrNN6LVkCEB5OC3nmJdSl3PA9f +jEOvKgtIQ2XUd/P8VWTHFWl9kz21nlyDG8vFtib2zjcqeueSnOf+60bYknSXWa8c +7tJh5Y8Nn1bkci07ZKoQZS2jkcsbzLs0dPsWOf9yCkm/QK0GtiyUkacodCF8Zunb +XZTaG0l482uo+eQBOYsG2S2hNKXFoax1I90AI+V/GjRMccBkW8xvPquZXS6F93mE +3HBkmrOG5jXldoNq2dzEADwJXcibW/H1kmo9f1SdBRQfxweVQ1OD0PjrR75V3uLm +iAPuPWmDzJFLjYugu5D5Q2P6lSFqS4rUIY+MQBOd+ErOjEa2Ais74j+qp0b8vaGT +5daAUfD4Qjxd9tW6fY0D1OHwP4fspt6xrh0p9oE8e6Wvnhu8XTwwgmAtCGYpyVIF +IfDYYmA8YOHFJ0LzURvRGVYlNDTpYetiUaE4KQ88u3kaJCag/k7wLnagDoAzD8Fm +4JZzL/1bVooSU4+ENqwhoZ5HNf5OBZlMFK6XHXhVX2DLpUAJyPxzmNNmcqVc0keK +C3n8F2eeUPXcZEkvUeGcyScu5hCIipDXoD4dblfDzh9ridxoJYMEwMpH/Rv6O817 +inaTfQwv//KLwtjSC23jRQh0uTnuCZKbuh0Y3RRhfElrn5xwHSsCtwSnBiCYXVQ6 +HaKRdQJFDU8n1zVGy8OyFHZ3+wOvbpuQc7kTssruULEJYdKWKk7eUbFH0uLvamBl +j3DpxsmIewesx2+g1m5xbsWXnjZ3TWxk59TYQtr751MgKGO9tdUvUIo7pLM6U3Hv +2X1lIePSlxP9Rc2xcTaGSo3EvOTeHdSCRWW/9P0oNUuFOZYOZeKWSgbX2nx7z7el +T5OdL0jP1pouzEypTFn9SFPfiR8tL0j9Ii1N6FKSFMPuF7zq6cXfCXBSiiIvNf/3 +tB32ryAIxhXM4MuDj1MKdoi0w72IcZmLrsltTNGDw2ZVdktAEqVfgtLYAT02vBnr +fPqbGjUfWKKIi5MRRZql5kFHDgo2pH9j+LDVCKhVzYenmjI9CTufJobTAMumE1T2 +Rya+3yoIQ58v5CRwDBWH3AUii/b3mn3GtQ6qDILoxPNYSM5duOgfY5oAXBjeOnCs +HlJZRxMa+v5876pRS8vPaPh5JJZwqW7GZOFJHZGS3R9AjWvB6ZaDkOPssh0gcflp +rua60H76V2PkcJ9p1W8qKad8xFqW4dKkFpkXyCSO7nqmiRtncZfbrcSL6o6Zo1Jy +zSh/VBRYwNyYLp/R0h2O8wsjzO6zF7fe4nQaV3uXZrPe7eCM3TGew7Udw9+4E54I +/wv2jTlT0i8dBQGgxN6hAgZlJrBMdDD8tX35k+Rdn5aYkTmsashwXZUS7ow0xBRS +8CAzSR/VHh0clRC3eDyAaAUOQseO/jP+BvvPu8+dH1LCRC60WjMuimo+Im5g3pVw +opeoTZevSIUvgUfS0E2BZQcDRHxQ6nl3U5YJXj641SjziMIbepI/Z56RCiHnMeaU +LWoLqj/SHpoTBLQn8hq2DuayXeUjEZ8u7/wE7ADeldIV/u2UObVpq7/6fNcGA4MS +5YbTx+BH8v/kmdWMKXN2JUisavlp7fDU8Z/nhXVc1qozDVyeyp7lCnxQURLE/GQ7 +t87uRnoI0gto+Ul4SG5IqerCoEmPJN/0/YWAjW1fk5x+oV5gmWoGXna1Of9VuKmI +VnJ6Jlw9bwNghSlIQPJymoAR4BhhD5daruzc+Y4wVWBGQEztOCR9EZo1M6NSbnyi +goNLE3lYLq0SUoMEJLVtFF6UMSm7KyEE03qMEukftol+gFWzOJPshYkF/U3ap14L +3/5p2Fgu3FN3LJismXGDhKQ6J0DuPgOLrbVHRFlvdiQ/vtKkoGNKRt0Z4aO6mnlY +Soqz1T9SThA+U6QmWCLOHQjpIhVlTdUoxry1kd8r63i761v9fyXKYYHeFq1r1Eeg +NqhFp2DIwQf7z3prd/GfuuVCgbH4zsoflpr7EwAwM19xIkC/hu2+7M261nJp0UKH +lPYvsquQ83hj7E8jUGDnIxhxmJxpoRHNolaHdBFSEOCep2w6dE1NtkMgW9XSlaFO +l+W+hPIqhYt2MzOeqHy+GTntIZDbDwlH0E/6bMpQkl/nXuKlsyxyWfI4SBG7r+xR +mH/3KAGs4ilphh+vBEx9RnyD2aiD6LOIRJez9xKpyre+iYm3SHk5u6lckdO3pNNH +5N90G380XW+OfMvknCw6PjkbQYMNzxRV0BU1jCpx51NKkRruPE8V6o7PINF5ovZn +JKmpAcSgT/AGVanXwf8AR7zZ5bvtMP5fMNroz19GMndLSpaJahtJdxVB9W8au15U +DTLdWGDCbKTUTHymAOckanpIdV2mNQMEHkbpw8trc1zE+GqztJBTh5bO7AlP4aDf +VTZOPR//4rFQc0fCAH2l5i3XdVoFCkYl2jspAZRZb6Vhl6r7LGsf7/MVZhKyL9Zv +ghzOOSwOURRop8vYFMO7VAGuEsIt4PBl9K8iYmYsZNbGb+/r6CeZKmPcKiFmvr4G +DWHYgL2sTHfRR+nQ7FUAzyXzz5DOOeUZ/kNSFAyF/44eNwcX6URLW7WdUGDrC6Uy +uXdFEC+VzDBCyO7Dfpx1V38tz5FLyJ8oQ9CQTkXWk6KdDELaQqapz3q8WSju0w13 +eUPmWMQUOBnQ66hRy1V+FS5VucTPJCeN9GzZzPms7Giohgl+7rgrocZW4ngtmTY7 +hhYLxf7UqVwlcYLjJycdKUCE55jZTKS7Mleif2VV5X16Wps/uA/sXDLfvL0xATM9 +cCH6HbAzBl76pGGN9U6jdQyH4l2MvYCAwfrOTFqDPY0wpWkpE9fwvwZAWwZiDSUV +bi1S6yyMtf4mPqVtxXccFl6YzMWs+SI9XHLpPjb4SXWaEw7oHXQqFId/MdLIa/+I +VHqJYdeo3BRHNtzDsudI2utPXUMcVhqrJjhFetjQFKjTZIo0pBL2br6dyfG9sCGI +XXOC7CXhunPApfQjPB9xhu7u0yujThiK4Kxrm7166gul/1/9u6lpGTcJ5dHBt2Xp +0/X7KM5TBDFWx6HdlSlLFtsLLoJlcJyg+casIks8hPzvm19cTBXXrX//ERsAJavK +cEAREHaF6EVU0P6M0Aqg1QRU6QIUAkYw1HZK5SqWdwXaJNqLBDV21QU8CaK0EU9D +LyCE60jJw5GXJOwdCrZpnSIJ5jWnJho8ELgr/SHvctOtRrUHfrumYaEcAQKXSDcI +BJAcXr5dxVXOvVqhHhN7FF7C53W72GNmt2suHNk+dC2DAuPMlOkE+RRnorYFGi5Z +NkyGi0bRrUBxLfVwHevtHrsVUSQjrW4olOCI9XhsJLeaA+CZLT/HQ+H3KLMfjouL +q1q5HFLBtYHaFWHk90qWlIsJJYarhee2KSVqmTZ8Fc6Mc7Iop0xu9rQT1fKiZxew +TdFbaaT/jCVkLm7eFODN1uBsnGRZCg+GZhw/yeyt9beQnM5UPkFS2MnFwBZhWe/k +AQBCQbWMMkmgyAi20WaVb9HrqNAKL93FijguOFzo4af4D0DgIPuzwe5uyRhrKcfw +9xXD9qHWVyvGahj+jnuUbu3nGVD3BpwmujKU+CZ/QkKQ8bExkTNhSZvT5b4axiNU +rKcoysVjsuPVo5D7hjeK5QAWo/+06Gy08jqYMWR5vp/9ldsNLAXmTq1PbVv8fLaq +Edan2Lkkm2UuLHLPa++pb65C5RCU67xKYGA+hn5N74IFIoL7AkbqwEJXs3k3xFMu +Zwy383QiD0I+mOnUaz2wp0mHHlz3nHMwrT4k2zwn0EsLaXARTBXit2oV0AF1iT6O +rJFCkNHpg1aCwRNztegyf4Dq4K+ONkjJkbnqrixVSXUrBkqgAHw39wOhg4YGPR+j +eNvldbmmRxTOYg/19mbiptVv79fAdP/0zlB29X4D8zQEqecaCESMzKYdLibACeW5 +GGvLwA2q9fRk2jpaSZKj+2kE1qIl+eE9UuC4o89HPzSdf+1bJPDlxr+WKOUmQoe9 +DQaFGl4iVId/WWuHd7nMNye5+k64Kr5Ock7qR6BjIqQTtxudYeXlSzCgaJAs4ngI +jc0dKUkuVjaztIyVNB7UaVHOfwMrbK3p/hDUvkCGRoqpPSay72fm0xcpSZqrJ2BD +sGZP/a9L5tckBDaSj46KIFeZEeK1OlPOQMd82hCIZKzhxgl7Onmwl+G6dCIO++wj +pTD20/0mZOt8RjTBvVTIVBLjvPj8QvwQYflzeULmdxOwbaipG/M2ez9qPtaro3dQ +dMUnSaKP3W2B0tCa27G4obpLQWDePXFaOBhwowyIJcftNJaNUDTatllTjg9XieBp +pe1DDaiiT6FvPR/DtzXLosXH65ZrpO5hiZEHfW6Fwax20fdGk2INiwX4vCq7l1N6 +b3+EbpcO/Vo65vcNLs0fIyVuIg/5a21dBdU3Ef4C3HtgM+xFJpZIfSOWhAOc5BWa +TLMm2J/gntQHSZphCq2WZouDj89Oef1nPl1xVc/kBvnp73Blmkl12BvElTtWhZRD +2X+ZTv52Lin1OX9hGIeWATne5iYjgyLwYcitO3nw7N2/8KyBuyXtp+jMwTh9ODbs +dFG+DztATbtuseAFdzsTfBKDOYBDbBwQGAdceaj/Jwso3nXVoobF4V89DIWFKN+g +/q0PfFqnkC012RX81ptS/GBT6PcCQgxTmVtk5v49McPK6pDa/5kApNwMhQ6/KtCl +f/r4odmYMjD4drV4DHl2M1KujcFP3L+93s606qLZ/M3MBvb2JWJZANh8/iZiWQvV +IHAhEErRITidJeXYRsHvJckgkbUHAlACAXYj7wz5wloPzaiZIATw7tBphvJDcdRB +lUIojabc52a3Mmb4l1OpyoU7AvIL0PsA02Lq9QlAvyEeh2Rsm8v5/HRtgW9RKYRI +iNmM357vgNyp6ewRDjDdHDKEHBWmFzIWSuyA743Ox5c9ZJiZ7JaxuegFaaXzGXUT +c9Dtt1KGSB6K/kxU70QwPwzWPtAgrc173k6ZhcDWh71ZzELP05av+egm+kn04aRl +6OQiAGSF32vb7EH3Tgydti7oywhK3rQ3e+Ss37spzLFEhniqbsVh/a5gK0tkqfYw +jub5HbDBclo9JZ394eDD6MMmULNivLLol7nKQdWjNmUrB118PyTwfpheCD1cU2ah +dcvPQcyWKEdTopG0/N1n72ZGAjYMEl6vNGzpSiXvnhP0O0WKpARWRd6zC3zO9PXU +o94Vp3m0DHdtXKrlOoTBvAU+zG98onC5cE6pihlPv4hnvQ6Mn1Si767+X0WJGwRY +xK+qpicDB27h1jSEJau2n74wY/XtfKtSGfWR3n1yiTZubHYG9MsrvmBqlPvcNIjt +m6V7qC9741HvwFxJ1VOngmYnihWytAcSlQrUwzIOJmBOkp6FewGJzca7eEhxVaDX +yGXg5Yb3dKEplNDWTXcCBlqnHQiufV0k8iV/IVwSgoibTvAnAn9/E/c0iQTipbLb +oSdDjjdgRPpGtqAIKD8u/3OI6pV7f+ANFDlZM5vE/ereRnlsUuIIm/lKVMAmeMlx +fSXkhzENHiRGXk0cjF+s2bzQZLu6+/TSzw0t8UmKvdvOulEnHMrzpLxzj6wrskaH +Ce+0DyIi08szbj+Kc+dsIwhku1w/xKLvU1IT5rKTMI+J7DHJmlg505+hUjBoI69A +ayfzWcDx7gWahdtYrR+owYLlZlD1BXeuJKMXv7s6/KwigeBj40beEp5jxNB+8baq +/EGJdINSfRdpF28N6r4KlbVjDhqQX7LqEnpr6Ck2ITu1NEGvFyRh5XVZ4HTx9VKX +BGfW133AYKVTjDb4SI0waorDWmKPcnxAnZYc9vO9gVlgnwv/1F1TI+9ukSArIiB/ +7qXA2S0rt+MW3O5BEBn0YF8dIDDwYufYrDhhNsqYdxAwEXcERNipOcI2s3EBc5Vz +GmS4OCMOzNITFCpJm/PCh1LhGee7O8VRuIClt/cBLmXA+zUXBixtNs32SEXpu3EV +9ed7hcwgQCRTBtOw35qkv1fWHjXpXm5g0mVZGtOEh3IDtzW+IdRcCw618b8tVkL5 +RaqDUKoH51KdH+x7SPpynsMZY+nAqN7QBfd2Mtynr1C2h7tx9dFjBPPJfGCok20W +RNrP1P+RsFW/Tl16cvjPeoeUFQkSmilMzSF7ckPti3+lZ3aaDhe0wgEx56PxB6Hf +iAHd3Ys945jQpqAZf8EE2fC/NVgQJH1cVdre5b1r0cxZq0bO3g9Kv4cHL7SfMvGT +kUZiQVmNeCy32dIU0bTbVWslD56yWUgLCt9LFsHIdb+HFP4b+cIQEuPLCC5GZL7M +OqjFGOfrc8XISCeiA71aeJbVCGuCc2ZxxXKGkKyD+MngpxMiW9YPsuCYKNNdc7QH +33fjZPGU4dIgWqneLjKH0ftOJNOtLY27NgS7i21U6xvt8TmV15ai1VKDTAjQ1SWN +WPxhR6kEQId5cTNv3ssALeyrWFOjdo9FzCRNcHexvfeFnZQ7fB2UZqA7YsOP/xKI +nhxoP0gSr/GqBCZqkJjYB4NdXOdNhSt9JduXGTGlYWRiy4A/Z1QwV2hsQUhRpVAK +mhsOZEeEVymgQpKpYFlpya3F0EAnQcGH+sp2ezVDVO94S+O4y/f96IBcRvK44s1O +A8jO/jX0+jIIG2GAFr8XDplGswz8I+JIEmPe8GlXPWcR9PykSi8rxsQvCQ9csbgx +dEV87YIDSE2AbpI4n0Y48Xw348p2C9cD5oqYhx0OFN1TxLXvWyO+a2WTpANd69rm +eA2f0wZHruoq2+vFh5XEZDX9Imq9ajmX5yJf0q8+Pq5nv6CMrdXZsB4XDVmnqlD1 +KKLO8idhELB8AU5jRWFrOEUU9v5iEdi3Mj5E4goD/dC8UjWTUuuTtjMQapOpnBnt +3eHC9kQ/1oebj+3AKPVmjXi/xvo4/P3WfMH6BbywgumZhC7Ml1Wa7vMc4V7ZxeGR +lG8a9pGqLHfy21zHLMkiy92IabjPOmh7tXhIAm66r/0aoGY5PRz8UtWC1fgO3rpj +ja3Y5AwtHdC//qA5marLoM7yDxHEUxM2UkumF2bTO51l1kKlqNJr8dtHiANrLlWJ +j6GXB/XYRRQcr84Vf92z9o8iDovMk+L2JzUS73Nek8jsILh8dsdk11yC0FN43mW9 +UEicEIoahMFdjNepNSXPcO/Lmx+b7IKroT5HXU7vTB3Rgo0/CPJU8tmGMLK4EMqk +rmZnfXp8pi7s16kTZxBKEg9Y5r3s/+CNCaqCGmOHsf4K0GW+LmzNIGzzIBLwozmG ++Ta7uX7Z+Dn8VdYC2eIcJa0X4CsAHMGAD7Kk9zjQMcFcFNQOYJrja3kj/+yLK90t +nbIED6ktmsVXQlUKtzn2diCj/E+V0clBJDppkPD+hKSiS1ZME51BdE8hCkeJqdqB +3x4nNYkY0YAy9ZhpERw3QDQFyoVwuyasXtTaE3MOgdgMgxF4EGyPVfBKC8llDjyw +5ytVYECdFXyan4Z+kTkT+mvvnKIL/nkSnawbAY3xexotLx1AolzMqxmtO3sMyiPE +sv5fjw5DUDZQHxv7hoonXymTfgue9QMfyonuQQ+8YDRfypqkP2DWryGR0PbWP3Rg +M11jbzZ6sF6Ic1SxxuOHu6bAAi+Nu1Lg0wJQhQzvOr0ODeqUum2dvVuZEDmjGrlW +nHrqIQJ0ucCJ60gK8OzFMZ54Ovy8bSJEuRp98cY9FMqMcHLwYjEx9IQRwHWp9L8i +/cZZGfMRXKClGed3i0dyPiY5+FYPw1f6Cli2HzYqH8NslVbJbe/aTV8eH3isP9b0 +/6k0jN6JQyZ9RUit8DRBldAe37/G7DWgbmAkwj+BrzHevpbBMrYb3thj38Tzi6t/ +4AomY/MUE2CYLZWmhf55RJ3rvnfidCPSeCEh7xh63n1Kz7wYtJ3eRHnxTWcuu/+i +p5HxXk/NZVNRrY4RHZM2Zu6qAfDldBAeJJi7qloOsrsRtnyWIVWG5k7X/QIS4NF/ +taTbvkrWyQ7quhU/ACYlwXunYWVxG47fSCHh2dLrQU3u7Xda0HRKE1jPbl59fy+d +J/JlZ1WPctWCUuXwzCyzbv9ZOCXsyi8fgZXNspqKmdEHTTkjpw5zX36ebv6Mq9Lo +UEsTReNyfW+7NRkDKjE6ry9ov242WmVcci5QFQiF8xSRC9uo18jICv4wYFhTQy50 +DVe+fj+vNl9MCkRFsP4IJfOgW+nUPLQLRVmfpvpmWdJGiYy+7NMBdA8PDphRCr+W +rk2IjzuqxkCg+uKFym/0MblTePCAtRADrCkYzf1tIkLEsxIqB2C/m1b1Nl0AfoUz +OfrCZrMjd4yUTO9G0n/Mu5EfSAUnJRXGaTyHu7hDTA7+1mxnzL5NR1ydOv71/RhR +2Q4mo80jZVOk2G/r0weexfV37ms9ptKBtCLGAckLN9IgVkzVheSgnssP1PXbbKzu +HEThjx8o3QWcFyXBcTSH41Oy+Rkuf3MdVABjMVpw8l09esbJHjtT1HwJ8XHWqToy +jJpOs9ECGbhTIvoKkjpgpo8v7aKAUWu4axzePQJAekZTbZ5V03RC0vRmZ3oVsZA9 +nGpc8lnpWUwjNkI23oBajRJ/erw4YwvzgtwB0R7Vy9J2FB0ssNKBG8NHrKHYllCC +Z3KOfgmcYJj4fNxe5/wcFjpPMD9TqnnvO9qCJFdf64SFYZ24OqpZOZsj2/XX0u3t +5QPX8XD2mkfp6JQGqO92VwoWTkhDzDkO9CwkmcTxcBZjU9NwtF1r8swPw8Zd6kUS +TfLC1c3HzRVKK1gmb+CqnU+qn9PYY5tVYdfRjZFSoYYXY0KdWIXh2UU3z0li7JBo +mF1cyJcAhE8VMRSLwKNBTPu0tFlDzC+M5zOFfhMJBfRuuDNpUF8IPeNYtp6GJV9D +YqfBz6vEihjP7JUD1fg/WKZC814yGJ35jZ2qelP0Xt2ftGtYdPdxZzZAPGAEEpPG +67brP/ZpLln1eWZOLZY7K0P1KDsWR6LsTxlk1f6ktbH2R3Uvzeox9goPEFBqwn5v +OntB+Fyl2RwP1DNSeonAWJqchdeSwITzWPMK1tHhaGWMbRZTc4EbcgxFzRoadDaE +LvKkyA1Cv0K8UL1LWOai0z9ViKEoq9B7AzNvoYieemcQMGeS+BMw3H7RFuz9jKnH +q8BfC9tkhCsd7i73Il946k/G1yYHrm6ZwXfooi+XA6XR6aQ6+hl3G57zvgC1Oz9e +iu943xlqT7VMP6bO0YNw9V8itq9NwrnD9p8ytp6xaY7pF68O3JVkfB933gQF0cBt +jkK5vHCe7IWYM4rtn3QupBABPYPoTYHNmTE3IuKOgFVuGrnXc9XmFPJalqz66zSb +vpIeTxnCsC6BJSS/8ZnSqAN8r+hm14MQNGNXcUzgfcsZcFHEn/ljJXPiC3jMWn2Q +rUoAOIXf2yWc/YcAX3c2NFUFTFnp5n6zM7H2kSWU0yqT6o8AVV2OrksRcKyEI3np +fO+aAxpgBPtfMKJsX8fB2gCc1MQZR4RfxQOZmVTfzm7A1nWFTbHw+EmIZSlmz9rG +wIKyirGNu0GWkcPb0xsRnvl5oQG8gt4Va/mb1p7/EWG92/LO9QxwX8+i6SmUzFRH +4+Ox5VJoTqp2nqKaR6uoVJnQwpfjfWZzpmbFqfQFkr8IQ9xdT1aG/9ZXrACE7E0V +iqyK4nPPjObB7HLF2BaX4e+CGd4Y+pwvhjfUqjdwQY63RQ1bLAq7WDVjAcY7qq3D +3iBzsEPlfe/mak/3TVyAT1CjMCUF4uILtcjMwTb8EexhHJL6sXQVGo9cP/HZH2FF +Yw9BS+L+0fV/sn6cUtjtyyyKALxLzYZ3RJqQ6r8in/r5xTlj4psVTN6kUtEzKofz +uLse6D4Ngptmy4dGEpS8WBeaaIOhIk7EXaBKNKEv3O2dcAkB6U3MCXp6AjfGpT4s +GlkTJCWiofvKSRnLYZ1r0uUl9gwtVhPm4ADwsELBiQuSyKLpH7g3/KC5/VrNaALF +sH3M7blmjmYBcG2c7IrSiKnxSxbqrRyfMD3wWYg1RVhmKXTQqitwM8bK+aG9wXyi +zQvo3OwcSimnZomok1QOn+YmyDSJm+O4AO3GmjH6FPy03rJhqtwsEVaRbslifEOP +IeOCUj7Wvb8LMhhSc0feyzhEnFUEbl7lLExuMYH9CyJuJHIt5PQQIt161pgNhJt0 +XJRHWqAj81+3OEEP6uQ69Y+vIVCSdfOTzTOzLorbiIgOn2o4o3ZIJ8BL6QPQ3mAe +5yFMpCGrHLU2j9V83SCyhKeRxt8vZiFMhtqkZqqfWKPFfwZnMG6pPkfo5aB3HrTN +f6Wo3D5Cyyc+cyIPjEGwUEfmbUNMKkmOVai27mslQxhNt86QUN4Jl+1kUwbXO/zf +3XEfDEBzLSwFbvdG5MMpUm5nBoFAWvSzLBVXeGJJp0y6NssyyNFxHx9/crSbQlnS +VrkBj6zlKzfEhtvanpDeur5mAlWLocFL7s1BCET5mqKRF3u208w4cU6hK9mtR+cw +dMMWw8hy7KmdHDQN+zZ3Ht0hVraISX+miudy3w58WcAS2Qc5wGj5YdvaTm3y+s5e +8xNwc8GkcONEesjRmnsDcdh7TIiz2Mx/Xo2qanHy8A26+gn2LGaPcUV9ulKzNG+u +G2JxwoOJBoFxeckopZu3SPlseeKvqeIFSZx96nssvUQaxd4x9jFDghDRdddfRpWC +kh/3eMb0WGEetM4dO554PURqqjkTctjB1LLdlG4haBy4hT9alkz6kjEygGzeTans +uiFnjn0DYLGTbDDD3LDvzm/XBRgzEbsOBlQ525zXnnPSYb5+TR1i3qBFBBOTvFVr +aXldUepLMINtI100Jpu8/VHQMEmFBnPG3TP8YqSi5ApK2DqzPW+Pj2ia3lUOQtNq +5rwbqRMllhsdviNZq2gwyG5ZjSFlqDToPs1RsqMsAk5LWrjl4vVi0+jNdUF3oAYq +ky43i/Wr/rjTtaWEsWCDlmn6yATzVhx1+A7h4/j82BOnnKPZTEdXbc8ybejYTfJy +smK5WLwBKk0hSNZxhRkrwPuDshlZOgwTkkNoO3NeOXwtwOpKn3NMb2pZfyLTUn6q +zoYyycXBhxv73ngdt9BrTTt/SEXZwyNimwrK+NbXQ9UC59GuL2LG08YFCb8Irvrw +ffBC5EE7YJlLWSKrWqbWDx/vUrI8/2YDapv0/D5Njx2mCeQGRrMTqba/tL3b1qty +3siunuavJqGt3yxWAGMtSH3wlXdPurbTzXsZFM2Tmh3mJFGACpyFGj7J+GCLjG4v +dXrIh3RiWQRs+RNqFKD9NouZDXlsTYCLnjjEKF489P7Nzd/vh1u7vhfVqW6fE7mK +qI3gf6TiYXvvab7TXFJUV5Qzytiel4IgDbBLcf1POpeZiv8IeJ7AaD+EYAa1nrgt +KjmIOMS4iY3qJ3Wl7c01jgrMiK8nSqN3WyMwDGEVjbIIXbeEaF7zWkAh6hAxxiMU +WZrBfKMa2vurn13PkJnSokF0Z2GWV9B4tzmZERk5kzQpiDatbu0uR01seXqgEiMZ +RDFNeM0mPClmU/xPVc/vVs2ZgN+2l9lcdxd5eKm1vN37Ui81YKNIBj0SikAHTNAR +hILw+/xuO+4bPIRNveZ8LEIOHK6eVdWJDrLekqlJprQprsaRTT53SRb5DruWs70Y +Wk7q2NhMcdlpCdKv0uWcEihnRtAfPEyxS3aLAA5h3dGpXYfCZfKxbLbzdRloz1nm +B5QZoQNmF96qfdQlwOyHWGjbWkkAlrYGHweJ4GmXMqtYkn7B8ac2KPQnVfBh9jU/ +br1V/wdch0UQvTE/0IJNV0XWJIpSNZSGXfLfoe/Xd843TidpMaIY1DnWIuSQHqzd +tKv/hzteM/cNbZDpOmiIdadyjCCZM8MAlOJu6jQ4c+Y0LQJwmY14hoJkmnpnuPdC +0QKP6kqsrXmFlsSjUJDyOcwOIKq8kR6m3Hr/9ZEuUsXoybmnQsKeGbCGt2Q7GTZc +nGBw52fUG5xgr5RhWfb69b1hSybn3l/dhqyqzI+DrkRJXc4aIOMfFX2qSVDC3W+c +95RSBntCtxamzkpixzU/HGyjQ6jOZnqPXz3WvSvfUoH2LdERhMTGM4ufmycmT//z +BKD1J7sHrhOEdG4oqcmarHnJAgvySOy86gfl+zgltCwqqUn72Kx5aS/NDFsvbDQN +qFJlnUk1RVGLjRcJdD36IS41WYQeP+8hiqsdAZRwl0+WRD+FLETlAMQy6f79qkmO +g7JVoFFF0c17EEjCJOZZVq9hqwQ2so57h8ngk4f7wMhMSkpxPsMmV8NL3mFfzP8B +2as+6Lqd0DKu4DLALGHUKLB4QCHkqTQ234aapwAgE9YWRBFitrsx5xJmroJghFRc +1ZLF4daqjydnMYAohRp7s8sVqqlYT4XG5JSIim8bZRiZ6xxpA1+1VtZ5cvV59ZE9 +jQPJpwoan3ikdGVAexkqW24o3I1YOvPcnX632Vyx4o9ebeQhqDnNgxopl9SA8oOm +1fCK4QzYU0W27jhTKP0j9Q7RI1Z0LN+acQ567DAunCs7ByuKo796seGYWuBQ0TI6 +4ZVw8w0w69ASy0K2PJJmEwwEzyqbtPjrOKl3zOz9ilBXzD0o7FCU9nnFHG9/Tg8+ +hj35Bhl1+ZVfYqMyyXxc8PFCyiiuAJpKOzvx8H/MgqqFdB443SGnYsN731RpkI8q +8qF09goCzXPxEFSszVkNdjNcz5IWZFvZuGNzBKijmKK4Km8DmIxHD3iNcsmqORDT +7eP2p9XaNVTxELGYs0VjIPWXrhbSDwNibudf4M9QIIv84BqoAAuddCQQeJ5O2oi4 +FiGjMwMblYdTPjitXH0yBOsMRVmUIZxyw7tGeSZrSYYJiJFE1Mg5XB9+/J84awXp +iNnDeaoxx+pAF9bvwstdn5cgb6zy/xmGNy+hG+YD4B7RnK+Sazk7TX4ZES+3O8Gh +2csG64hPFiqtP4IHTLB4GZcnisxIUu56y1xPY/vsGkBxVTpL5CBacaM60kp3C6E6 +6UKek2VXhD/3sxlkNP/vJM1zGyrzZdgLtlEzyoVVgrYTNfQvbkh6Cm92IPoUMUMS +fcPTWGEP1dXt89P8kaCC+CkXgT2qV16Jq+Jz/L7ObM54P4gHe0DPt+/8H6DCEGGc +HDBQijtAzXnceqMMqROX+6g+jFSBOxvCY8Vt1qo7ne6HfJAz7k9bu0EpryJMFbKS ++XcEF7fnF3z6xrg+5hfHGNp7Ae1LTpqKOcS3ZrbdbXSyELUh3YHgXDrtKvSW2BTu +DQ4S8ZI8RaDssr74pIvXXGHoQn+pN4r9XBLreYbwqiB5m2ag62atKx4sDgSPmf0r +edymKZOtH4Z8iDbfp5+SJGIq+pHSA/2cvWh6O7d4Af2kEv1h/KCexrSva19LcgfU +UwBgttzvKhfYuFUnz/3OS4UxBZkBO7KsX+MwwOJ9w+G2ANfztCPIw5GF+kqYxaOf +PQ/jglz6qEbWlvcMm6UoiArZH08zPH6zK2OageuJAiaheX8bJxW9OEUCvhWhJuK2 +HiEx0WpBml80/r4exd5r3JIYbwwxXaB1CCFOGVAxu7FLEmKR+LQ73r/sV6wxRWxb +Ugo5kGFMBIMougZlD/plfa0La6yMPZgrau5WWgTphK4Qnv/NIV6czXvhWfFWxGwC +ukspkIXRYThUnGwbG47imCDMkJ6SloW+mXBB1Z/D4RvxRB7HcKV9Zct4HIcEio3n +76xc15B8JYxOQrxQLciP9Dlls3AorsqoIzuvxoVQ5o99u0gomfa5xH0vM9mzb9Jt +oD7Z4Lnbf2s+XJCpE7ruJZPO9ydYqoCAKtLCUvh3n8pgWzf2cq1++ZlF+OI41AhM +Xf7sb88DYIG+K1bs+nqCjmU59dBVr0qaFNm0frf11OEzqeXE2v9LaPGmnscYXWLo +YAvzFiBdKjpLPFepp+WigZrS0yHDVd+7fhnSI2kVGqUY6Rx54PJUivowGR6Q5g4D +hArQdRmnDw2lQq6dG7innDs7Xl+9d35BCFDaAbk857cwWMPXUyBDCFOW6JGR6P+i +4XQTUQ8hwanWFkEIdb2dfUKYy8GFBwqmywCc38gkkzPyW5EeBNem1Ruuez8FamGm +LlYVsanCNDhP53ogk9Gb3nIGQsLWTztlwrzW3MbGIYdYImUt3Wc1AAe3oYCWYOgs +oJ/bAQEsTRUxfzlSOmYgMptHD4kD1vU1I9hHyb/EuQgk4ZpJ8iLc0CK3lF/EtIJI +wxcxlsZ/4rKXM5IYHM9V/0asnrjZt9tGiKSPg5JgNPgBUU6ZnEClQT8S6q7/AdMe +/K8gCQN7gAgKndyep4qJBoeKf9WjZv3MJGF0W8DO2yTvyL6sBv/MtUja7QFeY30B +okmfSOJJCug3Ck/rtRsdavI55AUHd5cc9i4IyMr2hQnYH4Ad1nDTGb6BelFCGT5N +0NQqdHN+b7yCZcmHMdUkx+vkl3QFvyQwYI9J5Tmbctltv8lMtnbWbrcPcwfdAvHN +waEXYqvqobKt7bjCJTu6GkV9yHOjC6yt4BeWleEzQVyVCd5LcHC9FuffNo3nhSRt +ACXqOR/1wNVUSQlXTQR8VzozeoBGvqbhf0lHOcUTdov8m/BJzv+6jc+7m00PGEcr +MKX31E8JJv/GQyxHP71FkY3PsQGz4fAEJ5SMT+wCPxUrfhoR2Awc6X/+HUWPQFMq +6ETSENLRYKzAJfhxgpcrCBslFjiXVeWgFGF50qvb6MapzeC91Oijd8rtDseDe8LD +MDc0OYvFK98bTrZE3uOyr0z9mPii9dAN16wCQ6VhHYVnLJ36QqIN4by1MDAYQl/Z +rApPAJyLblVoZ3bd1Gffc/yv1X/UxmHmW7SHnXrrwT3qi5In4lhd2+ULzWQ29Hzq +QFOTWxH9Axabezr8f7vN8v5v0NOWYkucVO+ojbyWKIKJigwE5WcblBDKv6EpNjNL +2zAJR7nnMMhC9ndFKdID3qhWC4DU023+7nwpWKQPaxQQ9JFh6mYHToh/gbqa81kq +74SLF4YligrhwnZ+68KSQZEhWT5ttCAS+Ryp8TNK7jlWDZWsMAaGCkNjPGd9GQYW +4hV2n41omSnO4ZYrLbZE1Nw6esKo0J+k1q4QHsouAA9UMj5jVu9qHKP/aK5KnBdO +PG4PcR9FmqT+/Xvr5ndcB8CA7eTFATBDpnkit90WFOSu39itdQPFu1BkEnIYiKlx +WZEzOIb+VgX8tVYn/8bPvjQNCMBd1ztwJS5Gxn3rEkHaRdVAwE3GDc5JxLyGQJB+ +mshXWTG741NbWjL874/7h4Oaf/uZE9hXFBWEjdSHKYFbKJ1QB0cP49n1qCcENMAE +vLxOMgelaJ0f5E9qJ5Jrx4DLECQcH4482ZCuNaRQv2ObhnnXpK5D7hf0vgLqQOsP +Kmkvsk00PQ3vgU3+SStQ7mKoAsru9CjijH98BiN/WLJo4ihL/8GJTiqtawHVrGUg +84xGR5RqYTlW6etXFvleDYMUny9SMrcNwnJ6vSpgqwZPZuqYNI6ypmjcAThwJDE/ +wYe+6l6vyc/QtYfBf7ln1Lj7aBqMfGZSxQme+BM/XJ9X2+YbNN7KbADPiwWIHZbQ +uHlkUmtL4dCfuQIuqM86J2cK3Fela7+7gjbYG4PfmvSsMQghPbdH+LUp+ACFjZmi +mpbX6dFFGlHC8R29/0rKgQ0bx2h2hrtiKna30GPdCrBVbv9WSlMZkFViMFG0ISdu +qJJT+O6tg5x/qqFN9wFyNhTIRO5i6Mvs4CqQr/y46OQqe8xcmvVU6ZrginRlkXEe +Xl1gIipEqAsFyf5BK88GoHjx/QRy2wMBqW3m+jfivjxAPp6WdDNIn+v/UqnB/mFd +om32GkuCpUVtsKuCPkZpjCkSzT5tvVoC6m+E7pwcUowVva0AteShQK4mmyF2G3fO +4aAv0QGDMkAaxtcj00oiKE3TUXt4oGZ1H+ToSoACX+nRQn110/4nADGVUGtr2tv5 +FQQX6jGoMzfcinJyBPq8Jctp4X2JbVvx5xw1by5OpSw36fYclMCi3uEUamulBz3b +pn2lK3MpVNjFAql8SLTrerxer36nM3fy7TN7jgU0z6FTmSSTIqAJrRMCW1DcQ5sV +UV5kV3HWg8lcwA7BqlsdxP7v3ObhflHOgvA1E0Bxamot5P6Pxuru8bIqgysmoy7h +yq8XrA5wzn2lDuTTvvFS41KuJhBJBApYH5C6rXoxeeSxM/ZISZPm7mffQKQOsWgq +Nub1TzmljSgY5Na0OseKvrxuXGfVOOkTwd1UtyN60Cgi12UMI6BnSxB39Pw3YZQl +/HtIOq1Sux5lJRZ/yxn/qFyt/O1F/j/eBSQSe86LuBkmlUZjXaAkTbNAbZl41sd6 +cL9tQguH9jfFjtsbk9MElkQCpaPDKH5+QSPvhW/HweajggPeKM9EzgS8ZiIohRNi +lurmc3XRAj7pz0w9OWmcgtLeDQWkXdxO+D/RGd71tZKa395QKAWOYah7iXRUVb8k +7XT2aABay+ytQ/ZUFjACXsitqMB7YRwgzq9F/sXAoVfvQj19mxL4tFRFL4adAqMA +sNbWTB+1Yj2v4uyBN5MLzCCtD/c9SltMkXFordckCCiWGvAGpJkUNDtNcUhFXiTO +7cr93reaht2ETUUFE+GRPc5bcqM4fTciPYJcUP39z4QDMsPd7K7mqH/QWmsU3ljS +MNxoYQY/4enKrpebkv2IgtNdCJEGYOQoHf+EEBUA6fIrsTtQWLk6+V9b99/WHIlT +VvvQBcAKXhfEFOZKfPMxq8k3lYubIYfQKDJpN7kdNA7ZxxU2Osm8MY1vJaR715BJ +9km8+9uWkepOmoXKgm6pFJGO9ROzz07vVzwjy26hcoE9jp9JjtqAnR3OTqTjLOjO +zSuoim5aXLtEx38Lb8+qYuhqEeuQpc9I8i/UhYe0Jashd772iFkCITQ4SUJFUz06 +GUs2l0Fk2x7eRh9sNhsXjteoGZEKjUOfYmQ0wIEcwBJAY6xS+O8HV4VS2/Lo5kmN +8WXfoZU++Gqjt1aaFlFs7vsWyM85AkE8+uAo2Qijnbfam1xjYFMRLigxtDeR0Zjy +yIOO9XLb9PE6Qh9stvNsWQoQg3qDqU/y2wNcEQnhVBLzARIPrirmOh/URFAtB2p7 +JffL8mFmrKc7/xpt36+OW0LIjxka8qwbOAtnLdaJflJNSJfrby+eZaQ2IJtlUc+2 +NDTClCWnafbl7pCWyQbFFhlRD8VwyMx5XfA+bDNu50e+uxxkZqCkqhLsb1PNUxjs +nCTluEfV8rc33/nZ7wSVynK+7IrUfVsHnK6wqgj+eY9iroGhOY1PaIdbafubnlUg +rCN3YJ0oOaFua/0lAtZsF/QYCQb/fDvN0vfBN7uu1IrKlEKfyr/vCcKBAtn3LOWp +RMTuYVV5AEfRkKzsChNcflpocGGyP6yL6a7J1+V5t5ed29THoqouWBsuiI8FRDN9 +XMXnZgatHeqmeYyV39oh/qtKpDJO9l8c/2GF6WSr420qFFB/aiV8cdyfaMIgXCei +95G5/NFkjAIrpvDnZuDrjuohEgoo9tSKdvSLYU28GVVAc3Q+MYdJskyaIG0IRTn7 +Ghu6V4KO1LH7lVgDtlF7Omuz+naFaa4myT2k47rB6RpqF8QiiShC3QFfUjr4f2df +1nH0fYArC/pctEcRXVTPQarcvaoqwZav61NdS58F3IzOndngYZF2i6OBHXhfvtdZ +UDgSrQwtUmsyujZVlLalT3yEt1Sb0C8qyvaUQWMN3bfB3yKLjuBFtIeaWJ+9oNYS +94UV/PyAMmYxjoJ7IivVyXeUJqjRLK6P8vn2RhbQ7gA5T5TuhSfxqUoKfTMcheSs +lN5GUe9hVliSdE+zOHsaj1sFEYtS6Z4SAz8JyY4xNtQ0aLsrHV0EeOdoYzi9Y5ky +AsTP4Wo6FWLziC9qSHj5HQjNvNXNKu1vTQVgs2vZoombNBerYaYZprrjRBz2oRV4 +y2jSf7ODRJ5bL/otZwYmppIS2WfNFWeJuvNkBoUwBitY3vGqQxzFAdrMbwhR9BRU +iMWF7hQfuNtnD26T5ikalRH/7+LsPnZsdmolZnxf7r0RiJemfRkzuNN/smgTLUs7 +xoMJNtQHjQ5B1BAUV2QGkcm7QWrhKgZCPlOCQTR8jjN78MkfdWThxmLFe1AyVvzu +Rp8MUIxWz2JVSYWm6/jslN8tWiobZiQNVdz0YjEo6sn1hCD2qdGEqWtyZTpONvI0 +tJArZXiD9LvOrss3ufaWG7O/lwJHUy7oyBWoLXM6ZBAdq6a6sOTb7QM5E6DNEo9T +Kw2Z99ZqDo9JvONr5sP7wjjoZ9ygiyqVbaG9ICu3ppkBvnAJVzJAPtYrJtx5do43 +bDqqaWRkU2De9n6jaWyW23BQlgZGTALhFtUhPWHryAi/c9g+v17H0dgcPxqnqLza +MIx2gFggu12WbRUNmE5zJ84b8v4MM/SKc8u7jcxopT7hGD6pVujg5HFYi5TNRgpD +9yBGSoOg0WJuRpE37KQRc5R+gdaVDedgm5e+6cRCXUED4RRuwV7BbAgmW1U4ogLA ++hw9VQFumcGxGK9EVkDmogBcxagzUBUhHHWuX6pwFa0KhIw7gAtDc0w2gcYUHHjb +7k+g/nVxpgz12PYyVha78kkF+Q5/RDklzazBVGPLgvSMSre4OkoiAv98kNkDvJhq +/r4v7KSIf37Syh0ufRihdUCd6MhYlwpg8zQ2VauoJoBRzTg/JMjjt6RRAqMT5JUI +xW6S5igI3R3E0QxX1RXSS6HbKD24B8uno4EuyppXNsBSyHH78f/p8l/YgbD7cxkd +lGl5XqI0BJ6hyDK+D+H0/6WENYBDke3/NKQy8CumOvfxkDklqPCjphm0e9E4gHxB +4IPddG/pG3jdwke4iQaPqNHQsv4gSXYSjgetRhc5XB9maNVzJPw2MnwJPVCaklW5 +/P0m1Im7inBSINq/TP95bQwfr3/62H6Ap09EzUNk9Yr/Sipg2AVffWkfaMqdq0nW +gp4KQdYO5rB6YwPAF1AbMyNkYaUaMuvc+O5BEuZ+YRFb07rndSVKi1Ez1pNRss1r +WmSrN2g2MOxdE8p8Rf3vra2slZ4uSMNQXCdj6T9i4PxwPXSeMYB66wiOcYJ16ZMh +fyUuSUGfTFIVljrDLlwZ7QSKLaexCIlf83ZMa9UTalmSemWAjgPp0mHAsQ2DDa+p +RXfw8HaiZrd412zQ6e2dJE0GlMnutpubN/ZqhnCQ9vnetAj/60CpoD/pNjif0LiC +6sAiCMi4Mkw0TH3wT+4SNlPfasN/yrCV6ofJ1peLchG4qtSgi/lWkJsmALJ9oAY6 +Uir31WSWoZjse+RelRKs3f/s/OUVrAq0bRcigaOGc80tyd+qtcxUl64K9itoLlfu +JKOpDFjwu5zyLhK7LbMce2+ilgQJCC04QX/v939hR33cEIZrS9HepyFCqvryd33s +LC2axw4ocs8hLk7YJpOsodcXh39O58CAx8HJEN881z2UBLCz3G4dM8Fb3qCBhiKg +A7YjA9RMrO8o1IWce65MUGJe2Qcpzr0Ksg841LORS/8GbfPv2kQnpuPcGySKPVFo +uojrPxABNMgC0lCdokclJaJzN3rWuZYrMNvSnPaqpI2diHzpmgb0ItoN0PO7Nfo8 +sTZawSOQ0kHR+Jp82q9otoXQCMBZCetaP+0QJKO5rCqZpErDNpXD40gW6fY8cOq8 +TEZegFppcm2byPQEhcnJl7MccDRR/4ehOHphgqjdeiJstonCmZLO1pWUMlQUiyVM +g9C9uyghTOwmqw37AKEaVk8Wz6KC9I0BJzbfjWCsv3Fb+mOBfIaMw+HMI8WMz1Wh +alZ/JewCe/ho1afaqEO0Tnag2UCRi6eNxVmwpEv1W/UYb9vhp5Cg+J7KXt3BBuy4 +4T0O2AfmeVwC5LB9F3cQW+OsfBYCO+nVgonbNMm4H+yG53f/TRF3gsxDzkHnEO8+ +sNRodFkpzKzSdfn38EDBmN9WJlpdNlp4sOUukHqZH/cC4pKTG+zcudmpsJvTShtO +WPau2lqad73384XVGu5wBFN9cTYxPtpWDKrSl7KfLQwt4/mseoboW0cXz1Ow5RMv +3722f5yHxpia2i5vQr8dN8YvnP7OkSTGjPPLfjl+xahzsAPAaB1Ppv0sG7ft89Bi +br5rMQlVyqd9Dv5MHhRF5ra6U0mjRMQSbHzv9s2bH+60EFko8gV/jBIBCQC3JQ5s +/0LIaalIuB8uVNQBSEQttnRy0vCd/W1G+2PSRIgtgeIUKzQmBOpYfhIkO3k17jZw +wL3yDUOi93OsgLzEXVFA80RlLcNUJzEkF4VlIkVnQnIwdNuIaEMWwoNa64xPcCQm +whosUA5NVnk2H2GlvKoYXcV+mZo1R4ow4c3peQaMHFp2XpKwapdk79smXGae9M3Y +9JGL+Mf6LQtyEiCYQKx5SC/FxKpfRI+HFD/MYbDH6MoZmMdP0bvfilSwHXaRM7aj +vSAW4cTCLfoZaEy9C3w0JFcKJv8i5vOtvc+ajINaXV5cfGeO2nMZqL2AOtKLbXxd +KmBxmdI0enG5iqVQFXY+kaKUAbAUHUBMIY/Q2iraUPvqftP1bfKu0c0RJUloTizU +sNK9wVdAi9f4mmbn6aEIQrzWmckP2t6gSbB3w2aThhIeSBgLJXBzBUQOODELRHk3 +GVRxNVvbXd61/0zRvbmWe2fbCAs/GFGKUR2ELX13RB8ewPJFmCm0z3vzzsM8cu5T ++aMgNO9mtSiBpzgc1eUPA92ci+Sh6qHYMX9KSTI3llfOKvUJPl7PbnAKIaU3BEFK +dKBlm4D6PNjQc5ueSDAIfMbiYnv6eq1XxZ0hf2BLUKBALPQ3LaEZ0YZqJiMb/dwK +EnuA1NPZQcp0zfNdfpdOoUIy2SgfbULq3jR+JLWdnmfRTw/2jIRJE/00B9Y4Gjvc +pCRTxUPqHbqT0x9CO9aWioE+XmQMFQWolsftOq9hfdTkDl96/p+lIdwQhuwe55Wi +j9JvcvjTMUa+ZjzpWkkni7aRK6QN11SesSD39PscEAKU/6f7w317y0IVBYAB4Z18 +2gluWVS7kdTk7kRWEdrhlTj/pGaL/CQMNQbfB68HUQUz4lmLcUsdwXyj9oLf7cw/ ++c9M3mN2dox3GXVM1HQtXSqiB+Nld1/yr0sK+6GwrE8HuSkgcADHiCY5b3okfAoO +g5HW8po7nsk/eoPuXYPytbi2fYV5xsqOzr2rLuzofW1oHMDEIC0N7b6qj6sEH/Vl +8Hbh3MTgzCbOlsN+CdccdwF+U5KvYwyACiQsR6/BBKGb7fonXVUaTekL6RT3OC3b +089E81D0Mmyeli/Pplkcjh/8fkT/ULFochPtg7UJLS24Mb9V4Gt6K+Q7odLKWQH6 +7lJKh29e1WrRiNnJlRGncJZRqWw2/GkU/ujCMBAAY6wdUrTFRwBKrMmT3oBwEenV +Xo/QGV+FRgNh3GJLfBiKROVcodGJnLl4BvLckUkL86NCksetdPBwzsQZUe8ym0kA +QrZxDXef01SQuGnMUehGLYO4Lgv+e72OWKLsTqZGpAuf+W5cwhKQPUEDAFRzGicO +nVutBkJKLxWrvo5lUlFNZD84/pTM0sAsbcU5YR5n57msWp3ZoujaNYZBGm2dJYjd +xySgWLBHVpCSiBRTMS48TOVRlc+yoVvUpRgK8zv3fV84XiUI9CWEhe/GyCxG6qDk +gFyUVpJ5Cq6dI2cYVO5RULFnz58xWHOy4zR8n20Ion652aS7JXi4u2Ynme2lrCZj +3CK1EfNxxu1IzXaf2CtP0IeDTiAfsvyRnPEv6kLnvr/z+NWhtwOd4rMmrbhKzov8 +EYsdHjZNneJ1mut5nZplL0UzZrhUsGkAbC95x9CSH3pOukTsHiLDNtDSZgEcE1py +SieVdRe21QGKZlieR7A/D+WUzesIFeVJiGYgVaxWc5hBYb2ZA88qZyZftdRVDh2a +LuTg1dGxpeyqocEsRP3NacTRUWG6Zqr1+3rJ1hc5oTYOPSD/J/MEc6aLv+jssfpx +Wz1zl4PCE0Tyg2AejSKIFYWQHtyFEB/+n6GCh9AX4KftD7uItEXk4v6BnEdX0jFm +TlmRy7x4oClWiicoxzOXh4quBSA0QTzxTa7Zbqt+g7NBNXB7jUKU5Ak7SZPqpotb +wGfY6yuziWBR1dbPydXqmEgV/T9cqQJ042P63IJSEtAZQ65UEgm9ELB0YAgsYFct +cnu8k3nsnt6EFlH1yjiNhNV1Kz71b1Wuk1hHjS1TKmEFzpf37vZwmoYTmwbrgmvz +TOncNwyPfsRHAJNkgZ3KvrVKwvwEtNycoU62ZFc8dZa1Ap46kPVNONRo7Y6oXauu +uUKjfINbHUqy9HITkB/MtJzwgyty6JK1hAHFb57mqgTkiGh3skdXWYIrCn84nWZ0 +P70D/fbsIA69ZiZ5/9P6ll7xfJSORf7HyOoOtklOBX33gpcHc78GpzXuOinaNCsY +xmXc6j+n2BajyNXGvsOxdimo3agEkJUYFis5i9YzZ7w8PZwRnimHr5LkVPKBBmlw +P5E7+ZeWup/PQ7meVKL+bMiJKNKQsFmR3Q6E5fS6EZnhoKOo5PnmVMFpK94B3jnN +XVHfjG0Ay3iR8jzQFsn3d4jPMR3nXmLjlpeyNAjz7EVA3tl/2tOmyXHRCfRfs3fB +JC3xxNNdpvua5ydpTGILw34OMbRv3GS9nMrLYw2NAQm+24MXEmZ5R2BlOpu/Pm8N +NrKlARkau0SyoVF8P8KLlgUQ7esNweA2JjgaCWNODYgXT6JrfCyB9LL3zkGM/Qk6 +Csh0drNrfvbj3UIKuFf8yqVcuM93HhPXMu98eLW6TTd01smzwTcfca95T7Mgduv2 +b7CipXVk6MsS+jnWz9Eb6CWzzpe/ujb0axSr6zfe06dBzF6/6pp2Blhu92MJLp4s +iavjrGiaDetPjej/QP6CjB/cCrXeEuPwbDZke4nOd2af1b7pJtGMPzGHV0McsN+a +A8KcLJGw9eCHnCVpUhjzRq1gZTDPwx39VzrDsLDylYyjC/gPB0icz6/TzYM5I7GS +RvxTt3qkIe3Mru172qdprcxsgs+81+k4ZajY+WiI2bqjGeWdYnAZbY7zhCCwVtzo +i04MqaZDPy9BsDS8cC/QCdsRHPmvH0cH0/+wOI9N2ZQJEqkGB7dN5vgycaRyZwJh +f0zOquzTADN+g/RVILFqo0cjZqc0FKHGsZYHvvF4QgdJGLgVDsLhDBtTPVw4/EFF +Z1BWU1TNjm0SFamWX3gpp/kiuFsRsEgzC3nBSNUjG9l9aCicO+Kr1t/rpfXg51Ep +R2/R1J95xV9+B9UDHl85806o7SWbp0Bwpr3BQvkwSGOuwX4es4XIvGpRI9bBj9lW +ATvQrTqCmmOMcLVMZBKVNGsc23dZO9M7N50tdO37k/+PrZYcqfkp21sWLeDbsPhk +DiiSMr7yzok6hLGUEnRXXEA7FjDDSS46JyTJWXVnfoqRkn3Ie08+2F/gpXpZnX9v +TG3l2CMZyCyBpFGDs39kKbsPm5X93vddCNhaGKrCaKlFOLFrajECnrwACCzvxZw2 +n+V/ntIrh0UibMtbsECO7l+lGAFC/cOVn9jB+VcWztKre/EyCgT6zv0Qn1D062VG +7nTTsOJE5Pfi/zZz5n+6zZ6SpPVI2mpRGt9fUrAwMwhn0Vw+nPX31gvzMka/2Vjx +zsEXbGjMzS8uDJIV3XSNTWw0k4p3HcwZWlUfiyHqT+k3/RPg9lINoy7FdkC2JoDL +wprMitpuAJo/4TlgxMd1kebzyzhAt3bkE646AqUY2XsuB64388Fx7Ow54KTY8Inr +GzoHcyFitixE5hq8Vf6fQ8HwnT3voSgE65nNvx9DWYh5Fzrl+ECF9CS9UBggKRfN +Y4tyHlHSJDiAf1q44rj+a6pEqNesVVAro/PH37Ai0nsZtPvmnsz8b4Hajq47e10X ++tjBiQlcLBMyoFHgN+ptK7GKXK70/m06vDPLblEfbqdaVq4vcvX35h/qJSpbGPhw +xCmilop1CVf+4hhSxMbY0rvOON4cFjL2fT36KUmUFvjX0jlUqMGRU1z0XHIaEXLq +tQ5beEJ4LQ0NSt9b6EKLp/3H4McOMp5AOCu8piMrDyUi43WqUlXLkM3ryywyhn52 +kGvTmnjVeIgiKFiLOIl2+W+z6zoCraEg8q3VQ+LYTt+Ga3bctMBbuvQy40hE9Wsv +UgeO7d1XiDYJMq4c+zOFig2htzS6p16SXGSDEm9ONqO3T+wwQkSdtByp+d0sOdSF ++LP9jV0/oswc8hmcmtS3M41j5xzqEjGxD7d3GtgJjaT9G55YvV7lBX58jAYdnpKB +j13Xo6Oo0QMos/fPieoFDINiyd20LA+0lQReJr/oHHA+0ew/tbHx+C8rMyBVFxJI +J9ur1dNojTN4Q2knWG8EASeWcK+xcD89KXdTY71bhJwI4cF2gtXbz8gMIGUGcGiS +VskIyLnv8/ZG1UbClI+zPUdS9A/3UuO7pBQDg1Wg9YcEmbVTu8IX8FclC/UHEMkD +9iyYdTTvG2+ZUcidfJfQxdh4/wMOEjVfTKkBHrc5srNi1VvV0Cy7lhwrRiTYZLGK +YXXMC3h0609HpsUrG+zZxQ/b/eFX4KBQaBbqgXISg9xW07rLlm62wiRxUtGcE/sR +Zd89+qJdraa5ILOlaViA3tEhzn/Amg55qmF3geiDFIjHE0H9/uNrETtvNT7u3Ech +4jW3ozy+jWvHyNInI3ppY0VVtwyN0DmfZJVYJ8jwPQe/v0nHsi2J7vNpr8uE3zE+ +jMTsCTNG3yx2/OFI3fupUx6+OCLw99SKwg1IgdeknTqFh5mlzwFlvI8V+sgNEQiC +kiKRnQ1/ooc7OBA/FSSpnq3TTqpteJO7PLwWth3GbejVqe062Zpf+2rarkVFDJLU +Ug0luM8wDBHLsDA8QS+cPwVElJx6EWGPl1h+EfuBTUyOE4faqFDNwBR2UoSpa5cM +3U6UHto5pEn+z6WXcz5iNPtdKNafP/6/EYCoJtR80HtxXI7b85TbIu7zXWgW+T2y +f/OU3qmAqUob8K+ZPsQg1bOvqGYqh39iwmi0cfpE6jjj9smj7lEgM7XoCFWxsPic +ii1w81LZEGEn2qarQiMbJqiW/Mt6ex4b37CwCgouOPJWbNWvQTCkBZsFys80b4nC +uB6grvtVYmmdcdDG/YRnPx1cambRSur458FGY/Mu0ufY3SS88tjcRJADuUYD6Tao +KNgMmp8DC2F6mY+SvnEwZlOL1APnq7pW2GtNuYO60HmtTkzZnnH27T+puMEuyeg+ +IFbowjFy/YCPYihZy297C4nZpkolyMexDvJM9kkye52+1qQtBPRnzCMhHbO5DdQ4 +F8Vl4+2Q1ECQjgRosuFFoHqGXnYkEFsgaviOmkyunmhjCKHxwnPzR2HprOeNCEwH +3Ql16VgavTZlt6L35P/RT9Vqo2wgDaQ4x0pgdhPPoJr07FyBTTK4xhPb22SVC1x+ +Ox+AaFN3nLJJWE2NQM4U2U/Q2wtQsYEUbZgaJLR2JYp+wykrtqHChCQZZwmO6htS +A7PkJHUgBVol/a8JY3Rgj+Qc5601IU7gG0KjBZgy+I9ZALIAqTWq3WRoNtqzP4hL +G3YFJ3s78IlfaOA5coSUHZvbXEvJ87CY8GIW7BPUpDLGpj2vDSIfHpOkGB2iCOAp +ge/WVW/8SWcIc3yq6/dbSn68D4ZJ7kdofBkQwAC44P31JUQ9sWAjKlGklpefzwVi +M+BzSPwAajj1fA4iiPo/bjU5JFG75g3Xkcy3DYy3aXAHrJejXIrsbZkOKzq69c9T +c8qJlB+kGtn36NNDNoT1EVmo/9NqP1iInb7aHYeOUp6GqmTteL+CzjQrYL0SMqPI +TMNxNBM5xtFOZti8fmxhhNdlo1PWOUi8eL9AH1U3XId+z+84SmdvKMKrD1KjTcHt +HyEO0Biaby2QwC/Kj+hd20t39yTqgelAGmaDDP7P/EgBUAODQGLKOqUuQAIVDVi0 +s3vtrHZDlvC9napKzs/wek3Hdwx+b78o7cubgMgPUYBU52OD0TBoyA9Es6aPOJtp +KFf2q8c9zbs63nE/YEhqhBMrFa1uXOfkV+WHJq+nwR20uGoA9gB2a58awTFKak35 +/Q1YqfA63g9wtBJmq8dQN1SYatmSyR9FiXz7nfjVxslpLFNyAhW0I+BvDgez1nyB +kzZHxnSL86AMFnS5lFJL4WiBO/Tr0wG+3YCunVwMrCZ0HF+Cmj8k5XyAu+qRFwDj +56nfVm4p5SYYkFtb6BqXegTeqW12vsSjg+gC7UocbPl0Wf0553Qa+V2NTT6dzKel +hChvr86aMO/WZY50RIYWmj+Jgde+S2OkXO03ctNe18DjqyWXbeJyVrvzS5M4BTE3 +YFY+w375YbXm/3OmJABxBFtWfg2Xk5hJ3zqLScE7zw7AX35ToVXWpfcEQGqzZLjG +qp+vJKM/7oftexKpfa/y0i/4bMk5uSrUvPph4THQmCMX7K0E8hfGb1bJeM5E0DK6 +dPYgPHqWavv9Q5mfMS5BeQK30Z25IoLPlE29XKJteLy0ZzGEUBHE89MAIiGCGjJ+ +IHblBSLzzAPkpt+dnOrRnHoTbd/SV/f1qp1mqvOEYi7/29w+Miwre7Ag+84ENIZ8 +aTswLLJItEk5vXz9cizhbN5kmMGh8W9lRB9P2xTantfC9SEbXShj/y/VS3RqCp6C +Ku7Fdp/3yZnAEKBSbcQRUO4Pi+t50kISoCkES3YJfsz8p46WMevufRrw2YhcESLR +6Gow53O2DySZ7NHQLaM9r3JWuW4WOi0Swt9WZ/D2f3wZiSYS4JPCIKFFqBF2Y5Y9 +8maXk1qzZ7nOgZzfNgUeo0mdEaaloBZhJT4cKkvmtMtfgqWdVODY0cIHVudertHu +JLgv/iM/iIUFy6QhnC0lf31+GrENFwlH0TiaXETFlcdeR/HQ1MrdpOYyKC9/TgnP +2HYoVJHYfx1R1DABjPEc2qxkWcmhtRO6rXwl9YWjJw29YuBJRY3axMQH8Ir+Ejmh +ldTeLpyiYnzvcowfjGGw5QT1ahMUQwtSaOqq82+0VBSpZltmvNO24e1etDDCv3tC +SP3IIuDzd3Yz5OQ6YeKR1lBwyP7bEkqHKn7dSARd1obuIPN81vwoVn/Y60BwAb2I +n0rzOZ/8enoKOWolEFun+enZu1AjCXXSlchr7RdNTkQ1mtzrbDLealDCLW0Sc6Nv +NPWB7Ehi1nSGJFl2G+VB5dRpV7dCbkrIuMQmub/f4Y3h4JeoGuxw7hG9r/EgQog3 +CQWDW266lE7C6AcVDcd98sXxL9hj8G5zD20Xh2EdlvwpWJWV4ZxNK2dmSnNoxASL +PSXBkkexADG7GzPix3f8cqhhlQFJTBytE5rLuzF0yijEE4KvDZKvcdSEGEb16DIf +3Xk1u1tPOVspYos7pdc0dj84FVw4+L/Wan5baungFu4JIP9vscn+Dh+NJGenNfLt +/0xjJpS2ozEFpHrHchE36VnNkxwuxzp4/1MOAj8FzYjftDqCRk3clidu9rHickLz +sMl4M8wu4Zvf19o/IMULH/N9zZ9uH2XyzVJV+x9WLL1QsMXIGIw2RI/4GmVtD1se +hwZot2JqTL2GlHVm8s66HiFJOZZ1Z31Uhsb8jygUvqhAhdkE+PcYlRArJGbSu4rK +89vCfw5Q/MK71wqDdZ77WyE8sXohyF4CLjbbXRJUjchwlKmVXePJOPhmxRF+ki/H +1mIiiYUMJ5yA7gWRM48ot/Te67k+Ad+ZcSsNhexBc2uPuUkqHrAj2c6owUZzatgF +5PUERP2rugXcb5N33ey1IQsXcBvsWcUHC5J8OfosE4GqnmtKhoMjoYsUXaPFkAZo +F5SyZlCjZjVDqSFxpWUHAAqNz29OsW8ZLWvnjcinYddXQjwsWNMsvWQmd2/AF6nU +HVtVW4aSbgOsGLsVWiAY+Vbaz/DnvR2sMK1uMzcFv28LtDl9u40MGvHFJoFQVMWz +0WdEhjB/NFU0aJlHcty/zWpHGUc9fo2V3cWptKHQEceTTjimG4/B801x5pg82YVR +/W+y65TdxwNRWR4B8sFcwfvqKExVJ8xZOw5fwznELoGBe1xgBA1yA3S6oeWPjar7 +ugpnmp5+zT5Uq6cd/PuTi56ExmArCmAZdSrZ9512mXYjvGHML00pi9+QgebrWm8K +XKL+dmF8KDDL4QFDkoce2G8xSytLEPGNexRMzb/TVrnkAnszQuaoPDHK5O5IONjm +KNELCTxW2EYx0L+QKlyaizLXAXGD4dVPY+JMunsqnFV7HjXhDaB5cFfuCmhqhHRs +P1yiu/fmnb5Fsr0lnAxTK3u4Q3/F7V+yESXSddSZfX869ox3e9REOlvb2Re53S8x +bKMkG6ZfLrvAdobmEMT1zD3PXYG5OrXKRx2E3NI33D0jse5GXtqNiR3SVr+BAdgP +n5w/7mgaxLZAMKerhthpXr3aThbKOL0b2pUZmnIDhkOvS/kJwRt3yWyqs2nrp9aj +FVpXdPIrCFJu7eBDP/3CdlXHPB3cntSJ4np/BkWtoXoT3EYEyhKw2z3ohlf4unuJ +w1WQm2oh6gbjls3EekgyOUE5o3AeHhgtN4nKYpWEHna3gA29A+Ep3Q+Ffid7/R4T +WvD1bJd1iSvtlE20p1ZIhz6BjzldW8ljZMaVUZO1R3r36IhYr8061mAtIl/cWGcX +dOu2k2Rvks7PZqBumW/7vN5PEt8vYW76KjteC92d0XbKHQbKN1ZfalpLULghg5Ov +jVCyIM55VB6B76typ5qSVQgyM1GEmCSmx2PxEWFN0G0lbRgYd6QhBaT32zjePgtv +EEGnNWTZ/fvrneTZWZjfCOWxCZXAPY3j0bT09I1VrXiZuf2HfpbSJ9a9njBC1Sra +OmNUjAZcTEX3+a2XFqUDJcYkJpscbp2MPSS/KA4iKKgTs4vO1IlWZnWS2/yqv/SO +ynx5wCUAwK9xhqrFWXVOyyfYeuf6WztpaZvIL8xC1V5zUA81twUTo0ZKll0AvKXB +tbxu3kZmSIsVzuJHllb7265Kg4mSM+0Ff27ikz+VihE5zKOPZDkpFF5DgFvyX1YF +SvG9hR3tzvq9i+Fh8gRw6mWC4sIw3/Cc3xPyszoSM4RDkRxWFwKMelyD8fxeVuPG +fYra3TjjL6un9l+IKWwAoo4P5JRo6x8o0xLnaunadLcTasN4u7kL5bmyz76fCr+6 +R13d3EE761qIL+QQi5430URMrZzDu0CsAzyEO7cJupYETxHJxyddjD8CJv8ic6Ld +rXJDdP5Nvmdjckr2R43Df1QDM8FHJazo7192x+tE0ncbzUPSqDauaL/S62A4u8iZ +51z81diLLL6vwPZOn54fCBvv+J11QBrbd/D5JkzY+DTvwcPsM8vp3D6i8JfmJuHy +L7YiCxJ2fTo8zXfFuovbhx0QlgZGwHYeVcQKJQydbJzubeK+0fWQJ9Er+rihk0Jl +cdYmUiTWUYjIYxSzrewzMStWWYhqX3CHJiRgk7Yf1EzNHX9d1yLCyXAvMQpeEzTY +Pmpq2rau++yPo9ASnWjaof/+5jQjn70p5A4XqH/NHDPcqmnxBqlldLReFQQFZPnK +IXzKNSQAjiJ+2ZEfxt8aDEfhfLyAKECPBFtIqjg2l2/Jfnc+hNacAsP21kqKGqeT +atuA7yIcEiZNIkBu/vv6XclK9yaCoS2s6J51HFY3OwQuNId4qviyzFFLBQM/Qbd3 +gTnBF48iIKLwDSXO4e+9ilUYVjN/0TllI0wiwTFR2ZOGqWGZKbRjGkRJntcNV1Am +DCfIsYKO6I/i5fwiyRrb6SxiHQ0mVUCFxxmIAxc+ZX9c6QFFGzStrOdrf/KcZnX5 +pU+5+OQJIHw6A74ekaDft9PDkS3Fhkat2mb/F84FoirE9Ne37680393HBSNHau8y +nDjWulBDM/4Or68Sh1xhyCpBQu8M7djtQk1YvbEvnBrl6CI5p0w77RVYDRvOUAXO +yEGGqxpNJxxWxrGhltlMsmaJnZYP9OCf6G8MOIAGiT7Xgir1RvU1yUwzC3dpVV8w +aUw0LUyki3n5PU1J1xFWC77YJBADJzGNT1LzOzBlqRcDGvh561gwfw3J3ZOH580o +rrwUTp3Q8cnoUHSAjwKvNiAh9hm7CV+TE21Ik1Rn3VwlxlYywQvPUntrykaPpFl1 +C6Fi0IYI+dylQWqoMO3/eq+xWWDqY7AKRsiS59iaViTvTbUekJY/4ZLllc15/YZs +Qrg1a4k7b0RdSuDpHyeN3cnQkW+W5gwmOBqtHLIwuhRAaAlKJZVWjb9We23FLfqC +eBEoOP0iF4SAemKwIiPjFBJF0EAxxEmY4Bz3SZZYLhMizKkK6CHlXYaNG17dx236 +Zo2R+Y4wY9DEcJBGfH85c/CyWpUWuwphaLQJk2AYUpSavm7+y6me9j1Vk3JmXIZj +hAV6CUa7uJ2bL3aH3g+A6FXep+ifihLttZpRD/Op6Uv6UD+GE1KqUC3T72WxBh4T +YKjvXJaS1qPzNPZh/vifctO+PUG9Nl2PyIizMGJT9W938eK8+wKyvtnMhJAzKZAW +152SOtebl8xTTFq4VlHQx4Te/w8GtGO1lylhsluEJ7+iTSBfXmA+qqKnz+XiA3rE +bE0qX1+6YkddB/dVJLzWAl7Edku3K1lm+yJVgWLad/T0l/IyqNKdYfuxk6a7c44N +ePPixspMjTYFk/e6m6EMv2cCNYsycZry5oFpqmb1mcI1AFLULJRXnZLIwP2W9qxy +r40pODuri53vlztNwXsMmZu53OPkE1Ir9TZ4/JJUSNX/Bi2Zf6a4C2KeDJLAADDu +HoKnLi/159mYbJsjM5ET3R1bTaRxHmkVpJ0AaBhwD6wnAsMiW3e5nCTIQRG1mZ8A +Cekvqrsx+7iW086Yd4PQSAH7s83b35iiqn0y8VR2prA4jWSSair2tke5S2C1TZbu +aW/ZCo5x0ygj8IQ6lARl9K0wONzptRH9sthWGoP3PRKvhSZos3XNk2girpxIPNNc +gGjKKASXm5tej84a/7RKpmdtmcszpncj/gsiN1ix9bsPyjmMM0tUYmtBD58KE3gx +DM6+j4uZUt2yQKJnJDCstUqyG3IhCZhQFJiwMo4JovmssXjLmBPQAR8qj3QcNbhs +ZtSwhaCRSVtxytuVGpTrtBejtfhagXT1wdgNThgbGLOUI9QHKrrkzZa33aS6kp6R +YWzkErqwAPe7P/WE4QhA/I7cLBkoU8IxAx0/gnXAaFQw3jzLwlvZ1e3jC7Q9HvDJ +hVpvhexkvlawaCEo/H7Wd/g1KcTnPPFtU2S/ov1ZAvwX+0h+jTKzTkXLymy15h1a +IzYOahN1ip3iMisNIT66KDVcCEKtrFroQb3HE/G+/OhCe/tC612ZPFdmGCP9nPjN +zl6VjS15pwgrVwoOmwMPZ7D6+wTuJrxfRr/sf5lKDFfnFwK1Qq6988ZF17mk1sVg +uf+Ky2BphbRbiza2am9L1/tP+P5KfxG+5JsFIQmug4AgcWu3ETBaQANTQNDSl3Xt +S/iclGUltHfvXqo4xU5UtkzWuoOOSDem4kYo3bf+opxmBCxifZNZ24YZdC9APGPW +q+7vmS8PMTsz4IyRdO32lIg9NySW0n/SXoLZODkiF45sPQa1x4bM5x3p0SOp4Y9F +QlzatiA0YnUag+KziIoNbxBhNDROdurC41KPa21rno5ufCllQY3lfSm1nPZIW2n5 +mnnoVZArOZirfHxuhpzXeSR4NfIgfslweg030Hltko1x/9054g4zLrLW5fyD0vZR +iWyz3++LIYHLgAe3eqBKysZIUwZsa6Q07VAHMS1QXH0tYZk4QSKnziVRqmUwvG/f +2tXLMyjKkgmY/Y2wYAgxpg6ceN+tNFLlinaOvi80gAvpNSfBTqWKrbbGKtFdT0xL +wH5CvRTG0hgmA+HOwUw6Y5F7wHrNsuqVlbN76rSqe3bOTClPZN9L0u8nS6WSZ96J +Sn+/aqUvG2Dw+ZcPnrrrgcVeWwRHldENAdluMGzRUGnQy/unPGMd6IrDOFskR2tC +CaiALr1nZcYNv6puZzJUGALmOp8yZf2+WO57Nqg71bMPriONJehyLXhLAqTH+bl/ +2OacSZjZawQIdiFD683/y2f9WMT3zFElbIVqRVm5gYZ08lqElI9a5hcxnOEzFi8r +TO5DBYbM/xZmFvlX//pyNZzVTQdY1ys1PR6oWS02kxaeDg92/hmSI9eaPpxT64Rf +9fWLAKkfw3996Od2WKMRqZFlWP4WDTXpIx9ZNp6KwKgDjgm/MCrlhWOcdRWqPA7p +Nk8xfKWOsk+o2ii05fic0bWRY1nPTrqnLEGBI663pJ/I91CVYnfkMtPleND4VIzH +2zjmRiQunwfOKj/RgThydfambc2LiEUJLRIxk9HUiKJeO6E88bGR6I1PBu8K0KAo +S9x5mDeI+ZSUb2bWxbdmxAXHGQL0a8ZJM1ixU/cghGcOTCYDWuqSOHffJB+SOwSW +LgPe0YyoaTvAGr8rHCn1WAYB4qHa+14AISf8DdcjmCEXjoPYU7DQ1WLwVt8uAHyc +rwy68s21EHsRdu8HfrEtNHJClHL+a7OGCvnOXZW+Un6T/Pk5G7ynwB/hWGR+OR14 +wDL4fMHuNbJf4a1AOX7eqZg4lpl8t8Bcixgp98q0tMsUN8lt2zp1DJD3cHOkeV3s +aoeBoOxaPaOZGZCwRA6AMNeRciKdtJHZR4bJyXUydtiXP0tPO9K6wD1uloYRjE3i +vV82gXbiAtL0bQjXandeOFMBan6UeZ7OMw0XSKSlpBL1cmqk31pxufg0y7EQycq3 +ed1Ywv14Uxlen/mgIK2tcjXMLdn4eh8eZqPWLgmanALqMFRsGJTBjQXAwml/hbGS +dj69R87iNIF7JCot5/O2cAYrJHZt8aVXX0BBX1rnR3HOxdtDSWYP7UPY87NJP9mN +5mB4LrNNh2JgtGPR7aWdGduo0ktNZZ/s4WwYhAovMN5TG8d0e9X/yy4KvnkK14ms +ljT9k/yoR8m8PA4Uc0q1vFr+29MoqyogL5sIr2XhbieP4Jb3zpln04DsM3vkFwBD +z5p6JmQIaHRpBxKatn4mpN4BQHloKniHZXqOVc7wkrMbEa/jXyGuXMaWMZyOf4A/ +UNdx8JQyzi2wFGJ1JYVLWu3yY4eICSN9JMG+MgJrWS6vzVG8AMl1ZGUKDfCaH5ei +M+1zSBVmov1IYOlpsXf11Mr/hWK1CQzNeIVB/FQbtP+TjxKoK5W0/nPUMhMczr/M +MH0jM7q4adRxPkZuRtOlmyhlqXFNEvjl1Pj17FRISwVRFiNs6swrDaXEXW7legCi +sbjy0fbWlSu0S7tjuDNCOIJnhm9P21W542u7QyWl+gR0IVl4olbYyt9z807bqUaQ +oMOGUT2bHy/W01mgVNkQ2Tr0cW3Pq1u6DJnEPwevvqQybUPcHcPTwLl2xjDvfo2K +ZfkCsS2gDT//JOJOJW2PtSpCFRCgZEF7GVdy+4q8Cuq8dJ6z1zDogXKTQtZ0Bgdb +7dBdQijM5MF4s4/mEJ8D83Tz9nQFzs7djQIjESViMPjJPFj6XtAS4YPO1zcF02BG +AbmOU9LwLHmqFvC8Rlc05AxJm+qYqURBU6Z9zX/6LzuS/nC6EJnKOK7JmFSajhZC +lWCfUrE9ol5blc/GuD4VNxQJ0OuFFrCVVsxf13rPxXalrwEltBBjl8TZtRxtzIB9 +EbTD6cmPVhHR/3wDWg2T2/o9eTuOrcU6ZGEw95icetz4m8lVBNsuj24JUsyqiVlG +E1eAI7SvNCuqYOUf90xJRtU3vYaCMt2M9ooy5dtKcSG5FDglqNOFjEcapfzKgt16 +cug11a6d64WwmbaJDaqwvSbv+lF/L057oIJTIFtu5v0hij5uTbfE6LnNwxiNOSIQ +Lia7lrOA/I9XiFs3swlGpElaUdMyIrPPFvrcsr6SKGTkp0sECbzG+M1cTsfMxZtD +al+1kEUWiz0j1LpsRRvU/ZUao4xfneG2Awjqtau4UP5sPQ6NLp5BbveVg6qUBfFW +yBOY7hOKwDpQgtbuFlOQ/LDApf6nYpIFt2uBSHJemL57Ppi7mvy2XGhBm3Foa4QH +mFnR2dMHHeDaUJDU/9nSdp7m6idXvve4RcZ7OCY5RwAy5/D5IhRRTmzAdpzZTcIb +A1rG5qjvxDVb2bIkjh9ygyCVlWzvIUsySZj25W7W84kJ8iBaJmPUWhrIeQGE9LeS +5wrVvxXDkECbWK/4+7cg/oq7NuEbqvQ1ZROW8a1y0X1D8tQSkGrb5V+kgnks8APr +QbHWE3crYCeioEv8q/XyH454uy3b/8yCzPaPKVJj90g6+kHOHlZTAWlVuZzVEumY +VALhfiUtbqRvzEvFNNNzH0P4GmzYRrO14++y/Q8NkTE1yol7m8P0QwnAcAb9uKmi +2atdwVz0u+dtp70FiJeIE3Ut3AtnBWUFrl8iV6K1KqpAXtuJwzeFsa/Jur49Kt1H +1pBtm2XczB1aqTrUKqmKcIhm+9O/NGgWJmwKEpEFwVxPjwrbj/7w49W5uTCMXaAK +5Ln9brO/XaB+Q+cAAk0tUp/nzSblKrdw1dyHcNnWLdYpyZmYOdlqHkG/eIa9T53C +/AOGiwMcCMBjMBK6GFcWfCXplpIRlZwOobKg9uYjqh6XrtZuRMOBvnDbL5eT71t/ +OCPB2BKNw4TTxcNebt/Lran16JWheUpW42GTr2A8GHmec7XDgHAlJrLW8KM/AVzA +yYs+4FoxmsydxxLerB0WY3/SZ39regeeWT0i+sUX7iy2rjRAyuEWq6MJUEKt8iuV +w1bvq5BlxvpljoMtsmZ10egAONbJ0FzPLFyw0r9rL2uEoHnSXHmDjgW7WVnA68eH +d+ZgcdNi7E+Kl4otABN/kuW5MLFf1fCdPYs5bYPANUSdgZOMTg07jy+IBeYgya/F +tTHOSo790oKLNtHiSqu5Lg3g3rwUW/KA9y16FsP6ZDdKOas4X8DTZur22//IvMmw +zcjXHHpqCXK13jfVBillkNZTbp+uN4DiGKHGqR22x48ulBhi5dTz+tPe3M7Vznfl +Fx02CVFrtC+HZmA+LX0udpipuXAvJHSEgkUv/EA3pGY/RC/n59UAjPeTdw5w2SAX +WVbub4pqD0V9coyo+6uaLKweV8SM7KBjd7I+NOvxiCPUZR0AJqqdM/cOkB5BmFu3 +sxhU5duMBqxBQc7NdjvEJFODjvpSdEi/NokM/eUU0/cyHHKpQDFA4jFmTMORkP1S +i8dByBuKJRrGHyGOFS/GcZdKrgkoAo1UM8BpvPutRl8ESEmkBfjEvgykCd/zIEZh +E9ZiPi8aTryvGSelrrybcJ6PXsRcHefi8NP7gCe7XbZ+9C1WneAfAbUHoIeaIabm +904W9VcnbDGrf67eL9Z/gOPa5HBwa8vpJwymTbWlDkaBfaFlBAz6nYzK6URs0LM/ +v+JSVTnj5jhZqw2QKKHHtW+/1yrG7LAYBONlvs5BiRSSV54cMpwzLdZKmlA87WT/ +Qzvu/0Bph/Ly+OzFK4AmeHZixMns+2S6f4/FwI0SS8+QWr0MPdLI95NzJmGuDXPB +xLomccCc/5Yji7QDRDmecOApYfFwi/PajULrSF1Uc9FmzpaTfbXzRnYuQplND1Lf +fB2yvMYUXcOugg1VD6ATFGZqHStdpGXiqx8Cd9gki9hDqvS3PuuwYi/CIVV7iOkX +0rG23RUuZHbzobzMbgwRVq6gtekzUQoWMCIPvTj7341xs2N3xY8twWvocu3ax0kd +gUDrNvMfB9jJ1X+MU/VS/sYiqL4zbCDfK8mwYX5pzI5zgIjK4T+vxanHn2Ik9h13 +NWyuF3NLbHFgAmqdPpawJDbX1CZsHxp6sH4X9nMGUDlDrvUmMa0kGkEOARc5j6c1 +jFIEsfuJLBVc+d5vHuDhA6yCyLsFbYlwgOxaiDFMJsvH6rpzxsIB7CeKkXCoaF+s +llneDXeP5EjHkmjJqOv2vfvyhZYDQY5mmI1X2aVoIzTzNtAuNza7kgHa5LIw4a6z +GHfEaRZOyKUjbncw1N6b0vE9mNa23QAr/xxtz+R4zyIckgCLTrx/eX5SlveDA/3Z +Tna00Km17g4mQYNqr6O821lX6cxcPK2l+FXhuCckMTKBmYIPnc+S6CP9A36vjj+T +DqP511nddiPMLvMfAOk38zk4uzC0TYdahmspuKylZj7ylhm2nrvD1DFyWnmwIjlj +/p3/BkMJ0NwKSZev3w2/LlHa05snf6saRVBWwLZvDwVFrxdshhkDoT/a57H1y3oe +UAmUiJDHl7WJcr2HYPU8nNyGXfIhjrjF3d/Tkycv9ovWsOzw0ipnMBuo7rsZULAu +4n6ZVJl7o1mAUCxExA99wuzJy0TGJFbEskhpbNh+qBoUgtWZlrIAvPz1D5evlJt4 +CNavz543p1iK0lTYnkJBm5NQvWKH7/wQKdZdbS/uS84yUFx/EZl5jmaGBQ+DVSq5 +WT3uOmKk3qCCHP83Ca0Zo3UQawjDk1uFg+s8DSPzNJKNEEMkVIPS2wv/Jqj7b1v4 +ypbfxHUI25l1Bbmkl0pj9yOukCvAWhhi/acqUBF8DEScboZmvQgsVNQEMyZPADGI +xkPE1yGuDvPaKHUu7Yy3T4qzU89IyRQ9TXODec+zDpxwywRGaDjgW5bY7wVMz0+M +xNc2ppq/re9JZ7fdtSHJJBhks5JyGK+lKnM3tizXc8ZyjbJtIoIbu4gT7+bL1orD +/LLc8cs+cQ/gCNi8y2JXoHbrKa1DzsOpiVl70YVnn2hFvyeFtn++fcxrBf0f0pwp +YsUEuw6+xfvz1pFHCmfr5unCRkacY0P4GIsBKgJ2XO0N2ko3zdwqlh+ZSYf4KO+W +edqCQYtyl7LeTsj16RR2SZX0wOCfV7s1rbXpARFJtK2+X89tQk/Mpxl1EF8GpnHQ +GwRER5vAR+Qxvej+5fsNFL5skBQf4u+2YsJvtovfFR1ritvdn4ugl+vOqBKIbDOe +3Hd4pD9DQTG3DGkacpVtHhuDy4oBE3FdLSZy+ff6lruCZ0YdnHWwCgE0xsj//p7h +z78DBjXWUV86U5BzSXwuGAWKp9lVTAZQ0NSRMW+Vs4Klb08EzDjY0FyJNWLfOrBp +wsNpOoa8temyrWVknZX6zUZ7/OoojogXg3dOr3iBIQclZvGMZt76wqN9+6wfsOnd +aWdgzXFE/c+jSAYZ8NU+OTSUzXAx2OGdFM3ZXwDjdxCM+TiYIwGsg7Z0PmQim/3Y +xgjLCYvupb9spoEmbFyRUhzF7//vwaR/ixuDyoxOhFmplCaaEVzmdmwhYk4BL5+c +q251Bu6vzJD4I4L6CmaoQMeYNLMmvoeSve3OI+VRqt3UJTaXZwv+nseIfL/YK3sk +0h0bqXfpHhsHODsczN+3ECpk35chlPpN+pJVi/1ZqadTnx4LBQpw/pCMCk9xrbvw +VTzQkugYxpD3ySqaxrW2uBBBXimrNVkLznNJ1J9thletevXTpzBpvd7bjo0UgSeJ +1tz3sKmsCvHlO4QgPXdDLBwp1kcRMopoRhCWD4dBGTUT1KPjOXTEa7X1Hc8p2qer +EIGnfPh5dSJjEfDMFuPMRYIDoWH/Nan2zhYgJJ2vXPxmghhOLaSvOceFSdxJgIc0 +FAruKdilH4AZlzh2lPN9bAkvvHqKgeDFSSULBrj6cEOJnei30IsQK76DEnheB1FX +vVs8B9pVuLA126REwEre6531mwkTCCHMVrWS8bLDus9JqVzg44A6gmz/5pchuj69 +mCTaV+4aqch8MrV4UidduvskUD7N1+QF5n6jiPmLrMwk6r1HC/OJwep2NRU9FBws +QlArJL/O31rhlNm4SdcZlM7I7gtMvAJ3RVFiCfk7QVz76SEp+P8HKis6JH2saCQU +h7AUTekXSCqsT18lJZU+Y+UITmW5PZBKUjBrR1eXcOj0hXWp4/GaQhiAHDWWvWyJ +MzrnLxiiJvHTLN3iQbiJo8qTp+FIG/OSt9s/xM4hTjIl1SeTJdpmzxzEwFhLEgFr +pYu0WoxCXahN1x6k+0xJde2uWcjWaAOuFF04ybWfAVRL4kUB1Cob1m/Z4NX1U1Ds +8NE1aPv8zyFFs01hmjyrB3rUDsGNvQHvMhzTn9uxs7eO/hOWc32m0/Fj3Asw1xy7 +/1EGRvve+scEVeYpSpHa8Kt1mH8M//PyiA/2NoYL8sPm0NrlYU+rCeL+lFty9Smf +JZW4BbwlfqcVsO5qEhubpgBSgxbH/zwrx5aSE6xi0IkhoNCNfLd9zYCsH/snuXvE +Z/3Yrx4MMwIkLvVxYeQbb+ViLr6/V4Z9wHAei7IjoexMHA+RgFcAsBTzMFFPDd8C ++mSBAiXmK28NGSSqJ35EwFAHgGEQ39kza+1AI4jByu9cLyT9vDY2sYCPshbO7l6/ +IZSHi0oS/ZFTZMxc/ZsjIwLkviTbZiGC9d6M8ybG39nb/5S8mFri3YlSfwCLqdAn +js6DHsRg7iHX5r5yYiXZDZf0SjvdYI3p9wflF1gs3Cnw2V+qmZahyBgWXshnA5PI +D1StEKCobCDXyBz89TU2/PQJ5fBUhTBSn04DrODF/7Z90hymQl0tUle7Ve3EQYbL +MIIubEQxxjiAVRfNoIBUjCdx9nK/XJVY0e12+PZykwPUND9JM7486KSgvfEi2q/s +Lp5BsTVeMT8OKOf+ZUDbLtfOvB+/KBN95kZhvLTskj41NKfiOMOiA/E1yQptSNcZ +sGQHId0RaFqlroXiC8ZrKs0QRsMxl3DPZ3Pu2e6biWrBJXkT3aj0+xuYEqmR1eEK +S0tMjBPQd7PYeAUDbqCDjGOuA7STFXUP0HmkrB3VQX6Rn3j/1de2G5uuzEv/5lBe +iDsqNYhaha7jn6ahcmdRzeNL50IugQXo9osyfCtnsBSiOq7V2huOUVexqgadwC3U +S8t+wBYNv2fpirRn7euIULPNYfjO40zt2FxAZXt38ucIOOeK71BFJCZ95htNU0xm +40Cn2mRRdc7GhsPSQHVXtKit2stFacGozywfQ7u+kDjOkEI0y38DYJzQa00u4un1 +hpO0ImtRV56tf0gpBbE3QMxF5es9IOAh3J3tXXG3Qbn1ViN34fSLZkfOhGzxHI/4 +mCiftGf8d9l6gNdq1MS8S2TI+BxwE5rfEwT5J0pqh0IDupAge+5HuZFUeoPl/KoG +6tPXepyZz/8EJB8F83sMAK+VwcoQcC4XkZ2hWT1eworqAbo4RO3F2lSs9/RlMqNP +We2BfFPU93p8Djr320+kdHnuSTxvWO1Hj77Rm+LLenZFqfvl/udE3w7JhuUL8s/i +g+nxeO8QuVNKfCjgxGGEXzc1yoWlrODcVpYoJX3AUL7YIITBeD5W041ctC9Tweal +ESQMsOcO5OIcWR9vGwvv8jzdKD5DYVfx2+1rzYAWRT/y1CpRlErFeUEBt0Ie8HrQ +YeGzVkNJCSphZvChtGbUPIUUcim5vy1OR28ziyXZB1TExjuLo2nQhQfj9q3ktz6i +SoMt3QPRvVD1pyAeyggY7YSdjL2mtSnYyxMmo7CkfLvLo/TQTA2Dm8uoFifOEhRl +zoAB89m0Qtezon5rCoi6z7Cl284ZbinBrDqRis207h8dhBAykppiOE3baNACS9b9 +P2Ss73G48HUW0HzuFfiaKXPYeRGo+KsAPqEk+QIPUS7SC5KcNDnmPZWNmw/8Iskn +OOvP3VFWZFTuVhiEQ/Dz9aV5jw6uxMRVB5KCCKvusb7ME9CA8oCG8D3z0OctkGKL +4rxA4+ejMGGNfB8uRvuih/J3+p7s0ZZcEAanhnx1NvWHzEfs2WfDvmvTS+echepY +SUGQdeEnYpUvQKsBw2nMmhMlTWhiMbhuGZLRRGlbi5wnTJ3an3nxgpiBkm9WZWNL +LOa0V6+Sx+FBVOcWEpJFbdyuGHcN5035zrEXCRnXG7o1RhZMkRb13PaeAMd6bRmn +qv7UEn8ej26QC6fpSkLuW4e6rl2+LwuUxwY+3egC2/yW8h1CxWcHcdqJ1DqTrPrI +Z5Er3RoAql7nyjbxzhnDQewTRLuUQwFSVL6h8yIFdDMkPYC4OxAUUjYiiHytJnGp +g9z0peUEOIRnt4WI8TQKRBhKbtDilUuDlJRvlCBuF2mTr13oXISsa8hkHm38tXAn +2eq8cmhe9XRTqPSChlJ7y99O+zwYCV9So96+X1Viae8N2haZWlrqdyLUjsgqrkTh +Wm3sbNV5TsEvGSK29HM/kYEZdd11q4ivBUIjYoNSbetLLMFHgWcou+4d6nmzJ2bO +MoajAeBFT8qPtoSxjiMItJAjbjd53L/En7PvD6iEMEo4mywX8hTccGRBXmPeGQsF +++9PY6xW3SL8myVlF670Ep+RokvDQIf2guDyYgpHNJN6vNtGV9ZVcEZcctuQYsTF +3HC/mixpl6G6MI3eCSmhG4OOicX9SgsEka7XHNE1qptv0sd5UBXS3sOPQjDp9u5O +5mBA84EEBfmxuNAk6Ac5ITKi8OIqdJAln1sqIczNz7WUSvjYm1JxlzZFcx7pX/+N +b5m7zlnRg7+ie0G5agvhMNw/YLedxhOXDlwD3dP+YKMOXtRF3rdI/OEqiGM7oTXP +NQ9jd2kmN691ya5SiQqRYXjvGzxfn0/B31lhtzgcBawIgS4DhyvBGaPSsJ3eK6Xy +Qd9dnNZQgkV6Q/CIU6NrrbRCKuZGd3iIgi8w2rgu0nsi1n+cTHQnb9ICCspq0GGG +ywwyQUPzD3K+2xt7SbG/gIqJ8PTqa4VZ9iQIWTZmXuuSHjULtuPgkJkI62yDEgai +7jJVduc30tEzPy+iJdZ43VGbA7GUyvQlefrabeUp/V9cJHJ4fF/rr5hFXtzby5HJ +No289/u5lAm43crpuY5waXwg0vRLUH5jV6gHzfW9EA65b/BCJClrytuh48qPBfJw +9t8n6ZA0cMh1oFbvPCwHhl1vBhT5h2gWDmrV/W0AKD6b7OO4a57tj2FuYn+vtK9G +uyp81uVYDa4GblPITcvZgXBGkWWHCj1Tb/3ZAWn6/wEsZ6nq7yv4FuAzmHaOVUho +tQq7vSHWBiApY9lzh3xZEjHhx/vnk98GYdrW8IgNGRcONZJclKlodAIMOLB01XbZ +z68UNeiGLUm6ta0fCPlywflIQoRy8THTVrk5ulZIZpIg6b7saD2qTzlqHE+iINZj +Al+/HN6o6hjr3oJ23EyVDxJxOw7TigVhSy9ahCeQYogAVYGzC2iTf2bzLsPOOLE7 +vHk1JahXjnKhtbCHLUk66VnOdoxByVPQgiU0dg3BH1J3eLUlGis3r31hYfqpwtyg +KZ3g0dCwCPFWnV3dya8+mSouub3w2bvRIYRTDDh7oMZqeis4nHwes7C6LZTx0G1h +Q/NSJzhMMCBEtC3VeT+kGlM+qzXtEw2TDakZ9W6c5S4DLgMHivegGSXy5VgyzAqb +7G1hyzrWdjhOAf+kJ4LmVNOOX5/QRMPhSocpeleZKrenF+Mc1m3L5FqcSfqmJB3O +Vc0jf4WM8ThtNnCbk6ml2nix2twyYRXT+xR4QO0TmxG1/TN4yhSINa1nUyPlD0py +ihNy1j+QcSkbT0NizL2rMMoEJos/5MuR342Xaxd0luSzebBGCxnajYoGvOo87WP5 +uj3NxZ+6/92YrkGizHUWOusZZDgBUPeVISc3ho7IrFAQTYerCn93EDno3lj51Z/C +MsXe+LrcFju1zyh+46QgoJV0vQefTTr9aIlT+VES/weOa068CPjh3ESa+7K1yTp4 +d6CcwWnDsQknjAtkCSeXi8yLBZpqz/IunQAsti54gQAzmy2jKxCwIEVarT/FMJa5 +52qzeTjJ86pxGZ7t2H6I5kZhE7D3VibZmRIFiOpNRVt05SRLGxDdvXhfGLhEJ/gV +OT/ANEHxPoFgWG2tBUuU5MKJHue90qY0gNQVSo6QWOdhUez8FFpT0eplhLEvcsCX +EJz/d2np1hKYRBEFJT/Kex6iacim48TeiMSeiNPz/aVUhsocogy7kwmN85RoCl95 +ei8iAGdwJh8y4btRTzc0N790S9Nx86Sgw4hrMvUorwQ4tfnrypycRqVzoix3t5Jx +sknZ4EP+V0pGrjX0R0YERS/rRV7/3H+SeYZvjapT+FO//DaGNAx0rmb5ClTh72K5 +Ev7hLiWQlCbP8wRpySYsj/71jUsquGfxc7q173yVoBlsgbcwmdI/OX+ijJX5XFEe +9KhIAtekAI4n4NNQhNgPysQW/uaUrP3OHJw1S8pFPZQuJpBZPYq/hL1wVUYd53cO +jguWwhmchvNNRzYTkDvukF/O4FMhMmlzkxN5qSniiPRWchpx3N8YugmLXxO738zn +9NBYrvfgwOLAct8pgiYoBPq/SQUizwIeXnN7XuhPV5eu1b1BIMgPkbqyMUJdx+C9 +rVaM3fVF4qZ+r9ozElVuUdyBE3xvYGafX1aQC/JpNKIhf+njscnjTe1JN23yEOk6 +kHk11DP1EnoI5i2OwdoJNBnP1ydPlw8TOd87G1mELIfRSpqXAFbfm7L3ljkTK5Xb +i4dj6KzXq1j1TZqTHJcWmP1OLqlXQxMzRZv1+HmihQfM2gjtXJAHSL4qkQBRJ+dW +cz+ymfQnmBVgvPBKyYlLaO4fIIm/xm7Elb3/Ax4qn6L+vLvykmvCQAhOgato6vZh +wHmYJ1XX1M4/3wxmfmPuB6+4xumiTSdijOJoLmYAwdm2Uz8z86T8Xhe1m92S5WY5 +j+8ydNKYQ7p0Nh5r73yXbfrgjvyh4SxhEUhXK5p775uM3Xd5LjdzhVwPvAoywdZb +2AqDVpwMYOtgt4TMzi5Rh9bSPdVj8RcKdM8OnzkJgBUD9Ia/acYdQqM3v+bdofLa +BUSGMHk8BWqHgvzDyTN7tG/eyFx9SBbZtaRfDRyhhUohx0Szi8G/V9DizTeEYtjD +YVT7phrN4NpYgenTtiRo8yGkwdYBV+q1IH/k4E74ze4ZJkVgVz7Gk8oeV1Yc1ZkY +hhFWRxtF6LJW3BE+FSasvTGzomDpB+VcV/UkOyyCGbQuR842OSoc37u5l5YR1Re+ +qcAer+2ng05iUB0qdWolQzQCm+JKvwy3RokmTEpvM7/ugir/fgoV5oFbMYO1GXr7 +ezDdIxTBeHacDQxtDWLpOWM8Fj88iifHZo/KIVIxPv8OC2qeri2jRb3LSa6/M8kY +1tHjyrCHCZhj/1EJ1qWTPQ2EbG4+hAcpI6HEZ+tK0xCOlhS2hGpcdz0+wKW5/v3j +eC+9zc/lfflqFAwR92ozfam72gw7PKhhQXYvuLnJyt3sGbWTt0xUsa0sJgTyUsEc +dx4bhp9ObatpMSGrdQfOCoWVExjGXEpRTFspplvV1S3HZySGHqkbqgwDUdQndmWB +GsZj8puBEU9WxCqy0vHaiQ5YS/pmpVEd8VHx8hfG1lJ4Rbcne/7eXSXV8DZmTOkU +EU7RKvwOaTrCwzA6EqejCph3igTI+WwscHJ3IQmCwesVdMt5HFc5uKkBg0jLNRuv +Z8DdVZ7vg6wbks/7B2FOG+jpVf+GamJNGPSRHFPHTSjE32kyTx3xOH9Gkd/L3EyS +PU94X7ou4vFVCpr9ncMQpAnF5sZdSs4iOvMic/7/bKzlr0X7i1vDUeO4atsR2YWH +UMH3CVt1g2s1lhUXVyMg/ip/ke6bkM2IcXCXMbY3lbkKyy2MQn6vjJSSVy4Kzvon +FU13uay/mCIbtkc5JcVsN1Vy80WD/GFQFBraKcWK+tPVe2R178ZvQVDLQ7asicky +l38SIlcIwGWZCIR2Sq0l817f4sPwbnK/fndVPf+I7dzG/YmSg1n1efGOcJS+45z4 +vN8DADtXUKsneieQ7vH4quuO+F8ey4jEGZPmavJ9EHzaFFWTp3YF5SqHVX9ml/CS +4O0f6iv31m6+DYA0RSj+6e+D1Xhh0c3YEek8z90ScGaGvXfktWisilYCOudal5CG +4egNZ2svKZu3F0yJ+/nUUpTnimnXqndOfRmKCxp/juUaNHsi6BK324c1sFiJEwby +hEtpSIG7IyKI8e45ugm6afxQB7aAKs0dTJJiLxMw3tfic+971EQCFvpvTPWMTuk5 +b+qBF3yDIywykJUKLNwPQkTpi7uS31TcDXLklpMs0scZv2A8Wlv1FuM6emwen9nx +BK/e1T02OFEjG2I8laaXlDYhdaUQF+1dXHt/lEUObDvEoh+pWrfnXNUilWBDkzhB +7KcUPr9IVyJsay/Rgs1QY9J3MAS7uhkGeBK5ME8naS7cXIabHbo8BnT5qdw5nCZy +qDzD9a2vC7DdbpUzxcoKEHQqtzTnZDHXWSNr1r6H7JLDDUCp07rJQ2VPmVAOWH1q +egpaYmDH6154rTckTXkQNVuQPWSuMWTRtnouevYmkCQxcbS5bQu4gusoLg91z4AB +WMrFK6i/DxU73GcPiQA8OtSfrKf7jr3d3WTIJGLeTW+SMFSs9KJ3V4bqJR78sQVk +wn6xBz9jwY4mj/wXFft6pK8cuY6hlAG5Qi8C5alOtcP48NVhaLbsxwFC2CUYfJVa +SfSj5MVsLNV4dK2e+CJqY8uBa83c5Ciy1bGaEVOx9OY6GzPp5WJG9cp5pYGGxyem +8SZf+n14rCkQF/+B6PHo0rExg1inaOkqdEJEWoEOWOEqARTtp6CcFq541xQrVL7O +7fGjeUNYMW7CmDeiTRZ0/u4aT+0aKg10hSR+HfEuvd7CWGKuFKKR2gPWREt1szct +a+QJGE8521FmfOAgKaL8IZJE42l6KYM9Jh4ZT2wO3Tgek07XYCpyDwcKgwu3f7eO +59k+mjTLosfTl2I8K8hFoM74o1IjqtAUAbnEZBZzlcXkdHUCCHokA+4iPTMn2uOD +u4Sbb0uR1jz/Gpwmrya/7HN0WkqaIcPUBcIkrHcq3FrQFRxjTtQZ/4tHEO9mAQwe +6GiA7fFcD3qmolx/Nex8czzg2cdYbeZdht1PVNeR1UN6Z+W7lPG8a2sn+mRmxu6z +hQ/ZSKndTsG0H+2VLvL5Kcp12dvjS2evdpRPT6wEkwLPukjbC29u6mWjni8RPseD +qMaB36SQfOWmy0+3hssRoP+Ah5GTK92IGru+mYSWkdbVYFpivjVVU4U6eDWQSKL7 +eJgVvmADxbsO1QknWfKlPgg1LS8OZeM/FUa4Io8m04F9d0g0vlFnBM929Snjz7BO +2rtFBz8lhuHdVLYwTqRNZtP1jJZwsTLandPEojj2v3URgc92teSU2KRP/XEfUBLQ +8hclOG6BpHNeSgttmTjZ3qJWiZJwCY+aALwUCQKJpewQlPWJ38m/xp8GcbpujDlD +fJdI0k1gRydqO1E81fbCFkl4MI+ksP5TdG67LRrwhXpohrSa2K1JoV7xoyTSFW8E +JyotV3eq7cdrspB7Vih21nq2Vzy8+WbNyS9NOPRut7E3ht2tBeKY2fApARZaoxLU +7dYqvmqnal/7uR8I+F6tgeAcGv0jTLJEhO7IrKJQBCm6xYJLz7/kpYcpU5ReCW0a +8+mV6YCmqHrg8KTAHJ4ksVSxHVbUDGx7G4wj0gR/BMP7tfuo/2Oc8dECaB8zQqlo +QhtGxqzCH+ENxvy/Vl199dxVmIm2bkPDFjnes3Pceitrv8bLZDT4nY7b7zqw1RSN +QcSkQaQaU2hkC/jX7upp7B1qIlANIJE0Jx4Uw08QC78uKL4lgRQVlWUzS/BwY61A ++IDg1sPl5Z6JLrxpufrdYuBuW/qFs4ReTIjNAIimLYL+afOWY603VA5bIgeYiT97 +GjwO3ghMAWjbR5fkkP4U7UXyPD4lKTusDUV+TUo35xz0lsHwFK3zzUSpD0Qss2BN +jhiL6JP2OysE1gqw4WcMlPOWShsJDBssR6+k5O7yHd4L7YpSHPFFZbd8XLpugpqM +uxp5NUUTq7x1gP9VZWKB98xfQFX5Jh94gvfLWOp6JmE/bhqDse4huneeBbdXMLGa +frH/c5SLouuKtmy4uIh6UNgXkIVnxm6Y5iccmcRFlvCjKxNd144Hv+U2st029DxD +b1oRkD+ooCaneGXHUoKYEnoiyNwwuJHOEpyrUgCYFxbxjai2YCEqUPBESQAxJiQ7 +e6Fc902VUDaS9LPRy80XeLZYd0J656Xxu4Sv5ozzBRatUHpV3zG/BEJ2wgXsW6p7 +MQAzA3AAGtkGzOkRPRyHvQfbP3S87rSv+KvY8YPxGOyFzdSX1OpY/jb4k5woxmqE +dZhAyqAFMRddK26dcy9b4nhoegiT50ftjGcOERt2wrOIuldx+v7j1fUMaWQ3YdGp +n0gaXNCOEXh9d63W90kHjqVO6FfEBLRphwCvdIe57S7C2yfSAGfbZmohTxEYEEci +Sqzoow7X7uN72SIQGFRhFWY/F0/3HSqWpwNdZEyew9WUxtKbfybL7tAIRTVr/IFG +9RPGQjKFT3Wq/XRbnyON9IKn6tA02UWzZqH9Gu33O7jGap2QvRnymYY19JVOfp/c +wZgsym3JJ82LTC8WC0gZaY+hlMtXcdEVLF7TFtEfK+ked/Lt9E9hGS+zFkc3DXgj +69ERKifO5uLvX1b+0CBz/ZbKA8Uxaus/MZ9x5j1VGv4G468zH5JZiG79ruzvat9V +O7o5oHmxzZfBAuioqX+I4+ng65omnNuVDxtw4le28gXeA7qZA5ZiUxNefNsxvBFz +Rbe+KCk1qsP88OyNIp1dtLH+6rXPmcZpE4LV6VXZzyzF+lOlhmQuBW76BkEwh4w+ +xjADRWFNrmn7MOSJQkGgSrwHZBSRydqGjk/Md3dCdAMbYcI2foVGJQgzEDt3Lh6k +g83+MFF9nDcin0NYzjXcnh0DYwYgftw0gxhgcMw5fehUkZlmu1jU6F9jL04psuNw +0pWrqT3aARHiLLr8izaIFA== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/serdes_4_unit_siv.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/serdes_4_unit_siv.v new file mode 100644 index 0000000000000000000000000000000000000000..7163027911d8a18d8230d9792467c195c6c04697 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/serdes_4_unit_siv.v @@ -0,0 +1,1876 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +O4YtnA272v+ldUWn4trPj8MtNkzKE06FY/nUFbJp6tz3SgN7lSHY6LRqPFTydEwA +PvX0ZzOcBlXfhE93Hm2YcaLgsaUxpO9UHJae9VCGasJvEe8BW/sThbvuHnJ8wnV6 +eVLDaUr3hbkP95zawqdwIuyn4oq9SxIPsK1Tok7nV4k= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 88304) +EK2lcenEUyQNroiuJCFBY0P0ODju6CEVTQTxOt+luisiLdYdSdMTyJ2enUzdCMlM +2lrOAsBPSTOIDrAoGDk9xcYFYzKvpW379Autv4Q37pQimvBSC269R+9eIBDNt9mp +waY8GQxHikko6rCBIdUAbxIrWjFow2YLP5h+1RYedmYZkTIv2B0RgSd2peRu/3MW +SN8xSmphHT4C+BTd60KiUibbWzyLBakUg0T8kNJteCTBuz+XMjQ7gCaAqlncdzCI +cAR2AbzgI3Lo7i1oW8pqvLnTxVdyG3hOCY0eh4FgL+92CTSoiPHukAZ5n2W1QM8t +owY0NYGcdGUT5YmP4Oa1oxUJ47H8rFrghPKJsSotOkPC+7urL0sQepDGhtkPWkl+ +z/DIcA/ArfPayn38xMsx50ZlX2Mdy+noe9wLHb8yIqGNvUT97K0b6lMGZBfBuamt +UqkafaGc8aNWINwBZ/iFQsVZbfN41DevHH+PyFXzGq9p5lIq7Ngbs3LiVbFyKs9d +O7W545f0MLUoPEKXbsWhK31rhescfBfWkhy5c0vT6/30Xu4WSyxFXDVM4JB/bVjf +OrLn3nOqaKAuKdRx9HGCSqdttBwtWPkdHDTtI0gN/qMuSKr+Ump4ZgF4FOjzF0BJ +GCAEKJBLz/YR22CSDoJwesJT4ef1t4sgk+ML03IPximsDB4fnNdy0y+zHtirTqSw +novt7NwiTdC0vRDcl2X/V7pH8PwJFtGhlEpISI7yXqPIE3JCqp/EPbbZBOU7lP/z +CuoXdjShxSmCFToMbrTgUB6QrXT+u5pHYeMggJJnJ0pN4Vp8UuR8t3L+JfzUU1cB +BCBrDboiC5pSc4uCa+LW1W7wdHit5N3dhGD45YHByxItMgAXDbIp7S91gis69CEi +/FEcrk/qMXTJRbQEb7XULnPvPNmDWGuzpgX5onk+lPUyEnIWZmPp3EwdqYM9d5Zg +nzbcXpCoiPKC5CyaAtc09rEh7dogl7tbUyxuxhnsBG5ROf+qW8M/Qmfi6JogiGMR +gBgEnwioYWp/ILCDa8qeIU9PXxR4DWOW6Z4LhCJeez6jNwzngwGMHRLMCFM6gFUE +p0DxwlgotZTvFimWvdDB8hMe6T/3G+PEOOnzjDWYb5zRRvRApgXdfum0d8govJdD +aYXSGrTdMW9tHTqbG8lxam8XiTZAupIGsBeXxcEoPi41PpXIhhWocRCRWsuNZsv2 +rwknfDFYn5L97JIxPAxqs2d6grQrVlPSoYrbmORGDoUf2TZi43TGJ6lg9OqZbzbz +L5/6YfoJZGQk2JNMB6bBevRMcK7ValqTOKYQJ8CYpvvdL/KDRlt/RW5arnn/3yV0 +HbzxsKdpS5aCiQecvNnuDnt+MCOTR6LjpihrxoS0B9IkUUEvQczGjiUrpa0+4YCN +F4jMVej1hI3z4tL0eWnTU2KQgZZNlG4qUY9KDHnn5MWTJQB5my/Tris5E4kH6BUd +5EZxXeczVL8rCa8gxPGcYiPAwxmu8sNydhD5Btz68DdEk91HP3BerA/b0Ur0YIrr +oivW2slA3umPoYLwneKuQMi0IroGLB8f+tJkZRjc7f80zMAVK1b+6BS/13gUxgh4 +u6kDIY4FhVtsS1Oy29ftLxyKmtwPZlLie0LvC02qPcjR4YV+kBypoiTuLb9DiBrK +c0UKPZWxpxplU5hVdqp1u88O10eYZwTleMy94PIwNuZWa1IirgiLWQJ2KMeDONRy +uPxA0h7ukjWNf7XSb1IFbfaGiIWgm2sJh/PkZNaJBC+m4lL+KmORLb8KGaBwcv8X +gnRyB+afPIrVHYOdsGQ6kzWRqClKa/8Ujv9vGlPqLVS1FQ7Jd4a86GdzWW293j7/ +hOHpoYDaNcoCunE+gFNdkljBTNLbr9zeZkoTi3Rz75TgRCHCuBYs30bi2qaY5aLY +7N4Q9wLE5Q6OfeBjmS1ZET9KWzuu9CD/aI6q6mkipYZEOWwGJ4woJvyDdDY1I/1i +kZwnH46dsn4VSIkpdeXQK4wtnKfm0WgLBqYLDpCwy48IZ/nJpd0EtmXpuijak9ty +W+RVEG4CHl8/tMkbEF+v09h3g+TfuSiuUOAjQITj2tsLgzg2XOOYqUc2+xqZofCt +K+kRDlQ5olJLqifN02XgidFO4fYK+4Mw/QgLg3JOxrFYxUd7dnHyxDLViPSjIqLu +W+gM0BU/gUK/23ZvnhktMQXqPHHWC4Dfx3NAdBlXTwmIBXr0FGl4Uqa3QSbOMIJA +hIhgRoQJqx1h/JHvE+VRvTsrErgjCJsaqtpKUev84g7x22aDSyzhiwRfInEOubb6 +rxBf6MYrY9qJMKS1v9RcU6aWeuc1tY0Xpq+Zag8nGVALtpa0FSRou85kUuCHJ0Hw +sAVlLEQQvPSPSaO5Yr7wgPA57eb6u5QfSdPo9NeETEcNp0yloJOROSrRdAFvSf59 +s36sNKoA3R5BsbGippyWF715uSqAs97U1PmrWrd0mAAgsufwjCKokalwclXDzWsK +Q9SCpRf/KEqJf+Mc0nMlwuw/yubIsw9UWzMUl+93luphiDOLqJAlrgJ8Y8i067pO +j+xNN1KiQucc/MgBxzv7T7HMY3r1Z2FiRKE/d2LosozXp1iBQ+0toPh38SwugNyo +DuKgS2ZTX5+Ew2zxmOeQMQMcgnFlHAcsG2ORYydVvSmJRfviS64JbjR1iwoL2bhx +XvK53oqipS/VDUCbsi9J9HZpx78FKqznlvp79NZPqV8aoKd0OwefKtO4FN5p5HBq +VUaxeHjQKG+TgHDlds88VjBPEJMSMoQlMU85EzjOPB04ct+553xv8ZHRTAgk7tu0 ++6TNEB8gjGvRHlnRtNDyZ1CDQoRHhKQ5rjrP+bM999SxDGzLJrPl1LM9LXDeCPaN +l4wd8Q/z+bQb8b9yJkNLVIGo6V/ixM8xDqbWm8mM1MpKE/QsyW09ZDIw6FIh/0q0 +N/BCHEFxPxRar9H/hvF/Qama+oE8G8uKG/IJ0A2vUIWd1XLFxO6dIFKLCu4pNdgM +z2GYO8br4nqVbDcEUwiXF//u31d9tiwJ3GhR6M6jaRTvrfp8vbDqjkXeorHUNGKT +/ykhP6zRb14WVGAvR/mXZ8fWlReKD15C7R67vsOBWfzWHtzl9jPtybiqOE69SxEf +6x6SlTS7Mt/J7rnc153M05Lgb+4+Zuxq5VxToDqHscCeeXPGvHRNHA4EHbVyd03t +jLm7GoBSHNaNe357uqPqEyxd1TMi5VJOGJJSj6neWcupw0tgcrr/G8jne+nYS64N +D2EuW2a8oo9Uqr3UJH215OEq8+eRhY1aj+1h6OjAsxlY5i7CUY+r0wgeJ6jBhG4Z +l800zBJ9Tv/wmfSMFSkIKyxEex6a3GmmsXDl2/E1PLlainrjqojNHTwFekjktNM0 +eEx98Vyt2BxZvedTbJbUPk9SNjnKwzssXbAF//Vlg8pj5+ZdrmGLp+DBZN06p8Eu +fDHTl5wbNXEy09vbJhjTitJNwMgw3jyT+DCzXktZXULmPcH7Fl406e03yZRox9c5 +9g0FOTXhxWjTp2E8BTA6mm2Fjm4x0g9MZvHsSDhTqqfukqpC+RXzGPa2UEfDUkVq +VTV3gU7G7NWxybb/CXQ6SfKdNic0i0jPh6Y/HiVoODgObxzKLYlq8B+OsNhykRSM +espofHiO0gTALZnemLCQtiQFQWFs/OwSk9O02w6dr0FzenpXJU+/cm8ECDaT20j/ +08yR5QWapbti9o1hkvXpRRfaVXLGreSBrjChQK1dc5sA3TMcHXhMY0IhLSemm3BO +HM+MUVuDE4Kd6pnKioRKDKvI4kD4WPEA7aie1fER3+3FmS7wRiBvMVmQZr3xG51e +BsuiC82p0KT8pnfZ/wLCQV7bEQl/iFVGQO2U9BYubuNkseeMEg/qzlGOUdQjUm96 +ttAZvsiN71kQ/e9VSCPzG77pl51FsJ6NUv3NQngI+jOG5POtdvJQSoVrPC3RD3vd +9fzA6NneAmqDT7ZkzHgs7ShfYZBIIIxwZNfiC4shIADZRg4f08qFKc5EdZibNrlz ++bTa8UJlGTDV5hEVgFMAfiT1FGzjgghFxMl4sTEnaGetwRo1Y65e+a5+xzoZI0DD +pDwzfUyJ68uZ2FoAZweRyKxS1ZSsAy8yv76lIo7e1U1914TrndmAfehlHrq6HQiQ +CslChj8da3Fo5g3UyVpJXqC4QTosEILE8unJayjl+csFrL/vPvGtvFaL7rVHpUwq +NR1Ap0i7G4UgzJ5y6VXfT7VTH3oGdt14Seun+PSvHiGA3Lvnyu36yz/3JZAqF6pP +pvvkT/HA8ITsD7G3qNFlsNs7XWlZhxSMQOocid2aTXVT5c5+B5PJk1TpfkszBR+x +w2asJKLDxn0GhyTkOJnHzZ8g5GpKDgl2KWsygHF3S1Eubq9AiIKy+xYK075liWQo +j44x1iwujMx5zacLGg/is+TQgs7foPNAbjPDOvtIOgrIHgnBV+3DXHRWmpQo6/5s +WzVHDqAhrAPVKyD2XFH452KlOoR3RBFU34xsfo5Cc6FQ8aPwLOYIA6JJrm7P87Cr +RdnFekM/Ri12hL6fbbT+bxJo7QGLCFNXMn77tlsRp95XKHI/23P/v4drZlM3OTKh +fC9EoggL1H8N3KuRTh3HNSUM1jWdNLHkSTViET+uiTgdYvBkZIY2kq5LS5uhm4BP +icnCqz69iPa1HXubkDEYYNDso2PxXk6IJfT72QC9SPSysG3S5rsMb4x4EuzJq0Mr +w0rPp9L8IS3U8AlBuWHsVMFcpPFmflkkP9q6sRfcYrhhW5W2LsUbdpXoXlPqmPj3 +g7OmgctFGr0j5tfG4GZPvz+MvZrOC4fi025cmWqE2K1Mwo4k+cOQW+cF+WnLoKRo +9NBqBfUj6v/QY3OnhMnq52v3ydbIN6oNjb/fCq+DEJbmlielBCt5WYNDMznoHlrX +dNg9/clWe/Nwb8/xURTcHpd2rxw1byS0Fe3IhhBbO1Gr2rSohT4Ce9GmcNkTxlmM +273+hg2KH7U4z9TWoBnILcIj6zfkcFCqMo4FVevBnif2lWrYbKys4MqnMHMGxAlT +Pg9psrnYY5HyBt879alJQJdVwDcM3vA7UiuASMIORS3ak9RR1fm73GgxA0HvMFSI +rgEOaX/CFLtg5PpKvRXr7CgJI2Z285KXNI1CLXm74JMMhpVGiATZD4klhW28SBmi +c6g2WnJy9qukqeP5HHlRIhZrJSoqUc141wyLghvf4V/Zo6oGEsiMIxo71j/Fsznl +TJOMoShosmuWUcZFysT2AiOo7SkNJnZMnR/aphKzM1qy39rr0oULHmM9sD+BzfF0 +q4pOM8Jy/jWUp5/gvmy5DfpfTMiS0SU69N71nNhO3A9JzgI0DztILQTiBxM0QIbE +bch7UMlCg3ij9ToyIpsA/KQji/2+HRCxIJpkPUnIJdm5JpFJBWAfRUHD2myWCn5e +Li4FQ0t8AyWiVJAfzMr19ky0prnDUY3ABQvNakhYPwKgv+qeJ+N3N8Ma9jQr+nWu +BQSzgg4Yeewis0pbARn105Ge8qGx3ECf5ItJEnwDzhEaBdd2PJmHkX7mXlRGn9d4 +rSN9eXjvJT8KoN1m44OI7Pis4xnrJhyJevjDFbGn7Kxs8DQ+jTYN2sxfQ/hacczq +NPuYL3BGVNkXPZuATNcdBsvx2k7tA9sp8tfSbxk3r+PAIYUO6GfVXkfnghjixhFA +mjliwrg301n44sDfjSEfGkAw4A9JU+WheAuDByqV0LpOknmsKSevKzwBnkynFx0p +8EOnZfYrVX6Cz92mHqEH6cH99mE/kdPUF00PQ+EJRC7Zuy+imXxi6LN+W/FheETy +EFtHNUaECicHY/S+v1B/YnztU14igcSr4ExYeOOppz3EqaNhSrAjiCS934lmA3bu +Rw+5ANwLkWB+Wv3BBdlzuIyqDS7g+xILj7teVs1QRIEH1DignexXQlxTR+qsD/go +wnas7zkoECOE5aRRrmycvH4TnkT6AHlZo16W3qMp8WTQaNB3vZelEe+lOWkpz447 +gc5rxKMceNx7P/6GHTByaj21aXIvMPH/cUlQ7rRYhfoTIsYGdhFKsRV7LGNbuACD +RgZyW8dnJYvbkruLe+zU8QGBiAeauKte9wmg6EdonOhnIw9fSGTs9j2prYl4pXZH +3gK4ea6vBopWh/ooy3PmRd3pCjcI5vKtQbWDicH2f4Pgo//7Ypu0ZIvyUj07h0xV +0cegeUNvHyk1B2xZDqZ1MF+S5onPFXTGzb3YpIpCwim76c70p3YgKirDRWrA54hF +eB4MjKbhARkrS5C6kkutFJj0gOSLE5kdPRhlWFo30R+cvGIZfkV5jVNxNXWv5JIj +SNrCtj0AhSqEzHCd8SvWH8sZxcqdJjKKLX5LBXcImFOiKr5wRBr9cl7eJpjmXytN +7z/BnOxBMXY5KUX7aq3WWHD3M8ahzOvGc5p7jXLB68Uc+1R1kH0CQGqH7vIJqf38 +VHNfNMFK22UI9IG/gJlqTjOsKmh62Efa8NvVZPysWJOkAt0gGhE54OTXRD2ph6oU +wx7RKmy/sXS17qX3uGqbx7waQ6602naFWOpk08+P3rKI6QpLSoas4yxCN3AG8dBI +tTt4XoeVyYN0Txzfepn3yAWCV6com6D8LpXJEGl0Z2Y7pKhZezguCp/LCPCl0xm8 +DmSupmNm5VE7tmXiO1kBanGnl+AkrTvNl2giVieVApr+6GAYbiiuBZqd6yT2SbnV +4hkqBN0rH+xSd7udY2gasW7FhLw8422layIaNLtz+rrVbFRNAQWwkzultk/p3pl6 +e9k+sFnowMw2p5uYQKMTUUhADI/lkfP3ijOnqopZpBZ3I614yT50u0+Jyhq9UT3M +7YCC1CdVpZ2LrYvjWMcNAkmTjCEzNDrlL/WPGM0eXrL01UjCvTBf2Ihaga5oMrGK +O5Usz9xrL8rEjpVCwx9VddwOQB3EZA/9rvZzLnISuGKugt8tVuV2KqfLxZJ8f2Vn +Wv1ov65aavvxMGOsnT9rqnbSwvq0LjQfLdUzWKf6fDlrvf6AfjHdzaeGO8W5HtWd +WuFgAgpGIQFpCBMWlsRa2m+wAZ+jSvrouj8lN3OzDqNscjTgvY3JIsC/3xOswddn +XzWIlwq6vd030dqp3yKyfMJooD2pTlc1FVfs1fFAGb2sqeqwVTYejupXrAAtXudj +WkcL7QIRmSRYnDb6XPiNGMkOY7N+CKeBxfs4zryYHbfqM3f6b88qVTaJ8EZXl840 +YhckxDPXypOrqzboKhIdzVxLx7ePH3nz55w/yb+aLFkiD0erbCOp1cHTp/lhdKxF +p50cchSLzmNEveMlclmH3KhE94N7nIlhXKhBNz6hcjFRiz+6qzQBxKXD5eIo83s5 +1jBjII229rhPwzBqNWGNpvU9K0zBcvlQXPUc2d+zGkuuVxNaCEfVwvxopBzsf+U8 +37fZEeT8jfyZWe+NE9uHwQlsxA8UUvT8AE9fbPRrBqDYgH7XPdgrKXVljVgRBkX3 +uL5lAY8vZKQBHo4ePY7rCVEZk5uVnc79/96TMTf5SdxuXB9gS7umXUFbFcnf+1NJ +urM1zr0vzJ4SooGEq78r1JNAXlWC4SLERWnWbkTT3f/EbeCgqj6QTLJDRtNvNNuX +lF4ZFEoQ1OtzJoLEilC25aXoFh1pObkvin/CuwwgekcFP2GLKO/266IqZb9fpsWY +mdnyKkrgqXie7VtxeW7hZJWL372ailyYkTM30J5//O8WYwBNmx4ssX5ojNMuoU6M +n1KtAfoIpyFCVwUFpsOzqNnD8B0r8Ijv4cMj+tCNzCWis7Lidn5Sc3XpjFLdJ1Dz +ToJX8ZDXx2ytgvGzPKp6/CfLkUYI8zaib9TpTZuw+s+PPxvOGffpNeNJenWR900i +ohPsjh5bdN1+V5k81m5kLIDeJebMv0DknAtMIXmz0XtrY6lohlZaFL4DbydMshRm +5Os7Rz6zXnfeIyCe0McETsLAa0w7ykI6JMtcAs99DD5hG4+M0g2RoXPKDgduqT9j +WfQ/SgPVZ2VhRQrjyHdwAdOi260CbwsPVTZpMS5qlFoBCBhG7g4iFWZ8gGRBGhU+ +Ld0rp1qUMRj8F/LRcz/hkON7YImwn9MYlcPTg+RxNvUrBGmdWDMbw1o+bAYKGToJ +/9x6lcjwHDaQmp0UhRSYlYFuf5R2XrrjDJdVei6M/VVViFDpiiCcjMjfJuqZ8/JA +WscPjdbWRcDmt3KJU2bN7kuiJxNUhepbv6gKgwGHwZV+UmjkooXYQAmVg+NE5qmY +YJMsuAvu5YLdcHFXwm0aLoxBwp9voTuZd4mg0pt1gfOTece5KmEKJYMoR07EQdCE ++pqk/9ydyNVEirwm3kDSokTfPJu0zu/oOcnMBsV+u2oIrEqpsASkZkeOwHYX+HsZ +n/NtNgQuC4pstz9CbN6Nv60usQy6p3k5e280eVds7SWr9EuXf6qOUPLEsCxto/dF +risKE+UfDgZ7nhTbb7vODhRm1nrZiVx5vyxLGrWyLdC8emXN8cVSy/lhL930OQFF +6Nz23osL2y6es2hnji8gsxTyYNdtsHdVZ9As12WnNKqrmfHhvxfxN3j5SV1maKYr +Oy09Zn6H7W+bnaoRF95mmwzPCGa5FPY9vqWiuWaUfUK0eux/oHWtwFwUeF6xiQvH +vWVfZ0CnzoXzvVsNoo5aYJEzCl6amb0PgHd+nnuRk/XVgEuf63F6/7qM48DWbSPU +1NBxeralCF1buw9XSUDnzf7y6K2zWqPlN7907aIk4MCGS3di4S1Bor7M0iGPYwf1 +stDnpzwbA8QbM2r0dlSHCrXPWzWbSTtHRwYBWKNgapMD5+lw+er0Pbt0pGUq+kcb +WXC9QOOzkG2yeR4N+83B1kKRBY5cnZ8gYQnBYywJKMp5qDblH0eRVRWvsaewOnH4 +ZIGYfQQi86o7DR8Cz6CUHwKbmgvDvm3s+Zt9LL6Xt/Hapa3mnqFh9VcoZJCmk1MK +xk/wTTL9p7CfPvLK8Y493ryi6QZZVWe6uFLncu83QgLwHAttkDHYsNolt+9qtuzm +dsqm6sPE/eKQrUGfnF1Fr6FWOJbp8Psng2YlspNSsunT6qLiWdgYI5R3YuFhdOlu +PerCaW2jEUE9jLDz2O8rMBqXafFNmROyfYWlrNzRs4f0W28z6kEIVabGwYEHXU4q +2KWQD+vSWqhZ4kCV01Hqv7QLkNVhB9tIYqfeEjrGaB+HfaOeQ8IFMlYD9cl1gOzZ +yQUvPQsLOa2LMBQVEohAO7xIFxRYCqOXUBvsRx7XF11n67Dl+mdsYVtZFNxNnJcC +ZkScZBb5lwVfNKIUtBp6WggHKPoAf+REQrHV9QFz70eFDtAUOuD8icm2n8OBb9aP +8S/RfBASqeZRY3HA4Z3OHCVO9sIL0WgiaVbeuT1Lwl/0gRR4rhRSBfAfLBcACSBf +vpp/zWqWRhI9iuv4qo8z8Xc2AW7j93ICmiZ9hKHbKygGbZKAjq5SMlPDezv1RXff +7Lz8/CzSyJYXDqZA/fk9prX5cpM8SsGtByimt5/3Bl1irdaLoc7GQbPFDv43h9o6 +HK7441sbhoOdBF4834XYxt7/4vQ2N78yvL7jevzTjK+TEzYkeZtQ3Ez35CHdYgoi +5WGrnRfhAr1tvfkGdK0SAczuMXdzk15XeaSa4qdgd43pmkbNlvOnvPiFWQ2ylSme +REfXQk2xQiqn4DEygnwXSLMtnBh0coBEPHeJoM2ZCXZIDZEww+KGKuEAQnitG10I +OphSihjsaCDcxEKiIXqrDg+NkXC6dFl4e1tt4900z20+4Wj6o7vBNVBK01cktQAt +QwiCwoX4m3r/4bb/CQLrqvpjZyfcMqpl4EKbIZtA8k1Ud6Ds9wy1W0EUIKSt5xg0 +hxiwp9HpbHT9aP+JXdg5Wew8jFvhWx86aM0D0P11ro4HCbjJTYxh1zrQPQ9htVUB ++vEGJLwElHDPBz3vpU2avrXyo6T/KrPIe8Omeew8pBqkJBJ5b58GntCy+/tWBPDN +cn4FEpHt94RQxeq+MwLTrLT9c8VZrpNSfb9q55Sffwik+3yRhk71Igu/NUQMFd9S +xEmtxjAUpNYfx28xaLu/suvu7fJulT892Z4U6jwhGY5et88NS5AmLBnQHJJ2TsLM +lhdkhvCKNo5kVF/bhGw9FDf1F1z1t+Ve0PSbTXKjUOfxyHY7HeeizFARs+5txuBn +B7tHteZsnU4DXUKLBHiJs2hIP14sdcCfXsDtPnnE319E8CWHWfZSKBVpdES+I8Wi +EMcGx8IhvI7rJDiibwm2dBAnAns8zqm4g/dD/lBMSJGjmBlMOnWjYbX72rv2W1Oo +d3mYkm5C+Shm6P6NK9D0GlrM+XEItJxADQeGV617ZzvVygt9wFnqP5hkd98y1YC+ +vBX+Ws4vhl9zhUU8PdUNCZPZWE+ksmgBrSA40H3lM+2SC2g3Y+LczcqeK0ytMeQb +wSBRqQBdmiYXXf0hXm2wu9VXL7v62hZkQClcEaC4YvaU72G0B8QOVP3B1dioFcjM +YjrsssTd8b16CvMJFK6Ut87pvoTm6ZG6hLzHiI/dPAeerricPN28Xjedh7WRFkRw +cmVOTYofhn8aJGmqq+PBsN4ORq0xxa8gsCyLDFJWzEwZHuelOQRT82awpOfiTVxm +2LPnDZVN5rsi3rImvGo57snuSRuPIz0TSHstmz33NC2NVOZp3NZ8bNQn+tm2rIzK +wjNUon4vVeO62bP3fI4a8ptZCPR06B1xyourbf6Y9YixkF0A2jfflAcn1jbzRbB5 +QM2y3RvBhe8mNuB7WemF/Howmxiw3yQBi4eLWt6Yr1En08vOiFwcep2tIc1JR4wS +R9ucV5md3BzwcEG5MKrOLrOam+9uoTkGEOAWH4w2nNAq+YNdUuQOjmN3AshAyS23 +UI3cNjWRAziDIUqGAEnWwobCjSzmFAqZicFc5zMawHxK+5LUOwXgDO1QdrliMeSe +CKlvd/JgxUQx9k6OWrH5Flsb+O66lIUgwXeadbmfsPXKqgIRHQs2ekmICWxUhnEh +z0/PLVmvDFhSLS6b/hMdRUFAYXXuEhoOA+rxVu7gcsOquiZBz1XhM+RXR6BTKCI7 +d/DafeY3lgHp1uH4+S2aO/sVn2Tl/FBNb0sQl8Po4xnqz8CYaaJDhdj8+tCCsmHe +WNmE5v5cu3k1lcipltAqlzAkW2yZjfnkom+mp3QbOdo/mUV94vVf9qKNbFJ4JTLz +bvzyV8SYP9msdV6J/UtHh1rZ1dnvx6nbm4ulrjPCH4cALMNKfRf/SmJxRvIMrE2g +rtKoNw9aIpaHZXqYzRsQ4d85s1SDjvC2g1PDyDWUMMzJgU/TlMjVD8Wu2YC4UD02 +adq45HaPQRBSJtfjwQp0hPnBOOw+NYQS3BXkahBrEi04RUrwgMummd5r9+pWVrni +m7KINoYgSEOzSL/QzcMLIkWwTjpputF5zNivOErdoQCZMCpUuabOSWoKARzbjq+N +vX0RXKqwSfO1WVQjR5jwMNq0bZQ7N59lAY2h0EhcRLJM7kEKOt0HQd+EdunpFQV2 +q1Z7RMCpcFgXJX7m6mpprpj9+fx+TuI98C5Dza8ikXVvdovCcQv72v4PqljYCjTa +jNZEcMmvyO9yeURES0FhyurN2E9TOREQUF3/2PeWFt24KyCEv2be6+AM/XYOBmBY +/BNmrjYIvQg9+slMY1LUZAdwF/pGHew0l4eqCDuJnIdZFktysr0FKQqURxRQTUzA +LvJ9/e+P/lPYTQIo1mvbloNQNIrwHo+x2sFO7se469GKPbuDnHofoWGUz1WXOIA4 +fzp+ppQhofIWn3+rnl3tLRYNoT3tNX+VDyZyflxIpe4N4qPSqmOPxp6T+HpMpnlo +61t8zF+O/BcHudKCYIhkVTfMUzTxgXvfQXdp9JIeKHegp1zEoZDiWQnl532Smoqo +iVhOPJa+Sp1lxf6xsnWBu9rI03nhq6dVTZga2poK9s/Sa+Jv75nRLiarUVEM2pJE +Vc3X1SxrpE3pF3av9LZK/RDpqafIY8Z6xLs5XaBEIiWwL+/xasvqzru855JY4pd9 +tsJuGniViH4/2rOo3Y/vKCajl9BHffsOaRMkkRZbkUsOlNPygdY7fRpwIAkun2bF +LnxLj7d6gMnj+qx8ijMuYSY5Xd2oXRqPFMq0KSar36hIkZzzCnRmX7is5+TuFGYX +EimRGVdfwE129I2M28fetxNZhrlBoD8A+MovaSK+UorGGjtoG0K9FHAfFJMqFH7F +ALmrq+j8W4RbIGxc2ftvntdYsf35ITzFH7wH1x7Pkyfh0n2haM5gutzHpNhadxQE +eEaDjmSWt28FnNgOok0vIJE8TFlQV3chB2C2xxYwB+2nZUKX3RAtQDXCBKfTdyjp +/ER4Jcf5KO+aeK9+irAN8pZlpHPvK2l1Y2VwXwrLQnnUIbz6xxSSuNMjRNEzQM7K +kcghWy9Kb3AmygyJUeibSb4UfFAnLmNqQFIhOKFWNPiPHeWd4NzypPQWf3xpgPas +iiHO5UGAeOCiVokQ4jdEDOBpGQkIjGm7EUHizzHlZV7GoveesWmJc4og6kJrY4Lq +IVbjlgK6vC8fu3j9F1q7dj3J3GoLgRrje3Q21/3j6/fVABJCq7biZGOs29PF8dCa +oRq1fwLWme0dbXSHXK1kSWbLEKTO2pvBblpLH4q/WvcIcn/UX7xAthED0ILRAgw4 +MZJHTcAjJaxK5laQYOgOt8/zPqJ5F/Mjq98I89X5HGwJx4f+Jr9RMoYvhdhEe/7x +H+WLkA3b15IMbKXxKTda9dVJK9YUL0SWcgOhYeTqa5aK/w7VMQMxurb0bDhk7gOj +INFBhm6sFb1ZvYEdc6goVwME+SjYYFowpBNY3euhqgrZ7TJyFCHXcojOYAhKyLCe +HxScPuhYGGpxzZHjXcc6DDwOY91JsI/eQSKON6AYcm0Xk718QOi5PfxF+GFUAGyF +Sn6g06MbWLcbpe+7+Sgfhkl/9TUDQUYAzDT17pH0hPJVYOx22Qx2sXXhJDYAPMY3 +mRKt4wY+iSs8jelnA+b2fGEHwUvsidSCP5NuUr7Sq/DYqEjsaYd46boFPDmflOPa +Li2DJLT8lOHPtCMqoGfpta1mOg4gCRkqYCW4GnjinmH7C4HjIVJT3WKCmRLPGxi2 +NNK8moO1X5oKZk2REtbj8EBz6fnAlJlbjl36htRMw9Suhp+kVxmPeVP5hSd1CYvt +LBQP0siXwBLr7L1yEIw61fJzIZiaGVQj43Q5UIsLNf7oYN4cilAQ0KG2ohwkRagn +x66ZPYjpEzPWkGCo00MkvJPLBgwBwYjm0CKVUKFq9aMZYo5sm0AtL4pBa5t3GoV2 +VOr7O5DHiUkYXrlDKDp2+3iAnik29emrMjPXuWFz4TujlHTp2UnHDwY56b5+aiOS +1pAtSjdG20NrsRK1NgsxU0DSDM8FT8QL6Be+7v5LFsaE6y0D9n71rm161+DKDy33 +BgCLs0OCNgmSQMUhdojjwmzqvMO3ZS681McDgdHScJeHSj2EXm1hw17VmPDc+Y6W +WPsSYrPIazm/LwPXYvZO5IVTzLhlturXezhT324cDAenLNTSl8DO7DGH0fVfpVjS +twsB41MrQq2pQ42vu4HvMQqkInLup9dN88MtSnqnhDESmTkMSKsMnaIbwTVeq0J7 +AwKm9zEa0a3C87Q5o9Ued9i3/vfMLAoSheQ68fvjl6/l3Q7EVAQysCUlvM+y0GcE +Z/b6fklzSXWnT+259onhXjre+126waaMgakKKSzw7uJUC26JPDePS8uL2O3DtIkB +SvH3epupB98ZsWMLfyegGzKwL9O+OthneggweWGdux+xH1hW1csy98mUu0p8eUx/ +FcjPfxzGCR9AOfSmtiypwgMLWEjzfDA7hM+bSpWoz1whJTzGEJrvaJa1srxXPg9F +nbeKuc80N6IWbEMZILyQxGMZkuUrgPC1tbTsbcLD+6VX9O+K1tBEdlucXRqC97rO ++XqncAvdIPTjJU2RIMaVVWMxB3xB+J2eJQ1MiYc/VeXG/7Z0QUBSfId9ahWZF+S0 +Ds3N0n47buo6rLVGyzgljYRjShIaVlpxu6ujfQ3HIKSJq7JatJK99Kx9dJyB5Ppk +1U9hNUkjx2GJNKBN1z74SNwg68yDsJ/gBOedzpVwrzHkcNU4HDFklgBqrSkhFT3Y +HQix6CH1Uk9bEKPOQkgIZS+/NxR8smi4+3SO8zkOE5zERZVuuUETmZa6KRRpYPg7 +tTf1C3NALhVpptsjxYHghxq2bjzo7T8d/7jYGaDwH03Y/fQiOO0H+1pGoeCkeSUN +b87YBx6SNFz3FzwN0z1ZV8eku0x2LgnpU7vdvxAUdi2lFrv5mmYGEzA8XjUqLUFf +YIkdPVnvzZ6IaoHhk3g31NGZvCRYw0wMRRjvX98YrcmNYhzwJYFx8X+AQ/po4Vip +QorHDz4DgqLoVAd8HqbKeeajoAlegH07k0jRxyMNW4YcAsxcimXI0VJDz2HKsNTU +qiRfqJs/BPcmP/RlvYti4Kvooqe7HeLNeN5QkURmfHNRYtPrzv7NXBx/YfMIT4cm +j28Mgr7y8fOiO9E/9DxuXw9tep68zQ2RJMknaA3IUANfkuchiTMpzXg0l1YkdYJW +rVRx/N4l5kqI4ORD5Ym0pyQVJesW3X+6voOwjTRtLTS+eOJ8u9yj9Z8XJhFkHMjX +72KL2Y8yStFU5qx88JDNkRSzWYFZMuhdnBEWXfYFyTvtK51vYJRpEPQErJcqxOJ6 +KtAbozwisvhJjD3WxCR5jodDCSXRcDWjfG/FNiuQx7xARg2vaaOs8FzmDnThnHIZ +NU9zKCcEbwPMWYYSuDxDhqKzuXCoYyWVSYjyZP+5ouW3suKvPPhzBzIkRRSsTxky +xYmJcZf9ohodGk7TW/nKsj+LynUp4QWnhtomoot9rXm9b5W25oPMWkHMLDeEJH5M +P9XByEalGhVnWS0RXRYJ/rrA7bsZG0PAxTgdAWbKv6SATQhZ2dHG1CkBfpP6hf+Y +w+JOru2nH5UoaEffjnVSBphQfxGNkuFJn3pUQC4YTrhAyWAAeLmj8OStPpqGeup8 +1wVGiMIXAi0iKBTnjHU+8jJwpQqSlwOtaGuGENYT21GcPxcjbZhuJXcmhejUKxX+ +o7fYJRoIPu1GoIzzUkcKTc9kOZJLgRBirod5DgYHbUorAvLySsC0Aeh3ltZnMeA5 +2N8yZC1NVQqZIHviYPnwJRd0JRw9wzz9USo10+WjEUnZku/7VutLmekcKWPEtnKM +2NJ4Ou6EJSEFkr3JozC8hur0BajY6ZU907edy+hllwiLscKvMKkpUbtITFGMgtMb +3MXHj8bgEFivzY1WNJHd8FvwCkb5tYW2bNsASGCFd9wpzO4GBuKS8nGn94nT6ugk +DhrtkJg+Uo+HPQamtqtoXdK39eVu60oKv1M47ueonmMvyEfsuP2mV+yWtxvKT5q+ +ywcLoTPkkNQMolUO7xT9xKNUTZ5O/4xxUkgsk8zJamoZjjloxH0co8LiB5YRwds8 ++L2gUUOa7BSSzA8TrWIEVI9l/R6RVvTJ0T9SYAqicy586J0YxaS6dEBXiH6AAxsI +ZTIrCEkSCAfgItt2BpBnTwdhXQYjKYRFWN+bFGLWGEvMroWF3aR0ofD6iqzdzm37 +YNYsgrYn9xktQG8JAQOzwFvXOkyrrD6OPiqJQzX4wEjq1yGW3VPCTcgjUT9f2A/0 +XCvEo6vQQ+b0RiDbEE6QVGDXrantU0t+szSwxWi8yEIBxaa0sUfxYWrqftNDi6cc +bzdUNZJrZXKX5t2KKQ0hLpVr/WoKSo3kq7uyC6vDqyIa48AUN9m4CKeaRi7MrhVz +JHI9F6rbDiM6brqxgCJO+MOj+13vt56sAJ2AwJXMFXiN53QJYhZvksnhqtger4B2 +ax9f0sSfGKvSUL3y4DjqeRyD9VrIbRsgrAq6JYm6F+62y4Z01kt83qHEJ167crQi +sjTOZ6TM7bg7C2t9Njhtwtsj5HRhFh8M2YvbUSbKb9fGdbr6TOenklXxNYxHjCFV +pajwh5PrDWufbOus3w/rGOF04ODAncHql2HsYBfnL4Eftjl3SCdoold6/NEIeyQ7 ++aKoLcyopVbxLoa1yW7EE68tAV8pZufKQuqU1uhoO4etcPYFcUH7jG9lvDOSKWkw +gE35LoSiwJd4q7TdrTfohg3xRxpQ+IrxHgsclwuZFGvc/YAzi7H0kQVJG2Ce/TCu +o8RHBQ2dD8FJAwJ5KIelf9kT2esYpQtZeIDvD9ECQtSD64QHlGax6F0DX62OCSDg +OWi6dalLOusgA2WwTrlKzWLIAhqx1XIuYSqriWXxlq0dpJ0k032AMvB5h9Kn+gGz +Sd2TO6+iWQWaWTWQSks5IeARHaOrS6paBDBVMUQTjt1RAFZmsGAE9h9LnwwJ6VwK +uuwNrkwi7TG0tjMjQhTpFRfEhGIfEI8AfdKYlDhJ/eqH5aQIjMVWzPOZLTyc8ccu +KjOcn7vuuxgrUKXz+iSHygdMG11xlZO0oujJ8UMZfsDoOqOiCp8VYwqsbNjQq+F0 +hCPLoG758BqamYpl5en/dZ5pQvcDQ5O4HyQdwolPSza8JblBtPgbBpKvYiIqTjp9 +7Jf44kh2q/8tqiLreZ/UIpwNN8vX+iDBpuO+rMBE86PxfjvUmCJ1YOo5y3h5vwpo +vxcwouMH3/g7P75DtLKUG0uigSwbLir2EHTjHf+bu6hqo6ER6Oq/EqFP2gGU8JcT +mp5REKrprgU2V0jfzz8cyrRmYXmExE8zNFlBT3lHJIN+Dipr8le4tZpgTidPl9zN +jx++pX2LM9QGczl2QN6qsOOv5ajxdIq4rCMoJ9IdScCaZ27Z5sWPsqZ/ukrwVGYJ +vBaVzAvWSOfHTmB2E2S+4MmMHHGMrxbzhB2WQOQ8HSRwC9m8y0vDPNvibJXRarDK +g94RgRk7UY9V3i25O4J1ZLD0QPpZZLpmMdUAiKbZNxS7cBZTc5S4bwsSWj2K9EIP +M8WNqs2/X8o8RvqSuDUXT6ka5ZPZzS/kxUMyymdKZCmpQesLtjVXYL8x0CWKDSwb +rX5RbJ6sX2ch1fU6ZVbijfvjlQRbx8i89YMeRbO2BJZcTPhPBmH8h0dG/vrX0zqJ +2kzZx+IE7q8VeZkck15UVixjNd+AyEDT01oDAdqSw2BP5m0I6xZFldgQB3qG8uX6 +l1oLMcYbKU4yUdDNIkxi5vrRK9xoGVxH96uDrG5ySh6QiEp/Nfym1TSEQsY16gJm +V92jzWuebKY/ebVvpUFqtUGfmkZ3fzZZzkOVdiE2R1NAZgeyhXr/TFyiRaJuTuPW +yhIrPBgZhtco83fcZzQHPWofIJjaFYrZmAVnjB7oH0qJIkj81n57ST0krsNpmD/J +P099GY91TEZPLZ+TonvM6GbXU8Q7td1m7DHrgIrkk1e5TBTw3DRn7d9h/1S5NgcX +Q+3XW0yNiqoKn5rFXjfw/O+JFyw53p4yXNQDYylOz+DOAndfNSxpgXjRCi0k3rqj +a/tYBhNRQZaeRL3YsR/8GMQao8rogA0GS/DeqB0ksKEr6qOPYa8zbr/EORUted+3 +WfBkUAbLR0FEOMIFYsPmeKxcbq6LATOe+LVLSpj0BWxFi7OVAZ2pAp/8iLFhl7oe +h9kSuuVlQk7WjvmaY4Qw9zb1stuk57wUbjpGWtH0MWLwcGoE3PgL1MmpQGX42RKa +9oiJ10flth0QnC9J8BGX6TqFxn//VAlqtGkNOUeXqqEWTRJmbzJMHtg5ltH2ZYZ2 +Q0o4sK6fRSivEu/Ce5uE3tzzVMkQ5FdhGISk4OPxAVWwDLLZo3WBaINEg7wBmGYh +gqQZkO4IBZCMV81wYlxbNRv6qLdm3DzUltrdvMUBE61BbbP4Gtdc/2MjxdbVwLUH +RVqaCa6KkRI8udlxI1wLVtGWmCeBwYN2NjFjmp7A2DAebSUHwYb3Tmd0mS+6SLGJ +UbsN1jKzP9ZbLCGd0hC+i2cq7MmOBjytx6LBuKJI/SBjHwbUOlxsl8h//15+hAr0 +ysIhT5saQylWFl8nZOOtEUHbuEou6tFbVfZ8k5iYfMZTFSBlT7uOWQaP/kCVra34 +7ZEPWAGfcqDfu2N2Jc4R8KoJfaBIGfgLPYUVh31VWnuGhV9nKuLYtan4+cbFPnxI +FaWg4IfggNea8iQl9fnbGwrr2QjDF+3t4YJEOKWMIJZkVx7Rtm0lV4JhZxOA3Hx5 +HgVg5ESp3dTriPhAUou8TFe+RoYeBgt/N1z5al9QIDkVZgDfqCqlJLOUAYDmosbm +l6Gh9gyoEGhWubv/CnZvWiE8wtyMW0ZYo4axgiMPaUkA9GH93S9Ux1AlLHT3cZO7 +h4GQ2m36Y5aKneJn2Ne6Q8m3d6kmzg6+qWmCfUGHqXmDIA6+p7UvqCOhWrfk9wx9 +tWW3oGGdQN3wg1lf3e7akvnFUfIJ5gtgVl2X6d84LOAnp86ZtsMpcvnyKFXAcrPt +r2wErp2+mpnB5wS9fDmD7jzNvOrmXwFyK6KMiNP48kwE7mdXz5tTDPEp8iX4IV26 +4zle8DXCoXzOF3qa2dRFbLyiPBYHDUylFGsEHSuAE69EhvcEPfy+PdAZ7hTgtS2X +oeNBzmeYi1X2yNVHskGB2I2gcwLsHcXOzzeRoKvgeKuQBHL/aIIgi0Ybvu4M2sVh +RlGe3mHAUOXRSI3Kr/7gthXmr5ZadbWCayoRYnw42Yll7UnrxIND8JC41e9Ps2c+ +W2GK3HXBARpWyp/6/JDJoUeUNEfSUar/R4fHaUfwoE+lDXgVzllTnSJi5iVl43Ag +Pkk/FUq1YFp2nUpXgNnMm9aUDBUmfGRzBsr8ifYCaY40KFcnXoU4DREsBWzmDMAY +03a68l1FapuRNKv7uNVUATLYmp5XwTWC2gOux2S6+jUEc69ORQc7W636CCJBQMab +mVkpPgCPC2g19n11+Ui3V+cULpENAS3EAkFL7NRlmrcT5+B65AcxpS79tdE+/kpL +CfENgwtTicSRzsgVS0wvefzdlVrf9Lp4reZ4LtYLEmCEoLurhlFU27hhdjYXKzZV +oSKyqmTAnIqQAJMDV1J9PbwAXa3mTKuhFnsnvq3tScFbedcdc3mMFmL/y8Nest2z +muzC/fyotiQGlxeNe31IYeSuyjtFIIgwC6SF+sspZLkk5GXwQkdcdmUoNhsfPJxz +CTH7lqbCa3w0+m08yF/YvtfJlwTTqhY/bXXQRVd/Al5IHp2+ILjx8EEiCwhVc32s +HbWgSxr0XRsDnNuRIs14QU2u4ikgP14lfwIn1LEtydDth6S3L8Rke/S5b89K9sXQ +UyGJHkyPHh7RoyTlaiK6sbA4fHEyy1gFHHqxEISeKrDmoPTj4pZQX96BtGMosObC +Z8SoYhbuH4jGkCfQBzCR3Dfn7ljotVQYGp27emQ3k3N5e/OPNP3vV3X3P3xKOyw2 +bJSOBFMOkhnEmatAdSgUzXTeo7OlqmKNXFsuYX/jsZNPNzD1hl0qdhcPntdOeLQQ +78o3Pt8iFWLHm5btBMVeh5VwGvbeXbp8Jpy2yXZloIRBCll3leDlKiTiIYOfgPPu +F0lWe36z2M3wlHa1U109L8aKNxFUtKuGtohYyUkfmLbcfQ/sPWdGXM7SwQ7bCy5v +bqOU5ROoknlEhmQgS5y/wDhCeRIN4WeX3mCy3Q9OwbjNCt3hUaHy3C2tz0PmTeS9 +dBcjqNcL+YA4QG9qmvgsZbx7YMVh3q+drzYl/7Q4RRxaPsQbhuabzvrloOH/BrK1 +fgDFv7rr2rhgwzad4ZjcXYlQwttIq+sYrpWw5RsQUTw4FsT2e3qTKuaU6X0cwbnx +Q3p4/K6DCMsqH11rpsaYXJ3rbNdWgZXg4Ke5AeSa3SYN5WO24b8NsoL0lW9EZfCq +natjWbsqXNuBlJnt/uxabSXXLZ3SGu7GkLaGoK/nABnk95DNiEL9Or3bRvQmhg79 +zFMVwiA9qwsCrGonzSlkCm/yAQApZerhPry88BLNNr315rNUmvHWBpt6qfLr7B2a +gfU0T37U67AnTVZADOh0FxHpK0QoN+oNYFMEzw7Yx7wQ6wYxTDi5N/a/Or6rSShI +eChi6K/UFRyoYKhWesihKWs+8HY5QgaNNB//KGE26Rle83LysREYYR1E3tPN69r9 +Z3gYhTrc9+AAKrMsPApqcw9n7AcgWHtOSFO3vzXOHlfUnD5DWBeELexoZ8oMD5Al +ZBrPrpQnoUDleakxSLQRy/GlfNL3QltxXCe32q3mSyITRAmGFDKLqFY51Dr0PIPr +tlP8jPFxDpaenRkLGzCP1lbGqxlMMiboGbMcEj/YQJ2ciFcFEgk7hR7sKsxjqNTE +5h60Cbp1XM3sXgeBes5LlFXf0+L0itkU4UErrkaPZsIW8i/qUZSl1LuLMO2ROBUj +E28Av5ABeTDuShNpFfE7sc/UwfdMeIJcAbewjakk8bFa5XJhR8QYPG38t08p6iws +D1mmqleovA9weUJXOmRquvAdIW5yo9Dzyqg7pHsG+Cv0OXAqmXyAaD+dLcUOsj7h +Mp7RxlWSka3MZ/YSkk9AGUwkueukkeR6aayyE0SPvv2RsuIt8cFiHnrmNMMwQTOP +HqIylpFTO8EqePnR50tXVtWZzFWOFLLh5Q3lS4PqnEqkLFiXjBEBuKBseY41eCI/ +Whbxi7GxixERnTkNg3G49cAbK8W1HqJbImdB884mupaZtyU38tgZfeGpygy1dH+w +nDbp/sMCU/GRUJgn7vaxH9vZxErilJO2JY+9ej1JOFqchEqjXIO+rC9UPOtKKQnc +D/re3+Lq5mhtDt7hCZani9g3CSq8Dyb3QemyF5ew6/uzTcvVoOTWUrutOIrum1qz +F9xK8BpO8fUFt5uFxpNYAvJihe/b3LTlweNZn3a0KAb/3w4TXhBtp53JOlneeDQF +Yr9oa/mhzf8v0oRcAeyElg26k4fzJ/8GdXV/qOC37wnk5kRGxpKPvbE2H+iuFon5 +NepJdMSzH2CM44lKlX19ab0uXDfVKvsoP/3JkbQ5j7m8nZcDprfRD5DmZVZBSR4Q +ZuXWEvxCd1bmGk+Yzy5TwyciuuewpWjTBOQoSukoH4AUuIn7jUsHnVLjdVWuyrY2 +RzdNsitgI58CnRiRbWCzcvPOYZJSignwD60TaKlkZ/KiD8SJXzdaFgdxBBVtBFBa +Kcp0oQlMFG9dUIZAS8X6cLOxKtr/H5pH2h9g+C5OOTi2BQB5izB0b8z7hDw5eVLe +Uryplo1dI2bdOYikF06D3988mKi6aiIa5s+Mnw7vrtW1975qOg8h+70ZpMEKlPfW +pwFKx9lGW2wsxDGG1Ew5IwzzuaDHqDgvW0Nv36LH8nHJHGqqGz9aygE4Pfmls2G7 +YBns+LZblB3oTnEDflIIroFVciFbc4cWdR/m/vkpDUVdU/KykPmxzvYjlgr8mmeo +YDxQOObXHiBMGOYOH+00ABEQJXbpX74OMHbOVH2woYb1mhPBj8je3B9Kzar7coEu +buTdGAGGiXrTezRlk/76qdHVrUJqzzK7QMAs6B1JbMIfYN/ifx2mMbE6qvpbxR+C +iXcIVZP63zDj6Le8HthswF7Ubn2374dA20IYRQKrzdE7ZJHW5PplKqllW9g2SUrd +8j/dVW7/knq8N6FcVUrUIdaWLgX7k/Xy44CjIKtPxbjYW3HE5t/kH69t9uTyVFEF +TpDZa8oYNdR90aK96akGWdkmr8yGzagjAKnfzwPXJkiiFGZ7BuOi0JC6KRH4EeKS +T8VGzI7xJy6xIQrpwTvqB0yjw0Fo+yr11JwEv1lTsd1LiuIUNJNE0n7egOtv3Rwl +qLD1U8AQYecBQrKxSf2R3I/f8Nsvw9Ej2SbdNpTq51aSRZUsYfoVrq2KdeFS2nY9 +Sdf8fmThUP9r4t+yvhEOI3FNP7bqYoUtVATUHLz/nKAw5zz7ahm8urOR3C9jOkOC +ic+adZtYv5SupHa/uSk941yD05tDbj/Z2A9c0UjsU02/1WtUivvGz54MtG4xpMBW +zcJkAhiXglbp8HMhhx372pTJioZlvskVw7xPUXHwCbYA/a+ciYQXogYxEeSa4SZ9 +fbdcBk7AZxc4uBJ4+4ph7x80Va51O1bkcLOZvQ50vVw03Lr0ZUTCC2fXqUch+5Za +qrx7mwBb5XujdMvfnkeENqIawxajyrMlHtdQwSLnpNtm3hbB4q2ruqdpOQlo3Wb4 +nUCmjGqnwzbBILjnfbe5vE4OwXDI4lmh0qlgIV4N15m1kgQ4qpr+QXc9dn1o8/Tb +/BSA9r/dyO9XhaSomB5ZupK0D6RrEsjwVVUEHQFfA0oQhNk51ELw493Q66NklXfG +G7tIceRM4lb6qB8e25AB6GqMkW+sr9jkHuBjp93iu5XNIQUteAGvAqCt+f+pVzRX +ALzJx3HAN8rSI8QSI1X8srhYow0cPe0TxBBhoizCSPaLvg08lya55pOj54CIP1q2 +ubXK96QENd7DFTsR5nvWKvx+3icmzQxJCQyYtWUSHI/XLUPx8GIwCwd0CLR8pKyB +dBQIcFFXMPQJnYtW045H/Jb8o6xDwPbISSLrhhpDnxMzmq+nPDxT7GJsr9Ubcq2q +rE8SDCMVnhlTvsIeKw8NLwE4IIZ/0xBwEZRzAuGkTJNtmAovrt7KlXqaWeLwwzNT +xFP05j4GNEH9DU5W1vZ4vUzfSLN3lVfoBee/BLgiu8KFNTjBnwDVONDhroaiGFJm +Nl+UHbRKQ+LN7+nXxF7pPME/741PQ+SqZQz+21hQju8+sXJxjWE0R4di8nybGorj +9k2LNf5MmvoXV2n+1XjAcvaRQfsRCLZD6OoypOZqbMCyQKLsIHucYl/qwfRvbElC +uP4voL0VzoSu3R7rHxTnQFwpzk8jqaoDYqfQaFAoNgjF7xutLtyV2GokdR9Ucwky +n44W61JifNJBZnKRkBY5awH7UbfHxF3S/Fr+9n7N0rtvw93SemTl8crypjhuhdlz +j2+9pOFsm3uPdWBCuTYxYamEMfAgqwBWyoRfeKrbIx/IRAq05OnX9k+IVBuJp2Ak +9MrAZiyAVlPhtV22ju3udUvbmt9QBgsG3XgR0/LXxeyTm7NOR+MuBd1ZrLMwBraD +QPgJwKBWbwvFvRgDhK3UXQf4kcYmHGhi6X/W0iGBKYBPHJTQS3XEZKD5HIGT46n/ +NZmz1A5PWhkKWrKh9jsAZEqDJO+XqZDseWDXJARaU2ili+MhTbXNVwjDWGCAGue6 +En/blxEey4mydqlitOkUH04uQQhX9rEpVVf5uK5wJLwISSPhThaPE/++31sFrdBx +VTQSAZWl5jZiA+WlluH2n61glq0gfsXKYcuwZgcx6hWmg54EkEoA7yHu7jeDdnN3 +Vjyzg4W2OjN2QhEL4iPmDHQEMmhNzN6Od7LkIn+nWoXF437m3lKDBdA07YgbqxqH +t1BaqPExrCke3FxKsPoU69aNljbt4eKRIfvORBusne40JWog87fTQZN+/slQQ7jn +nSq4MXeRgqmOV7Y6IsRF84f6xbwdjwbI0Rl0I2aah5jRivCgNEaudftChjLwpJrW +eoo78sLl/tPnLy/zBV55+XXPiiPLe/aolRMLHib2dw+va9xYLU9mLXv0s4oHcJ78 +rmoZ3GUWH1WISJxgQOocm82HE721g8UmMnqYblW7wuKNMFOXOS2O3xWnBNjVR74V +v9TqyXzlvs3+7NYF/PVm4F3GjoRKUtkt6LRvASRm6eq3i0yGr+CtkN2y10I2Q/kp +QDPO737d1Yw/jCzudQDmt+zFl/WNz8sohsBRd7mm8o9kfRIXJv4AzrCYgEuVThFL +BAlWsLD7ofzB2iSS+ETSIKOuCUnlr2wnTK83OLAgcPm6nQMEgXRuk+SxBf5wr5h6 +X/HR9IBBtn4opHDGsEn5ZybcEQlBXlvNjFh2u512s/wEWMrLOUP6zaDlNNE9hnfp +qLfTjaI6r2al/lxqQNdCx9wKgPswe/pg/pg7MJBLey1i6WTY2O06hdWtKF/vZwIO +4H0ZSvOTqond90oZwIEv8f1/GlxvSgSYb+IDIdIgMqSV7vR0Te2mG5xI7+6Tp3D8 +hnPDj7e0KQWfvyMOtZcdEDfOZX+Wg89oEqTRDaWDNjgTQqghvkDG0H69e4b+3GeF +Udi9PDChQTtBPo26jPeTvsHZSCpjUlCthEwnz/o735PYpe9k0tE+bBOcezSWblSH +wKr9UFklFD2i0VE1PI8UQI6ZCdzuKNrAVJITBQX5yEvcmmRiZFuM6LVKmQeIphgX +R8BStKr1RbFVU8EHBHjcCdz9qcl6QtEIoDOUA/yixGh7oabsKOsUv3MmijXCnp9U +TLhHKh/YWCi09CGS4cW9i/D2qkp81QpMcsc2e68bSsA5rCSckXHbR3FkZaioQ5// +jvRn5t0TnFM/rx/K/z5GmQ5DHC3HSoTNv1i3rR6iVuqhkvH+wtgx3oTcGMvcL5Tx +Ila+bxrAT4ufEKgS+xn6DsT3HS9fmIaIggih/gwRhnRCHPPVj9zPb1vQ+kcabMj2 +ArjG0VJDS/yh6jB3k2QI3hr6CYuyd91yTW2jKEexX/KNYohPY5+/qyjsEp3qkam8 +lYLK54dSAYQrALgJ6u2+yC3YMpJrq4erWu/jDsPoTZOHGSUKthtWjIn/uJNxZx29 +cNVGoMwRAAdNJkS3CXtkbbTsOYbSO1rjIOF6dca4CxCvwDWQzIvbfkA6LNMd0eMI +3BHXd7MSlsvqL9lukmbh5YkM0ZcbXiyOZGsfdPeyCdlaRIF5YdX+M4yardbOYL2R +pLW6XbQ7nTljc878323X08sLGAE2os9bLK8WFCP6mKLCHIUPD0AmCqsgVgIWHZgY +kyrtx+ucU5C7UL9hrv+oCoEI2JpsucZZPIFxkaRQowi5mqjHalZ6DofBF/dK/vHE +09PQctvFbqrHT8rsspWgN1q1EwhLnBOByOVVIGtXTdb/vxRvnoQettLXW0QV1rQb +CR9qwqprbP77gix3vmkhFFbn2EL6Wn8CdtG1+psfmbfmhpcrBZderMG0PuWisJku +XQaJzbMGgGzVtS86lzCcXLSxYBkeClUntbXLPrBrkgLT/35GE6sxdHjEcOBSi13t +DJhHm+gZ9dnKifqFAkae5VfOx+s7MT0oejYvxVfTxSUzGj6yovzoctPM8U9+1hsE +/aQ7eZIjLAWFYmHsVez8DhII4y4pRHjGzU7PuJGMue5Sx0dZmuY3yvBmy58joG7r +1bF2KaxWMmcZYpIMfIT3cKT7EVTygqUst8efzlKCn/ukZsKgrclGFdGWgyaySRPu +UTtwam4tAa6dbHOz4epd4dw0yvBQaAmqCrkYykU3Je1MQknJ4OUCXoLD6PYtWRZE +sEpP51FU8NYzOz5w8IFOlZNZh6cf0zYd0IJZVCKPnaJgPhHKkGQCa5g4kd44d5Gq +vPhpzsLnlfSYJ90jmEl/BH18WKwzvztZQhhL1Kg4AeCJ+CtVpwSnBfLl6w/qt5LT +PcduwxDRIFYST4Pd2sM0rmySoTvMsEeycEF0jQbjcmfXoqcQhi1gxqEJR4lEQbuw +BO2qW0j1GPd2CqulV+avL4nK6IhunjSQmtrwwodVDd5DwyE2tr+5Bgwy56L8EeN5 +W+PwcH6fzpil7umqj4/h8lvabyNsao1/Avtq/La8qBJo/lwZgGxZk4Ii2NV8Znvh +Ka4VhAevbizYQB+k/XzHK+KHHniDP9vX0jZ97ifMZda6iGv1EGL+gIYJl54IrxzJ +b62cDB7xN617U+OHDzAxgSYx2wU8YL2neGlDE8J73jht20rlbc13nhlHNU3AkdtN +ndp6HUZJCOZ8EFTLk6NADsNCz0rApZYRPpmU4zkAQYjRP5Dec8L9RbxDE7GnFKVZ +iutSkiMIo56VMmsPh94OSVo3mZsG35t7y7ASThztHSt7x3Fuq/wtXXVA0mlTqCn0 +SgipTghx4Upb2/YQLCS903dg6LZ/iN9Plij/8QpZmn5ZMUU0LDiJC20AjJVosIrn +z49flIUfw/gvLPqs4uqp3U4Fc4bKY1u2saAyH1NwWcJuGHbgdnd8BFSzt5Qzmz9r +jc6K7u3KbQkiOd38c8OmrZwtgZHvy88cHozeeco7lzRuUfDHrrf4qZyATmZffkha +l8O3uTkwlqUsZWw/dX9itXtXdtqGylVKlHc+BOoIHvvfEQbN7D5zOjnVRR357/AZ +6KCDlSbUe1XnH9v1WozJEczqhGuTe87exolSJKykujREVraIYHI4mL15LOFkQ3RQ +5F55UjoDQJGDUrzZPVU2v7wkoe3D28jrLUx8OzA7J+2eSX0pj8uPSSlQuqNWmyrN +50SURLJuEiwTLO6JhhP3zq97Fj2P7Y54TMUC5yAreOTwCaPJjPpgBM/iURJBP8Z9 +2onpVY/I82hA/18Qoz0O0a+NwtiC+y26SSsZ0uGCZOd99zv+THiDMHeUTMC5E3hc +l3KsmgERBtiUtvsm1rRnfcLfNVQPGUZH6IoNEgSHRFuozhlUsZJxaZ6y9dNutxka +PgNmVQNeJUjOeQ0WgUqO1YRWV70SwldLRgNt5vRs6YyBTP55ZeaN3RJ6FzYn6iJX +P44b4FzW/opuT3sM9tC5em6Agxc1WWlEMZzEY3Q3zjbNTcvxwjKTE+Zqz2w6BS+q +8WOzdzOMJ074d945jDgmcVHo46brCzsAOsmHNGRISq1GY4SX+/oIMCZGoz8W7ckq +2BkBTJR6iEReLPLZAsU8xFOxfJ02IJmdwUwe/rF8f3+mQN/vV2tK3EGGWQ72GPuh ++xBRoeRJfxPYEvsrHOYkjDF060K6LC+L5TjbdyQwYj7D2OY5a4iKquIPuDCJwuGl +J3ASnbxWU7FQM/uljs5BKlVln6tc4NbZggZ4DKb2jP7pKKlUaScsLMEt+ORssrb4 +L3hstlQKdZ8etKnfZlicy0W99cU4oonMhqLLKdn8sQ1vb7lO8QBXjs4Rkx9WD9Vx +cp6mtWuqA1F9D+Gumy2VKonj1e3ra5AiX0g7+xpL5dXXS3jiCfbWdi1G8GR+pJM0 +sbbDrPpH0EESMH8c0dQVcmJ7DnuWkl5U+rDIUwy67Qs9Aup101ogVn+a7S+9Y/bM +2J6BtTTbb0br1KeBYdBxb2Y9Q0OcGIB6VjiI45fmYdY3Aw/dA7do8X7lxzgoOaTD +LBahqDOASI3nzGsSKNNVts6NjiBgx38T2NUIlyTOSFJE7ttG+Vbjb673pCWLRJgR +IZmJIsrlDKwjWjL9ryIbn3oh7M0GfXj5f0MKI9NGT4+g9tf5rVdtfGphrgEtAccc +gdWI0H3mDCCeAuSkRV1IIPk67dSeRru/U/Zc1MF58UnO45zpdP+KjxsChtAchA7S +MVfzT3drgHHDDHO4i0qhOfN0phPZZoxonN18zuVU2nrFvCR2kL+27hy2OIkgIvTi +lo8l5a1zelYUJFYEoLpIf1N+lWgbrDKA17lK6czNLekWqykWcNMlv9hWxa8P362u +Z+KggUxgMhuI5I/UnIgXrQpDX1KUo/zpCN9keaiFAj63g2FfQEuAgdkNzCBG+pCp +kp6SKkvME6SWO3SrIqn2i1CE2YwmEDvvre4HVxUiusBefhUy0xvhvuXw+6dzDuck +qnZ+RjfGF6ZdWhziEvO1L2Ky5G0f39w2plBhf8yvylcAJyKMbYIKzeqA68JDcjVP +clsWY1b4nx8GXMvIEc9SJZB5AIRtNXRAXsycKbHBy+iAxxf61MS04nh5iYbuTiKN +yBIE/muoT2vOjBYOEZaYWafWJaXEr6vGpfwi3N/B0FL2+fAhnlordPmSe2VeDdQt +4MxRXUXPkjJGYDUFAT2ILzqxsBdqrDDP29YFReXdhT3jST1UYL/SCZMlns//ZfVY +lmunnsLjAE3QmkCd6JONoQoL5goIeNrB73bV0yQpkIehMf7wCr/U38RM8Mcxmqy8 +s918EebGwteAImlDAHA4rxBOnwnM5xoeIJQvBXx+0vgawIfVtFiReTAhPyEPldkG +7HQ2+T9bR/qXUQpsFqeM20lemhKq5W3nWpp+QKnswnlsy+SucgGX2Z727qKQUfPO +Ne7AcmzRn4pHIsh+1ZttB9cCPvoAO39hfF83wTvALH4JhtNDQi/4PJ5mK31JKZ/v +KY8OulheCx6enmy//Hn7XBVFz79M3phYpz0FgUd5dO6tbCfLZ+Y4gQOCHF9B8tTZ +RJdyiThIpYYtG0qN3lc0mvKcaefK8KDoaCboXkdG5M6qRYVyETVTdhteNMuSdJ/Y +oLj6ehfobcPTsoQA1qfONqs1CWOF3b3FfGFtRV5ZZDgRc7oMaNlyCeNOeEYYNPpo +gBh3Bc4IKd2hww98Ug8fF8B8SVQL6aZA12wVS6SgZNuCMMyHCAZcS7Y7SSN5w6I6 +xsYC4Fo0u5IFZ4xMu3oC3+Rd5tMPSViD00tIfn8xCsArugWjuyDDeDisvmLKFbZZ +gmHX37FNrZsOGpOntR9GS5AH/F/ag3xhm7BMzobTh+WsWEQbYUBtVWYaYLy4rEzo +xmVtst3yfFVq6mKhKk4vOJeKNyH4EMBcuK6RKz6wKEKzH2Ghdue9fHl51RrSi31t +5i8Do8fkmwJmLm+k67Ix8cShEhfCvT/jhPvnTrzmmnfI13s3nnIcEgd7US0ACkzk +L4bhRM1OzHjkqvUqR6S5agrY/Xc/tQyG2Q/IzsIRiHPVdcXksF1Fdt0azKsBADvf +LlfbfIQETegkq9M9r87gduk865FJKByzfXGfMaw6QRaZuUeZVEpozRr7N6VaUdwZ +Inih+01ITyaNUUBZk6S8/Us0Oy7VJm6VsaRSaeTg2MaGJUFy+gVDHCPAZJLAPCeJ +73UHJ/3MtJhCG7uoyt8rXikp7IbiiANaVqtcgIl3rzN/UFSWCAKh0DXHCTEfAUdt +z5FusRBj6gAPMscnpGcEls+RWS0oRpn6zWLWVZrUnInrpl7t9clLsBTNA/vkX77c +Bj6lLzXL21fod718u4IoPm4LDTOsODVqNcaFsVig8r3DvgO3vF3l+tkweQLYas3e +5FcSOCmk9R/5A41+qE1+lyd/IL6yZMEf6Hsp2T+joGOABmTSKlrncpbfSKKeo+Cp +kvYlPkBXZCwxIOkslsn4zsfOsmOYZWNfVuxUInjE5EzCnPPJPdvfpumQWflu4wgd +PUx4OCTKftT37D7/eze6U6U1T5nhGFBPPKoy0DcMFn9MmUq7PLJBEp2yCvqEGj+M +TACZUo/r1VZlQU6LdWqkURfZPM4J2E086yDYMiyTWH1T7j+JMtLSQIw4TEcYK87G +ZKKw5JEG/uEA3AVAMI+4fuIf5n3LbGTMpfcdJ2356cq8N9CyvxyIjXB/3SZpHzDn +bandwYY9kDG4N1L+HKpm4E6iaEXiTe+8oZgsoPolU78PSH75Vg+8f6WlxCX2Bfje +vOgultMH0LY65lwrfWrPVyAj5hi1WwtiJAy7uxdSN9+AHq5C0ICrz0IRd3OO3SF2 +ZdI8NXS8z9S+GOfM6ODaYF6MXNN0iiUdvzRhVgiP6znEqE2oL+fFU0uTbuJGIZo4 +EANinn67uFnbEHVQ6Eat9rMr+qFtxuN9MH6F/ug3jY1IhqLCLKEXIO091/l25Ud8 +NAQUm4nKFUoDMzV3yB52ZVdpNgRtPr/OXc5i6Ufi/92oezGH/ohIAGCHTJA8lK6K +LsIl5tv5kAo6ovTrQNxPE7OezDTbZi8vg7+KN63zdfzMuunzfG6EqV+OuKoi8BSI +QTjXxCOMlftrEbcjtbEbKjVw5gZ/SDO14uAgm4zsXl1uja9BAWNXwO+kUoNOyu7W +0Vl6+GXKIJAaWCrb72LRkPMi4eV/RcsHJq3GWrh2confESdRDwiuIHZa1DOli/wa +8OoEJ8qGqyhPXSteesi0VntGCKS6Z3gMAE5TIJLuP2L1T89H5dhlEOlF7Z0/Ncxz +AXg2ceSKHtiiPe090QhLpBZltf93H+jY7dbweVpfePn0GYmgDsv8hapxkB5D+EK4 +u/4pjVzrccXERHkDzhen11P+pXOdDFlWzNQ8BLxzfjawxdSPH6Dz9nXfZ83jr6he +sLwH5efh33hPTfdTcePVvnUOQwuszPjIUfHsbteYdj3SNnj2wnufy7NztYiFgRAc +QCqsw4ioH0nxh38kvFXQjLehzhSUpS4zdgSWtR7jKXk6dDtkXLM4IfxdpnWD2axN +GA8Kb9i+/DKjGEN0p32fPJ/JWiZU0nIp/JTNoav8mzStcVfHY+MIsMD5+XHlPGug +9s9j9QajyRBFGOaSKCt72EbwQy70bGvQ832/5Th6Xsr5/m2Fgah5lOPvNnf4UDCi +Z0HboTPh5Zsa7hcBjewu8zUPpDAAXJHjfdEQ7WBvcVEhgVbFKFVFnwOjhIYUb9kX +YQ5CWCzOXp/Vr/MXjMKelKbRhJcNl8CCquazjUjdqIWOE6/qTdettTmPeFRWZ1Yx +X/ta0qU3QqtJaOo5y+3xIEBUrRn5sq9QZSqVkxWThl7AVefmWm9lUDo5SeBcnSkF +WfsxkWMiegHuUhaGMzLz3i8Rma0fDogrhQjcBA161hH6T4+BCLbUWC5RcCvbG/Yy +50yLvAQMS/VswnAaqAvc8d9F9BGM1BtdzdvyTU6flglP/szC5NlzpaPsTt6XSQN5 +VqUveWu7oqISMacnfbPpORaN2Hc5O1Zpes26M1TgiGMmRDC275Ey0ZlGxBWBeRD0 +JSbMcRk0BlaKkeU5kzPmvdEmG/VIpmLuhGDmejGYliYYDtUWmZCVBJQJh60oAe8v +tEgBfYgeqSa6xkeq0eXSARCJJBIKuJxqYBqzhGPBsiv/n0/fSW7sdQ9XL+0L4Ycm +7F9LhU/eKoKYB3eHyUPIYYYwuP66+6faEdtdVaow2YKnLeQT2MxzHo95GQWoWT8f +aVGBZjRJhoaxOnzsY7n1dt46uENzOP+5zcFy3zdl5lBJTRig/vD+iAYsfZcnnFYf +LB7zSYkGUcEjOJs+aPhSY8MEgRs7JYYDQIeceA+uzze8jH5PgFeYZJA6TECppuKA +DU+TRTEu5Yfii+mWSPF4KD/DS/NMn2jBLmXicIDjsy/P3IkxTSzXwLhOkCOtFyxe +SAnbcc1J4gjHQ1M+1OOAgA5iNJ+ttwd76cm24HA9DQ/4AJkyP1bTIyf7PTMmy1I8 +/ZN+9yg/WImgWVFRNESJy4yBHMEfiMP0s71P0EeyPxZkMZ19mg4WqYv5l5FcBA7k +59aB5qNlAb590b244gZPqgUZ05IX/lzjAfhaC44aVUKd1bZsBeKj+7aBjwnagAOQ +G8Pi3MaOTGPQPQbIlB8O6K63VoaWDvWQg6aHHhZCnKevZ6fFoW+bXbUfzwA1DY0d +KY2OXDuLABXGVXztWncQkZYWxqkapGxIcwGzZ5vLF0Gg/VlC5l3vv4dcJi0eNyM5 +S4r9I4kdvvO2IMRpZD+I/OKSO0sH1usQY6jJWtWgLNgo25nI3aTU32pooK1YvYoS +2xOM6BHNO6m3dqWdd1YOz+ASySKcSSQjeld4SNXqWu8O7PHMaumuOwTIDqaJ3mWN +P+vKKMG/4vOyPIg6kLHH7d6f+us1N1fsuY/iJplM830oy6ocUxAOOwWEmAWnwXna +j7LMe8/sRxsMVAEC6tFF1E+ycSVu9rUsG06+npZ+RMdcbW9gH6f4FmDhYpOATiUm +At9jTiDCCC2ERljqp4Bc5jxD5mo577CYt5JC/s/wwc/2cJOYh4y9a0wScdtV1y+U +yhRsdMO4blowkZUjMwRaLEJmls/GoyAX4xaptlgCFKZCYzL2k3eKwhhlKe/0g87P +WsoxrJqtdSLl4+kSpc5MMmpCNt2GjCuCnoe1IlZlNuOeUE4EM+dZslGlgGDk8eGu +zXnoZuIHl3cqv1XdNoBopBejg+OApUjqgoQlmcSURHleftY/582NlJ0HxyjIOhW2 +VlH4osRr0pANpP5QJwOMPFFhNFxr79tTmq0nC3ateaQQwvbDLodS2k2SPAKZ+1Vj +DfOu0E/tXm2MSTtwNwEhUjt5EAkMqIhuX1u4AT/BbLzKOYddZpBz/vDj6dVdI52u +B5z0IT1SjJViktSHS08LEzJP1l0fVRClWGHZ2D4GroR1M5QybqurwZgX2XR+Z4hR +HVoZZ2O2tzyUg7r/2WcJImCmDx+dRhPe0pS0Z7uLnzkds6bKxvlrv6CZDhHmFKhA +6onSTFVN1ZorWjqASoAvOfs1D0T2sb2nM8hQcHqk5aWieGQTu/8YJBJIKANQ8rno +waXzr02yY2b2XtSerngxGvQ5FSMlaz4QI4qOmxBEHDZSXnQLN2jKekPasq4pMjrp +9cy72Qb+d+OCbwD3bMQ+S5CjT0434/8wyOXMg/BVYotvb1raCJJ9+tV3qbnTc3wO +Kz706n5Waxm5PQbp3nCQ0UrqPDGCpyQpVq0lRW5F7H9HAy+NQ9l2LqrkqE5qofGb +bGJOGhUp0ttjSaMpScdGqbVqijCDQpc8YLBOj8bCdG5vfrqNdAuf5iT0eCtSf4lt +3CsgqjUt4K7kTWsnDL5aEED7QUN1L7my7tTAkOYEFVIgYXrYH3bCizcs5nlZfLfX +PEBKeFxMtk7DLqObSgMX2zqGBxKAG76bvxLWa9GxDI5QHFrsOONkWPUno6RuF4QR +uoAiEwCG93sjYWKVHRiNVoxkrAEVxQDylcdb82379leUPh9nf7h9buEdewS5S6xY +s2SKIHfRf8i+qZE/RYZXq1mtWffIQ3CunwAK+TU1eCkOdaOETl/AsdJiEe77GX+0 +T5bSOG1GgYNQsxe/U5hNgCEtisqqJAjMEeN9v2pSUzX3+EN+F6dRdQROQKoc9Grp +AoKs0hGfF3blWuHncXTai80cSlogPfzAr1CU5od/aLVKsdw5SkAu7ATn1hWA+4lM +/V1Wi/hFzqCYIKzy39x7XRH1oFSBX7KC7KCQBJUSojLgVC+XrSNvUN/HEZf9lyjv +cst4Qw0tsfMdPMAHbTuXVRv3NqqwR3kRDCumDfabZqCE/VrTKLGKUSbWKuzCBfXZ +wcxoJaY35QaLC9RS9bHdD3+dScMqy/dDhEkCNyC4lc7EAGNnO/yolB1KMrpQooki +JEa/DTyYg6qum9nxIYOwli6pyPTebwhYwFs1n34xz6AE8L4RI993H0rb5V2sE9p7 +bgvw1dRa8GSoi42oRh+Fss1uU74f6NE5AKHI/Hc0vTFFe9AxNGg+Lv7kdmSXEGB1 +1fDw8+FVkXlGIPxgBd+5XGScyVeec5EfaM0zcczWuGoQqxeJ3rC6CM0wX+IBb5jx +qLVbXiuQFN37hawc4Tfu6HT63y489aqSHLvm+cVxI0sFKCLOCN2A/mshTjX55CCE +F5GluZh6ckWwm2ZfGjHEVuinNJSMNAgK1MNGRM9LnEKzJwFjspD9H69FeLvwnv86 +ZD54r8zMirh+N4sOXeYscppzIKOwXvdsZaBgDhs/SVXMUOzQKw1pAWKcSVX1mNXc +45MNPN/q64JAZNBOtiO4iZ2O4TRLYv80HIAd8vtOZqdhppDvKNqFEreK9E1BPCII +6tFE/rGnPLrelQW/dsqqc+ITn+xBYxM+q0ZNlrMerqX8w4QPAG72qJPwk2AUcJFA +orVfjYAxY7LdwazyUn/9HKiVR2McqGMuepSW1AG8VQaQ0fleCt55GIEAAhVV0xEz +FIvj8NI86YGxZ5H2tk3piW54vYsOzj9GPp3069XKbwpgZ+8FF78it9eB16jLyixG +mqNvOHHV8hpEXghxCdeJsHsSwtXYEDG9ebZhmGio6QUJvEqFRg8jCqi7Nxcw2Rp4 +XVyQXU8sPm0kjHihTd3ALxb/FpOf/A7Il49TMlqyfePT8ig9DaiXTfZ3Hn1cfoh/ +/o20HNsy4hG8/4neRnw5ekA3qOZLBOeA3WwFGMR2TCWXomlCB0ijKjQfYVzwuj/q +E7uQbj0y/+nDgP9b/lADEPMshdTXOsmHNLSnj2DAWn29JPEwbD4K1h8a2vYSO71z +nDLsXNj6JlALHT/WaB58j5cKiW0kvfqsK3zLlI3ciHqvYO3XDu4yYr+5A+aFvDYy +74Tl0zTcGZOEnqYoQHca+tgyq/Y7NFpetSikNq1uz0ujV6+KX8EeqxYHBG5EApy+ +u/75z7pMeZ8PlsvYlJF0SkuG+SI45esF8y+IQSvipeYu1RWCntoGE6jlexWwDsgD +vScGbvR/Vw/DYWXfE55pCPfVdIZ8ilTHAEM7aQ4msXN8fgqKnXz1P9mcLdCeDhx6 +quU7ZWYa1hDnrZBzRvzhMV5xqVgTU4EPaJQH3YlMH597RZdnWrJ/7nUEsPrq5YS3 +bBKi6g0mobMQZgyzGxqluNHvh8KGZARZ4A5GXov1T0o4dKiB7SBmHCrp8FXxxYbw +kd4W6RrCXC6NpXNr+C9iiayYQDRFuKFLBr6IIQYIGFJ3cJauUjRBrUySOZreZHei +0Hm89OvVFhv8B9M4P200fwT9JXrepJsWGCmYSPqpzwBs7fdijf5tZ1Giq/tHNf9P +IgAcr5cGC+g1yj2Oznhn5oNAEGYuj0aU3JxhbOkCIzU8dypDYZIl/waa86Ar7xVA +Yv5fRu/nD5PWBmrd5VzUrIxv/Nk/4n/aYwK0leFNQzrY3SDCceGrlB7E8T08Xxpr +d58rsv+V6lTUmquxOVoEDKZdKnCGO8PbAAfbFod2eBUwhU8J+xkReBh+YmA0hk8b +K1eWURsRRfEob0AdJQpFBBXsZp6DYvz8PsSdKHwomdhy323qY9vpYuYq1cg0uZqf +eUBR4cccjD7eBO6fcaq9EVNDY2iZf0AVjGvuIDQId0qYFUbtjtzuctACbIMvrJk0 +7KSbfXCiUj1WdCmUqAwW1+/s5PTBizblMRxShvllf0p+2pex0LEFx2VjSn6GTMn5 +donr8hqxbifTpNWoc7+J3d3K5ydY32krb7iuZqPxRP5vw++C+QgtsjqkEsb/wfGq +g+A0QjWdlJL76nT5Jz9pRSV/j9HCYo6z9T2wHnYXxs9MiDXPSz6iWmsZsa2qYIKm +DlApXXxZIIrXl66lTpVlB8qjc5B7vUiLbpqX4aVzi4lZFTjmdy2VFi2RHxH8qiod +QPOrsh0DIJltHIx51B4Vc95jbXwPnGK46gD4S7ve195KS39OSbOz5wkpYfkIF83I +Kwq1+jH54rywsooCCR0AwyjKTRlkRVWY0gh7YOMgKMannX915NLmv23EC5Ryjiuo +zM2ftjju5Xd5A+a3Y9CbaFCR56HXr8FeNX0GCOqxd/tV0YbtnP5kmjAp6BWa8jYV +JumWhmy4QEbrKP70hxYdaTxTTbqRvKDTI2uS8TU+239+CNTuH6XfUkrMrOVbhzmm +Gk4cmtKFdCfw4Esi6CfkF6TY1Os24iNurRYUv1Yozd/ZsJHu1l4hFqPwTdMnhCKn +9+FzzLZ/9jE2gQAJfUcbv6jPny00xkhQVIj8dE8ObeIDCp38DKCEowjUtIoiomD8 +ZaF5PAdmuUOuN+lPCJ2J6p28e3Ob8zwBV/TsvjkE6EfDxvoHBOT8FkMi1YGbHoNb +yV60xCw0tPoXfhPKqrlRzQzuk1ARJ/RPAwbSIpXYmQLLmPuFLf4wzaGhni6rxSU3 +0e6sAQJYp0aDksxI7s+rxaN5yna3ic2MoR0owxT7bVv9AkPXmZYUqaOfJNlHeTKX +9Vgj0TiWwtS53fN49sTABPAo94cfvf1XVRVlUhoy1UPldnKCiQybm1PrkbFlnLIB +/4+jWBk7EVn4Roa+tkNb/aibgwvxAF4rGEV92X4DpfDgqeIDpz+7e71uX4amWWCd +jDZES4tHehI2ZCFB0E5x+Vmxc6HRuHPkCh6H6QmY8ABz6KhFqsfmBwPfYBS9umj4 +cLDlxV+KOyus1yHO2vGOwWdx+mps8PJevAFfyfSvNNQz4y4MxIcoccrlfae4+mE2 +M0YEc1BuJ7MlZVuQmCZiEbPYjelqWJ9rNw+hljdjGdzspYVcgrvGoXmNCsKaoUdH +2HeVspN2+mb+B3WHzEIZKSdtkjEXx864aWqcljc3qMh1i93ZrtgYLwFFLyDDeNKe +zAfZ01bXS4qABQFCy09QWorbH8CKDMsywV6wZQTbp2CRmXsvlNu/o6oTHEAfUp9/ +Cua2RwIrQEbjw0J+Vb3RYXz1zKvCXWYPyGo4TADc6ahAGjDGKVamt21usm2Y7Zu4 +U6hQmu5p5/ZqdGzSOLvUW59vh9KJjZVsZJaVOl8HeqKquJUKZYcu3+wsbR+TMafq +nuX1bBzukrffvFvpsmNZlCrL9acCWZXCQYvKXHVhTJinr2cMppSAmOUnFls+4x9Y +0X+4nayBSmpHXrYUv8Pe7NTKphVf6gT4mFnBnGmim/Kzb6bHZoXku6Jajah18LVS +bTjKsV/dezl0AQA0yO63P+jQ1eBSamLLU6AEB+Fw2ByHJRpDga73oR14gBbxjoLZ +JILVbWXbU7bvnmaC3q9CHxLLNcVTi7QEnKN7dTuWFErdUXMkQF8LNHFEIRHbXQiX +txYddKZN3UdN6eA/ZM/C1Rm0YWiG9ykiTiHICt01fsHFwAyO92Ws1SJnHC8m/zSz +qCMHCE7yJygP9+/o1mo0MVWRAkJtyEQ4gnY9ZZgOXTiXiO+LpjHhhnT1hyUpWSP7 +6M2EO7fin5tm0ER5z/KmaCIuBi9FU2KGpBrqbcfUF7Gjc1zgeWv7gkTVcBXyyxiC +zAp19v5izaBdZAXBjztggdW1h8/LxkcvDGbpxhvea1sXRB+yxteqHlJijCqflkvN +BRTCj4rGVihe9w/ctxfqniKBY4B2AoTNnrBRRD9U3KjbvJSXay+3BpeWmJPmXnCi +jUZZMSG7KIqYWGSxRFpsVq/AuvwUj/DaMB0r2LKY5ttPR/6SZWvnLx5Tg66OuVfk +9uGJIvRefBOAy+T8uyENF5DZzvmsa9+egAtjYk3PhbevRiO05MSyE5o6gyvxYNNO +ni1DcRZ/095JL9a3E9qDfySYAwjzw8nu7V8/AEv58LazPvdLIKyc0J9QlZ4oprgi +JwNT8SgMG+S3kJtdUjNrOwcNYqsZpjVdZe3tLyHjFmYzn9n3q8Fy6JJRUL6D2Ear +SqWf/8HrHRz/bzEBGljMD2CzPwl5JBzCbC54LNrqnfFGZWz2bBJB9CMlBR90wAPq +0Vbg1LkZT5nY11BUvHQMDujD0w4zkz0yWn6BNoxyp3nyzBtBRF4TWeflC2a3fXh2 +ukw4fh6c2PJ/Xv0twPcwdACa7kdWN6jCNGvMBE9RrgScraFuYDm12P8v+qQ+atK6 +k8Quo1y7gqwAqn/zlEXcP5rnAX0eNJ8mki/FTcFbhbTCQKvJy1RMeq6VwKkB3+0s +lpuQVLOKvvyEyu4UPh4bI3NayAhza7SFI6dc9/YT5SBUPD62Lss8ZqeZIMkfiUOW +4FCGMULEaFbtWxRAEIeIH4XcjIItgfaiFEi/8BeSojMTDIx+lAAffHWBWiePgRoa +FumftDthF58qJZANDkvc33ojq7P6x9+F7A/hHiH1T9ap1VSUlyaOWu19I6ZJGBWq +T6TV8inorJ38Mo8P+tPdxycRXPhBRsk9cXRb0ev82eefo5KDKT3UFmZ9bXX7SqXz +BK+ozeftCEIIGDgAnNsV3fwGF3RbwsW9+KYXeMRu1MdAVrrTL7s+hA+om5IMsmBP +CtbaRDdqiX/SZmi2JyTN38sGLfXcOVFBhmmJ1Il2XvdsXOHIGNmneZJVONthDSnS +AbX2Wklq3LJ4m3qhe+Cyd5VD9cAPmGMHLe/+9U4Q+Xx1ISKnqL8Eo0fqDm3zuKYL +xBiDYZKxGciZDfxjyaCjd+EQVG9RgHBzkSXJSJB+S8G3qC1nxqlbAYn02Tf5LT2u +UDX6n9TxMJ/769fGKTMMdyF8AnBop+RrZ9JuCgiaYv0H3c+9GhvRqn3Fuc1tYcyc +XnDpw7o99hJuPGy2Fu+7hQ5eL9OK8M6er+3j3mvk1Aq9ahtJGvZIe8zDetKxcx4a +E98fDa6iyf5dAP5ZLuAZYydwDUdThH2g2WOaignXKGBla+6nGuXZKcuTg4A/itCI +6DxEiTk6DttqPtzFPRc661bcZNmblNq5rgzQKES67O3AWgwwprU/JcwB01U/8paz +qOFS+gCd2MycWP1xPY5dKeWhD6dD6DORx2ROM5Uf+9ZGzCJT/YM2gW5bWQhI6lQ5 +Is1maBESz99oG2KQBbVaKCipBVgkwafl4q1l/HhdbNzqN0gIHvO5teY64vN29+XA +xW6zgCaOgCESkqhzjIif39DVWppy3/oR0oGeZrcRcZS1Z3YXpATDSToIBc3u1IVm +u2e3ZbVy5stMB/ulNhrNTPcG9dHynLX/K5wrPW7oqoPI2gUsnVXWabECUwM3k9EM +LBzBYkVWvuIBM6WXuMRDE6xBt1yIw+y4f4ToGy/TUVUKVi36S0tfRrhGsopIQqZT +1yemzJKN0qx5vxGp5zfzW9jaLy8VqZ04wniGUrIRymLueNtDI1mVhU0ZVZzIxyE0 +9Zb70L5Wl92oXViQvvWHxjhOwb8ezjR3shkL/XRF3CD6GxblbPNtdBe6vbCtHXrY +X2PzQ+PVJK6b+Qye94GTqTD9zRvaHB8b/JWFW2UGNdrle+0Oyt2hu5mGkoXAlnYA +212i1fgKTsAjxyx1XVSIKYk6tBXmh6HyaV0qDiz3npUTATHIwbOfUrEnU6sGVGCV +3kXQcBDgPewJXetyjdrP38//hw9NuSHcFKnggO+PsKWRYJtncVu4g4/7aNRX1Q4Y +0F0Wyxpaj3zAaAbJtU2A0dT6AZTkYW/lsIT99tcgKqQuUNKIkL/Mw0z2sGPJC6Ny +mrLiRhX3o6Lv4+TUU3t8RFyBZxzz5O2AKo5kyamRzc9JA0CPFne7/5bIn+GJJfw5 +rt+8mTzE2juQjp8ygodjvTzBImN3xfjmfuJSToJsY3rLuDZLNceBZLFOnb4xsDIA +djxquKtjoxjxvJHwOmp7JcFNZhDs3HmhxPYoZjKuJgr4Gjidbf1zAWxLNeJAEfIT +NaOr4ND/Emas0LnFWiOk+DRFRMBTkuja/G7ttTUWC40J1Qv3cuxlbeZIkke38Cfe +mHBLb5vSNHARdFsgDlWmikbb2e1uy0lmSs7xVvfc3BAt7f8/uGYotXuH5X1Yavv6 +ocxIL6SJqzzt2p3TQUHp5t/syNbznSrdhVyULIx/2IZ5Q8a4IIM4LLPLynhkmBnS +DmW7ZrUHIZ80Mm4r9Bq8MRILxOScIK9UF70QAwpzAU6nxpZxdzA86qWXSrN5F7LG +oVW7ePENsP9Pb+bRj3Pe9XqzG855JMgvR+pHBgfUxaLX7qrBsfu8eAkHx4FTHoZO +scb2RglY74Dj5cEDU25bzxixZgx10LXDke4c6xF9RkVbsuuFCPJs6Y4AIN6P2v7G +M2jtlF1iGc3R+/v04yXuiOjDY9PCXI3uvQh8RCnwc3O8i6IqZEbG4U0udJncTF55 +wLbCgPomrDVIpgOFFojBmafJyWqMgCQGVcPAyVpdpAgH1bSyy2XcAutR0xGY//VE +VN7NUNbH2MSX9o6ZBdVkU1m+UVGsIKaXl3UlmVmCM+qyWQjWZm79BDRQqzQOdmBD +1i6KmDwYUf5Azs3QGjR2P6kKeoxqxd52pfF3CVANcGITJzUEibQeOuvcZ+09+iU5 +dZ/GIMCDdZ8r+AuB6k9uUnNmyWA3/4h0bZ2s92Z9MjQPm/QB4ZJuCCUfrAEuO+25 +2qI3ZEjog0f2hih0d5UtEfBGeVVEq3klEw2gU9IdomAXbBoVNi77JIZkEwYq1alY +0C4T1SjvHxAwAUbunhDtarQhpoiE6rh8qvbO4/eEmiZJYOkFUU0e28baqbR7KKy4 +pvIPNR02Q1JakGDOUEOSacdkCin0nXmuYo9KsTwaftNXSzImCHePnLO4v0R3erBV +BShe4Wtq58nVGMSQKkontyjNLsR13jp9qmllCYkVbczmtzNWs+fDyb0ZfXJJlrwC +Wy9Bq2w8XdEf7bvYfZfzJxB8xH0xul1a5D0B92qN9tTjzG5/DgpamrWNjqZNVc5E +kHgpWetekZp6qjkxU9CzCyBdV/oN0ADUHFuSwlfbHSpsK7/0uoTbj7zb2T6pUK77 +uZ5V0PARYgpKRb6Wh749zIQEj2QWWejAgbxcwEnpikt815xZD74EZn2ZUJMZOtJW +WGD8lMjIaGmWifxMnnWVe5U/aPwsbwX6I1ktSCnxkoZFi8HzG2eY3OL4H/8dVJYO +iYJsEGwsZU1Km0RfZceqOTt2mJ1U2TYsFwGRrdG5jA8tXdNE9ONFSsGYrjE6Mk7W +kn0zA2xcwUPxJGyubqTfJUSsXpzAW0IuD3Qs1XRNn+M6zsp+7Eh8jGlAM6mLgldW +y7HCWy3KOZjRBDk7MJcW6TyIop0mxC+NEdywZvHyPZ1tR/ulMRQpeEc5opyLeeMB +hPApYCneYC7vuwyFD6/HpsY+zXr0b83YxnjGgSwjXKUYVpn6GmAF+4dmXa8rgEUw +j8P8vwraCcqJcZ9Gor/NsgCBL7+5IzgbagdaJbUaIeostcEzZvFaYDyqqYNcVEWN +LyQch5iJBK/Y/x0ASCl7QyHikqA2YngL8gJDNVNbPXFgQS4tZTSuact1Pjc7gTuu +z3RTjB3+DXgefvlMbjMaL3dUBK8OEAaODkI6IeaUJ4nnUDb4skYEqAVq7bGMtPw8 +wDuCUyuSyOnYzz28bW6Q3LvJbBsy+/fC7PKzFuSHeKaGiG06cX3PSyfudzOd7h8V +z/0Xq5rH10476zf4NifoMETHHb6YkSZhPY02A+iDrDIRLMY43SJU6Ehs9CPfQ2ub +67OcOwh/Vm85Bqel+9oNVFIDeI/+cm/FjUCqmWm5r7v40yjQpXxyn0sQHLyetd9o +LcbxUnpNfJUvpJb/rr/qo58V1ViPfiIb4TxW4Oi6Mv9zzSVzUQjWNoRm1SDVcBfH +WGiYbsiZ2u7K/tFPSYiBV2Mavn1/ur/iVTBQncchJ+Ir7NgTn0f+4W86KpVLfd1D +NxT3c/X5w+qG/AWXdS4usv+YnD09YQTcDBhzY0o92s2JJgdipJ54QKA1GmU637mc +D4vVIN2lu3ILXRivDafuYt2CrdLfZDAmV80rzofciLjfZrVRHRuV084osNk943Ja +qZ9A1MAZQodTOLKLfvLgRSsrJ1IBuPiExcBGFaqESLr1ZbwOQ1hxnn3mDj9Of2rH +2tDoXLPUUWa/1fitOK7xMUZ2zrJWhM62Wq3NkKyIo8bmD62PBahApKwrR3XV/oAv +r0Eamax+4JrQTAjzQlU+kKgaLo/k1tE2o01H4KOvcFpAatbdbziPxfk3MAhBeUDM +ccRDCI41aXcB+yOfwN6KQW/Uj3fFIEZgxfpcl8mmou1My8EW/1S6vdRtfj0f/+z+ +XTuC8RYa864MWb69zwSyEivtuBlvQUo6rf0QLyoGTZQV+AqsK1ZXW9WbD1A7QLiT +3l3yyEf5zOOe2VKpLE3+Ty1ixmosIBmawET48ov1tx6krqo1qzE1CXAUCU2eOi3Q +XV1ZeZZzlUNTEQ6zEIhwiivkhK/XUg4qP0zfQSXSpPcxIhniEXZD/EpFHFtCvch9 +4rFppirlAW7aX5yfcdem7zI+go239NUL4x9la060QPDFlTXV5dym/Og8qEqu153e +IxhIh3/C5ruR7AEKBtZF5MAi8Gl/lST1+1Po/WdCkraL03Bw6Ho3v5ii/d3DW3kR +/H/NbTJyUW3ylBipMa99CkqvbwzQf9eMr2xpJgeyNWPeSUuFfQBqAQ3uOo1tIDf1 +olxfsEuqcw2p9qZGyaTLFUDk/WoiPeW6HigmZA1XhVNZE84HBMaVtoMo/SKR1H3F +sHQflLMM921DqMNiQ4PLIhfla2xcMU6DRudKH2mNvWuxtMnfNEMk2IruWXwfAghR +0Fztt4LtXx6igLSNcnriV9aPwr+901wFxTfAtzTA0LNGrjlLMWP+wbvRMyc9TO5Y +V2NiaofS2qP/htmK8i8i3cuc77gxc+VocEfig9PSWhiuWJAEf9czLqtbYvf/ekDM +PbUo8/ts+i3r4sQthjgKBrgAONxRV9kK5ofF15KO5LC90+MtJJQ9wirzFr/kSMNB +gn4yDyd8SlN4yb5e1MIN0VFfCd6ufJ1SY4Qo+teDBGQVeZPlZwuMUO44XOgX2SVx +fDbon1o9hCrOIcxSDglc/UL04UOP8piMobS2upZOTNz1h4fr58t7BbqRvR1G/cwY +SoRjkSgf1TOGx+0eVfOsVWFjjUEa9p3XQ38OGV4UGH5M3SLSxaiPVPUhI8V+il1u +80ng23XIkLefeH5zLYYcoE9kGcTJUQzXy+JjV2sECHw73oVEsxGxKUXKECPhgBzY +qACzyBHiVU/Q/uVmhl/P1EJTt7eEgH1a+s7fdRiiCVIgs+MJ6jpsgA9dHsxYOo9U +k7qxe2iIv+JcLeE2noJj000kxxWXBzgTWEN2fMaI/jpqSXdy/eRpIBWjYn79d+kX +NEhaV+l+RC317fuV5sALedo50d5ry/hN1XWQBZL/ubAeluA+LuiwSVU4fdDozyRn +KK0zWmHHdeRSm3xvuEDUO4Lv+QFCcsaYQg3zm3pV+WLjfBtXvNBswpAV+zdKLtcp +CwYGUQSVkJ+dcGzYozKHT5LDMeK9A/CwTxup11MXJt1r+dl49NqfY6hxEejoGmHc +tLHo55vvsrYqPGqWVkF/gMpXde5+s5TqOSq/MdHd7tgNEMf+3/Ymbz9HzUljDzKs ++I75i7YJzHEv98Ewbrx0LDIRjVfP/fXUay794QrehxGDJaO1+q9m9WUn3pnMNRbS +Ck7aMYuUsSz9KCXE6VL1MT/i2YmodVy+TG5Ji0cKj+H63PFpYUA67FOT7hctq0MB +JPlJVEEnFBfoent7CjbZSy4ynDolu84lKbYnLoZnnk3hlWrrw8A+J9Sw5lQXs1Go +x0l9INpm9b72QzQScWfmw/tNqai3fQqZPjMb4p+uXH7wtQVz7HCjxhcfyGKz7Asq +IebtyZ/JgND67+P0Lc4Rn6KH9tgsx/lcT2vRWj9dNL9dHyMuvRu068iO1wJACtaH +q2Cxtj+fMFrNJ0t5F4mMNkc21b1x3qKrBjqix7Bzb+ZbqLLkskb8nZuQiKQfLbiB +zrSyT3isssz5ZcwgvXQeymvDYBYF6pPUtgvN7fG7LYo1AloKGjA0sfY4LSMd6tDt +FSNkq+T7ODLVK+CE6CCB+c5D86qDQt+X/6kZiGsog3ZI1yLIzorDh9fA98FHcjbq +nrLilBcWXEwQmJnOftqfa6HjVmrAtMOy/Q4oBjnZPjEOxyd3zdno0PIVG/dqJvls +sCUngfNVC4x89R0eQcX9YK38neIAtQgD/Nu7PiyxyKGDwuqn86z8HwKtuoQz9Pgm +23RXZJ3gTj2dVGYzuTXzWPtVQmRuz8iK+0PCwdQE6zzY5cpU38Oa1xYMjTlh7YBZ +EivuDaGoRCzrexhyelUn0JQf1bOI32bevAdgL6XigwpPilFHjH4jUpSC87D7IhnL +Qdbf3elYHq05pSJ1VsDT5HVALyYAu/o4s3EtLPxOsz4agMkF3kJv133r2UZpoHPZ +84OCosnjxRtMs7ROXU4TV7Dt8aCVPHzuCC+q0iGlbTHSKy3J4621gmAdF33L34mq +FOExByEXnUuP0BJg81SI2UjQpDZa1DKEQpRZguQK4il2U4szROxuGeqQwASfdIec +pa+ErZiVAsN3lII4uchp7XpIWou10Yf0in/wP/bws7tGP/p7mAysKBJ0vN7Yn+L2 +e66AQ2uiIa2QVjPoBq97GPc7BPEd4D7f3qrobb399c1hyinnYvVNPd0nt2CiP8k9 +VVEVrHni4dDn0sHByjfu16uOpdvrxWlKW1vXp0FCepEh+0YHyWv2Dv1tdY6nz0o9 +EoOOgJdgS2st76h+/6/hy6+aMJEuCBt061F6OrowmaQDP0TLxINu2JPW/i7KdFNA +iWnAN2QyaLW8ZoNqE4ODY9XmQSFJXztnw1GTDT76VyoW8/tLQelDe9fxHVYQSscq +uH7LvkZyOmrlJaI4q0EoHphG8wp9jFXb1JpzK26xLQTo3AhKyHy20EOmgvsNAASV +xx07T5s2i8X/TMkC0G3S+lazmU6n6WBQGPuvKjleTwnJVs2EKW/uJSSV1UYtiyby +IrakrulGhKYk6mGA5zpEMx8Q8lfkrZvJgVhtxfCWBDpDalUHSY+fBN7Jjj6yAwEw +9pUEfYqCPt6uds56qF18W3hwlYcgyEm2SVCMihSu76WWcRbJyAZg21zmFrSqoLGq +6Xw1RE+0kSHYNLZZgojky0X7n8JsrNbF+ShmZzo3uTe/9E71YlBYU0cujznpkOaA +8EX1dzJaGsR+JsMSw+w+QGNHJgsBAZTJuKrT4XVfDBAZmuDPLWLLAWjH8eoecXH4 +qf5ydlppgp3etxOYSnu99ESqSQAeWwO98q7hQO14ZwCMPCeUr9KCDV1lnIRh8OEl +KL4McBfIcp7OVzNVbNlEQfL8dcRZ2Jp+84IYmsNgRT0vDI7a6Z3o7Jt5m6/8NUgB +SpwFhu8m5ld/j4yAMX1C3URFKdvanQZF+eprV2eNBTFpZsW3qUq3OAzT9d/47l3N +fKfK81yBqEOU4x45QH793UbNs75S5J5/EhjJu+JDIZvuI7wPz9fu0hz5YH3OWAcz +qb7IgyZjElxec+n0aKZJE1iEfWxamJqJIYD9Ou0276oDLKArhrjSXmzxrNd1uzm5 +GpPqfPQAQ0A3JqFMocVEdGMlJAVCoukiyZoKLTwQMKCIXWNuZAyC5uZ3hQfVCpWV +Fnr2jrlaDrYl9GBaofwktO5KKBX+y4wwPeoVgiAmQdquTLO8W6i240Q97v8AsVF4 +k9t7pZqsWZWMBi7d0XtwQ06oGEXEFJhpVZ8z2bTnFZCMSWuc2fdxOpr4+Ke5vvXn +oqaKt7KTfaDtCaO7hcV5FTtkvhfL3J6JqvAM7jnVjDKdvOq5Epb267lu0WS4/Ng3 +cJvV0AdWjcQAsLiy/gLJi/u555nMs0Qxrl/FHJtuAB7Y925o8Y/yCPyof5mXbHKu +xJLc0zNgffa30iQ37ipq+USw/x0a+ciJnbqWJ+K9Luh3KbB8CAiHmQ81jnVbfnU4 +NCLTf1nnvA7HzIaLy8P0U8vwv320R7P4RaregA1XFNUC+GfGhm1HMdcjD9mT3AS8 +j39cNG4vFSCF4dIXM3zN0H0UPu3tzG3mlDAuXGEAKMgEcYeC57gkBmFOSfKVLhvN +bFGY+l07spf5SWjM/GER6D8QApjzHP2dj87K0t6iAeCapNsBo6CXN6tgq1h2riM6 +RbnY5BrpTUSpNVM5hVAFxf/ZfsnmcbF2j2FY1MuSHtKvfX4MnwhCWEvOHccpQjJs +RSKZ6JEGnCGq0tk1ThnEaYyRjALe7senr36DH8fpgpN4jEac3nbyIBynBszjaMe/ +oSGtJ+eu34y42x8HIeHf4NoiQu6McfZUPmmtMR9KxmTh6GF8nNhpFS94rX8s4S4W +YAddC6y0UO2C3jIeyzhS6AmAtqhZz85UZZ8ddKdTw9yytvCQ8uSAiy6D7aiSLZ1O +nGYrVWFGm1m+GUK3FIO/reXDEgMvT1b50GuIGlTvUhXd7iaHqCZOz8qj0GStaZKI +rjX3YSEvO1LhPIhNDK8aWs8yLwd6hCROmDwxtkSh/0/wY4Imwwr6xR+gTKo31+Nc +OAjoDmEx4zsk65mIvP6HkRbM23ed2HSO2Ekvqjjl+8eus0jIUj2YUUhlTsovG9lQ +KBKZh9AUFDWQ3shRit/5+R1rtlyUO9KvFolRvkJm4kyzIRP930xbCvt9rae6KoOv +pBZZSHf2k7nONT5/BP5J331spRYSgH0fIBTts/ryAZRuE3tviRlT/fL8B3IcTN3i +T4yS2xDBAHU4VHwY++TsrcjPnj2miPFxUTn+QCV3t7hSJGKG+Ke++eBnLL/9VNgc +mZkq0IZoWtfkp9DqcLblpC+NiZZZR7qWz6d3EXQjUJaxtz1K+fq+BxMf/A+IJKsm +84gHxS13nOrdlS88KTC6hZJVgbBK/ZdL8JENktP/CfkFCMkff3Jx5ykLh8pGxo39 +EYIFhZdww2Y2fFW3PsUwLNQb65o46LcpDDVsL2jTvxK+qa772IKGnOA0SDPmHESF +et65uiTyKfbDfFPWwl+uw4J3jmp0Ztt1UH4uc0DGQe5IYEcbHvpmcn4SSlR0iaOv +FxWOv0fu5y+XoRkmegEdozVtmYZZ3Dcyffjqr1efNyfEy5EYW91L4+lgQ1qVUwuP +hW/eA660E3IJHwpdoPaH5h+PkagotdSqzeJ5EHeMbmD6cyTch0Vjiu55kkYkGYkt +Elx4wjjcBxZ/rKAJbhLhLDXNL/Wb1dDEFRc1r4cVSypc6M+VHO2SP1Z9aKof0jwM +u/+fxcSAIQbDBRjy3LTHq23I5iAdhWFqcT7xnP2NyGxJt7W9Dcv8jI55CXd2Ffg0 +eoh9C/mo5FA4i+scSY2maZYVURwPf/YiE2tTq5sjv0fUxOMgGI4e+1cJYrDGR0s7 +LWBjXIrgLXytxBDytdCj2ST8czG0K5NWNh7Ox+Ee7H6+sDZvsSrzwRv9BhX4lXq0 +7VFY2m4bGNefkuM8Gyh4K0zomsn+bLUc3hPgNBJ4/GR/SaRj/UHDYEgCDXtKkEN3 +UlLUZAzpqil2fa+nt5sgs197RYif19Y46G/vEpPCqrX3aFFx7zzDHLQhcw0l8k+n +filK0BMCIWfhpxXgZ86d94j0d1HQ4ZrIhYd3Z/7/KTqnnmp7al2bvilTy1T1yt31 +RgJFpmnl3+Fb/CT6YgfY58h2+5bcEZ9cQlHsnl9CivJpSBAGIbdbN8K52o6prtKu +e9BoJgy3mPwrAKfw4BoTcl+HqdpAVcU9qZGF9ZtbdT4w8j2k/t7iY4plVnOPW033 +emSFfhUTZo6CIFs0W5J/wNRsAgGNFd/cObosq4MYQU5gJ+4D8RFHyX0Whvr6eQmr +EN51bfDOZTq+dSdItfyYVH04KF9ZM6FCUmEm6zZVGRiGfG4qSyz5s8gwf5ZqK8Ty +9VfXTME9gW2L/5teRLmYnyUNPuhFKLwNjbdzl7VOfx2x8kZoVD4HwENJ0+j0pzhh +2T+HoOdGlXOx2IOq8VuTpsOBBHDhJX5zxK0+MfqmyBoGqlzFM6KjdAROit8SCSTt +RhRWL1Iy07gSuNrv7QTE3WnOvcxlad05anEpAa5D1fHdjzxe2AaTcDNEiOOJJu1N +s+DgGtJ5RTduDJ5mpSL3qxs7D9NP9NAnJknxogGxzcxcfgq9YYupTWYIywk/AQqF +jeh1V3+UMeEAGIWsnvx9N9rGyB11hzg2KwXM999VrXdUEHZQXbLbxx61f2tlEcyJ +90PlgbbkUlR/SFdwlBo+Uxktx0nkP6KwzHqlo7qTJ9zocUl3b80YwDgO2rfQoO/u +VneM6oMgv3+hr2ST0gTEPBmBL3Wk3Gp/LBb/KDt2QV+NZg+HpT1gvbOr/xR08E3s +7luDx2JIVIYVGiuPCS0f6YgldrO3WMSE+tcC9gI5rkRjq+3PUEDvnIWVvgx1Ai+n +k2S+dSObZV2f8KEwzlwOFgCL091KvkNmVtPiMH+m6vOM39XT5PwLDPJ6jTUViCwt +tU4ljCvGYwfBrpGf7lq1NQnNBGCLzvk2V9o3EEwFBi1fsaeGx2U0TcD4c+jm0jDH +ouBt/3494n2hLRPpwwxySpv+VxHb/yulfe/IPRjsKk0LxusqVftjeJ0D3YSmgnYI +Bd2ZxcklM5eDMSmY4s4DwKeJv+k66nd0hVB+EK9E3FadtTLgkq8vuwbLX+Q0bMSP +clCoVp9tNL4olQvUcFxTUJURDASrz77iRrWEGL72P8EIwY47cetKUUL6oHvqSKFm +ackyEt1opHp5JrrHbUGyvmw8+hJNK2nz0e7JY8ie66dMDvsBkQBWnz0hptsBqIWC +yq+kRPub3e66KUzxT4Jpay3HGywutg0LtcCYMQgcNbi4Sl523UrhqPvrs9XZvzM1 +go5rEMaizhZHY2CDhnQmud7fv0CQAf1qW93DwXjkCih2T5yrOLmkBu19G8hI+Aop +DJ9UVFcKI6QJ/pyKTayUKv/ylzIITUf7rohIsMFZzurx2yUZGaOMCGA2EqndMYz5 +HBmcQqbhSlpVMv+ftyid4xeIuCs04cr5QRHk7bvnOq2Pjyii9gRlryHntPrWUBfV +fTJ/omtHo9MEatlwOzGDuHxALT+HRMffKb/8TMO+sAxHCdfSnP0O+031stXB5YsC +xvaCBbVxflwThCdpDQ6k9IKrieTUAy3KlovJpJH9S3xEqOWME5WhBAQ8OgNVa3Xl +iFJa/MkoeFr8zZrSCmpahIyH7cUcqUav1CBmt8VyoFvBSPgT2RjYfzttWhrtOPql +cX7qIIkVpIRgMhyCvv31UXCZl9EF23fX+pUkVHFQo3TKRmJVcOZTFMErrrumr/gi +XZk/oa1h3j043ir09QyPY2vqjjHYJZHQESH+62/FssZpr44UHSaTcwPew0BD+NLb +ZRKKnegnpX9fQfuR0vDYBzePw0EV/kCjYm+RMfZMgNH3yfoz6sp15LCS1FeDoUQ9 +gDs9Kb1TamAlfVCuTais/AgncZevepdcRnKS2xZC08XBUte2w+vVlH8GnxVrkIsW +al2PIP6QSYR+A2UqVnDfn/wBO6UgA3QEYO2wqcAeo7FREdYP0I441CCBY85OMoZX +1hooGZ1+1yRSSK2tNxrrkn2n65xYPYNhxmdNJbP3HwQOLM3BkD+JR6lKP6NGv4wg +VHKVfIRoSh9DNFbm4sEXdQyL422NeTV1DTi7lN37W3acPleoM3ZDzi6qOY3uudb+ +UYAoM/U8MED+TNiQb7xHoJjR3wrHLPMrKo3OBcmbkgzU2llGdzLFjSfIT/sd0EZg +ao4hx01x/nkxv3YdCPJP53CpEJA3CsMB/ZuJ/zTv9J6KGJtXIpMZrtaZKCCMfLfj +DGxORTBPRzfKJikOpnetdHyBWs9+WU7gfDlYtuxZ8PWGf452sQEwvZbT1Bkz8B6i +gGlpR6ODyl8X8C3CZjXY9jUEWe9uZe04MbaMyYww6yBxujUKMiFbpnDk7VDc3xXp +wNVi9+uJi5XSmDyJw7AsoTlJJ1aDsgtGFgMQ7Zb01AczUAHA4BHUkMW3Wg48WmHJ +jeX9/l9JDwMmyEtxe1JIX32Mp9KFczV3jQNszPekyiwzmZ3I3DPnjXkL+abSlik6 +VeCqzku+PxiEyxUSULbh14vO7C0tRI7FvmeVsuJtKrIiCl+kqfpxdvRpFBBsyG4B +/aEEVxWaDAiGsh1uvn/SuDebZdt84srBMcipmXdmLgFlIrhtqsoqU2GndbSw3/ya +53xmOuR2ltFPYwfa1BtUCx8/iHlCOmO4jh3FW8rrkDlKl7VonV1gA6HqkSY39FsS +MYEGuFHowwYCfJqVEAtv50MjH61KdYhj2ibrKjmUjX1KK2oLznKKJOjZQKULiru8 +WyvhuZdNOjLYA+yX8W+mGSabUg9pzXC/1vFsFAPH74WWSlTOeRDTubHvE/bj3bbh +PBBdxJUuqF/A/2i2nYgELmxsDDw73XKYTRXoUI1cvPvYBXgCJT5l+SfnUHuw/x3h +2PZOJ+mk6m229vpf4+CH+iuIOv1zNS/funVHB7BKmd5rNZBUgPX0i04Ip6LRPpZ0 +DKsFyXVWGTzXQ35vorMacBO70WH2Kuezol5pNL5x9ARyOV/dnYLv/McOqtRKjOFl +XgYzfz0tQABAcC7iNcabaX/ajtpONwLJ6umvq2Cm7VzYhwg2/TpvoStgcBpEYVC7 +CDzd/qnGdQjB0qCxFALUTJjmcdFtF4Qi41P7Re7+sOSLIB+0ESulMUnV0JTkcXPu +5y8HJKhipbiFTjsKTpxE6SDbisaUEzpES+LrTPDW8dRgTjKfzLLU7+BYxgV58Wcy +4kyjoXF35k9XZQ45SRKEfnzFMMBP+as7oKBtuLenXrVpxuq3mWJQQF+x+1pp5Qp1 +aOlQTg3pCnDkD4p+BQY64N4EePfEVFCfFsPeC/NBvQGboOgvPaFX2T3DP7xLQU+Q +U9HGVxL1EvwMQGN2WQYirzpRJo97n2yHSqgWiNKpjAnZ7j/w6/NGp0wSAbjapVlF +/jLdZKTKkgFdx0KI41HMpqSSnwLq6KY3j4Kcd2oa9TJY9ONqGHvLIg3x24l5dKIF +kiNbEkUa8E0zr3+boOT8O19S20WtUUNE0dJ8GB/Zhvv0wYBCvLbqI3hAD0KkE3Sx +P/IrqpJplRrJiKCe0wBCCfE7mDEwB7dqVh7VI2m9u8/XCKkdieU0KEhZrzA2/x20 +hAWpWAn4ikRDaAyRzyyOqKxWNgGRjuMlaoiXa1c6Ii4Ku6SXeD92fDTZ/Jg3zcnl +VEgE5bYD2tly6i8BUu8DqdkjLtIVP33hfyL/5/US1cIfsFE5XEPL649rNJPeXLKO +4/frWfvs4KtyRLYwRBgPjnRvj3ZkIHJo2h375q7nmNOlfm2vg6H4TDWpavKbEpXy +uXA+ViQA5xXkEHEwDp52pk2TMLbfeKpHyzARsMGWyErEotzjnGeFq5+MPVSIEne3 +wrVeQnfYMBAWYTAXE0cZUMx8qjnJmJ3x2uvEkIVjEgqdbrj+y95M7F+Wi1xOAXdC +OAHUXcy5RjSPKn4hvgK3hvvbJVUA2bpLsyWt8ucHl9PM3XrljmVigcZAXdysQ5ko +hFIIALugxGTUBI8x4Lsp/cCsEEhD3JUAX5dWbYap7gFO9fDfpFiPhLvP801vv19J +kNoBgm1rho3Fsmweuegs0RJnrIPjHGXA2yG78McVtSJXfMYPMqOde0r1GCNME+Zd +YRM2C498RQh63RN4OKdhXr58N6hn4p4so6njtZwt9eOELEZQoAn8tGzJJVbcCwmN +iPYS3wXgWMmRQPl12EI+bIRbzJa24J4csa9W3Wje4qPoU7AGWvX3/dzqLP8sJeyd +awYofqarDTNhPpSSdlr3p5pXsMRi8JEJlGm2aujnVDXBB264Q/v4FmaNFCtgSIcn +PrckvOoN7ih71o3y5GjmVV5v+3qwRB/E8VIVIU5G22xP5cH/97u/mvgCBZqCc1on +pQDsuFo3e6EUTjkal5HuXzA49vaZiR6NgK55Q2uHAG7yGfLVoyAACIXphOkYmbTe +iSKKprwZ/C0E8qcQVOST6b/R9oGGm/4U6gSJwSbaeNc9lowmMV9HxZJjFqyUOF9U +SNzeVVKHf8QYR9Mcq3KTE4FBiD08cpKTih8zcOZ0T44MJjPmEyfOBVgRaMSSjtty +KIun3wb/NK/YIkYa4Scu8Ij6gahrsT9LrnXWT+ee6HJoR40b9tJJyTGApzObJJ6O +DlQFG2OooBWcWzOLTvMjL4mmn6DykiRXgyJ1ZT0DDL9DhQ0OvLR6x+8TLYPfoj9w +jCArgBMkLv/aUzz4cM2PL8MS5LKYAacsLTH0nA/UXcfYhadCCac2ECAxasnO9Bnj +5M/qwdwEvnUChRXpzh7Pq7nw8zce7N3GSsu/bYmVhh7czJrYugjlXQI6b9BkfKzk +lC7cUDSlGFrI5jH65kYeS9Qn5YbfwdoFBCUypXPwtRwN827TFFkb4HtWlh84EXMm +JWOgbs9ZgIL+/bhiMOJF5mL6wFQT8nZMcFmjGbF20Dtr9i1YTV2R8SbNo/3H34rn +e1jnI9q3jQz7vOhoZ5DVDmnT8betAaYKQniZyyAGGN7wn059doVGwR8WH/MRFZb5 +Lj6MF7FOhw60FoXQsHsW33vQ+DcWdB6AM+DySmcEcVixpFdP/zpBVUAyCFPxhO3i +3iO4zU/j5NAQ0GM9PiM4AluqZ579Gd7zTxJoNjjCGCjCPnd99h2INWtQVcD7rr1E +TTTykK+3ty1YBrPdpOuJZHIBp904mD5id5ZEir8lqv+ugw3pVagHRLi3pMBdGVyS +8r6kGXTNzSyAaFs+6lJpAuPSCv/BRaNS8KW6J1ZrpQid6C7WA63KwsGc1dre1HJS +QCZQXp18g3Iry3lmu8LLhpgNLzHWpRZh1/G2S8P2T9Ojvsk+OfQxvoyFUf5WslAs +5wZSfxi3eevH+fkiECTMIIzeNhDiUOHNHjjHg+YRNm667CyB9NvutzPHkJOcKIux +IL3ee4rHS8yjc3u8fvY6Qp97tt9u6Nid8m9xuSSCnnwjnA2bhbkRLcdVUugSrb62 +fEmmZtoR7lM54DUzfxU4r5ei9BwZ/7YC3GppReoNPHnZOpvcsu8bktnujZTI3oqF +Ua3wHkXHYtQfvFzqOdtV+9xyI3d2249c6VUmB2yATgXLpS4Tv1oVJGCgfapLpXSd +Ea77VywHv5L8t/EgBzvyI3YkuR4lldMX8bz5HnBzvdUwVXxxcsuzirOj9UOpUwXe +sR+IVdGTptP7E3MUzPyZuZ2HC40Dn2GMCTBVxYYvyL4HFaql+Uh8UwhLIwLGihD+ +naUU0699jQmPQqIdXI/Dxd3YAQUgIExxppR6+D8AIZlZt2fT6LyxR4xAGz8AVzV7 +a9G4mT08y+MhQSVjaQEiCefmuJ0gNV0IeLsNIANG1DN1dd6td2HlmSQpNIoHcDax +5peO4CDmPv2GY8aC+2qDcwzlP3vqS2zubk9EsMOkrn1LqKmtrHnn/X6m5A6J99eL +BGyNbin+sl1TYCPyO4f9eFk4RX+jkbdiXTDsJEvYGJtLMIOD+oSkPF84OGPEz0QP +5RyXd1VCJQ+y8c7slP6zmTkZnawtV8LW/lZoqr+0zfcVpjfU2hzbKe53vJKvjqqL +FreFa3dcJ2BQOr+pJwLNFMNEa0DRlz0Vkg8ya7gIVfUOINrYYiGHkkS5cba61ff1 +LkzBZipYdnYoTGWcppONJpVw6mYp5NGBzViinDqPD9wZHmjZQnRz9iBddgxf8pt2 +QE875DV9DVyyM9mfrGILC8NY0I+MJhItJbqy1gAwOA/T9mznDa5n5hjE267R1FhD +griDov/asto0a+dlk1k21Jo2DYR60P3CiCSLxl5mHSIcJDOI9ZSdUxtj040KENa+ +560HlspEfllf+o6kFjDmBuNV+oYhyHFAqrlocKFs54gYXLY2mjWotrx0nBhkaucK +6/zXL5l087l2BhSnUNpbYP2IWcipZmwPpfK2pqG5zLGK9583CKcGtXKK/eM+6LWd +3VWBGfYoLDSqtobLdkKU9fnrYQlUJmEDb1zJNSa4BEiaZ36TIyXh6xJ9ItRnqJL6 +WTwk/wOL747vpSj/B2yzNcIktAq9WeSIvcdJqdM950qoQ0Ah6uCW/YsFlSK0RnY8 +PQcwyLMauHufL5fADomOh/ILFlArwtL/+RJv8i135U5Di3FWGueduC8KqHpAz6g+ +Q8a5OpmwNSdNZS8vHTMgPqbnyeJIG3cX82mqWnJWB2pRr8fj6THqGmVhcKgTgk3M +NKt3XlioKSmFuk1EgGn7agsjQlbDLgfBuJIV3F0FCKpnVgurikdYNeE/63oHvi83 +eBtHs+S+2WVgZe3mq+TEWHBpkukP2bSeBVhoAnlbXmAvkEncWEEWMQO9Uba4Zz93 +CZT3lhXsuECDDb1mmczc9oRHjWHaFKLED0UDfS4MpfMWj62aNBs72r0w0DXL7Lwv +JhDPuIIvagzfAnH5DBOIeCl942TlZw00mAWbxmk/Mq8PFqZk7V+Oko43KDLhi3l/ +6h/zufFBC08EmSzdU8F5gv76BtLIR8Fq2/Oru5R0+RSkc4UBEDCL4/i9aitAYe+O +xRtVlaDiDfdHvy+7PU+rbtsv+EhylrtrS2ZklkliFlFMrr3DEs5GCEPOY4Pd+9M1 +M5fx7tNb4FJRYJUREKibhcL5oOt4BCK5iSDAnI02TIcM04ka7ex5rpRvwMtLIgLE +ujAZG6HA0NCNknIDd417VX6rCVPMXL0n5wVK/Ax7ijwqeybwd0Kk/wRurytwzcY7 +eJix8EWqj4X9e+ZarArm5sexgkDZVYagYaEHjQ2TZd0aF6ka+em1AnqWQVQse2IT +x0UR5HzDJRKSFVZa2WIkxaEAnq28R+R6lB+u8/HjIESO9XWJgSjOmIG3LL7DbsmZ +mYbSpz43FAmj9MUC63PLNr0vP9b3HnwYi/p2MJl5ZF9raawdy6tU6I0oCgPRWFz7 +OlO+x5aDfpkGBRei7lSHPwDCqU6vR3gp9je6G4GztjrqxPf3v+QGIZDcOQi9/jop +8isnSVNA1DH6z1itPwdWjV7Y75prAdbubYc9usYksl92GViFa4nJjTbCtYwxIx0c +iRV7m3SonUssGfLuP47032enQcNvZHG/TNG74ZVDwPc7vXNPq5eHQSdiaJaSr6sc +aFiGc4qp2KGdaLZbtv24ajrdI3EF02FjgWlrLX2c3sQbkers7B6qfm4fnpbx4DcE +w7TJZqc0cP4IP9k5/0NnPi+eW5s/op72qA51gTjLViEJuxQTLl4D5yhlAbIqcb15 +DrlrwQYkCLbLOIZ8YsoWsze1Uke8aK8kDNecNxU9V+D94UAdWfWS/xW+l1OJ9cOd +dsjGfM54+D4FXsvywgVIl5gg/Oqpre60zUgUMGVwTDEq4c/n6cFAHB9IeEq879r9 +Ez5KTDVpOzZfgw1hDMDTN3NSEDQd5DkoGOKXhN1OM3W+oD0QCKiZ+7gaG6rNO27u +wmYqLu2U/scR6CE8C1WzK+e5aXA1ya8FYS0IIFlKGxqX46quaxevexdZV7QoVO3s +8NyTF854XwyA4EHO1UxpSOlN01FO12scOa8OKTXcaWN6DKn9eA6E1DLaMp8+ZZ+/ +8DkDGOppqHXuvN7p2bWMKY0JoXJyZWzY5daFwvaVUnS/i4Uj7heInPDGuSfg7zHA +AcqoelBDDOOK2USPyzKEb651PTwbxr8z/YoXGKm2yS/4e1OZK1LKLuxcjjnBXNTG +wzWE5u2JPsZ6dfCd3j7Ou5hOoOR29UGQCycoEPUK2pbbEDuOsTW11xyuuPF3O/fU +CkZBGYiwD2oN4nEVLYkUS8aXJADJdllnk06kH3aI8oyF/XeiJcGM6KJVsldjxyb0 +/AKtIgj9aE6/etYt7tm3jZ0deK8MpRD7WihEfBZJyzij88Zddk4t2fM3FtewCQYY +KU/phJqGZECcF9dYaqXwcU4STrTW/lZTW8DcarpQiJLznwmnKqxt5d24WWQcqe14 +8Jh+zss5jvVP9WIP4+yj9MXoL7FxxbJ4h7lU7cDF3+NwVcoZSTOl+asWOD14CQUj +NKl6wXpqizIOlE7f0nmCkqOWpZB/FXznm/Xa2Iq+hIcVo0RVg34dIo6WLZrlIoGh +/kIjNXVInhS/fI5yVh+ScVWlkJ35p56Zya3fyxe/AeWnzP/xQx2LQKBKUvzv4T6g +CAHgQr3t6Xh8K5Cs6GrAXubQKX5Rurlli3jGQ+C4p/eJubppVjHLLHzGjoEqw0XE +9qYjA+Umev3jcKsJSBIaD/uB6TXFSn8Ch7/YnhTuScYam9g2JVY/o0u+j1w0z+li +6vTOOl/UVotDVMX7t8/wBcVgS0vMW6+y3Y8+64/mp+N5M9jPntrtm0iw/EVjBmAO +u+wZkzRRN0eYzhFj9Odb9Zo1qqjAe4VFKB0fHj2EXeEfWp71N+VSN+RFibuUPpR7 ++MNAHLWdotMIwpQ9EQAgQktsBEZbyxFxqcCbgwNy+QmHsMmg3Ev2sowf9lfxel7A +w5kK7LYydgCin6ee74T2i5ICWr4ctZgUFj2pFv2nbSoUBOgGEA231MWOVDrqYK8F +vBS81b1FyA9qOpA/DjG2kBzfLK4ac0xUAoC73lPO9RMO2jEHDKq+jPba0FmgcfAK +IenrPxjfFZPas8maZTuPARhVXZHuTGNhhQc92HIqrORrUtnttLaDUYtXhzZYzZvf +mCJNARDRdHUoogttE9Yu27U+kovf8BXvy2TOx+WQVAA+SqYrJrkHuVX0YK34XsMt +pAlX8DaxkQ0t41y6NZ7qQan2iNU/KXnuxS699aKUJ849fb+faoZ1y0WuBUq7E56x +2Gz8+EcvkyT/uQ4CeZNM8Ir4TFjSw2Ys5+tTvp0JqYZt4Vgehtu4Ke5nFLTDaKdG +t/INI9Frb1zsO2tx+5OnGE6JiYEHE1MK5qhqn7wpYsR0LvMdnxy9+sweS4CwmgAH +zGEBxbHxANqCJ1KI6/3XwkBmNJCTcageEE4aAgyDDVtrBIPbW7JYhZmmELR/vvxu +shs+o9teuWP3MOXEdc17JPJHEI2TvabMXTMpN1bAekyrqZOAdU4mFWhJa4oSsY5w +kV62RtoG+zf8xpBuIvcVz0QbNSJ8juh/igvsWvKcXVTTWyAyIDwvMK81PT7vbFs1 +27AquXhpNkCkXbWmue1XDNAr2c6nQzAljUrcL837+qHgpgT+4WZUgVHsrp9YEIUM +SOxiZPc2AgUT1Tak+pOs5EMlTcGBy16Hjs4+nEPodE9CdGqPb2O8CFukKOfFt7KX +xZfxWpD93xfmS6NMb/UbTIOd/Mapwa7/0MAhVXbFM+an4f3+PdWE+WbOM9Awt+rH +XSurFfD7QSaY0VPuCmIaLwTzu6UysugQTg6/O7lvWTu6aL6B1SpR9GHwAuiu8bpE +Tuyj7fb2wUhUKKbj6IUTnCObjACnjsmWkUt6/h500w/RyHUE/CgPPjPflGPDrQSQ +v629dPgXFNmLNvESX6ZLVgrvBFztlCt8tPWpGPEq0+FOJfAjYx8S9SLW19NvffO5 +tWtqvDw9bTke744x22CmlHY5ZPzRUdOkVcWsoXgWoitbARwI4YQBNud1p2Xfym+Z +efyI4sfZjzxEmg93nbkTJp8Y7+CCjp1+mPoq6vurNhdMlk5jCt3SFe3vh9Ih3FUd +LGne3i6LNKvtQYIkdSn93D5H+lB9uU7U14EefY55cR0YtY2PZkl7Av97f95w4xqE +3OXMsfiJB8NJmuEqDlTm1LxcgXAWtw/Z8ROz24EJbHtzHaVkp4EUqpZhQnS28VLJ +SWGx3yVNpE1SXI2CEWGL1ZnhAwIubcsukH8WeA2KYWqgWRhtgJiNLYTWCHY+9jPl +AnectnFs43rZNsaZlNM1siPGa6LI+hWF8mYAMsL2aN1DGd1sgoi0EmRwKLeS9JaI +h5tv5gN5WI6FwvRSBGXi+rRVCLrBwDlzZ4fXNXRQn1vfjXKA6dC7AQKELSPT+iH+ +iwFtwKNrMFlKBtflnay18Iws8biFUMEttDoQrpOU7X0kxJpDluwpBbyzns2UEsla +kyE0/P0MKsiPNLIGzqMStc/1GS3dS+x3pfOvl40/aPoao5p/ytRgIrkdvvEgSqai +kmdQslMSE0xoP31RqdiPJ/71boXJ3j6TTqOzWc2crOqohnQiTrRbYhP5IBFMrWoM +oOO6VpdVieBLTNpTOX5pNw6jrN7LtnyqYT32aCsnnnMdeAm1otiz7tNRnUGZ3oOe +iybXnS8t1vO9zXW/x9r8L8dgNFkFGvp4eCLq1mYOPLNmk+5NeUigwqam7RHySHKy +l8BRBFM3cFgMPEmv2t+A7B4I0XFL82XJgMwchwGwPJ2+1kmOzlOyS1I6D3zI8qFc +W9ZFSxCOxhhscIcwwfB0sr0fmCof4HsBULNHzkgzQV4oyURH38Mbg8NM2HsYMwtQ +m7HYqvn3POAGln+h9X5tEtJWtx4BgOJT8QN7AwiLkLWVv/o6QFtQ1XMux8ymqxFi ++VQqWhWeOeFsM4ml9IHcHClScY6O4j++CwAIDLSje4LgNLK0lMqiduMsODOvowPf +bYl0ImlnAj6Btbf4emykN4uRIME9rPKpLq0UpmjL06+WOLKtKnDN0fdwlx2ep/FI +JGTRCGHBiUVpFJkMRWt3KSXgrjd+IpbnhqzlP+tcaYMaTbjaitpu3krHtnDaFWB/ +uszi/WPYxVTorg5j9jWSNGTUvwGl9swV59Zq7ZBi8Stev9KLQu8opbpBixOdmOx4 +qDDqnA4KnAAMrt9LMEUwRffTgpwBmqNgddPTV+4GAwuoO9Vmw7IOK1Ze0u4+Jzo5 +ep38Mjpazr3JgYfIPl2s9aGZ0vyYZyrQS1fige1nfYR6c98fLj1EtmE+2CxzLH+z +UNQ/Mei+DmPSpSHp4g3nT5Z0vYlve7wp/WM1JGp1jCvpwuSQQ+bNiywRIS151qu/ +bZfTxc+xL5E+OyijLMTd0GNUsX9vO6j8QU/6YqmURMo5SeLOTekK4FzF51JuLew0 +wlXP1dc946xUT2oZ3skEbrx65iTb8faPuir9ADoJg/QD/6S1k0aBzteDcOzpsgv7 +9ITU/kARbZdkgB2uSYVbTYPNH4anAEdb59vhtR2Trs7gFLwgkmUZM/9bUocnGrC3 +4M8gakAwqHCyptrzmfrmUY+Z+wqtNFq2mLi8I8SDpqKoZ2D+ksAF8PpBzTzKi0zX +PDcC9H0rKTAACTt6RSs0dq3OujhvkBhRvXuyZD0zAcOp2cWJQO66NdEsIBIB5Sm6 +kVD+w6cdn4u9BtppP10/KSwZOhsDyQOZZ4nxcJRhySVs85S2/zN0rCNjXCpO4lYA +qHSG1+9g0de/dmQlWy5bO6YPZwPdC9mGguPqF5QRYL68tvJrfgBXx6gHOsuB1P/1 +66rhv2uAlbVkHcAYRjAsHv/Mc+2veCEYqyqdfZE9IDLiF47Mi795tDSMqmRgzPiu +TKCJYnEfAPPIc9qcciF6UqULy2w9GO3LGrZBYOuo3BVI1qwVRJuPMe+PLXhqTEaN +zzVNY7O3GZ1NsZtAU7p1waUZ13PI/vjD/6VJ2WGTP/zl+5AwUHYhIKM57WjP2q7Z +kmT4gPYiF/yEim9zYmvdj6ocoCMkc9jzqHWZQRUGp3YRzoWiRlnBzl16xSahbHMA +eVwxcdhav/wQ9YZcuOhvFHthgM8D1zHF2a+tRkasiN1+qoR5iVe6I/0xK/khcQjF +8QWSDsJ27kmHTdnW+6FrrLT5Yu2dSgi7RdZlqlMHfXhk7fUbiJuqXsqnvJ60Pw3U +ZgbO17qxEaUdfVqpu/IyXWkNxzTaX3Q0BE9xAoRqSozAwT/M+2OTHwVtNGqApreV +C0K+PwVc5sKIETaxgNaeImgnuosqfywmBq2uj7Z0zfPzv/bZkhJxxPJEEvA0ddAr +ahS/X1ySCEwqEb8wZpBrmjNH7zU5oizYz5m7ObUR+3KDqFXE3SHME/DB+cOuBMe1 +r9O1N9bjERkLIHrsYfcN0V6Yu5lv3dg+S2rW+cKI+DWWk3CYVc8WOKea2KheQB0u +F3OmzA1Kx5qE22Zz2wxg+xYWS0xMkum5cMq1wAsVusD8D5Jgk724arviti/4wUWY +dwINgWsH5ewjSQtQFIUHA9zWLm98X4UXA5W9H25woYZ6ei5IbWye/06QqtgbMQ62 +4bFcrT5Ecn3iQ6B0syTfYu20ZHb7ZGZ30EipY2YHmoPtKmtDFhzdbrbfdC1Ds3g3 +npZT+C5HzqeoOAHWNTtV31QVEDP2hFIJ4oAU6eM/Ni5kBqmlPY9dIMSb89XkJgCx +kp8ZGUWZ2kaDTz3A/jgeJxEuVFZstjD/7dR+BXSbwbnxhKhUd9qc9EAO+++11TyK +TXnP10ZRhLI02UgzCQUZyZKQ3MJBMY4x7Xn+sf7HwfJi66PeWsDOBbTKvad5EJtM +afVfXN024FS/7GbGvNYkoP1hO1iT6YWjUlAL17T6uPIrfoQV+S9Z4bDgvFf3fAHA +oJ6p49KaUiES9wbWsmnXhp1JaSpYNI+KgK5dJLeKjjhPS3CO75dU6oy223KnrTx4 +H0f3XGPOWRWysEpq2btbUX/UzRX84gmgri0CTOf/8gvYIkk7u36ZrMYWrYociFzf +RK9atw1uujZ1TRct/N7QL5dJ8Gr8mBOEa59VijCAKK1DFuU2JwyBNrXNMEUdOo0/ +rOKO8ZMECl/VWqFGaCf/YhAeDGyx4P1erPbh1GClUULfaM2xnrTzklc9ve4IrUYf +4xphzs/8YCXoNsxeBhqM7hgwPLi6tewgN8YcAigzBVzH3KmSS2ihIrEnVPM7lURu +3vPITBBTbH1GRXK5uPo4cu9WtvTkPQyo+C6qpuCnv9Nr8dK76t5BdNzbkjjZIS22 +VvsB8ggC1fTnefVRqHKyrIlKs7dNSWsDW//p9r6abthpZWLXH0GT3e7p9+y5s80+ +X/bnid7WA7gVIIS7SeQCtsIYPs43bmnFO/VS25PE6TO2GKXeJGhRCS3W34n6V33H +eTo26Y5ayPbUPIeZ+ZzekvT1j4oY8XZOWRF3jF5tRrtdiKW1yIkybxCLvS0OTGEA +pf5sRjgXzCWWH/NHD/vpj3TppnKKEoZljjP0Xzxnxx39TJEea7Hpbs9cdQmiCA8b +jk7F9Zr0itsgw5xsuLgnm7RkWzdisfDE61jVBWKwzy0W71KVTBuaBWll3CRRTUFR +1fDSpR+++Bi4iHzFPx2PKk+tRZlQxbNUvQr3IyEeitp8eP9n1AzIYZObApnLQEYD +bJ/V9Fl7DZawqLhUzFass25An6Cp+mxaQfRqaBGGVqhHfSHLM4hxLByOVvocXOLQ +AC/EfH+rdF+gZ9akLvE2V6o9RF/VwHEAum5vcQfXIR5ERHEX5mkq/lDnXFN/nQXo +DfdyQwJS9+fnEHDXlz1N9zAxlP1vIzcuoSWxfNN07Rn6iy85iTHQeQkPqTE0axyj +RF9CH3AsCSWdYiBnhEBbc+pY50ILA26piKSVYSMC9ywurMMKdbM4wZtyfg/7oq+T +lkilxC7H57o8EFLR7vlVsr2f0JtCZ8YCW96gh6EBBTj0l30yXHdS/N7ZZKLW1c+R +xi7MDfKnQgjd0iElqqZLzVajquJIsJpSyAZjJA6xbVUljtU7TTO9RJsyId6H5MO6 +/4s/79RcIZqd2wwP/1Xh6kRJ+Yh9WVaQ2SQGJzz2zp+h0o4KP9K/xiATijQ635jO +M/TpMJQp4vZt0Z8aew8p4zuI0lq4xG1cswNTFJR/98hHqOenhquELbNTaaz7FCUL +nch1fJPgD8G9UKygLJlblj1jjzt32uGnQ1ww3Hj/+OBMNctSAAlDyArZV7dffrBh +nY5PRij/YnLRAC5pn2BfdWLtP0MxlRz6GZGWUXW/imHKpA9yeeFnq8kVXvLUFROL +Splsg82TnuCdoQlPE8nkD97XvqqkZgIMo9eYF3FQzoTpiE6NCBZXT/hXydMobsDp +uyNDdTipRKM6QBUNTKaU+aOnZvGJ+G/T/Ayk5OiypFVvDwopIrKj+K4+mqiiRC5X +lMWE6f4gUy3DcUhZLPY/vzx8qe1gEF0KTdixhrx9P71gLTnT8G2XBlg5ph1A8MD5 +KflUVyZrYSg47HdKu2YRmEPz6yeOSppYlxuylIk01NB5LC1/P7jEx7g2RcePFGjB +4QH0H3XuZjoSI3h4YkVsZusPlSU4nyXyXzoxQZkipHP/d99VEPsQMd2I1JoS8f43 +i6h1S07QeCxLJAdrESkkfWGPsqvxPL5znMgOJaZkchUxbPUlH7zVUaL6PiJbKmph +J6KSJIEHNPL7ZcKAPTvrUWP0KA17ZJN7U8eJRxdG3dx1pB51UxNatXo7UqozE9HV +JOQPYHnppNbOdBRFTaKCLGCGeAJB/1QB7TZ7WhFfkC5wFfdOWebm/1jylcc68Mt2 +ktqruCw2a4LpMt/0O1rqeYXbnBt+ZqhTLeWyDbMi//KxgZtONMcoFkH68BGy5uiE +zfXlnAZpwZc/V5iCl9ygygS/Jou0R9uheOB4T3tqxiUkX455C8oRr7LsbLNHFfP6 +feac0rdVypqLU/ePYd0NKC23XFQzn4ZKMR8hu3f0EH1eW302lJNlk4X1e0JNAqET +ccWjq3WXezNbRZyhqTCQ+nUU0159F1/8fQgjGDkwcSEkMxX01M/1SBg8uHx/6eLu +tvWiFHEfVuY5BDPPPzZ5mMEAVUG4K7YvHYtUvcfHaVmsB1a8Qd93rQAOe3d9BcxR +YnsEXePmxEA3CqpFVHUI832uEhs146UD6xy82VZbnvH5qIUUNePyEyL6jleDGEiy +lcYRbQV59w4ItFfse5Smg/BRqBtAaKpPGuxrXuq0mjl9ohGJdLjAOWfkstbhVWYL +WYnxJcZSxTLAG1kRbQI7dkkLpGqsMx8rio/XgLeKVQ+5Rx8qF+sLBOIWw4ebhWMO +Ylx7NAYT9eR5erkeQsDtD6/VSZHJFMQX0sNI419c3NFxsqH3IaoBcjoFWulENJRR +/UAXdmqQsr5+XHAalbqR2er3LtqlRb7p1Vm1EgJ9IlGoEe4djItMMyzLksolbP8b +Gu307Ar5HST7ce8RT2Ok4vP4DtSBXMR1wkK68xZ7NsH0STKau6hZSqQTBAxJKbwy +mieEakQEGZy8rRK4Z0ozTuHjUTJvKzqVBqEAeJKcQ6cLAyK+/kDJRsd3q+XsEr9K +FCXr2KoB22sAwmQ3zG2ENUTgysm7JECYXP5y0Z6jfsq5bswSLSSkp3D6lhzTvnE2 +LYP3frb4nbtHsMAIThJ0f7lRs6n04iTiPCXb0SpV1gK0G/gBKlhKDYhF6cZU94wK +8roWePXnER6PK9KtLUyB2j6j9WMVjOEkDBiGRo07Fugl/1B/i+D3q5u9hUmo/mIK +rhLTU8Mq1eqdyHtK8BjoBrMqd9MNaOh54RlVI10PPKchJPUyTvvuIdYcyMOv4blf +X0mc0ghfIOr9UeBVa/5N751rQ0yXWNhzEzICmHnV6uk5jHl/OqjLkUNnYfgyk9OL +vK0qxWk0Pp7VdBCrS2OVtwEGcMR+3sKfF0yJ+qYjdZuEHKZ1HyKszMrKLRGKkDJX +M8E7ls4gecFzGfQexLqkYNnxolqgeLDCn7GG6Q5rdDEGKVqX2qOdsr9uh8c8B7aX +dB48eolv1PROT7bHoCoQS9gQKhXZH0c21B+abF59bPCtDE5n6H1SbDAPklIYVKce +4KlvrQt+qR5xLFnjXEKY3uFFsTfNX9CimJsQ0VrK5irU6I4QC1UEbfhBZbslNZrH +OXBNzt6RQlH3mQ8QQ9xfSNoDPkbX9SrVuI/EoIB5DHkxLl1zSM8VS3REywrvlaeV +a2s6+UTSY094HEQBleWHYJTwGaNKWrxUjwprxqd2lk4m1ouOlevWhHqRRjHBY5su +hykp/1AYnMBOwi3nHzrsP2MA77q8XNcaau3LZ5Kace7jctYsiJZGI9Ge+Ml7yZ+h +HREyNJXngOpdAXe/KZ5vSptNfAnPGhb5dD0vwIv8s7jSl2z5WiiVSzWfC3FWO8S3 +IYaFlYt1Lmo2Z1H50nuO88QRbMEW9QbtVKC1QUIQNvbF4msh2ydAmc31Ab1rt4/9 +knb7EkZKkYPMS9MKgTUkVYkGqqRbA65QMagkNvXi1iBW9wDJzUXfcxBzx8xMIojm +Ft8ExWTT+c3QKHI8Q4Ael3Ukm3xMphKs+91Bt0cLtQAM6gYGW8ypIV3QoE41xf/I +zw5mxlz5xvlNkhbHPT/iAekE21H0afVXnnixOaKEn4ZF6fLo1FXtN4aiOpmfjPY6 +s5kLyDKEtEyYJpi0sXOANtoG1DqCkj0MfQlkKC/Y8zaskVshx/0DdUWKaUEh82+Q +IHKbuIwsKH/UMJvXvL45Iz0ae+CDh36SqUDFcf1+1tu3MrgUodSIXxVLzpAeUizL +odrE8xjoEMSpY3QkQYwudw42PI6swRcaDlA+fspCEByRl4TEYxmBEJTdi7Eeo/A3 +Z8j/FHSSkiHw6VsjEUtPDsJL+vxR/waLLwmm/VR08aLLWcoTPjk/OhC4bgBR72GP +SE8k+iLkk3KiarIUFSfv4Nv7W7mb96En4NRQSCrhqEX4pfIsJ7c791+gD/QUGtv7 +ag6KZmaWVmJjMPZ3KTvJN1syXOpcLWtnAJ7uZKUDptAMVmVLMaNQ9IU0X64acUif +1yFP89QsMu6WJyf/3OskHleFuI9KoRGjhKZdGutJsTi+B3cyGBjj+/dKEdzwexj3 +N6IA0g8/RITcz5V6NJoqKSAIK971Bg04cZ8/pEVD06+wS4c/6bGYLTyjG4bhxMao +ssvb7LbcrP7MKKHDtkiDHhfaePVGBnLAWy99R9FBMIcmg7eqnIFVticInJgKZZPr +Y+7SXJPmJtBD3Jg5EOQWAb7ioczpcLRebVDy/ENntU2W1yuiBYNp5ukqTKWQIEb5 +Oweo8B9vMWdgZTnhC5hEMaxDlml8yBRJ9u4Pd5LpusRVDZDsaoliVy18CDlCUbak +X5Yz8px2CO8rxtWX5B6eWgtMVlUMVuCdu8xyN/1/4zN8ORTIrnvVprzbws0zd75n +qAMMfZj7RxVYFT+eI6sFWLl8YyCMJ++9mWDMhAaoetxJJAJjVd1i1gQ4S8CZRRbR +qDBXVgGIbXQahxH45AoCSRmDVXsvDv0qPVi2kxfA5cQJagwEVmdkJgLa4wktI7bs +alpLTKiMU8sCgXqfdG5/ak/gNdjXh8TqDXYKh8QQJyEQ5DnnNRE2v0pc1Aeh/tei +HkQ7luTuGC7GlIpkOtFcgyGNeNzkKByXivrlVBkXWs8f6nqvKLcmAuh7FTk9lOcM +2IDRePCmmndGXwv9TToLzO1/FKdQ1vy0WPA7PMPlXKgsWRXr38HMhZUXDEIIkvG4 +ho6V+TJqMIZtvtjM/SCR01V5TGChwZp9K+mQo7X9gU1Fz7sxvPPwz3yoEySDWKVr +FLc7CuOPO4m7Ur9BmBUEHEyWFlW2QjGAsct1/hUJxBxzll/+XscwRq2jVJxPjxHq +8NevaG7adDBnWGMjxvqJtgxr7lpPPd/kXhBj5hslKXpNUVE4fe4Pm/bJIo4A89mJ +ALEMZAIfHD2TT5MQYcXzdJRnmTQr0tj6bbDhTGgn6v46Jq2OAsmemwRVoNwEeMTu +6g6jYRhhzPvavTZWfrJUhgH5U/v+O9gce4w+IpqK8PVTfohHODmBHiPWGOgQYdLJ +Vt+OInXWEXzjmiHxt+6ovVmZQfZes//vgtzTDkscPjTLdZCrfH7aApu8iDRmtWhA +y+xrrSa3r2XLE5AzRsXtzuNC+4pv2euIomSCUZ8zERskOyaM8vweVAtkjGZHXtwr +Zphuyh28tv/6Z/ddcNwPEy5gqBez8eXljTCnzlhd+4GtI+XFSA64kdPJ65e2Nghd +bVIBfEmmovoL2EPfpXzKP0ZwWRp3CUbbOkd/0YtIiE5gn2Acbw1YfAuZyaRcGab3 +3btPXp6RWNF6OVfkMVASimaQjhUe/6oDaxmCRYZQfFMcMbbDU3kD9+p9uXCzEUeW +rfEG1gkhhuAUdIQis0jXugAzs+/c4VA+zzs5xx9M/sODnd3IZRTMqsWQ8ig/0ku3 +blvlwlb6fEqKWo3pPxy+JHXWlRv4Wv1Fuge54Iw8oSFalGlvTouK12fiCZSausSD +iC86CTLJubhBPhjIPufOB4axG2tUhKyN8fjwZPxGboRfLCExG7+E/YV/FofBW1qA +iMeNbXj2kzWKdJuYsiaKQPDjoT2mQesKErNl9OnfcrYBillzx1feah/uAi5k9s9O +HkAox/u84civLxH39kQ9xM6lS41IApVEH/wePLMd4cYifOyTDI1hyU2V95jf8m4c +zFT9D0pz4yH6nPdQW15xXhRSZrcH8weoTtO6YaGrJOzYNfq1yZqT24MrKJ6/kU6Z +sMDFtx0dOOA++8xtvJm9+iMsy+JqGwZg832Tj1CjVu5M5ZTAXslc5AGQT907TbjH +5/5K688zHHVM07tJnzNYOE5I8im2oqlE2+Nz4sQB6d7Wn4+GdlSCgLJEYiU0snY3 +68QOif678EcSDBzL1u7ItzjhhE+1ecIy/ecptxpV96oZ4uLihM1vI6OSo6KpB2CO +2Qm7HU0MWii17K7J5cx1HqBAv5osLf/DLQ6gJFCOZKXDYu5EY6nU59FX3nGGdCO2 +7+GecwpW3JOY+BbqbNeJ33Dy1XKdVuQP3aZWnZbCfKbUTg7M3mUMO4GSG+qw7Wdj +MMG9CoaTJoB3lbgWDFlUQodb0r8vJxkQH1NNa90maKY0Kf5aqrcSQDoa80wVSwKM +v2/boIqsq/9qwNUUQgecrqZO8xkoSmqO7eNoIRokHLb2nn7Z8uPE+6f+XxWfaGWt +fsIuaBCFHwlVreW2tjul6pR909FEXP43f3JMAE9vTsRwZ44jvkZz5NGI9w1jTWJM +mmzGMJRvWw4y+yYXf8W07b7jrrnAvEEK9LQZ7V4EwvYuPzLwW2yVQx4imOrM5ZiK +QLYfLvkvwZVUFMfgw5CiWmmUAMec4NlFRM4qRmqKE4EDoaKGXhcK+JDYiVripTn2 +iK1Sqgi7duz141FrVY/EPXGuNwpuOU5Zq2ligwt54ass75IjPUGFSBW88Gkn0qaC +dAbINNvszHwsZpLETYmlJqgbEMUky/bwlr5sa18IgHQpMmKT9M7OUy3LIBm3mN9y +d+4Nf/PyBd9kV96lST4cMe7bcWwdz/jnrE52tYUYcGWIMvWt2Bf317+FIRYrY1uq +C8DuzvDBjfBIvTOoBpNgpxDL54q1rES/yw12vE54jBerr18ZQUcfqth9lbjMH0wc +/20uwLhf4FJeC4GdT1ngVCiGbOqVedSZqRulGEeHOcH49NwdrW2GgdIfjsCBKXR9 +Q66RwKbyqUVuKudw2L5LtwcJ1n7Jiz4prYw+JwZjshD6ftSUetS5cdgsEVn1oJ/y +iq/orDZ6F5wZxaOBH3wZo8H56bY8HHoqBkzSWX9mNvJ1Bn/d9HPVO+gkVYOtEHLC ++iUUfuAMND3hIkMLLOlrGEaLq3VBhd26RIYm4PcJFF+VQjIZfxX8JKkLbYtl+d11 +zIP6VYtdV/M0N0bkmyPgff25EEVk8/MfK5GrfNoZ6PD8EnN7QFRZlsnzxz6qpWZ2 +zh1Baa6B+fCYyRdxRsz/jocupKicyrTCDY8/zdqSWg63aTA4S16c3bW/Ioju9Q7d +njafYmE1IC9QNdXRPX5BPq6OUddW3O5Zbr3iXG8vZM+k1bzw7bgK0o7aGadxm8p5 +X4XUFOEvKrVNVenXL1vbBLgQSDoKkhEFD8NpAew/KrAyhmNNKOtiJjp3tz7m91Mz +Sswafva90XLItFJdtOmIoMzPsjT1aWIIdJbc9vjGG7cv1DHKVC1uEXUqCixS3Eki +Hj7dCabS8o3BFWvA0aSC3al9tHILbirB8x/jxGx+6rnzXtsQQaJt1YqUWz2Q6Iy3 +X8QDF0rLnehuCBhkOSiwsIWTz0/8hVVfKslLK3cfna2UKGRD5iV+yksFu0KxmjKj +O0WSG0/bBi8OeMOATF6iB9qX5djTLq5UFZ5chwl4ywt6hggEdz9aIke2M/Sd75gA +miKDjPDgqe4JSnxuma7cENJerwkHR/ypfq1e/DybJna60Hmrg7K04f5NgujyXBHg +w+A2W+sM6twY9568R/5WRIgA5nMzc72dr+AdMGSBqyNUkRqNJfC8sk7nxAEa3eiC +2EZnMefMeoQ2q+v6yjp4jeyxwhAyRwrcibTz2b3vtVNONB+gWPKd/aGPt3nGb4R4 +q7NPy79eNkGxSLZ8h0Vt5l93kAAJspTnK7XOLZSgkyEqieSrBKzNuGQ0A/+ZXdUw +KWIGiPHj8LtjPhpwXnFIkMlyScNppMb03IFSwqKxWvAQdLvppV0UeXjd7ImZAlNF +W75X5rVF1EsO4ZGy8HPKsuZK7aKbFqGC9upA2lQDigYqtRB3Qbpj/aBFmwJMkxzT +ZyAeDraD+/Wz0xu572ZbmLtz5+qPqY4nNhi3o7QJk+lDcFbkcYOQ9g8EBfUX0u5y +gD/2g9QhW1VJCJX9039STxS9mKbBbtKkBI1UhcTjYZzV2niRv4H1Ow4EWJizSc7Z +WXoWLorY3CX9NLxU0XtBgxjwu4/3seFdvMleuk4Bf7/TC+KSEJuCUqon7GhdSsgj +Pw/sbSLL9smvlLxrgXz5DWrgtglZ2L0Sbg9adfTGnnWwLS5IfpMu43TrI+SHaMDJ +5MVsF+EZq2gkU0VCkrZG/1wHN02faQL49wd5pRrvHFTX2SH0eoiN82ZrvYSwqHoB +IGuYv68ltT8tMzvBcEbV19e5cBPI4nxdA+YeJuJkyJQziKon3WAK1XRWfQk0c7zl +A3XXp/6FQ7lfnzWIdpPMrRBbqYYlNtw9QpX2VazFr2CizYZur/eAHEaT212m76Px +J8r1MJrF5pguYdrjPyOkIJeiEKR4qyrw0uiYA1i5Q8K5DqCZRJUTXfvCB++8RLmy +R0IXvSDQqC0fAGxbk8ADSN03cAh2+iHd7Is70F7Czwlw5KNP7ML3l+4o8t/PFAFe +eK/0vYJah0Kd81I/7Xel/vDP/3qWGdnlzcCQ450gyW1a0u2K57TrZezoODzn9TOf +9f/+X/zPB5hBg1XPrOsbg/5aelWqDDn8Nxe6UZcN+qGKvuk03sHf16Ueo6goGAfq +oOAFwUP+4niTX6QFIMnTGtDn2eWqPy1/lJwRhmJxWoXi0DKDR0BH8m9NuU8HVzEV +PEBmHGuv2g5t7QAfJn0E6mvrfYLM67QS6wSPrhPjm3CWC+Sq1jLm9RyJTGs3QwOX +qWL/uEGd/FTXtyXDqlr0i+dBSzeXZ7sc7JnoW6JO6llcoPZ1gkShlSq699ksX400 +atlEWvBdZUxUp2nqfPQtuyKHhNGzLJOIwG4AUnmWHEs8Uwdp2mfSfN4dbz1t1eKV +F5rQga2GmCmyWKkCo3zKLelEKcPGniCKOTvdN1Z6jxKyW37c9+7F1iKgTyuVDNai +jriRUEewWUzOZyKRzDycXewcWzvp+WOEcJ1WiuAoRzCPSnCngcWuRHKzkSpFtbeu +A/1UklkVhiwyjAaHPPZ5mIGSOjM6WILKLnoacLfNj2mX9/6XvBOQMbBdCPtdwwcC +nOFoHr0ocy2z3jgKnjA0GQ6YGxBd5kMORbhVUcOJDQ+G9wYuS9ZpRkMv7tq1+5Aq +fmF+wCuQ26gjhVbUM4gW4DeRTipy0qawHGOsZaiwfJ+VRyvFw18K+FIBMTSfEugt +gmKxCxQFkTJMIsa2NmJqOoJ17Sc8E424WmbqbMQjbdsB4j0VbKmGuwN9JnJR644n +nIIkDc4rtFq6vNnONkQFzUPniLsMJ6zLsTkM8belgJbRUbY4Z7qJvizw0DCvLt/y +n9FlzhZ6uWEUDHGYf2TWfTnlUQDCpoQGn0EhKHEq9L0XKh4ckgWcohuCGRyfydVj +zDkMpXiEgqBZQkOPUwdjwN5gmdUA5tRSbuWpAHOsyGTB2RVLmFFb65Ar8pDgX3zW +FkeVjh6143sULalr3nunM2VJ7WW0bYI9R8z0zPRIrw7iky/jkrCKSursSUDwdlLD +5EPw+Vw3HWzaEh1f7AKFgS5t4SMCqEeJ0KvfZi5y6ggpJcBpBUwNOTnIVXEvXeJg +b251fdelLOdxugZMReoseittksiBecrjY7zTYVmxgk3aOHON6sL4rUkTD6fdZIZY +qHcpfv/2LaMggSUzqs1YZVAYWIQ8a6lTloWb3sKCMbeM7t/a/GCj+z/1TGYBmTKc +Wr5xhDP7lDYgO/ZE9pDi5BFt+bnNoG4fw+9Sc3UvRQeh9Pi0Cw/WGbJQmRGAZY7Z +Hjcr8CHn2GhWmfIW7P6hz3/dAhuYRFe7BVNfHitLRO8vyAHJ+dpW2xAzCgUrtQ33 +B8TF4qEkKrribaFrwmTqGMtnzyDW09DNV2rirp0sMDOv6djplAm54k6SV8hGQ7My +cayDMvgCgSpZCNMJXpWctUh+0gqdyOKFw78H5D74QXaviQdb4P3pmZHBKSnZl8Xk +LoAc0zQUiB4iw8CXEjV0e3owMQDQNnEr87E/KEbEayvxYHgyxPYX5o7/MeDloJg+ +6YR832O4v00n0rMh+xrk4rECOvbu36ft8t1EKkmuD2Ry6DHBoT5/54bCSm8ual86 +BJhoOpAB8iEWXX/JFGAzbro+fkwO4cKAqiaRRJE7tzfO0XRIgDnGeKaOIBe+cR0y +VHwE9gesawib5hVBIe54s7itSnUpZ0djV/s3xIZhiX9QoNekvlWZHFdbWQhXb6Hw +TtGt8CLv/8FWv4TXUA/Mm/aOCsCKZCXErMr2CvIEIDyL7vl0JMiFzDiXYMqNlNxQ +uiPAycVcf8UsO9doAsdeq8rJ576YTqmSCqv1EzpMA/4pML3xH5F+EATbX3lsarKS +4N2yYougA4AvsJ0obj1+wWHZowkQvP1PAzXVaLMz4+JBNYQ37kx/Bo8SuvKuxod1 +IHCshSyL3WSjWxxhtaKxZXkv0FFPHql/sPl4ACmMQwTiPm3GaqcSJnJhADH/faNo +t7FsFmJq9N2XBkizba9buoR8PA5k7jVSJ368Xyc/Cgec40euznsvbplWWyB1epbg +ykxl986ByTgYxAuHqKd5SaCAPL/tvDEB8GJpJLcpuAbr3/Ylq2y8x+twriu6+d9u +swJcEosPhyebNAcqi574iuWzGcuIbiCS+jZDZjF5nCAVx0zn+nu4eGO7g4bsdvCo +prZeUSo1b0sut3AZUhQYBNgr3obw9cP0LGFKfcnOSpwQ8WpkeYzSWYkeOgoDiQkz +tEYEB77hhxNnY98U1oC5rdeErQReO/yknMRMhlxpwnCSA0cqZER07VM52zRQy5XB +GMfdCppMwD7CbPIWeCoVuLDmhdMNdUv/zTQWN9eC5eGb6yoKxrZ0jlqLqv4vZubF +0Hgsl+3vxMP1qDeAjZKV/9TsJW65xO7thwuAlB0bXScwv+ZqY/7EZ14z4INYkGEz +5Wtgis9XfruYEONCV50gEGWpxgf8fDyUFxDuYFr3CNVBw54XeL8YKeidSCA+qLg5 +x9O5M8CeUSqenUGxRBZmxqwzgnHSSQlv3vywKRwOb6udLpXFZ9TyQa+DTWksCZrH +Xc/LKDw/e2/44iW6+0RXlM1bZBy3V2gjHPdj5sU4ToJZnCmpGGf4EKB6dokHw8t2 +6/gWIAAoVuJ5Sr4M3sUk6Mbx/jY7QuJOEAPgyNoRLitW0B44kScarUK/Ij2Xxopt +WVYHNIuuN6OURVwlM1Jemusjc1be0CS5DNLHFuXDJ1dm51GrVK2XXCQPWjJxhS3j +3M+/LlSH8+LPMmcJbHPC0x5rg2KRsfTvWSd1fV3Bq/8Genu9itLIq/f/hPhwzkqF +FsjcrQHFkQWBf2tgrNKi1i/g+E0m1ZYll7Gj9B/nJuQDsqC2HXA4CdDR6AOjhQf0 +G38oc2+s3bXTejMV5DkkKmV1nMZgtS1ecifo10whGFx2aMwk7ziTry5xCNcTzp3P +lvtQ0YV+kF8Kd0h5Uik2tERpFoG3rDWgbPveUDoWxEJE1BIXEHDdRh9S+tx7mUDk +yyfseYOx5GC4pd3Q3L/gzXKhflBv/mq8JIjA5swDaNNZMZEYTxmmK48Kn8pIKU4c +U0dHVYPy/rcs/f0Zgrn4m7lpsGKGdQRvYeJ7KQLmzuIqYkpUHKcPwgMakXbavEfD +51hUNCfZiSaTmPevicULxjUBSJXfazGbaBsgxkcC6xtikn738zVs6Nzli5xPdZBg +4I5QmMD5F4U2dmfXoIMqOZEr5+OPfJjNp5inRkE8n7v9ARXT/EfzH/6bG14iU1Ej +mGUJrzeDdbocFnzbYBZzQH0ZKGTpFfAZzNak9Ke+t7KQ3YJErTniYLknpbz61XEi +mgWIiXaCXZP2p45M2CCE/yvrU9yo8nl4cRW/pRUL7j0UlH332bZMFGh8yUBezcuI +79mmRyCdHuAOjopeiOZqriym/dwOiIc2Pkq+2XgpntKSBr2pDFbwYpP8sVV9GU09 +gMnB5BiQCp7KTuTpqgBzz7Bc7Nuk/2PSCcJl4Ss0e3D6XxAke1fWx7xB8OjKBkTf +PFT9QM/Sk4jf9Ca5W4oL6jj/V3fvEH0BjGhEkVMpLo6Jy3fIUicOSGSl1oWSx08z +phtDoofM1d/XRFzPxeraZ8X//kd8B7Ry3dbMkxM2QbA2P/xrHLWQUF/aCI5O3zKp +VFVpQbT47GAOU2jdADZ/MUbopvqn48Qt425clqeSsZvC+Rfa0WUdFSvMLVQLwBWa +Qm9PAcJo31vye0ZigJrZEypkgTP6rP1VKUHUxFN7o8VIB61go8q8o1dESJBOY1WU +YF8ajQojRGXQujMVgjOzbXq3llI5PTNITSuXa48sJzvcntOQwRFbfBTR4UihMvcb +RkrGUSM9w8MPHAjUg2A4bVVTTvE9A5OpEeHoUoQKYATAhVFgM9LXdDAC7P3zXT8z +AW9kaWD3KjsFTKX+Tak7PMGlEVHEsMC2byWK0zftMt+SpMiE2lZf9SjpB8x1PdJH +rHbJqXHcTClRCecQP+efbKPBQS33oM4l0RrecttltmeE7R+HPcrOuSQ+59rQzgcQ +IdgBWJuooAc8FsUdNCjFG4lNkU607HDl1lGFcZZocytqzqXbYKN/5m9teqxyJDRL +hot1eVxcljQ4Z1REuJo12DG5gDXywswy7lD9wNtuaXKsHHmNs/Sr3pPqU3MZqK0C +DX4+f+wRbXH7u2ZFfpu2eaDR5VWn6rJcCkPlDUYdeVZITXiNeBM/QSG37IPEylXH +N+OoooyleukYdo+A01x8cPOfUjQ/yxpqpadcpZpv0CBOcoZDRRvovwLTm6jQH8oq +XcdheghKVPsVccBiRlyS7wns0iK8bwzVSbz0MF5kHkj4KRY84Dxy6YRFjGZTBeVs +g8xwqL4BWCJNIX2hiGxlbJMgrTsqKICQvA1AHgmva3C0KMhbhDlQpFRCRBh3O9+4 +r0M4xo1LvIfnRsQeA7oqIHPKffr0zL6h1NPUtlcealTcuKxzAcr74OgDOMGm8/N5 +GB6flhDso5S6y7li0EhHBOjb7W1VQ97F/XWiRJ3MU5RIeFyl4fD6g2jWlqH+0e0W +NKGwlLXn7qrV4Up0oljyEDZX0KZAKt01Ly7q9X7PjBrjzR9IP8xokXVflm2sLQtf +QdlR2J4Fo1aqBUepyNi9x0JYUgKCqgJPmBu+m5sQQ+2bGRRih6TEGn+ttRRgZdqm +SA01GtwvXRlSlYQAN8s4YvvEBhNOj01/Jl2LgsVoGo1X4Fa+8IWJi3FhKrd7JMGI +X8uU0lqB2pQfwaH2jLCaCfvJRionE0OyXk0iFh6FG5W6M/z9GXw/r+oAS3JZCW00 +JJSa5HHXYk5Nr84wEGv0FTN4kaL9mK0GDmmCdidNpjLk3JFuTIAnFsRdKAcYK+ms +4wIMWpgoDU+LRrdxK97OmT1oJtNTrhAzyiwiSVipgmmBBPM6J+lXfJ2gBH7kAgVX +rHTABBhdRMiMhwlHXVZHJafmrCz/WCQpOqZpIcpQHgvn2Ow9F2t///tsRg6Lw3Qv +AesomvYa/oC2elqoVl30Hmw1qft69dvqNPPF1hvs/tVuCfdH3aJArw63FkCnioLt +lNMWw3pIZ0hh0j5wknoQYolKhBlGxXIBGOLSpubPF2FCK22+CEHAmJndOLxY6ldg +1u6jVJbdkm1lQ4fDRIo/5NgLN2WBQ5MI3MaUiHyo0JoeQj/wycMdJEFia/EjCctj +RR9QkAd/cC6/t9cjIeXkSv2gDrbaAvc4YpewQ+wJKeJcmkgk4t0zhbd1d5l2pekk +nxiCAIYwbGEYozoa9ChlCe3s/e0gjvAVDPeoAaaerfolOopxE3ZqT+Asv2W4abqh +qQjasNB3mxoMocyT33lOFwSDu6Ryt9qGVc2Y4thBxNR7CGla5E2e8+/g8+sDnnBq +34etVoY8l/jwXCwXmzIxfFsyMP0tA/mAxdQJ/V0i4DKcQVZyO5J25phcXisKQHte +h8Rftgv4nTRcy21crLEbu75TLe0QUKKXc/iMgmCRMTOSTZe8DW/9qA4m1mWTfcCr +Q4gkoLbf9L31ApTZ/geNeP6WZfRbV57iwpwbevzuJunXrUDtC1jsZ0wKI548Iie1 +aKzhBkKXO7/yFShBl71bQl2oS6ly302sRm89CE3qnotVSuI6D6G/Qt5ajmXh98kI +UiUzsxBGZZ7uYgYRSRLkNhJQ2fAp2O/bBvh80Jvr3UPLVJlW86JyiXcGHPUfnVlz +NP9eRpcHkT153WKPMl7d2iRm+IBN2/8Z9RbR/W/xZ5Iow1JfCUcNZfHrLxpYEwpM +souRher5/gFTAt/0sTQtjSKQi+5iWuEe6iuCsdi7U45typ3lFqKVthTzgFh+X1Pp +kfHtIjUlvEAjekV+LGvknZ/wt+YghDGhBZhZYpvsjB/WloJBGWHcdCjUmrsWfX8i +Xd2B8GGtB1Z5nHnfTbO58kloMjKwet6JkcUDKz8teH30PCHDQz8fQL9cm6dliqgg +7PubBB4jiKdEs/q2wqVaHIBQMPN7VxYwc4eRzpzE4ynYnaW3ZzpN1HpZLBc9GzUE +Us/RO7QKiADrQ6EW9YfVbDPbs+o/khW+IZDYhZFGESPbh7VaIEb2GSsxQkZFE3nD +k+jIppltIcLrEs3cMXWx65iuB3Xa5iApBGJGdNWjbKLWpTv/oqPuivoTUsuJ1icC +oR8qCOJ4CrqdR+Y+rx/1RLU4Nh5jqq5jd6/E3+EHH1/YQtLj0NPgnb8QKF6KZTeB +lwpqFsYh1cyrtnLkWUZERoRXJvB7yl0wuGo5DKZyku49LX1TSFB4xukmETg7IeSy +MrEiGquRHCUNjwa5OY64JAl07Vvq9mU9HRq20z5BmXQ6EmsUEpkfn4BBypJyF5Wz +XEx2hNaR54/UtXERg7TMYMmnpxIcndN3Mv56sL7hQdpG+buxDtpmJI5o4jB31Hu4 +S6DShcSRwHqAIVDQYfoqnZPny5C7RkQTMHky1PRigtJArcZWTlXr7070qkX/lnNt ++/SWFcQPGvEr0+dc7P2y6TC8qOwxsD36XzV7gQ+EEbIM9saPEbFCPuGud5v6gVU4 +hxC24JsPr5ZggVuu4lWqgk4SEvE+QyStQBLlBE2D4YdEe6tG21ZLyRvXtlDharJC +5FSWTScz7qTMT0eZnlpqEmgOp1X4Zp72R0Kl/yB3C7IF2BEsitGZrPJKgHQZXoUY +u0wUOiS9tGm4EzEYYNs6WV1VYef93UoJdA4cPD4CuyZIxNK4wI3sNSODleAgWr2I +yJAxwj1R8N7RIP2K59xLleSSY2x/O8BWlLUgcWX8nh/n0ZDIsY3us8JFrH4ByJn6 +9a7Ss7rluoyC3KScI6NemT0+txrdV7p4C0Jz1KVIKWRlLEi2yArmdbMc6o1w7HDA +Uxb6gwW73VffTd6NYlrn7svVGBxaPDdiXBLA5jNoCTGwY+9uuM+0XMEdu8kJdMqE +dwcwNmz71yZT+sy5l4fp39Ny5pJ1qfBlwGnrgvEw83au0JChMkjvm8g7mM3FUezc +583LQYHF3TFqyRKyMAHQaM0KavkcXvO/tM0mDpN3+AYvy86nJ8O3RBsXarI1xQt7 +FDwMtfJAbmOsp6aTrDRAY84/ZTrjvdoo/iGtj4/g84xvmcyaHy/mKRi/WXmVHixG +HrGPRsZh6ZQxvB1WlDtWAuc+ZzNDwmD1iHxiveJ0AozUBi543bL9T3GSLjapba6I +x2XKnOjHRpavh7VhYgcz+GyxRfr+J+9Dcw+3mRJ0ZwVc8kobO+bhoATJDndVGJOW +40YcAuAMGRcH+3aVVtGHm2Cb0rf95uqzS9gPGGnsyiBrULwxKCyvbOrNrROjbMaS +y2gjwzGnAgp1XDV3LnbMMa5OWmHxv8N0Ryxnp0G99PYNOEuIMLEszoB/QOcOxm7+ +DG1JsviorPlLyY1EUnW0m5Bb9HiZsC/MP+GgklVhg5HlFvLy3wWO6N44NArvFj5N +ctwT7zvUcQVx4vfJZ1+RGbKtk980+IaOGZN6bks/xyM2AZ7V5fXSznMScvWCmU8A +vRGkDaZY7N5JF4Z9+rVnppsTx/h//Z4ZAmtQ/QbvZ0ORxV1Ka1HhcYTBRAQGTdpu +LmwGMt77KVC3kL32D/inRxfcTo3bnL4UszYOz3OwRtogoFzzb8MoWdfIBqP/sZ+H +Ljss746xPR0Mv3nDJB6QAqZLz3rccPfQlzstzd+EPqPR8Fb4/QdvDqewcEI5b9Rx +AqEdToXvCk3afMosGr36mW66D0g1iykhFO+xB21tVyF6Dq8LAwgtKxSj+OjLSKxw +AOuFUClaIAIkS4t3bOZYTn3i18J4Skw9cuGgPpbuYsDNYy+1n9Htvs6G2wJZJHBB +RTPh+COeQrJNpvOPJWmzz3lE9XM5I8hTUnmle0yiHBX2XN1akQr8ZLl1fh21dwmT +8bZwMBdg+sTWlczJymvniV+jrOZyXt0Vs8QKB/NAdUp3ArRuyQfyna6qi6dJ7QXd +h1DxoHxIEVsDkA6xqJdZjl7vtGehlnbE1eSzMYKjrrzaqO9gNs2hJu/fnJUCbISj +A8rUSnKHRJuRR2AsAd00MgoJcGC7nyjrTr1GM1WqkepfSlhWex9LHFyWMNE8m51K +YMUXxEii9Ug+sFGaMz2q6z1PVWg/Z4vhRG7+/hZXrpw/GUs2mbdadLGiwiHuqn4x +aYjCotlMV4Kl3IoAEUATKS2mgCTmhYzI17UGreu0O63B2Ty6y3oW2+gnUeBGn6FT +OPASmybBRSRJCP+rI9jV0hSmFslpzjjsoDxoQgL+x5UpvSkwIeDo66FZ3RuU2qla +GJPVStchJcyahTV3zRLAryZjPLftZe4pPGprcSTUm4g6uAgDLduOpLxE5NNEJ5rT +v23menpX9NpwO0kyy8zYZbznM6UfOCYYv8/QOYhOPx3H+Nw7Kdh3bg/IBA4zgY8K +vKEkh59ZFlpg45+Z4PdbA1LqsOemYenVhNTOCOdBMsd3Onf1KeY+e+tmI9Y6wkTk +GlzyW1b6iBpsguE+kzjIgr4KLdREr9Ce+8gr0syj9IVtxnRyTbrGW1j6xjY0JtHU +EMgVUP6nvdEHou5brEc/zfKP0hmIZULnVQl/+L66JJsJroYlVP+LBwBdwIXGNh04 +FMDwTk4h3uWbZUr6m75XBjXEYMFMCT2VzULfCZsLHHEvSJHdtSYmni4y1OzOCtbL +XskRm9UwzcnsROtRhqmVod2tzcr1eFA7ddiwAz6EJQealWARsVIXZdCNeliGSuKH +gX8yvv8IBeaQCZpYr+v+sZ6ntPfC/nnTve1k8ApsPAcivMe204Riez389RsipsZV +W7Q0L+jN7O371451neWH9Yk+Mb/xRgqQhgzEdr0sVxlAHQtVjMp7hoE/Pu7ZpBo0 +tuNnDlK7Cbv+YYLmA6K7/XhseUt7EBcMcGcS+KFJjpNLHgn1Df9UjsMkmflecnNa +mfMW3v7QAwV7kP29qsOpKwOm/93gC57nri3z19hFl96Mnqx/WdNfhJHr87hf1LwT +aHr/8zELlnwyopQGpbAkwCs4ONuzQRttLLYMJ0vYqmSbaHppatryett5u35Pp0lX +FbEjTHMT1pBQsohMUnkFUM9TORx4y/Y5hIi9HhD62RqvYI5z/mdzLBoqwULXoV0k +hDRCctmMcCZg3KeWV9/x3LUE7QpvqIpe7+ldF/jwGCaERZFJ9L2Du8Vz7vGGvxwe +rSoPeoK45R5cnUo8peOfMiQ7bjfCzV5gGDht9EERIr/XSTsR6BCp/1DFfQLnxUAU +mx2Kq07+COZvTPVMm3HnIzW/ANcgmRS3M3Vy+f1U/HeTGZPwuC15afj9oj+5nDJp +E/zSDkAZ6mPupPLdZyxlEdm2bEceoVhMOD8q6PRGIPrV1dgYgwIFgE4GEC+Xrsh9 +uexxj1/q4u9CkqHXiQ9fB4pLtGRpnt+SAOs4fMrBNl9Jci55+y29WJazcO0qG4Em +j8yIBot90RlbBHhQCGawmzXdf3PMdNZxTF8kbGXtamye0+1HsAGHIRQtj/FaxRFH +gBXBEmLUJ7VqGTgyWRJ/+wEs86EVcIHpypmdKTm1UQhWtat8zYj7yTtkML81v/GW +hBnjr5vd+K+SGIYsM50n2ZRzWBM2CaMw2hniS7KqWneS2EZEYA6QZ++rYS7yxI77 +0zK9s9pyVGHWHGAINwVcpKkb2UiFTPLg5MwQC6vxvXSerI3TTwUTl836lmALDjY+ ++11EE1oSvBHvHafZyrdDKCklI8o04vk6cwPIWLFIWZGuG2j/XHlty0WbgsW0h+8X +4HhtWhNoYAsdpa5n9djiFaHcecV4tcL5g25LcvV2io7eTYNVtAhRs+irL/HssYTg +wOjiMbEmBfZPzrjB8HJkaxu7ml5MPvkbIbj7vhpU42t9f1MN2nylHnwH0UEAj7P6 +IH3NxtbQOpKqErhRirwxvfEgPEJyDY07N+G8eSSsuehxRx4LHYRb+06kiYGwNBuR +qGw8yawcmrwG1C2K9swEMT0upoHZivaZi2PqCgMSfmuFB0PWRjOIdUqVo1XRothj +O3ZLamCfK1/UiSF8YU7ihfpflIVRiqc7PqTtrX/hpTtDFW5OTK+tgDeCU3uSr4wi +lJYYr2Ekwu3bL8XLdIS53RyyJN60Gyk8sT13+YLLo6FaM/J7IVxacTfDiP+47hzf +4T+Ft8H2HGi2a79IfvaRVZPe50eLfYKB+kkOg1dWky2oTuqTqk4+QlWUAnUH3WJd +ehHwsf72FLcjwrYNUenKXzjsjXbzUM4c16To+ssYtOQkAI4JcMvsNsGv7Tp9xyjl +GKjxODFjBz1S3JN6e7G5aac+e5/eysZlGClTXFeUGRUhfzYyWwVpfZGGuwfnQXRC +nsNrDvtHL7tCCc+/1NvZiEqaO7wwuUE1DaOwMO9h5tswFvzJCjCfwscrIb7AYM1R +6q14eoh3xDTmGnexx3/z45ZIxibbFbm1VJAtd+PZ60VMUeU8Nc9FQFaBWecY6YON +M5LTyTX77zTU5ScSEMel4fmYL0BTvIPmAAK0VaeC9sv9IwM9LLhuuo4+p9J3rwpf +/YDqKs/XMX+aAIHwXujkwOaUP4GosT+LEpz9I2rlddZ7dMgqxSIn4IjUCrLBQi/A +BvfruGzJQhJsEJyKoDv5COkRK/C5tGiARJiYTEX8Qn7YIMrkwX2be2b4/RWX8AYH +gXwtWobxoZ9jl+nHwxpogKZPP/2ILUkUN8/iMZSC+fees0B4tv+Z9gbXziVhbGBE +f+SQktNc5KX0OnwZgGyRjHyOxd6yVVSBHGqdmsYIbAcls9C3cYzbrqLCFzty1343 +Jkyj+UNTK3GU6D/GR0SFP/KyFemZNf8g9GMNPYJyy64LTarmIUsxKQRFe8iPo/94 +GWHRUQp9q7hYiJ+srrXSLL8v6//ca6LrGZ2BlhEAEtsHS3OXkyZU4Yo1TGMke656 +fB/CJ9mkRkgk8lY++T8Rscvq4IvEao9vkLPOZYIYrLw6gYZLCZyt+67rSU+Oj9Vx +/uE/wMfHoZ5XxxXd035Z/nt/YX4dP/zAAdynx9BEhldgzAJZRpS/SvM+SWB4Cq1O +uOcbdI4bwpq/k9SOx9M55Pqqkd2eyr9PoBwkWpChCGv6DYVwPeTRMPM1+OjklNTF +Pb3CH98ykhtKxPtvJg0X0y6xYxcrxOhZTMsz+4uY1QMCKFmek0uvCbQ7fxZuVM8J +v174Gk3wmZ27JijEnXIqoJpWdmR8H8m/R0nRZpfkmKd+Jyw3xc4s+eb8SIAlYKl/ +DcaFRl8CXNXkHFEMwYpE5HH32s3ulyXYTHYdUMCb/SwjeRTSuPEax4LZYc93tdr5 +byp48/AJVJ5bQPugwoeozyulIoPjrg5HgwKeKG/MQZFtaxsNQct17/OkpDj7x2bA +gmykluc5IX/wTqZWnoKN9V1tNq2c9/2ml1mqUhl2yK8DpbIy97KBiI8FXEytZi7b +xydAKYUdH38yjRWmXeuenY8Fb13usW/Mjf+P9SM9q73IPo8VzRZ6Rq/RY79dMCxt +p9b75m/5a4B18Znq9C35vk8DUgZL4EXGtJh96Crue5A/GGBk2yrLfO+IOGlFXWFh +Um1W5gteg1hrx/gaMIXZL+GvTqj4G4gkY8uD5PDqo+StIc3rtHFPHaopB571C5Gm +JNKxhTIslcpbGVrfBSADg3MTNoZOqZhUCttlBz70gHuyQ/lcD1whC9wjSgltKhiZ +vV1ucdYWhBttSQfa4V5nmdekke9MfsteJstCMrrb7fGNwP7Ss6Fl5dl5Jdi+7sek +waVXnMiJOiYnjnAQJR+wtkSqwU2OPkbnsIwd8CLhn268vtGwZerhxnhh1hgxFWOQ +WRyPGwBXfjdoaAZt4Ngtk3qWYqkoYQ+UvkK4EozNdDnzVI7hMUOQRaKpQ/nTrFIg +H8srPjkW9p1o/bHshRswCbreqkUavjx9+986are+Bi9iTkj5Ju7sk481QdK/Uo/t +A/1Znd4cI1d8jA5TpuNfyLzBtRElBzG/us5wbnZeywrOrvx8iQWSd4I7I2ITbKrN +6lyFUUP+vmEzIqilfxcWuZzk3AkZ5ImRXAqU0Xd9GZJamtzYW341EygFUs4jPc7o +wgB7qEMZnlra4ipED1jKWsLSIzPCtepOPpKps/wx+jmv2D+aE9OsSjdsL55NzaDa +KkcWVPWDa5LGz8CKmIY9bnctTbK8EvGpHukVnaOrHty9xpZsuGzgTbQFxe1vTMZE +T0gbP/LGri9VQlVc83UqtBhECe/SZPVOuUIbhhFOnMkUmOvkQ7qTuCBOOlBmLwtJ +6yh/OeZtMjAVRwDKT/8hA0s5wHqqEFvsm0u6AQ2XiETZ9oo1gOFg9Du5ptIDlT3a +O3hSLPcICo63ikHvwjEwevDfRxIsfC9497uaEmhVXgFKsa/YcR9gkHi8bp3apBbO +cRQ5jMYhjrmUWfUauNhLF2emo5vxgTOOxZ2mPz0UfOnb8aiFnmREfV3TlqFmYNcy +kTr+Sz/YYQUXF4XjkCQz9LS6eMeioc5u05QWqBBGKeAagQdnbGRz+3to8KJgZlkl +SOAiUts3ssmtWQmFYIHNiWTj3h6zI5oATUohJQ8bcX2crBfoX392P75JsDSJeL0P +pfVJ1nZlDQQ+jvfzZR2QTM5r6PMIQKXBlsZf1u99+JjRxuO1bvCADjV+XWnzXt/o +6Q9U0jzCEa3uy7gGc+kqUSqfgaOnNmdpMYL5zDeP7qPDAfgDY6Sryx2u5sxGaPky +8P6EW2pVwrttp3zoNm1vHiLjoWlrqN/FUiFLB+h5g8VPhwI8Y8G7dgjDNmTtJzJU +FDBqhcPG3t9qNFzzbJGGJmg+bX7V6Oct642K9rEqfy1ys/Lby1yhIzdKio2W5Bqn +a+fazQyQZaboE6fVNheWNuON9rX39fb4oxv8tUUA8386IjwBPH7StYjKOpkakadL ++bGkZejLpjZKO7k6WtWnpB3xrBtukCCH3shxz8bUA4QmX3R7zOOQ3qa3nOyUJ4Ce +SioipCgSz6IzE4FPyqSQWB4Gxrf9xuiUJ5JKcPQUTwH7NYvXTkI6MbBpJEuEi0FP +skS1cRt0XsZHLJEJrewxjMetWy7Nlr5a41SjESh2L4gRB5/4RrXQ6Xk8YOhvAH32 +BeCS3F6nAqoUOSHiEfjf7jcDOcgHKANwlRwEMJgQ3LUAyoUR8RMaMgJP1Qf7Vr8U +ubGC3rY+7qerIiSREEsRTDJrVFI9JqhVkvYGwSkSJ2+r4XdsThl1UQnaPrRiWwKL +86D1hCjv6kquuvQ7BdJy9Ue+A3wFvfkNTQL8Y+y8KbMt+Jbzm4PrJrD/jP8X3lJi +xTkRpoiw9eHRZI4DJMQ4NYJtlPL1XMWJqQ0GwONZldtNIbUhmURuQ2kbI0rq44kl +qWg6Vp+k7oPcssiQVT1+w24nRSmFjnTBCEKXhNSii3ljBB9vW7kpqgMXaC+oUYnl +0BShaRK92HNicbpTJhjBKk4zLdGoNtDc+bY2GNmDYCaP/amgv5KOsO8XiatExePk +NTRkMphwCR+znd/xuCZ7Qe4WDiQLcBp6amyc9YKBmBrTv9+Wezx7/iCsrQ0ptPvK +cRYcZHjmoMQNpJT5w6MM/KINeayO/X2MEK3EZU7N90j0CbZGalPmoxIN+ByaZ2wI +S6yuxfGmwYWaSh69ZVXKaqrhdX0q31JZTO53XMPor3HV2NHx8O2/IdC+eYkdbzx2 +/u/o6CTzjc7I+2esOKXzevFKn1FSTkzy8O23uCyHLA0W7PjU0yhqxNHCFEjiKloE +JlUyqSsT6Ve2ullu78muDvoTdtfkrki1fa4dCxAE+r105BLeMAsClVe1GscMZfSl +EXsuxUWaY8h+GUlSyrYs2xpkuLXA02san2NsuKQZxlBjKyzCxueney1Iu4oMQBht +83Eo7X4/zCNE3djqmyTxfE1cOIBHQMPLlalhgv/77pt20HHoTOT7qNQ/Oz607+Xj +FRIwtP+3XIN26cflCIEh2am0E3m1Nc9D0CZcqbnu3VApeTt8eKXn3BZbvdzv+jCQ +i6Or5FYwIbHWyNa330Awhs+/MTFhLztQVE1n6lGj1OEJZpmoEWkYC+3uyKqy/aYJ +6k4+IKOcGEQ+/N0bOfYNwFN+8W7Roi2waihJ0bj8jGEY+i0w/QQo8Gydkdctpq+n +e5MALwNHLvZ2E8eN/P4ciu7aO4F7r/DIWJPF5ZYqn5zHhJtPY0v21dK5WpRH54cs +7ew57TzuYOiZTQCchVHQiTcXZa1wjvChCHW1z6KM4o6ijJvF6DBWoYOkO3FYMtzg +8fznqeDGTSRd5CSIMg57ZiNDiqh6eImfw/6b7Exfs0hr0X3c4d5EdXrjeVK6gIIX +EX8l0bbmXnu/++E5pdcMll7/dMpSyovf2uGwkm9PKjjNmtKZ0bgjCCh58j2VkVZc +uCjV0bqHl5VSkyu/nGrLTrUbyWJq9MT9Xhix+Ugx8AZexYhAfHpFnAttHfHPyFYw +jY6xtqbIyMP7gmnkI/wOM0WId0P9W56FgF+4lIFCTQ3DdgAhpuP8rt3D+FoUZDpZ +2JL+gC14hEC0u3QK9qUCHZ6yNwA0K0bJoCsDLcw/FNYrR1kkC9h/i/ZK+BlYZw/i +xaKzW0Kwycd7X85YvcdzYj0o2aGg8OhfncTl9Lv7B59NsL0SPnAcDJyGD0oauGBb +Ob5/MzePVqvUOBYs2WqUchNUcEDBU12OB8lN1jjbCGwJ9i/e2zcbGITyhbcu9ks4 +myUi3TRlkZA7Q60lHe8J0jMrSHi6N/bh6ZdxY03ITOz8etQmveE7pLDO8x1vJyyj +cP1Q29H33etJ8iQwi9jOnAbXQtw7SoiFoB3taiJyBATWuLR1KiHQ4vjV3QXOtwCU +k1o9Nbn57KkvWH7gbRWl0BiHXW14miT1A7LrGHQObHgfWcsDKQeVkxoR/KlxQn7O +m6eST2rpSTfzXc3Y07iy1p/XoGuhQxbN4QqW5kFI+1tyvR8Rg6EAOM0DmJfMozEH +DqyZ3J32D9pGDFNOeep6+CibScT8Ax8nitUxH/OBKps+flfkzmRyUTnoorjddBAQ +X7S0GLxgUF43rRzw7bvmnbu1bd7pRGwgOz5vKYL72YN/SxjzEhJ9KB2kvVchNrYi +sq1v7sctvhCVNQHHikyJFNMeZJNvfrJzJNCo/jU5nNWkm2CD1QzBQ9ObAGBjrE4X +6caGF5QsVglO0u9fCf7JmHJbFSBEwtVWuiWbpJ95nCWyEmLTji9g9My0aOdymNTL +PVuQrdYohnSMyTBYBcaaDfQdjJtUdGt5ueIXnQuGfjheattDf7SciyRqYK4+e2eq +RLTaUWstxKKQKdcxFnFy7CjsG55l9HYGudZ7wDtXOeL4T9iKBN0Oedx26JmHVHpX +F/4hvh0tGs8F3BeujlRO0RgS1vmxZuSFaejpyd0EBQ8t7PkoJU70dEoKdC8jeL4W +PfkryFcgZxnzvw05LYoQ4npV2XS+b96Yk9DTjJnPvNWqsZRSAFxLS4cFW6NwlROy +zKVrDhoSLy/HfXludTUjYFh3jkDds86pfZ7OJwHCrR9h0ZzfjomYRcVQGBNOAo44 +/sCNH1nmJHVH/ASBRHW+NyOqUILsVs0p5O3BLLG/AOUTpjGnIZl9qV5c20PQwRUv +ji31ux5+q52ok5lXDmE0ljVWKVvNIiJChjEjGgwq8NZR3x1WtVwYia8ugigdvGSH +p0haFMIazToPd2xecv4XvKKOak5OiKVPqW0Kh3VndQuG84+IIdnyPSvhaaqo0o76 +zr/ExxrFeMgxILWrswnhL6Ah1xvLbDivckTydJxECj3arbqWe+8eAmxI0J5q7lHA +z3M5cJAxuaH+MrmLzPhO283LZJXEYYuetJP4qZsCnjLBL/3oRhsy28/OfF8SZ+VD +hrMPfnE0tMlggzoioUexuaFSkAKhlBA5EypY6C5Yuq8nk3AopT847cv/rcCHFb4Y +RT9WS34bIPNAGsN/T88IcZMCBZaZUolvpiSQ3E3S3GzAfbmlBKvuuy0LIB/j7beu +6Gmba6IVvi8oJp/z0Pha5Z6KevJPVMkpQQGqIFxk4oWKfwo2LUNEHcQ3HxPkavUj +9jbij3io3V4QMdY1QybDKktv7L3lo5PjG1xsIpV+fp5Ohv07RH0o1wmoQ3kIXjyr +ebXlPhSmotP8k1Qrn5UePHU3DMtNAAYjfCu6B6M13Hkwc4SGnTBatiEeBHO12eYd +wWuBcw6fQ8biEC2QugylJ7i+jxhkZGoYTGsdMUM0jxzZ+tcWbx7TbnvORDWEVUlB +F1QIxOwaUIFi/ThMyAhG3m9P0jIuYJ38zgae0SZf92yuuW5iHO3KO8cLDgYlqHod +hIFt5VObbeWMepfZL97URI2JD7j/9EqNogJgNimX8PwE+Ruz8FylrlfWwr9Vp82m +dxYDXB1kPgiou6DW1gJQffHNHo8WEdSFQDx9953eDDOSHRZ1vjWBhmBl3YvZnLNn +VSexybQ0NBGrLpoZ/0lV62x42jzGqPJdA8wwaz2TbFB3f8ZKvqP/JiYnVRqBdvHZ +2dJjb43+/e2kFTd561aKOjEw/yyJHK7nnpQtZmXVQjpt5ec4xhNzg/Z0nnpBFyT7 +uuFyQBbKTV0m2yCv5OOz086wWEX2/sPLn5iOyskB9O2LUajtqNWMEN7KKL7x/z+T +l9tHgge8WQWAVkWDJgsUPbViCL00dHUrQw8gUiYPpyGhTayD+EHN6e09E+bdAr2K +W5kL04OmQLx33J+ksfRdOZ5+3jU1EvTgIwUtTY8MpZ9ea5Du5y5W/u5S4D1N2seB +7dcEHX1MDXCNo6OizFbq9RQc+8J/RI+hdo9Oj4UnyUsi+g9vjz+ma7iTa/xqUqLA +f3n6d6otgGbT6ITSwzHW6gKuBBMn0KVV1G3An1Y7R/OW/obA3nB6c4sgmf4nFydP +5StzMgZK4zLoPdpKJZwtU/JrwZAkp02XXRbTivAVzvF04AosN2qyMHLCEsLahU2y +vYHJocsqZydURoLgAt2gdXyCdDcebMgYNPXaWUNQ9RV5CE4gW0azauF+Om0SRZIY +FyIh7neIC5pQ9Q8aXOk/HtxC3A7Pm4OhENQUnvc7uyNtGMS+rl2P3xB+ndcVYsb6 +2PnWD6+GMGjvA5S9FFrcGGVbG37eFe+zplW/YDQqXW8d3fpqNyC2dbrR+fe5Wh0i +eB5lhZX5atZWdW79OcSoCH8h/Bsr9MLtb/t+DWwp/9NXV5jSSJPB7azO4AvEgbSz +WYeAd0mrf5h4o6xXkVJHf74zYlmI5GIL6YT0EmDuTNapKKqJXlXd7Ai//eCobGQ5 +4jNcNgk1RW8k+j7/EOdWS/rLDX4QPb7VgmfAobt2+NWNamZ8hR2vxZVHEYJ48O1Z +mqdBBGuKWsBhe6y3S5o0ZF/TvnnuKzLGgMlDWDx4Y9AvkentV7psYke2okvKi3Jh +ggDb60jyiQDRXx+hevetnaqIcEAVvi0uizCuJF6H8CTCRVpEv5QuXA3skaU8Di36 +jYzoS2QCuU3T8sERXgu4elgnGS4sGs+RtOcu5GxS9q+yJtWYIcGqinNsqHFAj1KP +fvNQRr4GdIJuGLPzz/O2AR3xvwuqag30uzK6s7Hr9S/CfI5WeuM8tpY3T6h6Z2gw +5+AjwoHlwLzGcsfc+EHM6f3AuhxkhS++ta1lMzLTVkbukIsAcPe64bo+E7A+BDi0 +PGjLJdiijOJcX9XlOT2ZsoqN5FueYVuLM8t2ApqQu3wgim9fiAdPD8wKBndp3XBp +UlJBNmZCam7Kw64fsTJivym3C+ubLVW5iPWYDbjnHN/NvTkcU/o8VOGMnuh1bpkB ++rjGj2UG3PkJfU/eU+oBq4LQkg6C4YPRAPVL5jOJQO+Locb9/aBCS41h9ebKunFT +errXMa8ZenDU3DJUSP2AdFQprSzucAtiRShO5ciCIeaNeJO4fDIXxgaF4tO3d42+ +O/X2UKrHcFy9Oc6UWvvzPZdOtO/KCebR43B6IvaysdcSmjC1WM6PftPSaIHE3LQI +jHTN73nveFFfhcqLLypCej2QVTKkyyMcmp2MLlzH+OIzhZd3LkiwiFO57uIvbIyo +t+W6w15w2eh6n7cFAYLit7a+xExOC+ovUtyilbeF1PTgMD0UQF0VwJRZvija3KbR +ENGeA3+LB4AfUy2wQry3s7TUZDQGgyGkd2CV4YwgzBNbfWJUa0wiy5pQIjKyTyLn +yKnBQVq5MoNQZDP2hfM4VUFGoqwUv2gNW2RCjQhlbHb2cQapmStPWbswoMO4tDHr +baoRmVzCw2khkkj1VIYy3Ko5v/0JtT6MBma6Vhqe3GmW1QrwtiCKOwXjdxT7zD7e +NcB7MgsRvLl2kRCZ+Qu+7TMO9k6VLuXbeuY+udQU555Hfn9DZ067wvm+oe9xppmc +CPglZHLK9y6Z67q+iQg2hRrnqXnn5yt36rAHLerwi3yAAwikpZ7K+MQVYQygsiin +BCy0ICDl1vidQQL0AjZ/w+2LadrK89a9NtN/hFfJoQMVt/WoK2fAB3Pfto+4QGjx +i2zghTJYK19Jd/v024OHa/OH4H3w6gSDzaSH9A3sSuO8hQcFvcIQi6+fTHW9vEhg +GFCbDjdzoYIMR7laZSsOiO96I45AQpXqVVJzDiUzRz4k1a8TdiUFC2wIWf5Xzl/U +D+jIxpMkUq5x5e8Lv/EtaD/MuMjKQBxPmIezFcvRSjwVDl8joxgZbAZzMBd2x92n +mwgz8aKyg69G7ju7XBNkpuVNFv4uZJkDRMXxuanPbQBrzT1uk6HTsrVfWyIBjPo5 +9q3VddA4t/qzGNsubewz3C0OzSrseWSa4lahKNr2kNtT6EYuFIrpIS0O6xWOGauY +j1yD+8L9LQoIOVqnCz2Sqc+L9LpBAFASdbm3AMisHGlHOKSk5iquYcAloZ//bpne +OvlxyaIXVbjsg0eMMfExjVuyXEnKNfv8nYpLK3rSLtGjuvDFvv5ZVMOAszX4kJB4 +AeQUybNXTOT8TawvMJqXfKj/lMjD6yk2oGIhQU3mw0BfZMljBVX9S40xRP7CEf84 +GYUDpoGbMV0YTlU4wjrH/wsgxi5K9Dos3XBG51grm4HpnylfB28REq27zdvkjtEo +u7F8/NG13d8LUHTc6HprA4rks74uSpEyGXa6v7vBLoVdmXk1dI0GprBUb1SvliD6 +q6bHhq0eEGl0qDoXhpumt6jKRsU63vEHnZMnLA/5um1hUEoMpQV8o/2fzn9aVd5E +VMXEAa8hKXAcUPqAscl1CJx8qQpOIsmmGyZWdn3fRbFqXBRX/Nv4CujQ1CbwZcv0 +tIVfw7nPqpg3Il2ouOeAOu0eUGIB4wvYN7WSuD6AouU/fgQTnEYoll4YOSG8mR9H +gsXEe5pq+jaw9qaMJ44mLD2I0AzCxcaYwA0ENczr3fgjP31yvdYDp7zlWDUI3IAK +w6IxniEZPEfMdA2rTWJBQUqYc5tHaYvOtqFxYZ3P/r4x060f+0TbnncYB3LPGkVG +BjAJsXlDVt4jB3YOvZGz1paFA8aQCtcBFhrR5eEfy52Zw5e2jjxpencw/lVQqa11 +n+Q5RHYCHBEPvTSUCuidSho7CM1l3xOxVYHa4Xcz1KJYMJhTanWF0urPeK5OuZH2 +jEmL2q1py6NswTlmmjpb8k+pvSyZX7Qvg/ziMN6ciU9vDgFYsNn1r5vqmOO/jRwu +4NcLN8TKXU9rQsgUZr2+lXB/edM+ZR3wZCugG7+Gq4ODKuPb/jgKmSRohurulXTA +91MwgXvC+oA0JWJaJ1d+Th9zlX3GrOnQY8vr/pqDm02bdgTzQ2adH+8Ftra30MQX +cN3lMIsOHWAMF4Szu76K5JAF2J4hbVT4YxU6+0tGxPkLFImwsF6RiWyvK80oTMLb +9LMEy/xmVIA/m9I/GaKdXO2piBTQJOfKuEWJ/UxN5aI7Rp/39uMRCsT2mfIE6Ze5 +jA+JXcHCMELwVffMUMeeB+HCjH7z1VeBDf1TTkg+mFXUtkaPQwzXacAbpgmCMkV8 +U0GpNiXlGsegoeukvvxyujX8TrkZ/5Z5ZfdA4lUI2D/OuJhIbsynuu24HJjIMRPv +OTPtljum7Yq5nwSKucXYxDpSaB6e7+OmNyZqzNKAiF25Vm6hz/RAcUwm116V2ygk +qFH24i5spYL+IE1le7iI+mX/Tlxk6asyaaa76WJaPt2TPFnssm9JZxLyblT+7xrT +R8sqjiv21F3Cg9tX2A/mAxCA78eDdmFVYR2LD9xg0j97MLKAdREG+8cE0AxElZ1F +atPlNc1cLjB7gPWwpWyivhnxhuY/NotYe/sMrG7mHCEhxRROGTFEzGBss4FPFXyc +NA+Sget+AqtlNgVX47NcxIYcKu2fSAOOwYX2lMB6VniRyOmzlQBKSaD4vquIYTh4 +NNYPsTJqApNXjQc2X6DcHRMHSpMDICjaWzwHzQevC/JmUL5C0ZPDvB/iFHLqPWcd +TLu0eFeB8/xEBQ4bE1zHD/y44yPC6gT5KvfQ2gTy9ZrbdqrI5Lh3uDmcQQoySV3f +fpUEI6aGyeTn17vbQUcNd+fw7ibTeUfBZyMasIEIQFVz35MAtAzAdhAeUCaaZXc0 +x1qmfYG7OgGa3UXOT2RHU77asPgDK/4U7fntM3TO+TrdMHR1bQ59htpA66Qtdstm +ErnWislAeOdpe5ZrlEKhH2P/C3TwsDCNDrpfIAcEawfAytWcxFK6ot754p4vuThg +Dbxae7SxS7ld1CPKGDqxBWMtdZiJBX9fjCJdeURgzam6pqGsdo7eujxNDKdXkDQc +wQ7fNxEdNvV0tK6Rzo9QzLxRcr3FeuJN3PtnrBZE8sVTGB/Zt1DUcUTNEBookrEN +QoyJFDhrhant1x3YlHmxmTgBzI9qKBbB5t8De+UdeCB4yXClS1YCitdtXYhA2cf1 +l+NGn2CBcsYEUTSBwpSVzM8PimQ+/R43tftjnpfDDFBOhjfm+fYWhwLScycvX7KH +SHptSXST90tgUvZFHS2yc+leC2T9LRksyMgCMJUOHdV+hp1Oo8VoVOtGHWLSgRl2 +k6pjGE9Vz5cTzhuw1Vxfpbf7zFu2zaSUxvlyYLBML4nOYep0kCnI6j4rV1BeO3uq +xJqklbGrdmSwUduYzEpaAPHYGTYHlpSMN3QW/fRSlslciZQ+AQkwcdyyG3R68zgT +9nO39quam9eVPmm80EyBSWpUG/MKuv0VGDlJAzNl9AYbFkBJIdcmA74crBLp7HIy +AVu0b83T0uLVVCGSTlLDhnP0FN0VK7Iwd5GtBtvUholBBlUwiaw0PTreF88td8Na +JjrTK3WiBNleX3t6n0Ky+TwpIW+7Y7kqAWmVuUnwn2txirA0wd8I9l6nMuXtgzrJ +Zy4iS/j07OunWyWV3Wx+zSnBw5rUgQiRAkst6teXo6kdf8wV8qxlVN1O0f8Bw5gh +QsJiSW5JF4q8JmzRtrL99ucRSc7X3qb7YuRiaS1iShhfyf6xZyHG9d1Qnw+uldjX +5v5Mlj4mE7MXK8LAYkegJEHHLdUQ5B5pbUihWcNFlHi/tM2B0akmLSdptM/TYLaZ +UPn1TJ7sZ2t4Fp3Lsis7LTe6QVRSat4FdRBiW0ZfQXiR7JHrMwEtyYX2b9e9+Cr5 +Mk//yL/bllcDo8s89NijUFPP0T1Lq1s8fEKX5F94YyEWg3tfZYa7uuIV2lQTjuTB +ExP68sdRd3OhZh7tIqfg+MTqIspxzVHntqwh7YTPJ+xLFbmjQuul404NN6oTfK3y +o2yhvKgBmsqxzONX22G4WGUhPi2o7cqG16OIwAHXgxyg1gzKLTvMYPUVehUdE4cb +EGtHQAyXieS0HJReaK6aVrrIP7JHj80w/4FBWDd+0O2EnQ+nfPc/zcNh9TLwFzaF +7oSJDy01CN1H1K4Y1aSC3fJ4ckIAjGVtAV/84iG434vZbxn+U13DCnTkPeDMPjuq +eHNpVqJb1G8pFrkgSqOXs1PRtopHm9URVzP0QLobE0r4LzFD0C01aymNL5MaAdI8 +2pEzNzI7MpEX4q75gHRSDHGuPWymU6BKAqClAcbQN2k/CYgbxhsPkxF842aN0Cez +tjnNAXNq0Wz8KMWPBifnS3PV7dfpCOLNhbPq04Y2K0LW5UqEJkHxwEzKAAHk02KR +AoD909lmhsDrG6BuEeQVWWsY5tzqFLhxAGip7nPl5XOmA47vPTiyqEcUPTufDj6w +cFlg0I2IHT2u3yVoRjZdPFPjmx/DM1Qt+piZXI3XMXKm3rwDoxvmbyMPz1jMlBP0 +WZ3To/IUpPrt9ux8kVfjD8p58lyTY65PdSfGA3pXipUh8VRMe/yrjtt95XC5OHDB +7AX+t0zNrc3kqESs/xQ5vp24eky5AFntlplK8qlrg+Oy3AbIwm6Z4eutv8LxKIj9 +lEpow+EMRW67bYId9ZvrAIGQCoBEhG55geNOendeCizQ3hGCZXjaQzNGn2GOC+JE +V3gf3t4WRfQTagAZ90hUliH6mODzX0z46uLY88D+zL9gYDjIuW4iVb1lmERSswAn +K06yGviL1b1ACeje6b4j1jBuuKAWYfM60rj6n/1W7Gnu++CyHD5h9q2aYWAwIRVk +86TjqXpGiUXtBjTyYUESWCbZ308m0YqcK5CfnUxWEOq4FD41AJE3C2vSDE0l15wH +bMVW/OscZYngOzw6l/ly69fssLr9dLDdW2cdbufYW/Vemek6bLt74Dj1u4KAmR8f +R93YzcYIh3rO9DxtoBZk6H1ysRCklXPVlvgpQIBJyPYVFJWCVe4JjBU+HCJSWNTm +z5n/3v17H4Dn9ihty+BW+ip2Y3no2hfqK0FCDEVdaS4hHjPgV1v5myyEdrrHO0jM +6FxjdLeEsNt4rwzsaRD8IzDN4Fus2c4zE3Q6inUTF4AHW8vvT5WOOiCS4qiBevYh +SZK24I+OsZMbaqvBlgumdCwRPZnSxBGH/ZDuHFOz4NumvF9oYVcfv0RB9oi05Sga +WUa4Gt1EB/T1I5IE1L1V2C07OP1/PKTb1r7L4pXSetXqMOrEe+dHq7KidS+hV1lz +iAidQ5ehWRE3/gG8jFNR8F2UbFierQSLCIrdO0aLnE+V8E4LGnBA7hn+os7xRyF9 +psO7rc64be5KPHSR6i/eE5ec/zosgUOrIZDXw7FL6ZkZ7p1AsHN54X1GXtkggiEc +in9KYEXZxJAfCH9mZ9GpLzDME8gi3kdKSCs5n6rG0J1WG7qd2OwoqdUdUKHwzpqb +TzD+AxCdQgw8I+9yVHqmtTFk7G3+ggTFuVBWanu33XUMBXqyfjwJbQVKNjpDd/Zn +mSE91xj1toT0cbdpHo+nvoELrM7tVBMSUBiIkMnU9o1BaIVm+1rVoPtHX0cz9kjh +/w5B5voGGDUqldG79QDEicG6wnrivAZmfYb0z0VTF6MOIm6EnXZdbqY4FXHoiQZQ +xZz9xpDOYXAthHei+fA26/Sqs3k3MN5kT52ZropWeaKnmG2Iv3fypHrH/5lfRNHx +0CHtKJ/n85dsj+9ykasvBdNqnZsEfKL0ZaqaJW0T5Or5LAi3cvXbjwSkW7LX1rTZ +L0MCiNxufGla6dCvfeGchUQf6O3wxtw0JHkvKSd2CKlsC7vE5IU9McB/trZRHK1j +gOATmhKTaThg5BN+WSyCOMqM1kVpkWktHw/jGusoJYKruCVocj2TTwhjPpJhYRgg +NKsewF7omdQXOrwDTrlvagBh3I5ndcBTsfNK9utCC41dnh6YGuUCsK6pbjxfMZRL +jL4trt/SqX67yXZP+iwDTqa7ROD9rCK7ZgI5hpHcb26WI8Zi+7FBI7J70oTVlcWp +7SyMuicIJuuGKU9ecK2cGp3vtK1USuV4lZjf1QG+ZTpMj6r+0ROj1LqOlsLeRRVg +/Rn57Ph53by/TRXtRQbho5rSC9ktV+A1Iz78GdZZIgxGr1dCF3T92CJ3eTIBlago +T2RnuHWDWeoDpUhmq0/ZjZK8XeGkjbUcmQkuekB9D8rYKbrT+I5RzC6gw11Dvl49 +57doyYOkGLdjqZokUNnMh6VQncVfEF76PpQTQFpp3SBua5hmLz29U5714gpuCMQN +jKJIN6tX3TNf80gWevrgHAIMz4QyCDIGpQfg89Dh05yUH/MIsafhnubSs3DLEAM7 +FccbHXJha7ZP6EG2ltyLhwf55rQ6uRGAxC8ciPbdbAEYaMQ24uwNHwE1VGVkxxAu +6ill99YaJCzmWznHmHAhXR3kL0YyC0xeuhw3BkyLffQL/6/alG1YrweknVr2vFRe +Ab4cDHuqkYnhdpr8zhXcfZrcU5kfDuEYNZM2Zxznd/hWJy6YvL3ogaaNF9J38tl4 +2sbwKN8rChIcAW0ZuwldTicRNS6VbTXfGAorcjZ478Da/9+MFoxZ1B7uzR0FUtk/ +uDJ8N6gnRVlSlWzAk5CJ30Lj2Han1XJPodnZbB2bXx1siqOCeb0TEUqNLFi6waVN +cvEgX1nq9UxaP0OL65B+THS0C6ROvgXQsxu6HMMTMPIJX9BtRuAZXayKXWSlJby/ +xGLSSdfC/H+aWUJrseFT33fCTyNcLq+NcOTEhbA7ma/cLojxvrzE/HfyNPMxr70F +5upZ4Bm8hExjxyyy8B5/zwWUL5iiYi5QVMV7itbYkkZWefjdlq/oHUUcN7MVl2Gl +SJxE3Hp7oaGBP2AMBbUyLYIv4zzZDPe7AShYtPTEGUx1b0pwTEpHmm1bom+bOrmH ++YcJpa90boESDSKMHn3vglA83PLL+YUGx0cKYplMfJO3n0a1v6eX8mpSdPz5kDoR +7onDS7JfrsGESrAtI4YqZM1I8QQ+292nESXnJWcJ4YNGomgWN0WQ/q4KYAS8Lg4c +aJdj43nLGL3z903MED+t0JCumZf7L6m7bBvxOD/oJFbZ6R9y+Q3OEUL3cqaVrHRp +DmHNa6A+ywWAPcWKtDHWgqzdpG6RMKoDGBTnN/V9uPXvTd0f17BrzrnYXBrpUX8y +jsZHAGPzXwHS00ehLb51sO8foHag0l08sYUZUXMze+YfMsrZzP1LkqXe4h8ZJj48 +euN/c1Yz16PGVrDyP9ar1DCxLDhXeiSqubbgEmssjcMcT0vfVPiJf6uAouXHpGjS +Gp92NPP0kIb+hxFPdRD52uyoYbwN8zGBbst9A1uQGAwcYW4hTWlgHW0DkrCffpwL +LNXpu7ZLegwHa6LQ9vBX2qpTxtgX9rW3Zcrq6RwluU/tUtokFSxcrGvPN+mtXUwp +/O7qbd+2IiUg1yw9frOWOt1Kw41DnFEKHKtGtgre7BHaRPilEgYqk6zxPPF3OQVa +VHT3n5i2zDkFYBIGWt1e58WgKVl97mfvGX6ci3DhlO+IgppQkVDnUfkJlzB44HIm +MUtWHVYeEbPQnupoSG2sk8eG3h65Ti96oqSiehudQmpGshg4g7s5kLQ2n86fC34s +jJiWE6M+DAqO7g1ZYgBmcr0meguzPxkp7elrGp3gRi44nxOY1OCE/jDGp/VUvpt6 +bAIm/rTWH7iE1rD1u+buO5CMQemI5Q6mzhz/lJ2gEu6Vvtyx3YG09dWJDgQJx4ps +av+mxU2X0RrtenmGT2MQ/LuRoSz1pZcWlSj6pfhQ4XUMP6Ve8BQ/OrdpYqPEBJ8w +5PFlSBasVsp3lAFSMkSapYvN9Q13b+tGLAbaGljxMcM478TY/bK3tN797lnitruW +a3Ick+L5OrZDnVTFVG913FlxB2lEvAUXFSYR4wfl7KlmFztzRAUMV0Pigo4DCDKn +q0kxQVNXkdlbsGtHFm5BuEduYfjPbtKcBZyArVWJr4LFOP3nOlnlVIfdSLHIdhj3 +bgQOv9++5bSGn90FiyELDpSFNOB8gqNTPWwj69p5jwxhxUE5IPKUMMjuLh/6/2cw +CkJjitim0HqSGG6SVMIWcvo4+TkoNT1a0WcsdTSZIyoO9+FikO6Fol6Pf/7RZyeY +aE4nEGaInaBuMDzdpq7jQ71eWBsywhMhenOcnSoyY2YqeiEuRP/IeYytvo/0stsW +61zbixwfhQWxatfw0xERXS8YZK2VLzuE6mM06/gMorkfm1qgPsU0k/aEJm0SwOuZ +twI2L3AlYw86NyE5OJOYDyI6sGKQuY8LnM6ElFbt1zkGVs9ajL2ib6RGp+UA/gmN +X1ZpO7yp85zUfMxs6kvt2HxtFf04yihzFwXBRHCwf/UfuLGJg+eQlizuOvGlsl7x +0lsdgKFXR3bYglL8R6eqCNnjYYqFpx00Rka5LFNEee+AaqUMVHo/hJaamG8iHjOt +5bPshn5Pm50TIvzCSLzdGEFK/wZRtA5/RhJnHlg/XndqY5hgrpZxA7TaoP2NaKcj +ZgsbLMctvoEnMTNgATV5Gv4WGTFXoP2xXZB/toYwzoF4Zblw94FWIf9VUHGZ6nt3 +vprsb2LAbgbMhFUuEOsxkD0ksDkJBa7TTlT4CmsrDqqH45iXY6IY1DC5M/7wnE/A ++LFCdAaUggrk584Uc7XfB8t8himGqkIZyRexjdlRf4ylZWluG+wii2Zz8JYbwlvf +tSMCNcpecTX9hsln7Upusf8YiyJPKTwVGuACqQeC/b5aaQzr9hURcJHEWnsu+1H7 +rrXRpd9BtbdnmzPMqOvNcR5LWJXR7wcfNDAgpTiTfyVgeXj4R0g4gn0NKXtrx3Cv +38Xil7izbuHoTcS50hrCPID8E1MIlcgNxZ9XILJAGgxULYUtMRECCkkwVVK1w3HP +ycUJfbOkbSvo9yOfe9rsw695Vg9ssIgcBVLcO658p6v76H9dAh4MARUGTCrPoY15 +qTfbY5MRCU9O/+1+viPkVNO3yP0wqCgabiqZd5JLVBGwojeW1o3PEtxUjzu+/Sx4 +Bd74IfElSSYO3Q9Pxe1DhmTljDUNM6f1kK2h/iHIy7ELf+YkKgZVbuwrk7iFC5jc +EEzBDE53GAlpAHsaJpoZ5hXc1Q95KcsqaOWUnN0J7uhFzsMNn/BZZ4bzk/4g4oaQ +UP6XN6vaEMDjJ6xkFnaoFq3Nh69I7n6syDgb2/W0QWDYzfKLce+iHdXjFiDIYAn8 +KuTo23uwwZaWo7f7cLtmzaWaARKKEkdF8sxhYDd1QNitE99fd+oZ6rNXgDaGsuCk +wzh2mgF4EchY0VoNmkoLMg9rgTCbUDyAuFkEC2+YgzNaQI9OeVOwqkjJC1wdXV7R +1vzhtJGCN85S3xcDVRUsJsscSN12y7Szpo+tBXzFtAQlUq+4UvDGZ9nwUYRPu6mv +al5P2BtElG8MrRBfJ1fzkDYeR6021ME83rGkNljvmebi+CPDfrQUljyDpL36nJAZ +5lpX5xmjlWAptOsxRrMayqr22ktDWZ/OJUDXx4yeRt8C/F7ZhGkV1tIaHIqWZwbe +nSqsqaj3WOxu03wDu3TBhVGIfMOtykwtDve/dMnMNndU5mqoVBxCtdyWItCJjbOQ +IJ8DKH/6V5xlSuK/MV2IkguplxFRtXkH/PHTDr5sgcjcic86g+QKAob4KxTTq65N +6EqPejHXReltCsQFi0iO7aH1HmIOfj8nDIIG8ThBiccJdY5oTH+PxwN2jS6ILHQm +1AraVwLf5nnkuHLe2jLXbjfxrVC69b79l34FGfwsQ9BBFD5PcqmmnIySp3fyYJ7A +OAHvA43ru2It/5D1B6v02y545AKkcwi/72sbMkHZCn925vsIMFYAQjbYmKaAnKc5 +pM0TjkvMiJw+/Ren9s32fLvotrP+G0dmFFhqtvyZT7uto53/n5dEXk42OzkOpRDh +jdQl1seO2DA3VEvWVTXcjq/v11zBcqKLCTfwBvm4i7udh0muxKdaykC3XOwrdeGT +mxN5wx3myozQXueBeTKrR6303Z2C5FuI3ByuMMG9D70CQwEgd8ViquPkV97oAnxE +ZEAg2tuS9FJcxgTK0sF3ju77t2sS+QHwVhbrkn2UrxNzur2IpHp5v4PU7pMtGv4A +OxfhabC6I8vcNXlFNwwhpeAR9XxuaKdkb/FaCdoE1ntKtvd0kdfYFVT2HL0IasJ0 +TJu8cQdWvjvjVn0KTIreN07fH97bYPm88U4Wk5YFika9uGhfAw13Aj+XHcICAlaJ +1zs2KOFWMEWkc5wZINsvwPCEVJkonChjsYBAjR00/5FhLkzvDdqjZaye66b+ncVa +JKcectqCbCp3ZfIJcmvIch36RAIBILJG90bjyP9gNSS9yGaVQfDyrE3XZwbwh+eR +U9EXYdG4UNxFWhk+k2qjZO5miwCRV2QI0/fc8H6rcjWcCrlRwClowW5RKWGVNI0b +7yOCdHT1WoLo2G923mQoQ1Je83aE7v28tBI6p7K2crdP+tGnvgi389TUPxNuKxla +x4t2UdjpaoCaXs+UFpp6QSzb70zJRQWyRU1bntQwzx+hgr07Mej1edfqloAR9cT0 +QFcfnbhXeBEydDa/1QY8gD8f16FQCtN4cPkECYY97THRcWBvIn3x/JLq1Wezg/W6 +jRWjMfkTtmsUoHrHZIMdoHqRRtA6X/HxCc+kDFCcY70apMvGIJtZ6qyAQFuCq+aE +UXLcNLp1eJcRxoh05l1opVod7uPmXyNOFouvSt1khXZt0kR9b/ZcGnnVbIS72a5w +HOptOgv/TbEdjH9HhYKzhggZAAJjSDfXcu57qTNxWDwvggL94TUJdZRNzI2uUXaj +Egf0NeRjX3gy4ZsV7YypFDQxQXcPHC+HmsxwvrOeL/RpPlor4HXTnRrXeRZssaTp +MCyRvM6G+KRL+Db/pNJThMQ8OhRM4G7ovZ0J3if1ut63hbVyR5Gwb24lLbPLodfT +qDYlBOgAv3G9uMEKosK4PqgMN/8/o3HKul35bVLRqBscFslwh2W7MtSePohEnfZd +lV3dViv6mnT8tsa/n7OEBgJ6hH3rbBCcJ62ZF89ZZgjwriOYElRVy5Iz+Ui18K0U +vNrJkvyH7/jamI1HkW92YG8RZU/NgzgIxwHzgMkbQI1aDrOx8XMwDCzoJo1WsA65 +8zPI2OF/VfwQ//4760HkN7Wv2W/NE1kp6zxKQPZClwvUnXi4KmQDeMWmdJTne1nW +uCh1gWPiOpjbXi0WIR6x1tmiAEuAPSCZjrcckmbwQljRyIqp/+qI93fNTQBGc9xm +HAZzvdEo7uSxXWCJ0StEpiY6Mw4R8HcAsmPHH58CsXqpwi6SmOrTSjyN5eSPFYQ6 +I1S31ew0danYXOvX9SVl3mizL+Inv+sctiy9rsTJ/xPnYWe/c7X9fbCKUsdcqC5/ +5cWXj76WsGbDehDpy2f2y7P9coQwFWpSZJI3yHnm0OAho8X2HePRx4ruKS+dFg+p +VmBbg2n93lsyJV12AkWoZ7bbnQERinDu6+FsNSFrxb5poS8y9GBaRqGMeM7Yv5ql ++ol3evoDW7ZDDcJU2ydATOmjh/8+UuvTtdbKuNPNtKgZMbE4WdjzA+cL1xYEtjTd +3IDXsmgpuem2UaT6WDDPB0EdwNH280j9FM6BW4vCG2RgNmw0kqKUohFX0EIq8BQl +ThQ6IAi8UUXvQiu6dcgVl7Jc8mhRdob3NVpyz2mdm8lfnTAS+jmY2G6WiaZE70ag +764fmkrIBGhEcjxHrKldg4fdCw5I2ElXL0//gzvyNH3SxqAFKuGxeAU86uErCZOv +1MRjOFeeDiN/aNYpM+hQiuQuTCB+0O2WcAKk3eK49hMuQ/ZlF4khhaSf5Iu+49Z1 +7JIcqz15luNRNEsi6SB43GdxkG3FAaZhAY+ixlUg6Vy5yAcDj82mQIIyZjqHsG/F +/uzVjnYp5s3M1XaUw9BLK3/vkuE+MossoSeoMRmvtjYqtW9gpGwo5r16A1RMrZ3i +gl2x7hDjQr1BhP8JHjneFhMjqbHtF1GvVpZiLMtoXuR5DwnIHmkVk9dODvJN40Ud +65wij0h1G4hN/g7z3k8cmXDSEdEoAQtLvwpPAZDmFVT374BJdcVeA7I5lDyD1Aqj +XtNVotGeTz1c8W+q+93+ra+yzIycoKc9QGpmknVXwrZarWXVzSKCXgRepGj5bRM2 +lRxVAFsf8TeaDtv1X8B391KCI56aIGMaLiEyT47bcyysiDzQ1N20UljAit4U6Bp9 +ZtGXo4f0gPeCWEzBiMoFoZrAKRTSGVfo9P2S34yCZ3NkehMW1vtw2hrD1t/HMC74 +M/I6Cj2SNvIIbiEh9LBcNGhaWUnYWRFvP4oL+oGR0++JLpcA8QEdVIC94YmTDqn4 +S48rDvReuxQyKeBZEhyTg7yCbq5IYSU8xaonExzVBRqjDVhjFZvY8hwUnaw4Q4Ym +eLprm/iBpvYgOaQRw0P/2EFJMpZyOm4LORamdr6ZHHxFqm/5byD8IZVGuwSdP2Vh +OF3Up3kuaJvxVkSfIXT2aHZXkkzaIP2p82XOZXqrVbkCmRQAvPKG0OLBMhRopQ8g +D/j8hhVuIeiVESgsrQo4y76xOvjeYyFUbNqhu9T1KDNAjwbYWWITO9riwQYOOr6P +IRKnWWN+MAgbvOnEkkDpRsL88K4hBazFaXqsQk2TP6aOdma31nmUEJNvFuPyUth7 +yzXD2oA7HqjWb87A93FQ1lMy6OdMXHKzvJyw7k0BZ6EbpbkLCVEvFFHZLmPW0uPA +ro/f35++ROyAI5l47A7XNYMG8QzlnFnnb4DE71JXw8WtPDPGBbiKGfIvQY/pl4zg +j3nM4UyJW4vIeD3vwExoLMjiI69Ihr7VrrNIzxW8rhtojX7K6rhJWjUyoXjgsT30 +Li+u5ElvGqEwPJaHOjDm8CLnZw+JBLU/dikp63AdQumJFdjYHSEla0FQykg1HL3h +puRwKpssB+owbdHQhgk/Lw8/+9XKVQaUbWMJPSuiTQikuCFmtWI+2z7bGb9/y7ac +hikzB/AR0+jezw1OdoviUpZ63w8Kavii1TN4Z/FSwaC4pstfz3rZkSw6tNvONHoM +gvcxv2qHp/5c+Xo2GU4denAlZeI3m4Zf19YtLHp86mnw+dTgVHdio9OrpCBg0du7 +qGj8ZEZrljcit5kfDAZbF4hP7aFWoKWzSd41mveohP+RTqjKuqjArwWFzjEgOaEI +O/3EjOtusR3GbEgsleNJtPjG9KINn75VhxGU8UwyNAFdh8lSw4vJWjjQoBlzov5k +9dWIG+2GAi/uFNO0OEs+Zc9yqzwnrPl4QD0sLYE3GrgwusYK8m5h4ThrII/UnG/R +880ZkYXAZhTKYWXSs1Av6rr5E8R1o3vKhVwUQa+yGY5C6v+DdAlGgtK0UBFS15KI +m8nMzpiR8vJd90tiGghuR67mylLrIldNc44St0Dc8z4gV+swaAxaE6dCcmn9imaY +Q1NQXuCqnZMmqDYlNIV2+N5IO099Ya0MhMQ4Vl9zTs/KnNyzyQg+j5suh0FdyNEM +f9ZFKAWi2roUvW6jueK9zpsfr2WotkOEws4T1re/KpAy7gztcCzUovZyAm+/PRqH +ig0uDx5s+L5VyfT0LxYqB2hBjbSax6d5Qvkjn06YKAksJVPydmxCg65TXTGqA7qH +4A09VJX6HJWpmVokvyrnXcc8U+R/V2BBt52uspd2gNhAUctip8q087AeU5nte7x9 +nGn6SDiU6wvAyI4m07zT/CjqavGq9JpO+2tb9rBtdVsdGxlrnVYobXbyJ4hC+xNH +/txWtRxka0kMj8NqOKzMyRg1qE6wffihb5DDzexhWSAa9DEQA6LkvaYDi+S/oB6W +XPJiKsB/WMsNZV5cC4Ny2Cg0nTR0y+xUuCu7gx52YB7rm8NeHP8+UEiz+2Am4YRu +eMaFHfdbK9ax7kNSgXZi4HkNMkUah8gIXofx5bCOkPpGeXTbg6QQsAbgnz8vI0K4 +gb9egBCBjqdYhnvxCQ7q0nVYxJcmS8582XQ9NnE22WemPBt2MTwT6OheolXqTt+s +k/Q6TGcpoanymoYg2vVLJYej3Jdj6HzyIUuvdpl9jACMkfxEYC3NBaJydOUOBa1O +bZ7HTQVP8pvpMgCZi6s+332sC58QTtMllRo/AvncYGN3N3EPlAwibPqKzo5vKXaK +ZOkwS5oy/VRaIbOmjR++LBOlLJ9b7bu7RBK3fvfT0MuBOeDYtu3p2bACciylFttp +HVI3eFTq3u7M2WF7E1uIHXp5Pd5BFWeoCCHK7Hv/Ro7kkxwc31Znganz5lPxtZIY +EiOtUxej5k+ZspLead8GFGYlB/Q2U+c9okBV08EO8BvOemIbjnAf4MGf+mZqD3EA +L0SUVRCTdaYoPmpyG2yKWBtxUtzxqX4anEQNep3958eQYRDlshACOwu24Z4G6FGt +pY15nkB4eyAxm7ybgKUo9VPixXERjSMfHDrLx1qvxkAheLOLsIuAtvH7UNItSwfy +ByGOXxzxx1UrAwBW34TqLZYsyzyrOUSlU/0H8QP6AhTEBjRaB3T+acoR3F319WH1 +SWEBFLeoeDVWkaZJWknnDQD0y0iBLxGYBdNHiELGSV8QQwLgW/7OG7Ipw6+bL2XC +s8cdbcOzcGw9S9XCFB3A65XoZC1pa/qXeou6mwgg/GioqFu1aKAAcyNFcWd5iVNN +OzCNBcqw9aEaDa5JSx3nZaS5yd+DY1IJlhI1CjS1Gz3HfbXQyXQYEwq8AJ8pKoZN +hqYjOy4NlXNH0qZA6Rv/eQWy3HSc9gt32yz64OlXkjqRu2CziuFh+WrLqcwyNy+x +m4xDF2dAeOhWzhi6/21bn2x4RgSukYMD08N/FTufPzTgrPnwBWgbRXoBQpKBTzkx +9mPV9fzgEKVFhZ8HQsBR2PqCsa70/FMskChH5kJwE+1xJrIfhFI1AtyXfbooL+5P +5o/cQb59yjU4z3PUhDIRGlEtk4r1IvMR92Uf0Po0DwV0Qirc8JIaujG9BEcG4SUt +H3GFw7Os2LDI45Iflig7sAQ/SXVTbnRpiJUPmZQrkUNn9hWoaVbjfD9gBCMdhFfx +hrcFHLEP09C0AT3S+Ek/rhmbuXA2UK4CKHH7GXoIcQJFp5vkMNlg1AnuZu1/qNM3 +nVDiLZlEEXWRxerzj0iVYNZ3vexfKIlcnT9ew80iyHly0cYG42TLeehhvc0xZAdv +ZG9Wem3iOfz5W5A5jv19gidpopDjdLa7x9dPZEikCIeNT3Y8V57FFJdcB5HxqnaB +CNQdkS/8Ea8rFAk9TgyRxy7+2vUj+Ilde5pIU2z24dDI5bi9KxKU2/rGm82JmwtC +0MUN0mSm4bfcGdmmqL3/Pitc24CuxdrJV0dxfJ4yXKtNmsFDm3SnDc3h9iHB+Lu9 +Ksung4GrgsrStWy4+/L73yteMfIzdFI0z8pgiU+hjMC5Zsr4sBAfDhKmxM7mHQ40 ++tkmeQsAJZmmeAyILDw4FpBKQCw/SjXPu2k7oL0N50iJ7pNgIfnMJ7ifJui45iK3 +mIPNvB/++LM1kV1bqWnCg4STUx9aiN6+MlYVw/+tZeNla/k6pdloTlSlx0NVjX+b +V30soU6nAZvSnVHuvZC7HJUSWggxX09DBh/lsYF1CkxwPzUrn/RBvUq2fWizgeqz +cfCKAOou/kmPSFBj59XYaDy/apM19uptP2uX/GXzVDgywcr+i1sGEn95KiuLtfTs +uTFRH1KbV4N8NDZL+KIHcUc62j4muBFo5lq3YaGtrv+RRWO2WuF1hBLx68zBHsNQ +2jU0c6+yMKTepUyPro0aM8ZMAJQZ/uq+vZrDtyXrWtKuZbVyQxy3g1GO7s6/xYEv +r6dmPJVtpUVS5zoYvl1UB+x9hwji3y0/8oo7LzmSgAEVsx5aBPjqiw8wI59QFfLL +zTnc9cHHpQjCVRi1YJtqDJbGNnrKTO+Z2ElNreXUUKzmCqHXayqrwyzNOzPv8JY4 +tqac+7SkNH56KohUlpm2jtKbGkaXqMITAQE1P51rNO5JspZRxC3p/BeAEpQzXWjF +M/RlfPfY7yee0u3QJSEsmOJ8L34vvayO4tmErFy0xMv5NNrhNoUTrEsu+vZiLYts +1D1gGOX//LFKmdt8jkhmvsB5opPmLiTjY96LjUCqH6wjJMRnpD5cETuyKGw2pa9h +X+yx1ZfEKJTyNP2zM7WYHVQLwwwj4297ur3n+8wP018QIc+LnUyzJEAoQ8yI33Rl +krTPCbM7eje4FECCEPwaPhbPX7lgD7Y7nveV+GmRelsGE95QEkDK2guNDDKISQKf +PvDA289EJba0ps85Jw9HvhHU1LSrtMUKgqXuOBWgApcyKLj0Qk3ztkz+7jmgjZhQ +02UwJugN2dyIPVTm4Iv80EeGjqJK9J9A76HcBQvaC9XsQGqzJt6F5WOV257OiB8K +/mNVWFjUjKk+6FQzs8iRj5LDgKp+xNz8b67H7esTmUtKSZkReV4RXpEfKfHdeg3k +B9vGYv9LTu8Fe1s58ymHRQ07lGTB+zv35sZ1OKaawjKVULj/X66DSnHLN+t9Ge/2 +QFBjycCLmi4Hoqvbdn3t2MoOxglePz1fVKRX4xV9fxxHXeJzJhz2BYxyG7I0gjEt +B/NdEXsRtyoIEutjuh/3R5n2ga4klCMJpC04hng0fy5K2SPYuI13A6cSv3WCUGH2 +ZUpdhvIAtluI2BWCM+ziK0lKJ9cM9ISCNRZXnE0wJBWD5vnn0RbJYpdAJpLNVdn4 +Z1525AqvAfVvOu+p3Bomnzd3Z9PTcRxjsk6GUXXT/8rASLXaaF+K8Kpbb4r1cFva +wJcFwPll5FVe7CnZSWLN73zjmifJDgTu8zFXbEQJe3PjR4zoZ2AjGERcOLDB7Ir8 +wF726cZV2FSgbgxi5KLYF8ro5qzuPDG+Ru28AvZmk5o7T4Fz0u7py/NdpBqUv1UU +aAyZrck6bYuDP25wMjLT4/auQTgbMgrw0yl2kQFnGF00BN9VcZObFP+gYo02p51n +gO6CCr9yo8y4HnoAgvM85DkvJhA4xWgg/MJTh+bw8EtxwgxoEPhXgnzbhTWMSS7p +IM/bHbFvpoMLgw4v8LFqCwPI05rUexWs9RT663gcdTHAx9vSvO/m3LVQTHe9hQQr +sASEFhOqwX2CJF8S+0xj9qI4TEHK1w22ToywETm4XS2SfkIKSUwcNW2Ni5fv4g56 +JUovuJ6wHmmwElCTymNbo6G1UJLS1xL18l+unrjvRcVTmfPx8e0E+Wj/8vEpCUoh +jl4qrE6IjPmH43J17xsDWvGiAbKPEDOz5OW//hqRh7JQTQ0XHhBcr5Z/pUdP32m/ +/n+/BZECDILCfX0+sD8ueclPHhxZym3LGegYyj8wShLtdEO9OzBNdcBZkbsq6UAf +kJ0pQ3DdQbRrg2XUHzmSN6kYY58RsP643Ue2sYdfrRABSeGahl1jW/pgVSHY6h/0 +kWLV5zvWbEF8G22YrAyg2aB+0GdaPUDTvpKeDs5S48xJ0BJKVOkPCKCg49hk4TD0 +i87Z2RM8Jfp+xhB92kbBm27KB+FZBdafgEHd+mP4W5bhsJ4mOx1HiGqY1lhLI3SL +hLfT3hQaaevS4SbN1fRbuJvI+4hwr1s3Sw7RV8RMQoVZQP3TlCMUPSK1+fRJTszu +YqYWsh8efZ3QeuwGawIApjIRAeqkFFOSwUqwGPkpgARqNM9f6MCdx5t6ETJBlRow +bv+qiRhK1vyhKVyJlwxKCCyhmqn6npY1PxCU8XhOszflSYue+XdGAqaJyLwO21lF +YzaIITkWUolnamZILXuRGyZcNghEYzp8DjDL0wf9xafg1nMQ1klxeOBt7tq+xl9h +TVZawpLLNpONuy141Ck27/oBHB/ikAZL2FoBasUiomqKEe7VR6h70Kfy1haKHuzu +SQtY6ae6/sfkiXHkfT0QKkj2F/C9J+DyI/TgLIU51UfKh4MRkyMn8iTfATJg335W +F+oneXkVifbLAj/J73F3etIImUWOYW5Yi9SdAt5Kko8pJ3Q8qCKLsFmAb0SJpGMs +JtQLLspOgh02Dd8mCmCAl9HMnG+PrGBZNaQfWWunifzR8FOzvyU+b7zzBhWfREbZ +mEuD9rBWNYilbupaX0OaU9hLwUfv1ys45m7LQRSG+z3OkduYEe3Sg2cC301JzdCv +sQ7dneRB5ooMml3Axccw/gqHjua46xwIj2Y82R7/aUTjwE2sw1mEXfy/NjTVKlEk +a5ZoNucWp4/qc/R4VUfW8t3UJpUvigNiQBSwIa+nMT8vGM1ujNzbfQVxMegBA2zY ++b7fj0UR8FjuSlOgvr6eV9L9j6Zkf6sLUvn7uowcIfXdEzCf9YKYPUdtb7ycYx+R +jPB6x2uGyo+0sqLi7HYEcJxW3KHkiETnY2BTZ6VmoWWy2+Jo0pHXUKEFHHv56hqR +jJFZh6T3arIhPTVtmT348rqIgVPDhLKL4zyOd6l2au74UeUSojSjs0pI2gFPRW5A +6pgqN3vbPt0FN4Hd9u8XP3VcGOLUiyvHPreB9IHghvfqTHpnIAX63s+HnTkWzalt +AjzPUkJK4R3r1pu6fJ6DZh5RdoV6R4YYaDtKX5SN72Mc1BVhHYwWhTI4hBfeyS95 +wKi5SfL6L/0rH4vrd0WXnqfgcZsatyQ9C9fTcwEj40XIXSFgbx1Xy4D0bMbr5C0v +qHu6zY9zlVuMEVh+PITCEahexbG2IBybT0NgQ/wXRkbXfRRcmEBv0C3hHhNJNbLN +MRBg9o8Dt+pNAChuAgKN4cHJ0YAS20/ssD2/iQXgAEBYEcOC4e6j762NTgFw5NUj +gJGyljq1ENs3t8Z9JgOuLhkTDpOFRV2m3HbsbjWy7CdroL7XoBmHCs2kl6a0SFwh +2O8uutRVM8lb7AuZPwtsnWrjazqtBSgusidwruXMrTyKKMA+ezaQ7YxG/PzfLzGh +Hs2eIHpfv8xX1qTGsceglt1XKB4s0clfXdykZP2ABYmp3DiDmM7Xe5ADRRzMBZAD +TD7Z+fejpYN0/l10LCY3QPFFSC+cfqaLtteTyhedJ6b7vmttpX1+ENJpYRTuspoh +YG3InuGheDgK+9oGIqtIVW5yBZ6gxHHqu72vfYTa4ukdOg+hMfqeyPPQDiEFgjba +y86P6RUcz8dAY44MgJKXEp7DF7iAw71OKPJIDZZRybwMxPfOWrZ64WTgN8sL2GXT +NM1MeaQWHtygOMXdOIlHgAyfWzjCsoTCDC0PIVogDuR70iDR+lJc3ri+zfemBMM9 +nXWeazOsiPMft35lwDUYNOFEb+hxclt1qY3Q0ajWPdhUppraOk1ZetXApGspj/ba +T3tWW9qOMFFv4uxPv3k4AnSAOeG40I53xiXeOrJJBHUsvIvQ2i1fq6fjFrp5xA85 +IBgHHVebuU+ziA3nLAHeerTls5cwqATlKZs0fQOPeLpCtVRTrKjJQlPcZYvupYxA +MmV+STfbR9lNkwyz/EWwpUlDDhhXqflC4AsYrUvsmbWpTOFKwkBN9zpedy419wBJ +OuyRLu1pQiSLenfLNAtfFKlGadYRyBtwFii1aRxtFJ2CQM+PvbEE1Cmb6dXtNSM7 +Rnexjh83ac9rL63NMQOtMPG/mvQZYKAvYrveHY54+F/FFyfP+oUnDSHWidqDwCkP +C7MK5lI6cyZKTmHIEsDwn/Jl7oQ0CTpi9fAZHz7U1oSWP70jDu9ymAZ06XO5OXH8 +mFK49ObZZuHNw2n7UjjSA+Jgf2jTOCgxH1UK4mt2KSKOdTSdzpGE+ii29UeOvBhF +b0tIaHlLC4tb7m9ewjxd6BdbjwAyk1hMKCX9HNubIlhIPvYWr8fiZYpqcrLrkcz5 +s7CZpoTsEG4bhQrxaNc392TmDsGIBGPwuP63cHZ9Wpufj50MRUtxMEeT+E36ZvkO ++8ZZtuwGaBEEBRCMIiqb9+EH1RSaV5Y6kfkPHXYWGr0lTsK9rw9qh2WjybjVro+F +qjs4sdbFxqCgsO+fjzgPCWf4S6Uv9QeaVjVBigFsV1l4kTJYein6W4SVSuFDruSN +23mqx61FRQdjohxvLFgd7KvCjcnkjb2OA4YRwLbN7LfSv0AGg0IentA342+HMr75 +sY3fGZQxMYUQgEgkZEgdCrxGTmf3Cd2cAgZIgKPvZT3OUQWwLKzOBXM1deRisRoZ +lDakSTEpq9Gnl4dsT4/72ZMDXDs0Eey4ahu+STnf95rVhsU04TtELVRHZzq3FhKs +S6fan5TlO8TV3W/iql3Mp7ivTSwgagjI87cFlrwq8FzerQ2WfpZQ6rjkaU73FlBJ +kqxuORuuBbXKGAeuBAW8ioC9wZwZhs2w6yvf2zcEw7yvrN9eOWnpWDjD4N6LwDt4 +M1FoFjX4rc9pGKQQvaHO4Gq2868hr/4FXPp1E7zoRBfjx2bgKS8O/PmMc5DfoBSO +4Lw1jgXdz+sszint8HAlbft/kIjrQ7wsOjIrUbDJZPUVGZbdeEDsSep5raKMGk7r +zdyQ6T+HtyXPCK7JyWEVD4OEx7NF60Atdt05s7fflo4ufT7huE4l5zuUIquqp4LW +pa2spjrkhSuM/nkX0LfFq3/JU1Lhck1WrY2CRiIK2m68UithoQ6RBZsAT8Nqu/sd +4Xlua0GszPfMQmtR+AMivhekYuvNCFaLyEtLcyHktgEjqq3NOnDYRgZILGG7Dl0L +WTgHygpVZ+1sPQfwXpOmByUrfKNRDF8AddUUuJQ+A+aGmzk0HDaFouejHVkI5L+A +UJhs9SOzFDHJD85yy0MBGGYFoWv+uQfufnEAgllFy5nbAjfiEWbbnXQnj/41qCNd +LVZNSX8EwNeS6SdMhIttivwWoRfjDMpgtFwB28NPu6Uw8GWPTbuItBvUf3WDxMf9 +La1nG8AZ5HEFqtz1faSr0J3t/dTPpM6yRzCqTgNJh9LWfxLdl+1408eT3oN3igkO +RHQ+yqN4f856oX7fWop1ie9J/JOAg0IyMNufKydtv5YB//tFjKuk9LIa7afF1sdx +qhshs9b+DuIPNsCOeIuAMjkYEmEaRBqaiMcSznuRzcQ11UeCJ1FTle+4zZT5XPsF +UCdPjV5laniNY1skHSVGAhTl7BwkWcwzRo/iIo5U63zjM2j8vfxQ+NemNupJnGrl +4+VJRNRUwptVkGDDAbmUY/6fBXECLBq8o84fEwvIcYKn1S2kDhesEMdkmpOZIWkQ +eb7drPGVqKwqZzeAwf9vuJR8AtxbixuCvTTUV4qzmB8z6WVQdbycdbIQK/WUOC2j +p8ibAPMx54B2aDobkckayEGE2WwuYavwllJPrqLHeyxsmPTYhfSKGCz63UTZDr8v +5AYfNrqdJcqcrMK1v1D+hLaw3s7/5iEouAMNCcRa+uICVxYCaihZAXSSPOrx7Vf6 +TTv/nAXWewGE9q+yzkWIWYCsHshiTu/vVmMrzzZlzG5QKGNJv2AYD9g+xgfgxYa+ +0h1B4h/2QcxIueiXsHD9Njop9JPZetLIf8mTBw7OpoC7B8xyzImepGzmXOJZUZQp +hyd/NnqZ3DuysOp3zCLYRR9hjxuOahcQbx5+3K8H1SqvcOV59flBGOO2kYWpYIBG +nO/oA4bHvfoFa1cP0LTulVANzW6Jl3cWCnT9N+7JPvRyvT5azgQDp09gUGQcIgfi +FGZTClDmN5N5tCCSub9Hl4MennpTaopszzor218C64T0Q+DTu++EvvTrZOt+fCEF +ZOVcoiihj8nFMU0fSeeEd4g+EH5ZBAtIZyNhJa6aoszWOzrNlcnF8Wf8Qw62ewUK +TzJOjfr0oqDCuqDKwUZ7boPj9Xh8gaRaAdUxZdfiM7/emzxFxymIKWbDlrZ/jiDG +ZK6LLUQ2ACBgvaHn6hVNbLELc4W/fwWV3GmkTtlZ7Aw3RbI81JuGcsAaIHtEIsnA +OOlZNDdc9w42/ZXmZwat+IUO1p2qUrKkNxARPVB4cOUoY/r35IW7uOWgE7FoVoOh +4o99VpEJIkIk2Cpqn7cRBCUsAewbV+s76T9kiQdTWRu1qzoks9uiuTdXjg5rUtGR +x95yo9IXhK4jszbkZ57/bDQ7MMgrO6CqJoyDss9M8p1DcnHqs2Kch17gjD9EcOKT +aalJ3jI9eWGiTZI1facy544At9GZ/fbXZyVcyt3beiDt+9/oOW/4yvhHCAzh97P4 +kTsbIql2yDDdyy6khi4gnFWKI+Ryg4V5jEC/fnyH3HeGnHsCC6et15v13VVmXGbf +zoy2FFdUJVF0fZRHdGpY+TgsZXnIovA60GmwAFty+TvmomCsF9Ab/b9W5FXiSKkB +n6Tt5urZ4/OZOKM7naSuZU4bwnMJYWe9bKNs/HL4TzjPAfQL77ezMk5QbvMhsu2X +wktJsRvzADgBETc8TdsZn6E+fahvM62mYAOGcT0gOcGKjjkcrVUDUmUMtym4hBjk +PMIogYojzYNCbKo/r1Z5dYPx9Zjknnojf4APYonSU62lJ83T+eKxOs3asMPypnfQ +bYDXpahk2Qp1Kzls/4Gic06Q2h50+YZ6fMUumkIPPvnZcMZOe1byOU/l9gGPnuql +BG3qQ6dtItDfnnXPt+wKQEKnLVZ7YM6EEfmdgzZX1m4O3+bBO2dw3euNzP5ecPAC +cbVAX/AcTkK/wqkfgzZ68GzhtSvZ/EJNopEdZVEQtRvqnVgLwJ8SkMFDf7darbUB +hHmjFdVE3ZBn8hc9uYeZOIsQD6QCJmET78gsXWg8TYbqvwSjCARDE5QHP4M/ffvC +oR1SgNi+j8znbGJ4oUIWdQQSP80ERJsRl7vVAbeWEV1+vkypZ4EyEFKDURd9jmdL +q79vgQx+9ifdD+6nMC2YndN01XS8F8fnCpwPUw7u6Nh/AGrRMUGXDdfMmI1ChWPp +6g9CoJzQGG2aqNuvgWcAn+FWa7bY3f4LDtJhgsVZhkSp2B/K3D7dnI8DjdILdTgp +raxUsq5lBVFl+XNfRSgkmJQcFR9IQXSMgHszu6B4kqHxP9fqlLE2Cr5mZq/br/UV +1kPMSkT0cS5eoY51Wb7a35wJLsdCBaJbwdQrFtgavLWZ2lRVaHCTZFJJWMhAKWyy +gZQtZA9ujK/kVxZGN++UnBn5BjKBwbUBuTxJyPxrf5zOYjW7iZBXCgO6S0IWKIjx +LKcUqeGv8ECbNeU8UGdemLpYZbFpCHqIFVHW2g9Vmugevo7rI51vToccM2e2ooSz +bV5UnZ2AqxHXC6ANH60ymGMerLbkgXF9ckGr2BCOvWXmRTGLcFGO0F3fwAhCRSBB +qQv6Cd6h3eZT1ez/DG2rqN1JVzcpA03/Jc6QCLFeydzL22+ZNBNxpFJmz1ZmPIwP +4mY9y8YyHvCdOUGf1Su0dJHew64LNdgKk0gT7UxjjsteV5aQFAZfiOW6RtBpdQbZ +JueZE1D5Js7CCYOSIJ4TXVTLokCKVVZYRnJaWsVQH2r081u6tF2mFGJGNMFWN1bx +qIp0uoP8AWS82jwNkOpCabFzqQyeyiPalI0ckWIKa7OghOVZuRITV1s+B+Q+KLu1 +B5ok5p/UJxqR3EfASfTRc1G47QEb/aZC5Z29y/5h13ftkIqhJULrp6GJRgn9BbLx +eflpaJozzqjv9QlOaqkdstijTGgqK+d5lz1yl5TsjiUL6e7JWs4TNVHV3viCa7zI +jqsgOa9HPdNVhjmM2JCTj2PA7ZsbGOPVUcY5gQLHTf1MICsjSIM+JrPOJYaW9SFT +wTwrLh5gECy7L/Ux8+Ivdakdu4OOL0M8BugQjGuI/iqbXsLK/6gT1+2TpqfcC2s8 +KKWMsIpOlY8+lzvKrw3fV8UKkoWMziBHiVkM9+pL72vPuZq0XT6lIbkLWu6PRd2/ +vTb3AZ+lOkYV1zky8SYm3WbQZOkNdHI/LOhrbrVeJLewbnJkZZebEcR0hkDvHDm/ +DUurxD7REYxwZtzD7yVVNrSGxk8oSvELsrIoM8xb06qbHRyMep5dl8WR6Ae7qoMc +Ct+dDiCJjmI5Z2pCRvcUSf5VY1OM1CvD1hW+U0YrjXVzARTSG0/ejNgI/EBhfUx8 +dUpSfuLNQpHf1ZJbNsjM/otFZxpqTQs4dCbbx0UVdp0MmxUu1j+kJY4fOI9u4Qyi +PvPgNOsFb3sTn2N/MCaXPZA6RLkwBExmxCsby9uIAKCbeLy5nfM6u/e8pXKO1Okn +PdaUnipT2K/Ir1hkcK3XO/oUTNSiKLlNszFIEf5+hZ4WCn4/2S6eJDJXt5bEu5j+ +qqxlBx6+ZxeBrTzmsOZRIjtW1MCF6dwF2GHpp9AapCa25OySMc9rdvHDS9CNgY8Q +tjQQVoFUJ8H1NxUDmPLGWWuOGaFFvEnQikwt+4qDnUDFeoSX7wQw/H/3WGlLWby3 +xH9y1o1rSElRzphQadEi6Y1RKcRdER28nTX8PJzyQ/Q8Wf9X8q2KCUipCs5R5SZw +m1CJsYPU6l6HEC1bRjyWpwDafsgwcSqLlAQ37nXC36iiGmCgE/zQttcT/XnrVVqn +2Cvp6z8lOFYAQEdIHlfk1HVFb3TBLJwV8xyWEPHv8i/oStn2Cw+S3ukfn0+X8vcx +llbFP4nXzu4ZMHEj3wqlnXWszU78TrV8T6EEGzuyKxc1kuJmU+g5rLYyl8VhvtWr +6Zmzu3ULPhBg2aAKkYjq3/ps5N/xt/QPC7F2xuPhGHDcGvkKTkD8irS/N49y6fYD +GfJWwnjhHshRX/9kdS7UfSoNCpEvdH+nfhPBH/wX+E87R4CEsMfv5Scr5+cXvGRf +B8A/mnnwKNoWAHYArBtshMHDJX4Wa+Q/0fthoHVFVhibfFKN3wJ9f8jZiOrHa5SE +F5Ov796j7Z7LBDaSy5W4F0jlubhqVbDuqbc9W4sLH3R8UiUp1A7CjwLFesg9LEbQ +OfMdHc6ZTGHPfBuGkT1imDksm73KMuW90RbuL8IiXy2hH2/e1jhswXeypKr/Uohw +eugHH2jc85xyF/SFw1vUcTrdELhrxIq+Yc9pRLjlObx7Ym+lIMfjbemQ+Gx3B1u8 +C03M9UR9dLTKWItf5zmz0SuXar9vr4UexhRLUnYcDvmP1G/vzMo9XqfKzJsWHhui +06jx4W51uE/CzzKUHq5e0ZSgu2rfmAZ5pUiuqCu3CUIE1mBNUmxqZMbt4F/kssr8 +T3xArCQgg8qIJE9H2RLKlVtPTRWam3v7jlCZqyXCezr5mSgohUcT3GoGwlAGRKU0 +NID1EQdLZSrRHvStYm+fsnPMafgXwCEvlHR2EI1ohM+hb28IBUE87yaqI6Atsp+o +WhpyHdooN/yTNzo0dsQ6GVGA127tQgDzBCAsdJOzahPW+vs2xbMVjAjdyRppAqWa +nAGnBNGipNEaFbRDFdYDszBGIFFFjnIx1OaErQkHDYQyh16K4xONYjVcAZ8Y88Rv +q7ea7HjbBIYyaplKsezMgt0w8ujBp/oog4rBshSG7c5exF/AyGjIUOfOQGVxXzCR +i373yGgobksbYtxC5BlNRzCy4utDosATwsjx8rQpYob9WdgozMaChd8sQnOQQRJS +7r5X6IYSK0U6f2au+uRJIRqa7B3IMiVSV+X5nymvlqpreqexFSPL0aPLsf0887zz +oqXzLZ1MpBy2NwomRf34XpHnsLPJjac0JucCqTqB0NAV23yNu5oS5tMpXNecYVfB +KxvsxJWrWWoS9c/4jtXMbgPu2uBYjGUrfT/Jnzog1Uw1JnrhwJBPxO2sbClvDVNB +ILHa0G3EB6vtI96dUmhKQpH+u8zWM2Z3fT84AMroi+GVpABvuWHDxkV8i6XxfEXV +iAWW5/zGc29KVCREMFsCnofmBY1S/ix3eWQXMLu8vs5NFWtmUoukkAs05l3X8Zco +duQItu+bvUyCUwfvR1gGpG0MRGVnmTag0t60gEmbNufyWEAqkdKoINXCWKzT/xDg +2gkb9gg7efcgWEQv/TWpt3gRM5FvLZ0oquYbm49mM2X5vKBKqAsMp0+c/OekUurM +WSk3Z5Q4l1xJI7OH3eBJgolqI0yNdb1dONFOGwD6IGkrZcaWFlJ2JACehSNBgDTs +CNzW/bGZNIdUptroaXpLFm3WrduDnZ0X5yuG7UzDDNqdF1t7LWvm5HdJEyk2I1O0 +t/uXT2y+Q1Ktpl9mg79wc98kKgF7LCz92E8FaouONh7N/O9Q6pov/e3hhcvl+ykF +EHVcZrSp/CLdSmnjNm//o4Y5+vJRYbASZ45BkaQq2m5tIfkR5XogKV/9KPb66+m+ +RrcWtG9KG7tNFv+WE9Vvv6WcUdHRYisuNhEr20O2MVpxAhOCV1DH3zGB8FCXhYIZ +1a+Sg1zLYA/Exf2u4Npg6mGOn/DpfXeXish0iQjKMLu47121adQ2OmxGYxUNxWu4 +KEOyNUuzLPTsb+sB3JgnZvfZkf5eUXkHbKMeQor8im8ZhRwaK/Gx/W0C0jILFs5G +e4zD3rBvy5UmKcQwbjRR/zWdyXIv9CWHEKVG6o76ezv85KxBrGBZhwbPCffczriC +aPIrGDOa2JgPv35US4ZLGBXdow61B/4pjwWm+fhRDsjMripu2BVPDOF9Ys5RS2R8 +8PES3NI4YJg1/IwQ4455hhQcv/sANkFZ5sYRkE5EufHvfqNYZZh6+HFlNopByY45 +6W8bPOoosA9kg5Ag5jCZHktK2hKHx/G+xpAuEBRYEF1iymjhhhNp2EYKKw6PV9yD +yarrwEb+MCULpbSki+D4bEf4NPEuRhs0FHfEAx3YEBcouXSAcM+iddIzyhgK/rjE +uI+r35zT6L3MpC7tWIBzIlNbj1yLNcJuyuKAVnzzmHyY55ukg6myAdAbxb1S9QIH +8PejxHZeXZWylLLFWYHVN4UAhFOfbeakvDqXurzEbDA2BIP0BxmtTtCo9ePxTxqY +Fy9rn4t6HaPSIp9b8xFDTLfLqqUsfZlUrCMSOJ8radOF0N3dUKevRypM6L1HTvGA +hRdYCjXlow6beZt1w+j2/Dj+iS3E5CvCnLIeyOIoUfulGkRMD00EISUeP9WvCd4D +ThZJn0hYV+k9EFryVcvs2hUTk5TeJf4BldeEhM9fTmYxWvo2po/Jgu0nPjW4SLrJ +kJ6Lh7J/Nl11ZhAM3bmFV8rJiG/FPQr2YjjTnDttfy0oTdzU0UlVZYs612n/oERR ++2oThvcLbJSCoSPmqmVGrVILZ8gI/LU+9dKapVoADCI+9Q3Co2CqvnsBIGQGSzOe +jiPg5MTJqOLSczTt9GI0GVE1btpoP36SBmQeSpMBem9Yex/im3eB4dVHpr1cWwxX +O6z91VFORCGQBbcm8WAzdzGtgtp6Ag7EK1qgrLjIR86N3+YA7lv+XPhzK/oOdJ8n +aiJwc/aUgc6mkUo3lNAzH65KSccu0NGptgfZQd/V8IXeriRRUGNVsYwqgz4WCtdt +AlpaKspfI0MlwYVVyasL/ZQteRKObU8BTm6jhJVsrEO4rpRg/HlAU8Kp6hwLm4lS +yz0bJLevg2J00YuJqpkSHoyKSemA+Pi5prLnriCVG6hWhuFgB6f/P6oYWSrpHOaq +k2GAxeX3VU6GViBIwvgDazZH7xaOulxuC+kCBAVuMlMfri6FHuMohEFSMYAEGsuA ++hjkKoI98FraN3h8GFCYBOxbLt1YHNISdpEWDhfcQkCt6R8eNakxjSIZ1W7rz7KG +OwBgknF/+cdnYmoa+g8WskC6HPMA+zaAzBh4YUqHCj/JMzDWqN2qkIgi+WLsznUB +y3Fz+K9wYeCnPp4mVnPFIBdCIJGjTgxCez3NUeTJiwMLAB6BUjvAfJbTrjSrnA4K +YZiPpTDPY/ndSh4smKLQ31MiCW2vkYrg5XMa8soSnWUtxhQM51gqqn2CZaAlgL8v +r/xCn7iEGNrhTjF76UauZtO23/HRH9kR5nTyklQAfFcI3bfiRDw9irR1JWNUXkNM +LF6PysHigvcVHSlzGzf3QGHQgTqnLnzBvOuhe8aCq/3p4uqJQ8nGpR7yaS9dH4Dk +xQoTwFRtlFKAWh/PgAMRHA2rpW9L5SD7qgAVZLcoyhJsFRN2ym/4Qh31CjE/XZwr +W0lIz7mqYQyAGH4FWUsz8WTWjwXKT8daLNJOj51IQ1/ZOihTkiet/t1O3X+e3UOT +75TU8vT8SYPBEaveEnHj+ZFnq8VWUSBWsLQkjRZqwrr1G+dv3+2iXVXh2fezTWeI +nfQe3Z6mt2nzctr5lJNdJeqKFo5tnAAq9lMa++s0n27K5F0GGcWyh1BVHnss/NPj +JkqHIT76HXa58l+yGNj4JYZPf36GL+Byw0p9OEBO7fuHvxvNJoGUZAMaPm1ycyKq +I+wMpWmtZxxZDRXrHFKU+OUXkimleUPxtzueeNNpdXOjnUlWZWSrHEDWk0HFW6Hu +JpTfOr9cqZ9Q+be9+GJe7qhozGJkq09tWa950fNmO5JWiCVhYFQpU4gBt1yFQhgg +3falQU1QMUCkBNLgnj+MblunrEhi/4gscJSYlxyqPOMIqMzCWnClrpBKikWQMKpz +ZVhoNuibdr1GEIDsdZoaRSxK0nN8taWSG+HfMIuJybeBSy99vu6exrPq5HK4ktyH +WmSfsAqu70CWS1DdYoblsKNkcIl0xJOP25UfYYNGFWNQPsgaIj3LXCF0dcu+owID ++7oSUC86+t+RBOXjAv/CCKv4mgx+M8sDG6DA+yFZ+BLQNr+VNPLVXl2Cod4vc6Ja +I+4oifKMx1846kGfXQbUQctoIaDYezYZbr/7DWS96JY8kuztoqx+bbmgq9ck9jfI +5o3hzS+4cqt0gXA4DeZyUAoRAaSfLSsAjGDiC1VlgJ3Q8dEiJbwZLjHwoI2EYdGC +0S6RfBFwAty5g7McOvISyW/qObQUEarbVF3qN9CunwmFsL9q2tzTOgfrOXK1qxT/ +/WdsgPh0vN61NiZYa1vKx7e7oJQ5FExfilqXwx8ydL5nagTlPmlmDBTf1kp00nwf +lJ31t0p3zwav6up0igozi7c05PmuANeckqjqOJKMNNu4YfCgU+bp3lJHM6QE41C9 +uNmTNXwwgQRmwb8lURXV9m8xTeT+oTMt1cyWQBRcnY0wDIcCsiVDhJDI3+4+lmpB +6TW+LraoaobBZlf7wM1kw2V7Pf1F2r9XwMH6Phh221eiN9sI76bZp59zPMYC+upP +IHogytMlAuZ60NCeRXibJHAMbo/XucyNnVTfyl4TCmFKqL3vmjHW9xVVW8KcbXAl +fosytg+sErvwl1m6um1LwS/ogZbSxgu5fv6ajy3Urq+NbJyS9ClQm8/o8K8DkZc+ +X9uDSbLe2gXrqZi4PYsv9tV97eYg6SaZu60DG5c7eNOnwT1mjd8p1YgregIALVPd +i//vqm8yqy477Z09jWVGQGaccjB0euAnCiNfOPgV+pFkWvhkePSDRhGDGvYPXnuJ +YdLd0o59mpztl8l+bWfy1LasoYXkgYvIgtU9QP9/mgCdoSoJpAjIlO1n/fy3U07L +cqeH7q+unYPBEOmYjSuNHE+E01R0iCWByBW++7z6jIQfxldOvgqqnRz/3MuXOcfX +uEFKVl+jCwBfzPGudnoG2nXzgb2vRgzEDgZnvYN3bM0TSMWDD3aiM6Ims2PFE66J +AWPq2CqVEouCkajQrhmpHsqH1kzn24KY5LEvmRfMcLdVBM4+hgGQ3+5qdzBA+9++ +3BaWOgphc9S9qukCucygqKACS/YAkECTmFgPE5r0UmA8ZGKOGUMTZUWVWefpcCzr +Jm6keObNB5bg141X6J5FIu8oQcv1wxiQZbXiKbh9Z+SjiXwfeSYnIZqK9aB3QShi +HwTqAuw3gUhJG5iQRvFauNpsbEhjEjfQFq0j4S5zTW+uKYAI4ZI/I8YrpDaucNZi +AO4Z48fdGG8MIpCfAaOZNPKQgXGFGJV1184z/NdksVYlHx8553aArf/9rK1pZ2qC +A4h/dLoeK1sSXy48DRNlq4HL9L4HU/y1ItO4MRL4gNHbQzc32DN2OptdJeFfItBg +wM1n1qZr5D2tgBy1KVFvu0ka79m29IyCVXL5kx38dVeSpUJ759f7evSVvtH6fPLi +iPtpm6IrONnu4IHf37Jn6G70LdGFtp54+F2vq+RtI3j+vTqC1FM1xi5Y8H9AmGwg +RMCJp4AbJlPLHyVd3zssyIr40f2pY2lcsNrZOihZxtuGfzgDRrsHLuNLuPPuugN8 +yOR4vYp4kOZURqHp/F9qRpAwOT4QNvinMjvSgolZCa0VKUVKCFXyrHqE5UOnmv6j +BBl0eOCNOeok6bn+4jnCU4AZQNPNA7hG7HiPKBTi3jcKIQpaFiQe0r/iQQxw/ToW +jTjkJO5tjCYI2fRFg2o3LUXJTM1K1weByhazohAgB97+oNELbASmaPg+C39b5lcE +Oyan7j8+scNleYCBInHyh59mdt4k8J7iELRgRtW8qaP3aU6uvSAbhJE2AD/nTOvZ +ZarK4EN2icVHt4xwUYDQAUDZdGG+C/8Nr+xOT2+lno6o4cOnvT+pxpqR0pzKNy+j +7nJ2kKtRo1NgQdU7VS1TXfZ9PUK/ith+TLHCaeoRNsQgrO1Z3g4Pgq7yvhLy5CNj +YoomyKG3co73vj7t2z1uee6vl8UGenrrejUnsYfGgsVnR8RXFRj5OnZuQXrSYgFE +vyMj2Squ6n5y12NDwBOPL5z82Te+Pb4RGzEb3zZ05jy9L1Qsfruf1H+XQ4NyNR4F +jskD49VD8dz8siPw8ESQUziWm3bED/Fa/NrstBqPjWLL1OOTrCraYWfq5Le/6At8 ++lA+33Tm5P/NCrczPTdvYKUMe36TNcCzhR9xwdhy9a/3URfCShUDNVRNvHhakvsc +iL93y350ZGc4IzfakbYOyUPFVAvq6OnFdfKJ/dD3mbCJzr971o8HHojVD78MSo92 +OtlxWw2CK6owHb/EdLweXtMJwMfbaB/rRUzaF4xEISN8uTWoTvpQ7HEzLT7uVl2x +XLOQLNV7ZefjnufOOGDzAECw+9qT7ml8VHBpqnQlRWGvkZxLxdFAGXOyCC/vynUD +xTgDFZqdeQm544wbrYrOVI5L5SpxKy9Wuo8u6CwKhriPN+tEm3E/vISv6/pimkwC +8Ql2wYRsZI3H2QW4cJUpi2JjEmbU/o1/7WeAB1YTD9RFA+XJ+3uiTKiEXne3O+uY +MovrqNXZHHEe5lWSFyvYUT9+COXAs38iRsSF0dvlsCXA3qgQ9EhU5wS2FSoKGAy4 +7K88Q6Vx4rmHHlGBeF52DDr6rOdyq4E1zbWcaofqxSoIicqGhwrz+N8hEodUINLS +eyCq9x+99uS/BF85EvUojwbJSf+HEZPVYdKBPJcr0SXNmA7Mg15bjKSY+L0M+GbH +nkxRr77/yU6MnZyA//fF0l/VM5kymFdZEkFbwy4VnOnZj0UbYzz0xgU9x1N5dUzR +ThfqCNu8/0MHSTgZvIIR/KKq5IY1nFPuEpSdRM5ZttfUPLm/CLsRfRXjQuroa+sV +cjN5xIUBs5GOI/I4ao15U29EVCNDSrUj7K1DH05ath+oxRbPcKFMibc5QmIQn2Fn +SgYUv8j3tSZObvcL+9T4q9yB8L4FPr5I6JwKeL5PL/l4xntZcYpxy/Vnn6/GdUhQ +E4Xj6Vc3UNXO0QkbHCqefTFf2gQ9fbU27SSutS7/Ly6Pq9Van/3LA7J7ubt5GBWy +vwZiu9AgWQWm0cPWEog03873kU57EKFlHySSrd9s149Um81a5PX8IQguBEd6Nhle +5NkjlFVnE9E/j09IKxU8pKNvTtHNPfj7hV5XoNi90ia/tg6+lrt+SLdgMRaCS/pP +n0/p49PlqADbbpN4Vjx/DgqI4oV4MXX5Kn6y6/bMzn/KirFhx1socprkDSsyjFMh +Gy8C/WfCTKxL7+glWVpdtwv3lRBTY/myZTlhUteldFIJvAAFPXjYe/ZfdJIzd+2R +re9pQggWIytjhKwc5w/zy5C2+BhkDrectlDfhZZUrYP2dmeRFNFAVmeRbgwgVo7E +nkYyAkXGifOfjcwDHyDD9AT5YkAP2Jy9SduV17KZ4xDKOI65rgqhLeTWpn5IOf1M +0Orqew592iPtT2L7mMyHdfXmKiJePEVqTVRJE50SWl5wvT2+1HIdMPNbarAMiV0V +HzHATATEBQFerWq1wAycuHyMD87D3nFb29d/S13rQlkHNTm2azQbRbYyETSivNio +0EZHM96RWNR6akJTBnueRPC813BtpFlmZFhfoRcIzU82hpHva3LZa9Us+c7fdhmf +JLHUktTtCJv/szxa3DzevqJY/E2DjsWK8JljN+sbBTMjgqARqMi4bRoQDG/bl0KD +Yj5M29ZvAU2P66UC89Dr5n8M9rnZFqyqKQUmXResXgg= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/serdes_4unit.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/serdes_4unit.v new file mode 100644 index 0000000000000000000000000000000000000000..141cc7a3dd505dc7f3e82c308104f63f8418b708 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/serdes_4unit.v @@ -0,0 +1,488 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +jNkRWssA3AhSR3wXbyYHG3KIes981t4n7mw+JcvVoiKB7zJ9t0MzVBo7F2x+/ozQ +cOiLPJPndInCSEyVxj7IA6dct4AS35mGbPyoDye7c+OJfQDsuZnMHVlSYNvIW6d5 +/TGuKPZ7wGPesCZA33mV2CWXhRbaaLBg/5mnSG+Sf8c= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 21664) +FTdUGZkYAhGgUhs0KxvaaJY/QbRh/EwmbOGpu90Te6mZVqtnm9oCQwH/FqNNCWkW +aGq+XjEF1ww0U0L7K7Z9kHafGo2x84+ldp1fvLFINOb9ALoWOc9Sp3W9HYBvsi4c +FQqCb+oM2wTL7GV4hbA+KMBMkYPQutLoVEutCbe8fXKt8iDeYwC7+7WTp1V+4R/h +32bdr2TKWFOeC5pJKIsRmtXFUGOpMhPRb0+JO4rWj05FcgIRQ5BZpBxjVJBU+Rh3 +EMn/pfmZEALWzuPpaXEDJqKbG81QTBwG8ugcCpGFlBXbKd+SAN+OMK4zf3GfN3r6 +BXgdyJetcV917dT451mQORt2v47T2XkvMjwJQ1IvYo8MBCllzHWvG1wcT3jgDJoz +Sk89XyXH1xZ5fVNLiEHBwM84zwds6TyTrVHsW5NY6vuL3Ko4OsbIVtgP3CsO8BB5 +H+NLjGYL1WK2TD4gb0YIGhH1+rNEoR+muKoKCazuohTiN8DhsCeTUPV+FNBzknsR +Qgz0qxUorZA1Nr2YUL+gu8V6LKLvKPrM5JzLY5ppbXLMQiLrF3CCMuJcutKe0pOR +PJwKkQ5ma9FSZ61uVHQ/AgiKZmXaM0pgbV5T7av2FNtnfZTpFyTZheOPiyYjieda +Evn8nCLW12eTApis1Ee397keFhJjlXvZsBsETaADGDdgfb9MG+oOkugCzlpgejKT +ITR+3iOZRRvJ9lTtVg2cV22AIl9mFMb3UevgLRcZDkqYf16S319WX/YoUMvusZka +3gcDTwRSXWsdhCAJt6r07eM6/5dpHIouiGp7B/MZXGEk6EvF17qSApkoICZo4XtY +nB6feHdx+by2ikl++TvcNIj8fpZOC4Zhj2t4uqsyX46dZItw1+KvpmRcXq/Pbck7 +nhcU8RiVYTKMy8yCH7WVTNowLUDc3E/mBVUV65y5MKTih1HOxswrLCQBfwN5thRQ +nUW0jrRZPwl2KisDZCyODKpBYZA7sRNA7gwTan0X9K3yf4WNC4yOUzQUJ/NS8Ack +qxd0AO2MIuv+8S+jrccJWzmbujnK4cjqFdTok0Dzk6m9CTJZ76p9p/EGvxRMN6tI +8Z5gzLpmP/OVXH1eJEUjYfx6h75f7jK5ew18eIKL7QYyE9adAbzoI7ruqJxTCGu1 +dHNBMyYIO6ivXq+pBt5Bd9HDKvcn+kzxP9Ch1L7Oiz2bn9Ha6BHX4ueJqQyHG9IY +gjEjePo2gq8v49m06MHwixcvClB4lWMDZyKBtxKVyf/SWM9/k4dFjgHAAcx9MvyJ +qXls/pFYylH3XLkRVAL1V2gBD+HfboLt7i9LQU7G0itlSvJbw2aioZB6sCNWGfqY +5WjWGJE7OO5AKvZZ4hme506JbLy2/c4ND8xdakv3P/DfJ6jycY+qDdcls6Oim0iT +FnD3Yg2f4wpUfNxeSxLUZVxuNddyeDTA6cfuBxmjmyfVD0FFG7q6Suv15W15pZSE +93C1P0+lNVWGW45UmWEFJZ/4O4k182C5dHLkovllKOCXCjcpJCeRkz9tjxQ4kicj +ApzvvXx4ADCS4VtFcuSf+c8TnHltN6hqBQ1LSTQpLs67G+P3RoRkOuxfP6LuG4YK +2PWON04mTMjI5YQ/r0EVF9ERngXYy/e1fPkXRHbi4UJFm1frHJO9XOCfWJO1nidz ++H/mJszwcjcc55Z6mobWB7Ct0yoAMYvAa+E7wp5t7ZyQ50liKgyWI4+oZvGBzOaF +GSIf/ikBfAPdCyN1BkQYMSkWWrqmh9MCVnpsQbvF7+FBOgMoqLvOdPlqfKIqZ9Gv +lvR+K17w0KtRL91PnqdMdVxKGASVijIIv0lmuk6nH10GE3dZk2gAX3phVntxl6Hg +jILZb96zo7EpiE02AKi3QCxtWIShDsZZgKmPuc7Q66yTQAabcoUt5R82AOoWWW/R +Ps+/s8xTmt+eGf1jBk6Uvby+Wr2lJNPX6vA2ZwlHocIH3h2sRiYK2Z7igCV2EZXE +mTAj+SBGm/6BUjOdVpD+PywdFUPO6+l+fcJGo2rSsXZ7BKb3b++LvW9QRHGaBpJ0 +9e7KbXjIj6AnvvKUmr1Rq41nin7vTir98P5nzKn3M0NtVIHcfOQP0tJxuQVsC8dw +sMvcPvyEd0ZbPeisiEYf4Q2+CT2yO9fFo8h6Bumfz24WfT+1pUYsHzFPc90257P5 +eRf9tSutEFYwn32CZ/azsR6X72TsbAeTY61gisdD8KVhUrdKt8G4VMW1n6n4zita +asNXEWVn0Dd+fwbiyDHHuG2VO5QYJIF2uTRPPrAy05LKGkRsDlMAaCvsz0mYwgwE +MAjLgub5lxMfw65+HrugdqXwjYEHT0rm8QieRuYzgJIKDkZ4wnsA2x0nQ5hbiCd+ +ypi4YtwoJRfkc2htDkJoDei5tY5prskOZeybwxYPxw2ztHRLgv6OeK91cPzj0szc +fXEGCZ4Dj5En8wd3KqZ0xM5o513s8tC1jybvG24qoQz7dpZAG79av309abXqAKjG +QXgEw0pOGudvi8/XrWRJsRx92FLtZX3ijYq6RHNreSHvd62u60pUsscNbT0cVFem +4n2qQis+XnakQgt0AQVurUIxrlCW2Kb8vaSul700Cfqej6OvNMoWn+A/6fpQIce+ +uplXTtLZtKaoxXOnttA/Or5BTlyjwJ+7oDwwt38y7gjvuMbcQHtd5D0muS99aPbo +kLaNTnnkqUSLa0JunHG209cMXpStCITqkShJtdT4ZXKdy4WirLazq15sKE5KXElC +5ZfO5dePEHW5RT75nBWgyGJlAj/53HjhsRDoH13KnCA/XTqnP1JAdsgKj5ije6rt +WUQq/lQ+T9aZ+kvLjQ9hIG7dYNnzK7JgQoKqKL20sEDwYUwQ1150Yk8o5KJ3eGIP +HaA1NcLxknKLwTTFw5ZjNC+QIaycJmdcT/SpfFWaxjShfGMkFCV2JRJ2Gp60iL0m +33xPnICdHkPH6ntUifhX6eB5i7rmrm72GQ/NAHJSSY14gUdBXMYAKorBEXSaaSQq +/RUlhvCIgKehyCyI6wwUtQXa6X4aDRaUfigzHjzOUkdqTk1O57m7vCXmyAPDW8Z0 +4C0LL/q9iy4GxBct/1eFmuYJEv5bdxc9ukkL02cHc2SCwo6T5/hkPmlDVqPMnWLQ +t/GnMELCW0segdDDtwytRv4XxnmrSagRoJMk882W+3mAhewqzwCtOXv/mNUZRS3K +MaaMxY3Ch83mSIKgBhIZ2NcsuSUCqits2AL8eYh4oXOFe6dfy0IfwJ/m5PaTsES2 +P7jM1+f+JaVZ2v0VqJgEKH7dWzweCenlq0QnLdYXGshzp0OgfSjWwKdJEw2dNd15 +P8XwUU90MSKsjmRoObKKv+Z4OY0dGFLRiXPA3P8DYdHyhwsjmH3rUuqPYixipyho +f1FsYzAzG+nygmloJIqn5oTmG7DpcWYIfJ+v3MKmRqBmhMsw219sNKxZNotq5KJv +OmVLYn4J/W5cAFU/jaUVDV0nopCqesNV7Sn1MQkQu8GIDTw5lMBIcZJKB7fVWa3r +Txx/djzXD9FTz+Ypk8KhsSXeiGExlJyLPXHdMFeyLVUSIGkUcv3MzhNayHDcRHfT +OrsZcjbEoVdopWg9K5HbAczZrE8mIlDEEQXTQXa1WNpzQNhfPVwdWzf7tQoNEgBB +3DeJBD00QFjXFG7mRNvpDweSO0XGm8Zw2td7ZWVrKeX5s9ufZATJJbm7DMie6NnH +FwFiXaVborJ3NccVEoiCqJI6bM+eUvfSiJspKNCmR/uzC5yQs8RZjJXXvKSPUAON +/VNIEy/nhXwN7Oz8wMX97bT0ikUT0dnsq/eIvcxatR4l226DAA/k1fncDm4m6s8N +OF+oANmE7q7uQG+yCgT/wayi+7g/tw80zfZ3QP0Y1gx/sIVkl6znZ1/riest5eMW +tNIAZZki1WZ9y6nZ/kWoYEnlq430zfh6yZqfndFFBYecaABYBmNuqSdNWy0PWPo4 +L2ggnzPOlifG2Zm6eRvSotCRuOeT9WCm4agsJJkcUHrgCz/cFY5uxcBdR1GXpRrc +5MbvYLfkjJKsEt6oGW9DIW4lB7fpS86QSnu1+qBkNU5L7BguYVZczkhMM+ubM1Xx +GDXUKTNpMXpN3u1GfqbE/2HK9mYtKX9cZiAjV3bIpG2B+Y0RfUeyVpa4rMltbB1j +QUOPPb42o1odqzTs143shmlYF78/aRW06mNM3Flb6ytcmtSL9mi/AuqQJyZNjl2V +yzS7iWeFSqcz31W3d9n2iNLlZkQmvLb7OBDDPD+bjATlMBBV25aVwqHY7zw74iCU +wD1xuofUhgm+S6yNnQEqlPlLFgPWsZSVmQAsGmm2ksp1BN18+v+Sky52eovl8W+Y +zVJHiyp3r9bvzL2Me1mweyVwz47208Sct5sxqeD0w6HRfWeSQvbbWLTH6XbhWJDV +bzf+ARhdaQpiY5RSr/eNWKxSZBYtcI9jLZYrw7yEMJuAMBzbyW1skw0u1psBpQjR +8ZD5FTMapOyBOjZubUx+Bmm7K/mdqPHv1mSeaOq5l0xbhbTAGYTELNKCAx6Gx/c6 +pw7oVOeG9HHQvzHL1bkKfAXiHBSVcBBuJSPMOHnDDJeC18bP5FyIgy4aTYuDoVMJ +9sT/wLy8FOOIb7NFbiPTbagYR8Q0kMKirZDeMClbU9/3GwZP/vnzaCLwvOyT5cEY +B0ExIpby3B6i47GoKVnLPjKp64qbGdp4onKCuTpdexxuw26MlkEGgx9boWXjSDkt +QcuVle7T9LVfZNboe9n9t15FnSUu+wNKgNV99N11R+v7gcl5JVXVCbs1zQMcr2oR +6jEkQuD+Eauz6gtRqcHg+H/+zIxFyiQZps+dchwGJziIv0zOWP7CXKQn55YzYayj +Qpppozdi6oTyPq3MNlzFVmg+nzobS9/J8Y5PDZsnM4IXIMglHAzjv2u5tUmLXtb6 +ICDd3IPK0rIaIiGGhogNTBzZHhSDmM3FAC4XoNDoqZPYAwPWXo6zsWdsePfVXnMA +ANZjMmI4fI9GwCKdzo/rHUEOy1RmMbZ8vop7rlktBRyN0JTrZuTpI0l6fS3gt7XG +vtwbx4kMOfNmZ0Pp2vu5+LvrY3eTbgkRqKWR7GsnGbH3ddBEE5X1sk3ObqCBa0P5 +/lBJxZPgLx2LJXT0HwN/A9kS1RDuLtwSd9hrlN/XzvW07Uhw6kUZBLU0yYUiVG6h +8O0ZZhDke1PxGxUGee9QR7S6wKpJF0gutzfaeV49VhpE0erJdhJB0BGdvuSD8AZB +w1WpvtrENEiueV1nRAjbgFSjIA0JiwPvOXjhKFHn91qA/KaCgqq5/DOFEAjz0bOg +TPkzs+lyKEb4X20/RCgqyfoAtOonWTVAzsAM6RkzIJ2uVlbqKeHTqV9lEpey7wU+ +nUl+XrA8DxFAA9mBMuAz2WmZ4pbSVAC3SBK0V8fMR9A/KgvorcbTKUeOVzkPJCk7 +w9weSRqowpnQqirQhfh+iTuriAv6B7qWADo7Uv59+A1Fx1GSSEswOFnSqx/9XdOS +GtaDVazmS2sBs/obvOMa2Zq63awekTSuWuFIhbiBwEnkBJsnLe7p1mZnpXevlO7z +f8ln1ArpjWM5niNAF19fNh0liHBva7eD+wBkqEnW8xYkfrWP+q5/i7hGv9xx1TmA +yjdU3Cp89hRfAU1EF3q8REwq9T76d4igjCXd8KIIID3yNIYkDFa2BncNdY68TAqk +XMM+3gBsYL0SDBhYSVxhKaunkK/qeAwrVi451glM0FQjbI99dL0/lxPbbG7GHO2N +sGd91f0ZOZImKbidabKN+xQP1Lw85XMJEIwMAZSZWq4+erFLzHmzmhb5/KyaNOTL +/BLDe6Nf7/G3AWHqIy4i6VFTSTftXccKGqENzIDt2YixyJkvHy+DzT9NC4VLTGb/ +wiuue30jkRgDdgQwSHOUSwpy4YGk/zJKQPDDW3rXzJhEoYoEFn8J0UfgC+MoiPgX +uebpgjut5Xj7NQ1DNarBgo1DoPuWcXfZrug0LBTi8SypbxTdHwrIbvrBllebwrR7 +BHdJAg4DQBI/qR6YcrKXcId1wKsA2CJYT1LxCKI/lA44bMitGMmK/ZZPD8pN92cR +FD9GIBUOYAU704Hs/8Q2xVvp6FaGCdm4WNuvCh+aDokkLT7ybEgdhhG8lSw/DT5S +DyupR9ayWOcC4k+r+FcK9mAQiZh4HUt1XopCM3Ct5ZOTKqnNrRxCvGi5S7W//M5p +OFzxrjj/CLn+EXDlJTHhRmBjWrPyjN7lkNvfnHFcKAUgY6Pf8IC4d6JaoBogAOmN +/XBCh9p/FxfGsU9VQEBWd3gwFwlu98AxDydLm71c4faHcsIxCF/LJd3wPXqE9nZd +7KnUvOayEtrZne+E4Thw2SgVU0rD4HCChUKNGr7Z8/hRseCR+ehEnhfR0lvohd8o +aP1kNEa0po6h+a1iXGIX50knx18PhaS+YgsRF+NWe3wKTv8M67fmIlc9aXE9y3tN +d7ZH7sQV6xjoZ2FC3LvgWCrII2g3C66VeOyojiUy8fJvvceE9QYuTj2/8po5YJvi +q4FiV9+43zMerX0vugLkMXaiKydSYaLAKe0rG764IbI6kPll/Pr+YptTX2WzBFo6 +82jtAfWe38pdCqtLJp4JLXHyHVyXTb0Ws6ZXdRRFeHOY5BaP6XqrJG6e3FAVOIBy +ZmWjr6vEKdnnMgpEdjoQvoHCC2lcBn2WGqIW8C1UlAkFa7lVsLBONtc0RMsFQ/nd +RQ/BwALD+2zlzL8U6awlas0i9+r6stB8jmX9lkvKDkOxkbODNPPOl7IpRSieuVee +V3sgXhvvJWkB5Id3nsPN/xVuDKkQCv3aUoME6TiQzF3aHPW3SOwT5Z/fxAPgMqxJ +T0UBRVt38dy6/ETOTVTYqfNlOVphqjcRCbAjVbOLXFkNyJwyNLY+8jb8pLtghFOB +RpWArgs5MwDHWmzc3WjD+HcLy+csJlkBKFlliVmP5h1qpGYeeTG5ksTB793jcchf +TYEOW5OqxiEdtChob+MzYszhn6LK+XV8uh4F0dA4O8pkcETipq9iceGNlpwfZ/DF +e/PoAs1rYzIm3qsVLKMKAtd0QQfkZPBgX+DsigAm0cLz1v8tiLfzKY8/a/P39r/l +VV30QMBMFXJKvdpxur5AgGOmMvY4guoQhYKFs1nzXVd8+QKrxJ5VZ9utzL0wyRax +iblRcTO9pNBlZJdqzh+ZZMDHVnXQDgIZLj5+eaa92NAZ94wjeHOqyPi+88+5Hqui +T3jkZ3Xu2dChXQfKblsvwcyMm0VggpU3h5+4FFZ1ZUzRn7TQRHTTpyGBqsbwHrMP +g3rRkUVrZB+WKf1h+ejzpb0y+ZpYb6Yl/UgVHqaotpjydh5nor5yXVQ61OD2hofX +sH5Dn/egVFRDIPP5xOcpNvx3qbYhBP968oE7pFvGpbJQDFRfGfLuMtvlvalQppvT +IVacJQrDp0Lba7PJZ+G1rcyXi+nWmMqi7CA015pU989klRvqc2PnD85494Nddmi3 +JYsAjvNDJsOTRut7bLYIipDePguRTWB9KdUa3Yi/R6N+MZKbRPdbNsCzTR/D+x1/ +6rF6M34tC0pmc62Sn/b4HLV9/PVhRsi7+DZNLzdAHFuE7sbooTkSom1otzVEG7W8 +uWmQLq9I95qDg4mJLBco19XmLA+B8JWaOB3r5+e/5UfRGwEkMMJip8RLYPdgDnqb +78sQgparEIrTfKmMo7j5y3KhtU5ggunTU3JnorB9hCCG07DMEIIeGAKe5u9BgIfR +tyyCMVfIYYCgN/4Od5F+lIva1Gr0xfmcjfHag8f+PrZ3/PsFJi1v375ShLkB+Kaq +mCvSbQrSw+IWChc0pbQeBg6GqzvQtx725YX7rE68l/yISjCknAduCocvilpFS30/ +U+3w5U3Q3MN93k5VTl6Bg+QURHaVpS1BFllFzQ4QCXrypxFzeagcRPKT/jMtnxAD +QkMOHaBcHMs7WkAuwUoV6WkGlMkgrt4nlWzcDrNwZfNrlpA1uY5eJ8f8uh4jXJag +/YgDXDKqD/l3ry3Ayw5AO+5ryF726wbONWEll6EXkNT8WwMV4IkB+Uo/vLDEqJ1c +KbI0yuhba24tHVknx1wqSDfxObvn26YAlJW/NspZx/yJB6JBxm8UPn0btujRGkhX +Jxqc2nFKgI9wu0XoW4r053k5LjroPIdYwJc0Z715go/gcmUvQeE4sKyN86NYM8pY +iQ4rdSnpC3MdtK8VgoXs07XA/y2EeLWpn6ETnh3PpyYcq9AzSIpgOKEu21CXVQJL +lEnqCVhtkpICBII2SGzEay4tFPjSKbeB5Z7hOtYpA+lNchomNMMAiO0BW+FEcLED ++kkCrQmHkpOU/WyU76ZpSGwKdXf6WIPnuxieJm1yPRySjOzi2hbA2j+Bz4UG1Es2 +jAkFKg6+zDIFfiUBPzW/CFo5wg72L4Q0CHOi1DyLO8iKQGMeoLyakODg67lFIEr6 +ZtgKnyg2F6sHW4hAeGomLr8Pduz15hslgMIQ3Go68ss+e9PHZ430rCHQdUNWIsiP +S/tjTeb68KYsjlCn2N8APdgQqGZYRmZ+FiJt3tchs+bHNQ9YBCfVRIEXQTivQt9K +Yt7uk66ItTTFC19MygW5aVj7a9bi6kyBB7Jn/gwvlqsf0stQhidEm9cDPTr+G+yU +EedPehO8lRyyvifXtmK7/OgndD4LANx5RZyVFYr6Z0vvGmnMihlEzvGQNZROUWen +Azuf771Oc/z8Mcazo/c3vK/NQf+LA9nnIxdJaPNiuYgK0D4oKKqOV5BgAPmb0pEM +ZmMGSa+OD0zvx19xOyXk9+6PgJ7W0WEnGnMJU0eGin5B6+oDdX5NpOWp92J4J/7C +bTD6c1S9pSbbj05wFu+MqzXEiihWFhCw0VUkN92nag0N8Q/5LwyoUHmXkGV1A0PA +SrwXLYLEfPIsnIg57mSf0bGExmWx1y84BgUmKCE28isUwxZbQcuG7sm3TVio0R9l +ynfsVPU9OpipX2GAQvEznwAg6Wqps2krqXKhLcEVjun1pybAMdv5GIi3lVBowmSG +wHrfrJbwwG8H9jaTHrxq/yyaomorW9OH7NLmJuPP887qEsExDDy8a7UY2TnbiY9/ +RRR/1JjIxDQDDoNbUejw6QThMpGvoW4iFYpLDMigQMm+Q0h24bQ2qWKh4jeKceo7 +CONWGDm4aRYhlfnh0sJYs98mOvqk973o0PFxLQ6Cd4v0AZlArGu2iZFGK9qHgoys +vuqPyjLEyTz8cIfdId9SXsDQcNw8YgkcMUxWIC8XqyYoLaOQrMpmPxbaW1kPkLFo +mp9X8c8KS02OTFT94O47xoZEEui9F508a7fTGuXaKMVcTJplGpunpI+t3daxDjta +Ph15u1jCGkYJD0693ls+04jwhL42N57qaLbExP/ak0hoQTzcBzqeUjs27+IfCJUs +TAJX+Hk74fhyYfVjSGcg3+TwbBTzqYBD5fLpsl61PLbrBCbcsxs7zfkldZvqy6bR +OX8r42qiIJq6LcOPiO55pDJEZNeCg5q1YAkbpjpiTloBPPksuYJMSXDl+7dve1KQ +9gqmWqQwyb7zE2Sr1mfY9h5YebrX4qMXMHEmeoSJCOJ4C6RGBTsAS+iCHTP/zO9g +AxX5jtgheEFIxy//9zaU5wFq1QlThiJQE54izUlD2POUUl15wgf4pHd3+UwzPOJt +dCwyY07D/XF24AJlXoZadT44n8ytc3mCmfvBysYFKnqw19y7Xbem7gSVx9kQOeib +blvb+nLR5DolElcZBkGX5DQe0zAle9cM8rvCiRLQKUt4gBelFct0xii/bN9Zzb+Q +fv9ZSMIM/LAK0Cbl9+erSNqiVajMLGpmxRhsCyvMmvxhqkLKpcJZ/Zg+l8wjuqP6 +ocJL4bFppEzLVH5Qp+xyCPN3cBH34e5IJllt32fr7kJVsi1Ws8NhTZkFeChc150A +taEcu5KFxhpuNA5hjELWXX72GRdeUm1tr4LOEB0/K2xGPoGmZP43CKM/aNU4dfS8 +1IqVGE4AO6A+Aya/nBKVrOeqOkSuxx74n/lYF9ecYxruR5hfhXqqaVDTrgqBx/O+ +v7s/lNb7oOjtakPWmMCl7H4Iw7X2OoAeZVbzzzF1NgqQgifo76xsqLnSlfokKMCP +ozaNiv+8p0uDl8ioFL1aijkDZNNa1OckFhBoJQS5ugMbUnAJ4iStbsUB/Wmfl1ti +I2FPTxyCVEPhqniqSXTYHURRkL/fLoWtbjmEtnVLL4JVcOBN1gU7+OYygNo9KCQ2 +ZmmsL4eHskF22KSILda/5xKIZ3g1oMVYRRWYFNSbyiwUdrVLsFm5DF6+Xg7l/t+c +R4EBi5Uz9Dx/cVF0B/E3SeA3wJz6sV4LnqLXvwNHPjH2pP/L5QzyOXoWr7fcu02k +ZlaWKfTR/tVcyiyjM5bBN0n8w3aDO+TGA8CKCFDcgs6ukysFKSzo/CiHSWCENUcS +TJnPYdhA1QwKTZeyXhzuY3rQPz9GoBd+27o6S/MWpdpWD9XCmRTTwgnxaybxPNp9 +FNOZW31cWlktCwwUXiApr6d2DPEah6LEWOqu/hoMDd4MPkvxhWKv/2huHNV1DGNq +t1x0E/9SZ1iUfRI6EtV5yAVWbN45yWKMxblux1/dI7kcbed+nku3jMuYXtvQNvhl +qek9eEKVRCwBWVAQukx+eRkj7c3efeWNcEwf+bFU/kpatxPHlxNiW3OZwSQO+IF4 +tDWbXTvGaIq9BXH70tUJVjCb2P7I9GDAyzp99eWs8C9KBdNSfjb47XckblkFq145 +9EtlOdhvy0UTwfgjsAJSQR0pHs/dRIYcXlP/J5vLC0JOsiapoQPNrDhqtiWzu50I +t2zDBPrM7nmFOYUhjBGF9M1n4dMv1ka1aBY0xXwQRKfhFw1HgMH53BspfjZOXLnk +s04lOfM9du3gd5S3EY4ozPbO644kfuqaHwXf8jdufWJY8hE77o1rbP3IY2QmzXyf +Nc6FTZjS1DxSQRoF6UnuB8pscXjf/0iEdwafU8SojkmQIN1GAOCntBeiXCno/Bna +KqQC+LKuj6Lh8tIVD1sQCErTrMUpKpkE7PyHR7tHDmDqgYFnrI5+LySG5bnc3cW6 +/EB3wEbTx7yUlJ9Z2sloj2mjzozBhS77cxFxcNhwfanfR6UCrOaF8Lt4Nb3NKvFC +OtrP2dJTnKIvkrnSUMX36eEjb0FLNjuKKk506EO3CHftVR9HicNejhMbes3OQwr0 +SOcWspxPP/4qwR4ZmXLyk5LFQn//WeV4wsCOXYZkVx7n9cdTHepvGbvth0QU2t1l +Xntz3ViukQjTCvi0sFDnNHtZkwLlniT3sseB4GE6cehqOzKzf35GSTX/W3lJkot3 +K5NP14ujNVE/QVLlN42w0MJxJf8L7hX87EGfdFqWI5lg4rfrGgRp5ZkRJ5UL80u9 +EVXr723QQcsr6niWDzbWl2KLwfrX5/FiMXHOGcMoLrNzT7IMvhtI4kQKrRg2Dufu ++vTo8Q2wte+jNukYwmfVBN0bzb+WEU/+DXssJkLfWhAltgLVydqUU8ibt/OFYLYK +zRSX32vUPjmv728izt/uGkujpc7GjGS8jREXu1H6bnPdj4w4BvdAtnFU0GekyEWR +X54DO8hYBqoBny+O+zYolDUV4TBZqEEvSZHsneFE+0QlJJYJqAP/lbETsdXwkmF1 +uYj4Xy5IeePCLDLN0E5qHT8vc/Loe16maeWUSQB5GdLLQcBYuj71igxQ9FiEMwEk +uBr9tzFk8aol2mrxA3QZMumg8O+lJNERV/CrJHlacJNw2+jHOVj/HR6F3o1Podyp +hKmLNmtoSI2UfwV5BRRN7iyq8LsbOze+2n4GboG/VxrkMQ3c/ffRLe612LPBsKPa +RrVWmLdZCWjCO6vGA8PGIGsBPBItyRatXfDPMk4QyZvdldWgzk5nm58xYEUURRVK +Oq0onZfp7UzLar7ecbl8XjwCAmMcZySIC8UcFCrUvg2ZqS2NGNrvz1X8hihOk3Gt +JeX48GVbh1ASMy5hgWgBmKRLHH8qQRJbry16n3Q6p28aGhGutqjxt9fLbrP5Bdiy +9fGlXuvI2pjwoLJB7zqSYEVhLMCK1+vkYt6U0ipr7vTQ7vbQIC/kTfI2EydIju4W +yFDOoe2yvzpSfDLa9ufZkrOMJJ844h4Ld5H97eZ+BvOa1xch4Auri6cm4ENN1bxJ +6bEi/M6iQU8y0uG4U5kmEnSM7WMWJWpZszh0RZ0khS/E6CXCWqEn+ihQIG6kq5f4 +xtgTGlM0rCKbmSNl1lO0RXeKH4TU9EnfJUt8VLx0Ei9FdAf+g55kew1n/Js0LgoZ +vl95OiHj43cKoMhwFiondJs11M5FnT69VqPiC4o4EzunisJ35/liBIIgX6mL2gYY +FxFOooFXb1/JIXYqdZX9SRKOD7o7LulDHHiuU1pXQvDFpAdHJoq0q/DyK09Ahlev +DNvCZGqNDIyY9H17K2a+IIqWP0OpiyRjylREaSjD/fq9+AUwf4eiRXzpY5UImP3Z +GRpR/kYrUFZvhBaGiOvzQckRXQSWiMXa13TFI6SOBiKyhVauGyIc6oL28Kn8Or8n +FUNfSmSAIn7MzwF+FgTA+0iIcfHe073mB9XTwfPPOYPtN6hwl2NNtMuni/T+XQrV +3azuZPIGgwWM80VwYHQbLxeJ8fD7P4e41UTLCTFy2JdXFbuRd5t2T+cRL/Uu4VVO +ZuVv9oqneOY3AnCi37I1PU/J+WytRQbLzcAgrZ0Nr0hSS5lqMlueiJcdNkS0usqJ ++Bo9kJyWQ8KKruOMyAxDxQv6b2CqqP79zX6XKGU3/5yKA52xIk7J8HqP9UNKUJ0F +BH6m8QWOgbrENrjCVqpDnfPUQsePm7NB1/0AmuEJWnCqA6pvNKzZKTreklHpsZa5 +irKXe1Gq0V5QNqLEI4eu7CsSg2qBf1S2Dri4SN5PP5iZBx66QERX71Sq6tp/4eX+ +m0bJJwAkwmPI8PnuSKh+sH1F2L+oXkpZ/9HJsZm2s8ZV4hPDSQLOclb77kfk1/ja +6yhYnv3R0qi94V+7h43nxLbMQeujAcw8/y6iQYSIQcQcP4pAbDZvZtRT82PC4nGF +kJ2ZYsK+xVpZG7loAKBF9DLQXJuMfw5k4uFfQyADMFzE/ztKlPfbd4POKsEAx3iO +J8LBbnqX7q8BE62ga/kkXSSlI1WSoeOv8rkd86GQYvkZWKxOj1ih7YgjxPtiM3Iv +bxXb2m+RRXbMCH0Frs3alC4sqffKm6SUl8S3RGEoYbgKpwYXzC+iTrLxExJxtRHG +Gu4CqhR/9HlXw6CBDucYYWFuxQx9E36UDReGfvsl89qkExzc4yCiYnb38ptKN6b8 +yFXVwPRMK/lRQ7BVvnX5b/TmgD3sqD2F3TCAnyRCUzjTDVkL58DENuHmBw1vnm9g +6yZDEmgphIv+L0onTYyQUVZXbZStxsNub5gLIcsmqTQA8pnDuTLjXbClwtcr7VYn +49nvA12u5/2I7XD3yUdrIsous5S0lmETKSCXhBlvYYhJ9lsMOM3cqGSVFMv47gxd +QidHTrARAaEzJ+frfYeHtPIMC7uB/hvJ7oY+p82hNtUX9bhOzSiBKq+83koIR3pa +/7zUCgx9dBtp5N4/ZlSYN//xKneNzp6wGQi07durZL7KCld3I4/KwDEV3/K53+ag +jltdPq76kZEB8Lg60SEo16SpLK3nEXnA8uLoGDvO5cP5jFn53eFvnc1oZS5oSdNU +3/P/LKJ4dSMI3lOER7kxis+VxeDPz0w7vs75AuXYzQ2wZholJblP3Xw9SizLO0FW +u7ZlVcGh4W9T1bjEOXAnI+LjL/mgov2k8UHXF4WKyuCm12lUDPXD+cQ8vIqEW4zJ +CjhL3sQ0Su6jiZTyJmsNkK4K8DUej/qOZvBDsgDz86ENqtX1tD/ReACy/KthrAmK +PV0qiS2Xa0HrRbrIDs4VsdBXZHurT8aDNhXQeK0Id3SkQfHY/tOH8YVBmkjym7xk +58MeHY03mSsl4dOH9nGqvVqZqFD4tjaiYR1jKa9fu0H2PT9Rm/w+8IQfJDdJ0Y3D +6sGe5UEG7zKM6zuV1wk47yMKb+ZUsoB89k0ITweNLlIsmZO80+zhgDQpXrLRttLA +qs8vJO7321fEgZo3DV1CbihS+KgZjRzSGlh0FJxR9aHYf3np34Xku4kgExLubR3D +h/wVoH+FlIZpLeoucHxr4vM80OS0EIych+Pqcil1tiPfuWbjiAJfw6NK/IhVnxSv +J4iXgIddsfLXPwwNrDFWy/lZA74YDQoPiytxFqOugCE8oi7B8/1A7c83A4yRmD+T +ZbZNJZRRMVlGujME82tYrF07WUJleEAnJrxjDbGWMCl6pPEOapiXf+UUTZ9gPq8S +7yQLMI5y3neBNNDf2/3xCJp3/I/NU1H60Nvlc7EjeXJ7xbrIobNAjMVcMHb1QxZV +OqZtLRP7epXjRt+8ph3BF2WUb49FVdXZjtwQ9ZWu4L12YG0Otx2PtEYVnrxraRTm +WtBV+lkntAZZaN5G72pfwUsc2qGkOrvpeIS19TyCxcnvEFGZj+hB6dP4rJRV9/40 +ZEOOfY7EGn20NuWlSHkTAswQWvNwiE8UMh8IJew7bvEC+Wpq+d6WD/2xUo73G5V1 +1t+wehHAkq+3RzOY9Z4/BIi2jCjV5YwvRvVYrWII4zEMKX15vbyDjOov1i8vT756 +aDIJHih2LwNK5dVq3KBvcge19ysk48VE/laRrx78aEHIC88TpAHgJkiJT1OBrdXE +BTfaOIZWLJbqfvnPgm1AIRfR2poNtJf9cEfsiMjRt2ouIyeuk7KL6uBpNhKyGgY1 +Ien2n6IEZIkFV8pHZ7idG1lpsYUg8PiH99mVhEAsJftxD1uS9dofmek2iJ+Ww7t3 +eJCD7HNbbTbwMe7Gqe1a3nKvfBtAnzxiaLUDN8D235rRD2PAikiHVfoB2xtGLYmC +DiXXMEIFr2I2LpcLnZtJBfZSC+q95jpibjpngPGDaT58fzKCFmBboSJEiGbG+z6m +q2wIgYhudi8du1ovw3pHThgf4O2ZT5o2qp9Ywkuimmlddwz/E77WJduksH7e9iku +tFKfUFMjic9ja2FR3dfOm9ffFPsa2eDuegH9F3JJt/N3QIuEsF4R2E1QK7cTXBAA +D4py4lhJYpSikuaiqNVNyk9KTaTkAsY2U9ChhNOvhuCKd0UZj3iPZRf4ZgG3Uu5u +PHgL5qMQqWvzAIOjwsp9eEXs9htY3V8pJayYvVK0AgfeomU6qfmjPQY8OLf99WjB +5jQKraL2tl5cxYMo5QZjirLAVT83Y4Y2Jei8euJeNofslROVp96Xzfq9W/dpuIk6 +dvIQcDsCOtnlk1tttwl4kLmYQmT8WAswcaHE3HaKOcBWZJfAouMsLGghmo/p37ep +Rp5KcM2kpZkq8ljesY57RoXLvDEdzTeKAgK581J0eZZnd2P8GnX/wj4nr+/jnYu2 +vy+A/6yQCtj4iBLiLdY+z5TJkw38H7Y69AoT03SPBUxP7xvJ/kRSHEnV/lXeqBp6 +qh3UrJzby7t20SZ5kYuMn7wbhl4JC+dF/2VcUDhMFTA1+hD7pIX/CG9vNX+rCmU5 +y+wE+OmhOTYxHMfCq5VuW418DFOlgzrgF8U0xRhlmyDoIPcCaJ+9gi7M85i4NbK0 +9AAlPbNGNaFim+Ui+gJu0VaahF+zGAL7o5tzeA476XpkjldSBVQr0yIzt0WxRaZo +8bm8OATCe3BnpX5ACihzSLeSeoMZ6SNI1t6YP2egWD4KhzGyjYUoeYHvSUjW3YmB ++4QL6r3LqvJE+ir2fjE0jeiIrXBlrYtjv5PdnPqpFrXrDWrghdD8HUCIFeIJ5Kev +I6745Yld39gMVMjqthRVeIx7m6LooTtzHRGjytmlaXBUUcc0E2/ysiS8AhewTfJP +PDoYvckPGHgXDspN5x2BnD2MiEwaSMxam3Y+6pKAreXDT9ppvEHdpGNNJd0tC2ZP +GBkEaBxh4w/4zjZkZAbKTIMSxbn5oRcPTdRcJofpZTCG4vsaiM1IYUYlBVewVfYD +uemwVXGTYes4I6oxiBvR36emisNJx7VEPMHvLS1mFeb2OpkyE+8A1Y9OPcsxsA1z +qph5ohUySj9tYdlA/2YWbAw7eVCKg8Y2mtZO4UqaazSNeTzYqlK+VeIJwDOLBr04 +wvue6MLpF1LF3RHPfV/CVuGymA80y6jUgni3kmF6dDWqBfUgNmJq/vn/KGxmaEwP +JetD8eszOHPqYUB1kSvJ3T5kug8F2Wvee5xNmLhRKHTbGkWxhUvxbHyE5QrfsfbJ +jGXz2P7Z86QAT8w8KntcUOCmXrzRAJdLbhtgHo1F8IU9/QLH/zWtE6/hTcW4Lbtj +dNN38Z3KbuUz1W95PxQjclyF1BpT4TN8hme7lQkmcwjE1EHM6Aw4xKdzI6PW43qY +fqJbEbZxNJTTgcUTJOnv2l+f5M24mqDCSNwQTI14zB3NZIVF/OXlIfLScU4LLX54 +y8KBjCi/dj6OS4GkoPKcdd4fmqIN8/Mxn9yca6epIhwehNsZDRUGy2MgA5sdFkoK +5g4CWKOBBMp6mFqMvp5fetqaN0y9mp44E7ZWWeCA3tu4ftoKSUnNZG8ezJMpln0u +c4t7OfDiYwYp+YYO/+byATTcHuax998AeU7D3cgwSFnyZlCiBOYKcyIuscam+3pR +O6Z4S7rP1R/mLhteA35ChJxslpJZxaHZ08psmcma37mu9/NFXvE0sg+bW88q4zL2 +dcM81Pmnpu2tWmK6evgP7/dF48RjxzvI/I8B3yzd7zW0nkMG4z7uRVqBPPWW2AdH +m+6AYiDBKxUfa4Z5mrN6h+F5De2URyU1Ncf3Z8I0ZG7n7PcNAnyfc0maNJpoS83e +5oQCOl2t+9srtGrpqmOLev0RhHlq+0J6UKxNdfYfThhAFMLrernnndArRx+qUUKj +cayyNwqxatL2XAfeBhJ/zUPqz/EOqpjlHzsqtg8pJ6E5KN7B9cxU7WbFRrlzXTzz +px1y/Sre3CvgXrhOR6IeLeaxwMJqtPpGj1KMDXGVNQr6e1QYtXLN/9Sp0xel2LUE +j3yiX3QcYXBySf7N0xR4ZHTt9TdBUwD9zpNgLjgHKDSvfbZUQylETdaEvpqmqrR6 +YjQ/NoB5jnr0mIya9FCtvBa/kBqeX30Y3aIV4vZgb+htfk3DlBbqHEH/uRHLKBjh +vx+sSfnOr5RJM8pKyTjEpLxyp2YncEgXa7XlVTBmeRh2m6AG4cN+a4wtDZ3Tftkg +2iV3OX7nQcDMVMgbiVq99OqeBj94n5wvz+so6vTanyZwUEcgIOR+aQR8LLtiXcQX +dWblmOraE1Jz79D/puTwE2i9ZKJ2Blf4FMyaNHZPbQNWWMZX3sXJ9M/hX4+mj6uY +8k84MrZ1jZOpWvDrTsXvwW7HEAdNVEbcp9gYOJ76BtRViaBGakV89EVWkx5oduqa +rXlceghgQUKPfXbIOaPrW4wG6sh4JggrVUc3tFIG2tqVXBUTJ9ay0pBOzB2gqWHc +0cp716JdIqB1khvb1f7kE6zYO6gj1R0gRsKXCLhs8bV1+jfSnF1M4MU2w38xCaBM +UBv9m2DYKgd2lxSiBeU9scS/D0KJ0T858B2vZo703qvU0a9UGUbRX15wu8rlPJPX +Zvq/mUgC7FnWPFPTvO5QmTPSH9lJbGa/o49GXCjw4v0ZrukFxjUPahX18A20thFo +zSgBKQnI0Nt0ZuK5Xr6WQMSxTXRMI393ivdTGiqBW1FybWzwbsxqF6o6+dpRTpOb +IKXpOtpfY4mc7EPk6P/Qn+ptnhfZ1ac5S0gkXi/hyK3i5+6Jp+bQQgy+vOuMsK8v +NWAudMonrghl2vghhr4shZtNRM4Y2ig4dT6HnSuQmUmhNKOkEUOn0WorLcqjm4UQ +OZfBOV6BMzTmhvMOyyv8qxZ3QP/FyX8PKDzkIv0dJTgvK7ZZ9v9WmjdtbNCL8kVE ++KSDZ8h17gCWWemFzSFHErcrReVOD8uM+Cz1TkRllWBNpHjctHogO7UBoo+AfjzE +XXrsPaxefN1qSlet6I9mI2UIgJ0rPB1IwGrOLT6I8/WsWwhLYE1YUfb02OxMc6+j +FJ1YaNPgeGQKQpIkUUbM/oLsg5A4uBuc68/PHT6sCQ5/3nX6BFAEm8KESycueIf1 +vxWIQ9FzIEDdPmOqzfr6XgBBfuYL0r0VuYTZDC2lQ1B1qg3fyqDiCK05FUjOERSn +22DVugYRcjgW9DSHpmuDnwAlMyeBGNqLKksrYbBAVtWmStsBH24880zf3w5/v+0n +kImKQvMGguESQbaCFKOM85K8i099yLZGVJUaAgXCY2YxszbgOaqOiZPB8k4v9glC +lW3Y5gPlWbNTxOf7dgkELopckaWCj3RB4DN9rX7oPruALUUP/aE9gQ5y+caCLC2O +jfu8KfnsP98lHZFTsUfB550yz9JyDqeBzKiuyPN0ltI0CgjUj4fqef1VDNds2mo9 +8ZVm/JhQnIrrqICu1EwAyKsa5Sz411FgPlUXEO+qvm2BF7FarBNGPyEUdUwM3vsJ +1u+WGnIwWWtuRsqPZ2tLIy0z2A2W7MFzBTeDzVKn35alOPyXluIdMYx/oP7ysNNK +0fmQiqnrJ1ECEb76wx4ERCQasKvcz/H7ZDN7meLiEooscZWawktTDwcgkhJ7Oq+Y +FR0Slgjh97EhhyRZZ2oL6a1SZZzuXW3dZOstMqoQ6rXnDC8R86zhL9r6mUT/UYpQ +kIA0nQUR0+rq2eX34xqQ5UfRFxynZDoVoQtg19zgKoiFF/tqzS9vv0lwg8s8IrBW +RCS3LszUvXWo7nc6ID60vmWkG2c5HolMFEbPoogiBLXA2OsYE5uaJHebnjmjNuUP +a00GgSnQcgLfz/JPUgnJR8G2lxqJFC2uN+HsnfPBND6jybk2bcLrO87aT7ffbOLk +a0aAiYKK9/Ng6Uv5PGAToBu9BamUusgVrekBrDugZpBF0NtJrShF1XfxvA2167Yx +rrsIdnjGayTEE2ECee2YbeSqlmi7V2VkqaHjiXiKHmn1m9tSQhfRvfNLjLP005XD +EBn21ichyX9YGkb94AeXI+a6dqrux8vVEJN6BYh/Qfr0E7K+P842G83M6D3YnBVN +lIalQKY5i/VVIVcmUqr/J/YZD3j19IQRmiC4BNEjX3JaeOLzzEF9PsJHQRy3JCFS +R1y3tlYLNJY/j5VD6ICy5M8lXIyUIWVUJhw3GVGU43Puhr5dbztWUZfwYPfKTUEQ +n/Roj+f6FQgKpeVSPF5MZlm4d6JPoFhLvjBrtiHk/yQKDYyOgibIlkMH6FH3yCPl +tN+UZG5lN2584uEDH5uPyoSoL9OdA+hm6bgbBUa/fmj64fFGyiw4EQnqHVd14San +T0O4CFqviC5dcMauMSXY9EkVS47++idEl0mPOeeALYbsDbMCUIo7LfptQAYeydss +CzmhyT3Rq/Hqz/xNOFYivzJXcUwW44rdXZD56lcpf22E9FQ1VhTD3Bv/e8f0K0xb +dYOGQ7B77L9jWKshvE+8ifh/IVXPoWawlHa1FhPJkQOEVI2XWGCHo2kTIOWPSv4/ +a8IrDhkcizwOywHljCLX8rAMKFAkATttPxkL660X1WJiwphQPDoxUjZ1X6iKJXw2 +RP4M1UUyowZPbzXoU5a4diSoS+d6A2EoGRuADFPIAP/x8gUvrh5gSeVYZwYuCw29 +sy6SHeZ36ERb9sjBsLscNyiRNgThwJcP1MWaJDWKKSfNA0EX+GuFqwET4x0BP6hu +i7McyNrOTcw1AdSHK1CNyIM07uJs84VX9LGB7wmG+EcCKrFs7X8ri080AEwbNlZx +R46RKBOxpSdN3H1vEpMQaD4en233X+/7vj6G+PFgc4zmm3gwmTrPLC9Lr7Cce28T +QtiKPdHkzXdOS/kmbl5qghwFBDhP2roYEbZ0AmMUdDkOqP++GhKY5/LpLhApBPRF +G4ZRt9fcUpGcYbQZQuo5NGzfOSYhi9CBjPT4/PXHZtzA9jfNH00RCslIbxWQdkKc +s/pmjnREw1GmqVNg0f9ZuScc5NtgYnT5FDXJo85sYZbHMnUzMylGgMi24Kg7L78p +EaHVA5Zy0RFI3spoEoQWpMgmuH4Zctlj2MK7akEyZx6pHCOK/G+huXB+ukHX9gSP +0BK+GkkjMTRyunfmhfGpMKVFAHJj7JvF1/NrPQlYI2pDwcVJXaCaqCofLJtvqOA/ +EswILJHvfMUAF7mzekIv4PXcWMnZ+YSUYwYEUrnnndFkUnF3bXwszr3+LZ5ydSLQ +7yBjA3Vlo3C7VDdtTpIHqTU5UBM/HguPF6m6FRv94cYPbampoCv5mthT0qxWhLpn +TXNvGjzFUP9GFXeicyZzCsirtyq3in09e53tASVZogmVB9aK7iSzJukTIJTF/KsG +7tiBQT1otN75FIL81uhnqCrG8FdisSg8FqYUCdVIYPCGBxsfQlumuXPKQJhPxCB2 +43BzK0UlpT1Cz1O8HrWPLkurzPiWlH1/Jfq8qdvem0KkIt9d/aA+rnAzGPEJkR/F +18kgOeK4lpOtO3p77soDZMjDWFqg0iOI14dK3+Q1/w4zao/Eq4aStyrPdz7+xsai +mz+dc9JaJ3plfjnwU6Ocat27rOmry0vRIEzl55k2rjUx9idIggc8AWOqr4Io2Y6E +fJNwKsGin+fNNhPIblFgjcQEvjx56EjMZz2/93r1CmlrZwr6BFX7qhEWZ+4p2FbI +O9oN4k90gt9wu8PCpEmuoYsNspQDX5kxXgPAdK8oeXfGC0heMc1Omj8uHmIcWhqt ++VnNRte7k8oDKkWtJkOtxOT2xteQKCOyrbzIRxzYB2Q7Rl/wg5mS0S4Jpc0HCKWz +/9DHYb7DjOKc8X43SQtThBVN+lOuvGwrZrxinydsoUEDX3qObNEUK0AQyK9dvuOD +MqieFCTGnbW9R9dDb5xLaKDZylZzSqK1E+239knBYeNEIb6VtvdWHXTK9okknJVf +7gzCzaIjVl26Re0oTs3dPuD+VKqunIxLRLjw4tO6wi+ZuYRPK776i9cBd/fv1keb +Ao1jPIu5auZ1vZ4SIT3PmhjDzrQePozHLx42Pxa1NZyzMQK8vWrvNq0YgUehYI04 +597cVJkufklEJg+Ydke1wOefpnG+rMnKQy0OjFpJrxYrrkd7xm+J3/iWjnO1p6eT +bjfy/3EwvIfwB7yZr4w2rb4I8NuKeDJDgSkRCq4RKVMwfKxa7FFcuazx1ZvW4/Db +zXYMvPfFXfTEqhTgfjHgO5xLgsaEKRWTI07BBn1UBS1Sk9ejYWsVAd62NYYIijPe +m0ooAU2FeDnmRJgb9ywsCPqX+5c7qAk6lPLH5E5Akt+OzPe46uyy/cfAbB85t92q +Y6BohbYVPMUOraUivKI7jriOyNy+1uy/cNM17bTvWUiaA9mA7WEPAyeCmj9yTnw6 +ZIx9Ez3ckg1Gr45VHnXWFuHCHXXnzHGhwtudlLp5UHzDYLHhOALNHM4F6uje+xGv +adHLA80pRCrWN526a6au3X7HQahk9U3wO8Yd7/0jNnOVJLgPe2psCt+qh5lhxbL1 +5IgZDxnQA+475p2z11Tl1w6Ex2P8g3c5ZW8/opP0O1TjRWPb/XDlEWBItQtJb6fg +i4xy3rIOoSswNbR4zO20A0M89v8xaZEyjX98EzVRma+9M/VL95W0Ybx5k7qtlZ8W +NBrwqIrxKZhHcSYdP9waFGml3cwHX9HKZsXpOX9KOY1O9ZT8vbMT1tfrWzbqgsly +HaEVDTBD7g1pJRxtLAiBCSizUkzsmBhpeD03CZ/5u/Mswc9fvvWh7/i6ljoYoGy2 +r4pr+j6DBGciaEtf2N2O23FpIjPE54lfVyuRq4D2LGEMsspQdmLYfBVNy/Q4zH/F +scG4kdAJRsV9aFmO+Gjb/FbQW6ke+Z5nCkWmGHO2FHgz6ce/Q+LlN0h+ExfmMxq/ +Lkc8z/W5XKY2jidfIEyfyr+EmyOANwLYXyU/Cqpu/CVs3Tn6Oem90SzX/skAflaK +k81AQdy6hUfD9gx900sBsQOE2m1BOjXy4axqxzN54ddCPTUOQq5BMw9yI3TZK5p/ +CEhbOybPUZsWWbuwoeEFxSSaBFgFZWP2sseeg/+SybVBhz8TNLSsmGMncowqNa3f +SH9leDSQR1rb7araRQPNjReHG2esnbNXGjYGMH0Iet1xa+BtwmMB1y1OXhlIR2qf +7OIGf3yl+J+A29WYcyXlGpbEzavcdHy4uGhJnvid10D8FWABPZpgruNSVD/hEx2X +u3P3FWdkr8SLT8plsUCVgbSf1Qkj5GcsXfrAPAaN4z39FEPTPoh75KjAIr5jt2Q0 +Mgy6eiaple5C6/hjmHVPpVZPesSsA66Y5j1GsoSewLL1b0dBrrO0xgQayFZRU8TE +zhE4jLH6RRej1Dx81PvF4nu34u9iH0o3NKMEqmcPe51e4ya772VgUltsYY5D2Hs+ +sSlBLsQLc0EPLxKCBCH9Ihweaatd1CaxQnjUzJgzCnmtqmY0vJkao9gSKSjluLzM +851TDBn9Q2OL5AIJjsCoN5pMy71hx0Ah4jqwL6EV+Vy+lqmAxyDbCZ0RpX7GxBVj +c86T7WmaD4MZU5b2jR+UPw2Tq+BrF6XS8/nBweG3/lvBHaaxm0kXRPvl3NtLY06O +WnkG/8ICpe3hox+ozROa6W7dm765YQ5r/aOJfnKlw5U+UusU7tSSM7GojvxFFT6H +KZaAVSXT0HQCytLuA/OR//1Ue4jVzsnrUQn7+fkgH0HGY+wwZA6NXbP60VqqrURl +SjcLNVYaEpHyO2lvwDgID/x9RXtRsMNqWwfEvG5AsTbiFNm13qEm+z2iVxGyv9Cl +1AXRq2zE5q/uz2F7oydtUGo0+frLhxruX++fGDUSeKfaRHqh1GBOuPep+mhVnRF9 +xw88r/gTGq6kMIvBQTywv0Vm3l2BfQoXtL+K8ksswPvYc+WRkSY91uVu04awEfxl +NwRuB29JvaVQ+JtowSOKvsYnqc8hCr8Hao/EtjmofbC7cnBAKHXoI5UGJy+vG+jy +qxOprqivLNz9V0TVho6jR+hFZMEM0G84AYMw5acUERRpIjes0dU/BcrdPgd3pyU6 +2JWJdRcRh6IIXWyBjWeyU2hpALgN+6quIVa9xgQ1lQoomPOcbtRyfsIloOKGNcDW +yaLGwfq7WVSFxfEpVRLLrj2yh12ezoNZx6SE+iMn2hgdIBF+iiDWj1dCIba6ATS9 +wDjb/oBIxxQJOPdxdZdRAb7q7X19paMrjG8YZKfTk2OPHnAPKgSu1c0G93TFMfV0 +WzW1DO+scTzDR+Ph0Ul6yMAn1LNtlS5UEhbim0GDD8SwlGmjBRelx4IeRoWaw61Q +Wij4k2KSz6QWlWe/8Kbd84QLuM7oif9wxeYrDlVKoQh7KUkLZM4vB8V019CtKxLp +kGxrTYlx1pfXiAP63f4paYkn34BIfSwTHepX/Mxt29zMCIlNNTvAlo5+MxebPUIV +MwWetw56g1ebZX87KeDel+Z/kOi6fSJ5kYS6jAJ4sLvZN2QZGlIeqlqyWVueHZsC +LpwL+Hdi2JxIAcpDuy7pPm2u5EPn0xgETIaYQ9j6Bha8d1DDTiG716Vkis5LeYB1 +6xVKZePH6MBoeSBSFnh6UK+48dtKxts0SUyktcaU+kI98B9cw1efpUmYb8tsiVFq +z/LzFNfdQKeQD4SUr9qU7OTnNZ6Iib99beRpMUJ6hvhnTdRYbj3c/u9jWnfqO1nC +Tm/PkgsRN1WadCQp3Ai2Oj/2Bv1bXTKb84ZPPNTgAh69ZwnWDfuyB0hFHbu4EAmV +g8t1S1+6CMTy9H9IF1n1aazSoh/AsRqI3UFRTNCpW09xPUc0L3E7tBKgueDyC3zN +GNrpqwdtyv0hCGiO0I5oqeIW4RfhZ443j7M8uQbXonuGLbNQhqcuosq70Gkmr52D +yzodHNHeoa6yUWBDYbeG9Si1oxGYe1RCWkIYuRST52Ky9jTmGYQlGGFhN2+CZwOY +K8DLn343MFGNe/sCd3jZtKMdQAjDWq9TykYLSA3gK0F0X0BOVSv5YqOZ86sHvFns +thnTfVJNFaYJ078zZyVphCvAqkmzXWfwAWGgCC1R3JiBLFYqLSp0jdns1kR4uOcu +Oqp8r9Akl+B4EJ35FMulJW4x0LCJAh0ZkllsNEiMCHYPLU9F/5UI7n59lxawthYV +zjFQQfORTYt5Z5VV8/V287wUDe/BFTKTLtMXgJ7EIubp2oAWBHOzTUq8Q5s5pMRy +6hVcjRuBKlGi+QlSW76yaJ3T7n0HDECAScSdJ71TrGvKDfKidG9Da8dpqKG9TpxU +wvJqiYrbtR65X/SEEgRxPIZk5R3TTfeW5y6BVpAKh028UyDG8dBR3jTl0vS40Uno +bCnODVrnvMfrttjXoytDIFmQ1Gzt3kAPuSHoAlCw5lL52Mn1tTpaSocvwQUcqU4n +eDg2sEMnpS1GQm6hL5eZ4WR5QNm6N+SG3cskqptjJlkFi6bOo0+nz6HjZ+asL6V4 +VtkODyoLniuYpEMPnruVlUpQZotmDafWSf2jINHUIqwOtdKriLegzpdptSiScJDR +RcCucpdWGmxwcmS357Fb2RbeOrTpyH7mJbzf4t7xo7xQQUZ3odN5nlBUQp14lCfP +CkO4mdTkpeBJ+2/Jb3uuyXeVOxasXKYmbXT11BwVhFCNycgOAsVY50Uez8CCJS02 +pc9GT49j5VYkoBeWh1x09Lh1vYh5vsl3Qa7uspsIOmhYvIC788OAPbjdUc1DqEWY +PuP8nu1R+S9TB0+RbuUL3IAUCYTQ+dQvPCWuDm5eRrW1VVakdRrZNc/ntDzDS7lt +KQKYvHFjq6N2p3QrjoohtYFuamLU0nAInQ1SGS+0vz1Jg9IoUEWWF1TlElb3ziEe +LFMaIo8SxMvxF8mv5ldP5eYGnZGfqN+p+GEUn8PzfOl5U149m8jClb0IalDWxGts +g2zGDJoMwfkWAXhNvcMK4RxuHE1xCEncASrgd0Cevis0Jh6hRzVG05qaMe9rj1CH +TLjcCV7T9VMPJD7J2nlIaPpQHPBAZmuKX1p3hQamBSNxrO4L7lkLGCc5/7eVAGcu +4b14uojeIzhwBajp3kNoONU73FxjH/JU2ElptwqRdVfhSm4JYBccIbAfl+suHlgR +cZfooGCeUvRAE4nweUYIHhtMQs1QuGz47/e44yxx6y8bONijeQoYUsSLTuUlIhg6 +bH+akUF1pbJNmwckYxq9LBkfjv90IXJghIXQVyLdWUeaWXgQmG55kF3Ri99UP88o +m641Yw3iZmPLWggujDGzIMZe+MCVeiKpHEjWMVWBStA0a1ZKSWDry4DwbT/XV7tV +IukpJhB4wEnNf8/7tTub9GkONezgNuj/ON9+FvMSvVdaf1+K3nkgGgpxhEcZ0lVX +/0BoLREf8N294xNCEJEP+Wh+vM+xoeQlMIkIqRplak2TygupsVM//uEuATq6R9Xl +/knsXaBsDHzIxGMhSmKmjDh6q71wzIKFA7kZGwbGgfPEOJUKrytxSqhctjT8WvBW +tbWidDhqefELbaruQla91wYehMhVpJVovRLRj4pVqvm7s4OQ44/8giCYQA5weiEZ +HZlcIVRAf9RNaTVqFN4O6+9gO+PvyjPZkkqM+Wvb9N3Xe2l/cu/B9BZV49W5pcBj +v5jOwaJiF80cxpbWnJIMj5/vB0m6ksq8eHbScnioihvuXlQGuhVZel8m4+jYPhU+ +wg7frRI4wHHneVvjOZOpsejkqTAiiaem5j8Nxod80M0KWbNeORjuTz+2FLpV6O+0 +M7tWN6pMOJ/Tu+4xpq9nLHhDKkCxtYZecPHccRFiGvD6rx9nN/+u41UOXPaJX6O1 +j4Ja4JjkdhBVptxm6g418zLrh5XBI3LpN/iAAjQ0wg1V40XoTv5LTu9ItELQvg39 +sq3k62oyxObWStoVrov6HylpeQ/yHT/C4Ye6OS6xe446KIMoOTPeeKYZSJqCL5wD +uhU5xSlFXxd0h36OYVf6xn4xpjtVoCw3yXRqHD6NXooH4qX7/sycROJMGSjd+7x2 +bFujqSw5ffykKM3dqiR2XL26sMchWTw0kCaegwLSYEbg4FZTeaDRRMByDUuDPQOV +RmHsJvx5mZYYk4S7XSZEljlI8WcHn60fOxhbUAVi4wPnZihE3xjVZwUuF0yW8gac +9Hsgjcf8yL9voiJ3sgvSMEiM4tUaK9cAELn1kj79wQbof8xJY6PdH/p5XVgo0VFF +6Cvqvxi4Txs5lg+D+Ts1LUrV1nfJ7cIKGTocBUB6RiWnhp7zEijwMhdCKX0W9fCy +pD700WzElSYSEBasUdb/Zq8V33/+a/BfCY2JdSehDWXUxqRuqO02wV9kzgaUKLwv +2EZ8jE6w0mESKAKwiInTvMfk5tTVfhwmM7NSfqPH14Ssem/pzkuncCS3/Wgfkii7 +6tpaNfOnBwU+eI0S8WqMdn/UAt9NVC62cT2FEvx9O4maHuUqZ29Y2chIG6Gg7nqQ +XqffOtHpXtMU5I4NoApURt2pH2eP1sHjPo3fgwEkFI3or5sTLBeLUzUAihT563RX +6UmnSsSqE/9lc8xwezVwFjvQ/teUr1A/9okVIxFuNj5SNT9EVTffC/gE9rEYh8Wx +mKebC6wHMLtFjdpv4ZtgAz7hf/mZ8KvNdSbyv88nFJUZmeqn9VNLqJS/0lo1PFc3 +XWnbTKEZKT65FtZ2jQaq/zX1zabq6uvdioPIUnsBzHFHMBUrIGgUGG62uP3psEjf +Ho1mMEyDbO2j2nkLwN8HzEbMoFDScc4U2dY32di4X2nFcBeFir/VV8mizBfyaoFN +3EaupQOGeyfC2pryHXa1hIxcU5MG/+TIJM//cRWAbPlVilq1yHJmedfhgNzwW/pQ +M6L6XRc3S2mw/4MhIY7bxQXqDrnUKXJGws0bWOo9p8mYmOPa1qUG8NrAwCbaMf8c +EoC0q5ki293fIdjDo3ENbtvDLJlj8av78znjK9ZiAeJxO6Ez/6r4KnY0Z5gbhYV2 +QnzydhhDNGKI8ZkH5rVPCj24I/OnDsGWBW48aDJpTdNrbPdK1Q4ZEyS4xrf7r8H2 +kSOv+cvcy/V+EdG5M6aPbFbqGxZ/Gk+b8PTBHuJsu9HBuVaCD9GNsR4tGiJ59wVo +w6BI6fpyypc7Wf16cByphtjNnaMK31gOPDTRuoysnMjH+sBlmorYlMfrZycItjdm +mzean3HLmMUUT4vdv3qa6fwB8fXg8xICic+CM/ZZTykPiBawwobvWSiyPw90LGwc +tqPoH/92IJFwa3/EjRG9S1nAxhIfbld72ZI7QgM7sSjCv79ekMP9AawzitMRUKhD +Bm98kS6RSh90AFiZHINJC2w3ktZuRJrqRSlMXgXG3UQXLrWNVfUFK+SDb0GBGw1f +5Y6fCam4QKRWpqREQrVzu42SoHGrx2W8mreWJ0TRLC6yTZhUp/8yxmvUK6/6Cikj +yhiKPcqrA8mnMfi1ud3tccuebs9NwJnVms1OKMKO8J2bMsjcy4rq/ttlAGX/dbO/ +a9LrmHLabEM04FGwda6+YkkvY2f+a72vFOlzAkE78l/MOy5n+Om8weCyQl0ldl0A +dDTVip/5ZJ+xyMbdcX/wleTTIV3FPGX/eZlKi99M8nvMp+Pmu3k0mQjIun6LUNRb +XPx6kXstwB76LDF/Ck8O3ZshUB9P5WvSmeq2TS+oANUyITgf5mWQPmKxkjYIWMJi +bFJ/IkKYxTaYa2soOM2O5LSS4ITcTqz/I0e6F49/bgR4t//4jidl8FYebNTsG7PS +/YaLmVxJMfBxqp419QZAPuL5F7X4N17Vj3xFbzMHhQm/Fwt+3BKR2Syqq0NXnJ2i +91TGfSYrLxpJz4i6lsgmP3VhY8L2RH8vUmWnq5CTaATwgXi43Gc05igs5+lWOay0 +IiyDHJKBI/uOrqQzGiCXpQRNr/OJ3OH3nrI2MO1mn9RqMywu7TiWzgQ4FT/alHgW +KEZVJ3NnhrO9mg9r8pgvNlej86S2JbDdBnjttsKHXBOpA+C2lSlGE17mexFxq9UO +ilMgEHsLKeJAgWyPD64ZIso7kVSKyHl7ZcwKMXUwjYkvJ7/ddhXPOG/vpWgpAAGn +sfqeKn/RmlZeeg1BJESGYXDpNXXy/KIyLV2rTq0zr80zqqs4m0t/bjlR1hvQRbOz +ihFTcgPNqzWK0jVmQx9V72AQ7kYmvXaSR7T2HraI01gglbMMqH609WMNfP7zxvYZ +QeN6MvpdvoXbPh+VHbj4k/8JStRxCmp54xLFMBcJP0mAPfeRyjUrylWBlCHtyMQS +ym4Rh2Yis3xjsb4TfzAQFiSWgpz4WUMu7yBOau+s7fzXnuGI1FcrmnW/AAI8I1el +fLElNNRlYSpC+XjIec8IAJTP9KI/e3g0Lmkav6gC//mpcLP+Npse5ZBhQlairTnu +yP1hjg+6uoeRAVru7brPztvhAwQB2LoXShZLZtwBGrzulqYjPtt5gmD87TZwnxb0 +URQaW3ZaUSwFwVES1wIKSmsWxq6zz3mME7VPkU61tF3G1mjNIx7pshISKNLOwEJ7 +gZTqBTNOtwAtid5e+tfSlMDo1U3RbFXuDQdg8TAdw2APxkuktbQq6wswjZr8gNwW +X7baDKtM/P48aUT7f5HQyRXI2RKs0ZnmHknK8qwZSuYGdUtTDOH3TZtdPXffoZ5n +7yRLVcGbY5N69FCSs3NE48dZuKtIMSYXYnidLW4/PPvDabR7/4PgnSNHUG2i3rs+ +zhuulM7KtqxP9AkkP/UWsj0Aea37Fg9G/dgFbwa5sxvZzn2g2pP9w/pQG28+iYJG +7BjCb0bgkRZmVeH6kyjc7BDzfEgH1DKb9ZHjFNfyrq412WsRsaZy8qs/Y4aQsvX0 +AHaxc07n8Z7+L4+FhU/q8Hh+F15JAjjyzgKPMMsOFUTtmjZfwc0TDA+k5wCfrI2a +D32GkjPWyFKiSWqBqzkQBA== +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/siv_xcvr_low_latency_phy_nr.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/siv_xcvr_low_latency_phy_nr.sv new file mode 100644 index 0000000000000000000000000000000000000000..0a79ceb612c38b4b8aaf4495610e5d7016207aec --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/siv_xcvr_low_latency_phy_nr.sv @@ -0,0 +1,436 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +IgVytFLHet3kKUg320PRZjIYsxttCi4Z6GkNSqQ9L7fZf/UKPwSG0UtSIwQo0COQ +9vSqVKXBvfQtP6PomFQeu+OvOHVbKZhi7kGesrN3enjgDn5Jvf2r5bmkTUcXxyYd +oGo9fGlYaCremiZ0QF4wHvAb68OAcfUAowpGJRvhvSQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 19184) +pbskqOScZq8wqBJ1UICV9mKLbFse1QS9bn1JwMxhyV1GzTURzjKbqABVmk+XW2p2 +FdFrK/WfdKWvCh/XzeSSHBDkVJ57+TdOix87WoSDP2SQnxEbulClVL5tv3Xc25c6 +q9HC6Hr5WBgsPWH0UNNvq3WBEW33XT/7cgfEfPyckoXd6s7RlKM9vkQ9AZEBxsnf +VATvu3N5jvhVr6plB4Zw9jPQ2ESNizkFac5TfNcIfsIzA4XNL7Q+wDdGu/y4nKF7 +ewY+IakISYlfTXg5fEr7fMoSbkgAlRcwI85vMMROViZX6TN7o2o4IJszARm3AEEp +GgncXwHz0wj5LyEtlkQ3P65QmVykP++fBfvLPVklctscAniXF2Mmz86OPrJSG32t +HHCxF+wYaxEoihOmzDWXk08rIoQY5ynsg1/4oEq42B08WjsESPT3yN2Bx1fUvzL1 +RcjDmn+mUmgy3QmmjN7RZThCjajiRiqR5Mm6ohW3C2KJhd+ystTdHYt0cekM9TWb +hjwR429B/EfN+BsjeYdmSHI1odBHto6pEizUANj8ao6LVG6Vck92MKy3XKA1TLbl +SaAWHc0M+f13NPkjGWpP8Zso+VHSMMwLP7WRnQLZ6yJ8gst03Q0I7FH6Do7IksgZ +V9xkx4k/us8jxherizfg8MXrdsmaQiZESCw6lGva7+5jt0SKt2wcr4kA5d/b8ocZ +hh6RjtIu4KYUcYDr6k7GJ3pLsBt/9IgctmgqVfkVnWrHKmSvjcrUORwhOahx5est +7MO65pjFz/Zn7Px/rHOReHwotbFkVhZprGp3Qa5wzmUusCkCsBBRmp5jlSutHgTw +pQNCf7Z/cpiU1OIz3xqX/IyUHNvgVU0CaG9mpMjzR7qczc8rXGFnZA92MJhJQoaM +sLvAcxVRSLPm/HufRxWH+0n1ebigNgv6ZWtqKKaz3SopJf/mvQi0gQHz0aguJh+t +C2rF8BNRfBs5wQl80vKkyFOqO5jMF9x4C/JNBAKReI2oX1C6Wb3+7RCPyncZV1Ha +vIkNt1Q/vydSsxdUKl3t0FRQlMkZ5mogYoAo74Ggmj56/5vtt8NR40+DMCKpVXtv +V7G5kFvrpPA0hNizzt09mep4Oq+RLGiL7EK9fZz/VfPeXZrzFaXBUNG5wXoeoIZv +Q47UCrHBIpZP75d/KSiEq0+Q5VJayQt4jCchGbfzGBgU1f1A9O2jzCPT0t6i0I1m +PjS+JYM59e49aUGSY6oPXmUlloTkXQms9NN8jE8jWLdf0XgJ5I413oZpNnZZQj5b +FnWusdajNHyGh0qm4lSJWLOVcdW2sqhPiFe65V9DuAwqFUJn+X54I5fe88I/EkUb +4mqH9Ta5FO9qmUFzYToxL5/rNOwmrcxlcd53wzXBD8aV8igqG1bAF8sWaR+ID8u6 +Xc4jifKCycr79n5V69SWgo8GViv9JTwTKIlPWQxkJM0Il7hcVYvaC2iTlalFLWFC +BnVJ/5xvXy5An6XU9gITfWUdarDBCSRehkcVV2fp2gHV8c0pGDrvMJ/o4X8WHlUK +pQ/Tvte43H1ySrNTF0caFdPVCwIwrOS4ruo0g4hBBW0YtG07zkc0+HD3Z76qX5Iw +4yRPKJ8oeYkqtbIqmLF7GfzPykAmBGkHwNg1cPBOSXvKJ80Wt6K+zOs3d0DEk5SD +zwLy10gVcvKXixZYfCAY1JNXRirMzb6qaWn0EPMbjVRKrLjf26aJ6EC8MZFGZde1 +j/ZWeOFpvHTlBqhWVWBUYdEzipK/ZlcIrja1Hm5P7ornsSYTorEcXq9ObBfqZBiw +3i8iCOyX4MDsUTkHq1O9SU1oJv9h6eBZCLM2cjfIlGPYkSTHIImH52PBO/pLcwRM +c5XrZXhh+mkTZQqjHcMlFrBjverxcXlMEupwbriAtTkW6IXfeAphGOjLAgmuQdZv +sOss6Y8urKfplg8NhIEkCXTi4L2GbopowFTsqN/USittikgaX2Eznh8Lox8yWwHn +Ub5fFtIKY2Akn7ZcjFnYsuDCSBtt09RU3vNyY4QU162u6Uh/kWlyL0i7L9mRh4mV +/C/kUA2lSj+g9fo8vk/iXx+bgpztfo6xmIS3+I96gmmczgUoGw3UssvoChVBZQv8 +rqN3qJEuvJXPmBoKwXqeSb98gpDzAbl+OoQsp+c0xfVsVvwWxMUX8seEv9t+6JqQ +l9rn7fOncFZ/SBAY9tS5Y7CUBC/476axSrKrLGZnKlPiSDl4lMJ7rdOFjgTp5kre +SSmcXtkj605f994/LpZ1bPjjZvKujZxkwn7AdVD+49qYSqi0uZHj3xYCJzNS2XY7 +R70qfbAD1HTSi9i7E2LIM4kbzufANcFv0QHbc0lErGYEXNe802Q9Jsja9I2d+9xT +KN9Xj6+thph0+5V3AirKMeQhoxysss8CPndXW+UKL+m562epTA8bGM5mrmob4Bwg +JlZx8Pd4Bi3J51Pgeo/33L7gOYXsmP4jziRnbsQl1cdCoFJunKnJ6WCz84psI482 +0Q0wYpcRGmjHvctOHMUUWsoloxauo2o/ciu8qBJFcBqoSkzM9rVdT4Dsmdk3WUY7 +v2YihMyKh4ECnECQLfTheTu2A59DOIGfrHM3N+6jcCKqDFavOkN5THoZ7Hn/0lCc +uigNHtd/NCt+2+ZeJW7qSSJwtXEL1NYk4tSSFiwLQYV1OgUzx+4dqzSu0a0lAx97 +tWUOu+EXcLYzmvD70zvEw0sa6gYHBzEEtmy6Fv4gLuh58kPn8KEC0UxaVBa7hu7b +xgUyeC3e9ii3cAYBPLgvmV1cilT3l692yypUN8rwcwz1ecD+dpaYRGR1oujgshc9 +KA1IgBfml1vGTC961zDcK1f6BKJ+9zUW30hrT8S/w0NfmvRe95yjmj/250hJMexJ +kLGWeOAjAxxRkUhztcGIX71TzWzk5GBRZcpN8/FIEcS/aCUePnZrZYIsVfVE4T7+ +Yv/DN/5CLo2VcDxkn/FrRb1Yy0c3ZGBWs0MBVVQ2b9qx3tyZ1Db8uUJlfIbMe3uU +S6QNLvayUCTZpy0D3XwTM0CY/Uh6c2deh3wDPseXGLE7Hu+JrY4qKXXHEYo+XL4E +EQ4O1ykctQul7qhlYJXcBSDYfUUsWrATUpXgRbrHzu0cOXjNp//Ta9MJOyo//PLT +i50+rsC6on+vFFhddSf/chlCz3aOeCgcWoy6VeV25fTnCKgUxOmBygFbbL8sTRaR +KrlWty2j1iqPRpbKQoULcCJ99r5aXtm+6KgMjZwKgECREou4PxTf8I7JkRpqEGJh +cbYm58HlTuneZOo6dqZeoSf/MYTNT6MaXgwupVnSw/axVAxmJtKNFmkOaQKk1zJS +FmIdulUx5u41Ka1VsXhvinC+DtiPSYC84Xs/YHtkBS+PPHite4X2pEaPRn0l+Pnu +gj5N0LPBYicyGBDz3KZVStZ7VAfNOVH/wbuGGgtUFLlPal49UUlwryZucJR0A3Ez +ULOGwOVFgKX69N1aWD9c+7cTYCs0q6+zFoodCH1B8rQ7+0w9ZPUv1KxM5Xs+K81Z +vRlqEb/lyt1JJ4fjLbRPq0lupDwCK+14HkVeUY11DmcYY9zlpz2X1KTmbSVEgIcS +2xkFgkjxUFv3SH7mq1ar3IHR89SXySa4glZ09/zdvXvD/FdRsPvQV0PuXPsOvwvj +BTZ9hRiOYrqCZDIdQ6j/EbmxqJG3qco23hNZ82t6xnu5r+wNdLftlM4OzzV7vOFq +8Gt0S0hMhY/69WRE7NoBrnU995HQBkSliTlDKTNgudt/q1Kez93k8aaeulTXP3Lm +gdwvD3aNU5SA1U4sMqtfSxGF03iZQjeL2dyZBivlbV+I6FN/O4eaphYINPeYdWmb +0mTIqStp7f6C2wGtDXuEE9c0RLU6XD7J3zc2yHBzKglv9P0sEfl5N1Xz/egxFI6f +YuUMiWPmJGpHm+Ca8HnvPkaErXwx9HqXZxKBwwMP3taEuj1isTcP74b/gH+GtE/i +IzD1ty4rp7oUsTOjgmoKUFa0SAS933k3/YQw+OrrHJUyFb6scYIVmeMdBa/6yBiU +AsYY04cVY96H81gcJcZ2GaaFywSyLuh81V0e+2G/ws5UUvRM5TYlCi7efm1lxN7j +0psiQDRu8Ii9apEW17CALbfDkSEFFUknDWYWNPWKevGZJWeHAU4Ud8zjG/T/JQGK +vpN/0eTPKak2g1f/ydR0+Wu4aPBTFC0jKL62pu7gTVJvDwhNOho8rOcBu2cvX+4s +I0qf0891lST8OR0t26Rr73MsrkdCRgNNBpI0/pmjsGvdaii4XVyiDlwRZ1+gwtcA +mgxDckHM79BMLonoV+xVbg2pPCqgdBgH6z5GsBuwkU3k2jtTIWIISQdQ8qcnUJl0 +Fe0H/S/N7Llb6RCUeCKJz4MqKT2h3gBtmbhu+HpBPRoZf/iHgyBD3s6H5lZNynB5 +s0K0j4ONAqhdklT+1x+A3tHAmckPrsh6byDDt/QQs/C1pFsZz+V6qwCVMfBgBbBC +z6c0K+QLpY1URzX4/j2YbpvTpMWKqKKEVwiPRiKTYYrTQX84QoIiltyloR2/4tam +q3aSGRHw32bRtPAA2A1PMxJcLirzlaDguY+DJ/Tc/5qjLExg5vdo/vw1M8ZzSDF6 +148Tjzs1kF3F18SXFf4fYp+MTHOW1KGJRmN9jSmVPlUomNYhzA0IZgOdA7EL/jJY +0jPGGQcMQBWi1JAEzxUVGSWvvaqJnFYKvIZL6QiVPh8Fruy3fGLUeeLgjyJcbdQu +YSmdctUHeR8LpbC5BM6lKEjJ3jmwR3Lo+G9EGBZHwSIw8EIAEkfY4xqnzGeK6Nf8 +zIY9p7Mw/KEraBrxr8WVCQg1M2ONcmMuwG3ZyuEDbLaVXQhp/oQJ643lNwM/KJlc +jorUeFtHgR/1tPhnECcs0ZA2vR8Iw/bFajNA/nk9511FAoONBjQhlNB7cv752hzk +yt6yzvRqVvxy1R5PGVromSkOFfefiCIjtORxKsritXLfErG+Tqd94DZvIEOSZYw2 +TrGG5liaRoyz4BTZ+3DXEgj3xdbveDSpN/g6f70sGg2F9um0iCMF/M3DsN+Nceh4 +k5/KXf6D69rgHyGgjTnCjX44ZRojh90IZpUvjvwAcfqGXyMdd9N0pvaglu8QC5C7 +ov3JdBCqrrgVPFB17gh21dhvgzZv73Fdrq14hrESyy9NzPsc8bVNM7BqeK8uo0hD +osJCs5j28JAAOFg7nDoJ2N7pZ9g05lExnN5FCb/UdFgrGfM/1BtejOjbzTLeAEEz +LBmO/Ie4xXDIg2CoFHwfnfrC4nTm729LA1d6qPC6Qe6Iggf5PbZrqD/KWDQ+Ja9u +ZwI+ygw+rmTweTplnR/hEb1kR0g+Rhw64Ts2L8vr2QDc+7pPHUVEY+L4HS1ZOxN1 +t5fARuHrndBoUI4kY/wETmuQTzUE7EkmqjogOvoAlZ73I9nj5ceG9iUapQ6l6xVN +q+laL+xgy0fbFMAVx3N514RYHDyEGiObHFL7rd0PMjFOkYtlEMxG3KVAFonFpii5 +of1U/xUgZTevy7bFukpQ/GB0FZk0rI1gLczAMylL0Myd4PKuv22gWyXZChIM2i5K +yCaSoRjQvp8yPfTFZ5op2HT5gwbBSKuaZCXwlO6lQcqUWLqt8XaWfkgAgJGR/u4g +nuXdKf7LGY/fE0c4gRM4cySWjCsm1gmPp+L7l4Vvq3A7N1SgryvJBlo67QGioYkz +RZw2e0FmP9JfetS3OAloWZpjZIYji8M0IxeQd4FJtu9Jt3QXfxuGriZ5CnmLGVGY +TjPodlrFom3vLW5NlY6G4Qlma80xbOd974GWVqZ5bFS9Djl7Ubnz9Z2b3+cj5PQp +jBpMEN2QPbky6dagtajXCKr1gMiKBSOiicdRb6WVWUNGBywzgfNpcF5r9Ac+k1Fh ++UCY5/dtdYUBrZs3QHHDvAb1Z0GTWekNxUqYkxtMfhGubT+zZ3a9ed842l1hyxge +v1F3lpxYOQYT4dHldLSYVoyGpkcHkRzmhm/mm61lgYHzbhyJu6pcy64dZUDoulVy +VMyv6aBeBkDOLNoQFWd5ZJRyUC52PcbIG36e8uJg3EobJFHZm3ojK6wW1teFWGAY +TLtsw3aSf2ZLLn6qWABp28BE400Rz5tZoMFtapLZ/sCVbEzLeLuGMvEYftCJ4ViP +NR8yNaytYTAIK8DIX3qNsalFbm+29KNZzS7VzJksdTKl6IdaTD/LDMIWqgyglAyY +BUI42ctlumSvqMmGkAi6MAFR3xuOHUvwNXQqbJ1nssxrZpf1hUO2lUTb9brlQpyU +ezOHjIDFUo3ZU0wfF3CpfzzomcXM/JEqAiB2mxylrjg+1WFx0RU7RfHkNBSVyXaD +ZE+9QULRy+hl8b7DqS/HPqgA5F9GDYTlVwuTQCFZz8AyHjNL5Cqx8lRAvVBZUorn +RXVnbIS7YuDcGdknBJ6XrlVONMrE3xSlytB22BnNg7C3cQxAbbDi00lKWiTGSu52 +OEIW8qvDpxNRQElE8JfemS0+SuhJ1iL0eMtVrT0kVHTy64wVGKm5Pk7CHT2Yqcv0 +Mkf64ve8o1jCgFPPzWYY9vNRJ1euejUHClcUM24gbFVFzTpU89mS2y8Tld84vLuv +4YsHdbXa7kIq7OGhn5dbxOrMgBrCljU4/Ae7mbiPA/V2ZQvRVbxD4fL/ipEGDpST +iBz74wiuDpfRfLlMCFTxbE3mNQdidHyZECvJrLy0rC8/qpNfKJGRGh+ol1VJ8U46 +eRhAPrASXuagwpzJeODQBsUfd0KTFagirQMK8MxoQTeTX265R/0X4KuO9urOFkvw +1YkneznYdoluU1stjdDhznSnCY/vPyxd4vmG2z0XRiqnkxQtS+SO/J2U9sOpmC6n +NDwDopBV9xm4FaJ+57WvMBE13FSZtmLVHM4iXajObvTnSUuyVsr56W6/LZVIe7VH +DrhlePJFY/vdTHEXNMHaxD+be3UigtZzT8vhEh57ycGS8gUxvYb1aB+YUyE7ibMS +rV8ooM+HnZBOfquYN/INnoJHH/1LVnA6KgNQATn1Fxsgkbr4jNwY3hY1k56DZXdn +jw80its2bLQCRtn9R0ei0arMfcF3b5eB9tN5jFYvEAhiP4S0rysgXRjcQ8fMG2TI +zQH/EQOcmr6hxnawM5bfrKvQ2VL0D7+8onSPEJAVoieGOhwuOieuxpoYtP/v64gt +rwWoKt7P7zsZz2Vp+Tzomam9yGWrXysNenee4Tv8sZz+8w5SgHZnp6VWzpsJAcXj +ePepc+3usBVJTwqSfLIACh15SemB4LcYF2ti8eMJFBm0ATgzuBcEg57BYSD0BQF9 +49HtBNhcU+seHdewukCPCQjYEVRVV721vtl3V4sfRxG/GCN7mmLbswnJuhpmHVAu +uozx+ugDgU7imhwyEweOalH+AhCT0D0CdzvtzTotcMg2v+O13v1mEdZdTJrKznhN +lA/yePtxugHMLDT/NKW2rvuc1anpEXQ7XIDUFJCWN4QrpmsktRmVQtNBvbQbXfgd +3/cHnhE8wXvNcrz/Y2HCVHxdX8dCFiTrqXBhfUDOOg88O4/2qJYTF2NX/QWoXj5B +9s+rzI9fzgucv08fHwKy4Cc5xVNAlbzklQspPyrUOtjBkrHVRiaIMxAD+SH4I9S9 +BPTzmnWftMmJ9MvKg1xqIs38nOLoSBU464P0jo6aWmLuYDT4Uu/qcpeQAli1oiaw +QoaeXZkoXObnThGjO4+muN9lmlHnMA4RxCTDbH14FD2eeQtIk6mKJ/A6kTY7s7YR +yLeGZ6EFKPkY11F8qxDqAYi/QYl0DMjsfJu3GfTSllRazrditmlkV8s6zXwprTHf +h3A+hzAvZr/BvZK2mXNEDsJP/Rls2VQEy+j0y5Fcq9NL56xGOydUuPd+IB8ZsxnO +BQKYjySkI77/zTkvUQv0UwqdamC3BKwQVF28YZKzKRGQWtvXQapRTUtqJ+RAdDnI +mecu8832a/LltMdlHeT/LSHDvI6J/B49bKcd8LrsyJQpAqCn1q7vralFCerm7U4n +/qJYUbRC4RvJYcQHNGDU3kXYJTobA9Vt0VQp5rWNORRJ6u0ucmxyJV8lPDAQOKWM +n1/nFexERdJfCFbn43sAoE24qYnoIvVhw/Nxm+ggB4qwHJkIjI/eaz6hWpJe1JSW +M5zHHD4jvuoLHnPp6Zu4bzbic9YVBMmgznfxE93krH8FPwGiUlf5wNhsYweYezGl +lk/1XQ8fo7fzk//eJFxCFvcZ2IvkuNv/fO1m8AZGsf78IuGDq6F2FEOzWTgJGr3n +4D5uaCpWy42AbfS51c9h/U1VpPzqU0d1uEjpJa7K4GfHyFVROoIa2bUl36ME27qL +wMaS4k9xO+fUFqwnZdRDRZFhkWYpNWtAC+EyHivl65TNAxEc7UbNvJbi9mIxpxcO +/aV8m/olvaWXtaTxw54erNwB5FhDlBsfppKo5ltomxTyRObNS9lnSWPLU40NG+KV +5MThEGeSfjtf0/JNg07BCv2Mb42H6e55dsIjSj2o/2nS9CBTWJTjvpAsGAW8JrNM +C6Z+DQMyRc6VJQIqgBmog42VNJ2GYN9E9usni+tPcT1BBXY1GkQseEDee+sCFIAq +zvYUdlPs1q8wru39JyEn1Tr4/F9GUPacDu/CcXuATJWH9Lb8TN7pzKS8kkZmScEi +nQfN9CC1zkmKQC/MWBwrDJyCf3lwr2xu1cPMV7oWnKZpYcwd9To2imqu23OANYcm +1HJKWVAR+fbTDpbeVLewZ1WleXVjV4Z5vIYItJXd8TQrpIAXh7k2esTlpI3HtMSu +uxbyYocunU0SBioMnrLwYMDWCxFgrqqi1KCx7PBjtRak8squIWO5l+k7eWBeO8by +De0I0aP66Nmvm6nQAVbm6e3aVywSeAScBQiGmsvJnhLDEZ7Q7IY8bF8RLxLliUfv +RpWRN/fYbUO7d1kU7j3reDQjdSIxFIXV35xo0eYdAvT6tSB2AcNKO4fpbxgeKcpz +mxSNZ3LmuG120RBq1ozmKBJWiCIHzE/ol9/fTDXEAkmP9FQzgqRes7HPBVGU1yV4 +hG0BPd7RS/i8Fe8jsaUBW+jrSm3pfRdi7gEXf3yzoe9tCyGezw8xaeLI66tA+8RI +QY3kFt+acXfUk+jx/zCS/eHd99yQxMTNL0LC11U2j4guYJmoymCcfH6zf7foA3kl +Z8JwZknXPtIaQAv6Gx70hVDApOg1zmBKIbgV33EKZoYCiLKPaI/8m0L5EkLR8z/1 ++Spw6Pd1h78ymdQ+NS04GvCN8fCYvSqBk8gbiWPIv+acvjnlqiKWWtL4P8+dyg09 +V+9DNbh/iQ4GCvbwMsrIB+bDcHc4ZQSyLaNWXA+3f437zmgrOENmDavuBkFJcEPd +P+nGhBauQGQ3Ct7LtV6B4Hp8l+oR/LCjUxoFaz+w3rXEboVbCdNcmq+p/QyTk26F +xICOMlbmJt5LcOEPwOGFlYaV1pEryDWGs6xIwjRfQJ981QQfNhaVvXVw6+WR8eDB +RE7hBsjZco75ngS9bXAWEIVaIFPJCYxUrKooZaJGNtf8rnjm34v470CXGn9QIlHs +mpAl0U/Y23HDeAzWLTIe/+FPd+iWPvcAfn5bfPaQocpHO4otTuFQcHTCQUy6GRb3 +1b2fCcw8ST83z8QeRL0P+lkC95QqjQu+072cAgSg+pK2718m/GNyNczAMntpny5W +pBnY+alh22YtOhu9lJSqfg1xZ2TS6ZV0TX1okuKwlBlMCFgaeBgrfbgjjoHyCAwP ++1ewT2Yxg7oADKmCSZV0eeB49NAIqTZ5BPJSxw+6mmxIl4Z4l13AU78cFMFnGkhd +GkaLuRhZZZZ8uRKGDc8+zqYoWUvestCmgJmV/RQQVQPApmOeJ9x9STjs3KsyfkDd +avfZZ5NAEIOM9nSbzb8f+grUbriBciCbU7J1Ajan1BlmkswksFzPh2gmJDOVdKvV +0XUEYWYMRDP3/vCAa6osOcVT3yjnxs98PP01g6FlMkVUwXtEHMocJcnRpgCxovF+ +4jkfrvoxeoOfIQQjXQEyNIy7aUhK5yE5kJun84Ugr7yFs0WUxonCWEn/teZWcf2K +qiGkLiDczy6Bnk1WZiBZxSkVbpo+QBmZdo2kfiurPdITpXIzTvcKZtvBexgUlQwI +GxXCcZTFvYfRhc1OwetkXxbIMyPvLBb/f9PiWCNMuGAawm/wqInPGYtNgs/6I1+H +WK2dCUw+nuJk0jqk6xm2xJaZaP3fUE1Xb4BdKmz6VeeY3ZnhHg+AInEcxQnsG0X6 +FXleEGYVCSm4kHR6xkSJis5ZdK/uOjxd2Cv/ZgF8LsddQZ55jZeecReRlhAjvzpv +Zy+Vu05MhxIln95CKiXLGNaNK56f0c8qVc6MU/OQVLemjt76tbwNuOakONqC29Re +5feGDtVE+k/BuS/QseCbFOXx9fS3ylmaTThvQdxPDp6LB+TvrJYEE8U9kZDCO6bf +IgG30LXigXuL5Aw5BzljVJ92928tWnabzyyuViwU11oe762dvY4YL6m8lo8/gCa9 +98oWdKmJc4vWN88Mx/16MNwJm+dAvlM6WGSi5XTgF1xCjphGGru3u+zh90P8wHY/ +Tawxx4VWXgpiDadunKfKjqUs4N1Xg7QncbA90bZOgqtbIyPHdRdKF+jVfpGOMFte ++hgO8G79YJdGuL2ACkBzx21y6D9NMfeVFtndALIFU0VYnN/qvyoRF3V9P46fcYAK +AyNy3jkn5pMK26d9tobacgj/+3pd6wJn3upXWcnZwCC3YcNpW+ZID/XEZ2GSYmjL +KogmAHMfTb6Oxt3UleCF56axiy/4D/rGlJt368qTQS2l8eiu8TySVtDOckGIByyA +uAWFUOB2GfXS0GxOoNxBuEIOsd4iUKhbrEe//1vo/zzIe5z/dIWtv7GhwmCWUTM7 +Vol6njITEmjFPdTDuDJKHk5u9Nc/a9FyciyQCpBmcUqC3o9v+HfPiZB00wrlTjny +YvsiBWik/5I1seEvW9zs4f4Zeoh54RLuGFjq4dzKmBPtlZBnmt3M1Gut4ylXZSN1 +q9jUW2YBXf6LKq1HyzZzWK5tD0g0ALjKVNE/HG+1gj4NFQeiCrrWYsEcStFJyz6q +YqpJKJEnoWgjhYvaPyOiT0ImP5M1WqVxkOFBC48NpUiJz15BSouBSlGdWXhfx8XO +lnzBs9wwSqsmgDlU+NR+B6znbqyBzl2j7uDK6rZQ9fiUyh/hk2n4G4gUAY1NZlrQ +jsU7heGRwXpQdnEcDal85c0TIoqKGudtz7wLutF2w0xmLYwUKHhtJvpJqCXtv0YY +pT8NfePrLZUJddTUVNUwUXkktjf9WxydFlAdE6KLPdN6PJW4afBqdQgfFnU3GuVw +92sSXq1X2cLup8NcEQaDSFDhx0O3t2r+Owe8KBvmRsu1XUTaik/bWpekRkwkQzgE +B3A46Y2+LIxzPa7W4K5yPrV+eC6s7T1KbSp4ybHCbU/s3kdlquzmRbZzsvKDCFNq +9TJSeWi3SnYycD6BjBSDz1BOQLBk1+bQtZ+jUL7CjAvOz576od2GeVo8uMD0Tu+5 +hmlYKaiS4BTFYTa4UnXMOmmMjmCCbuiz3OurG/ez2s/pjcuQKGNc+1mFWoyqG3L6 +zjEJ4HQVtjvd/hsYlm88A9q7Fy91TQaHfpnH3wLxQXd9S8Qm0bwqM0D1S79wHiHD +uUCBjrxT1t3T654vh96laO1Hs5cjcK8a9Gi7TkTQviZ38n8Ii0PwQrdDSMR1tM/a +/85mDIChyklCzptSV4jcc223Ui+UokCo1hhjOgo56IVBY8vMNsEcCTzmuCPScxLJ +HCjeSaQ/9f4NMWCZNp4Tu1x12XqiIxvkXKlYlKnBDUnUjHzq+/fYGZfd2c1i6FYq +oIc/kzT1zSZcl3Hk3gBi2IPpZkXTzB58xZFV3XSKGsnVxF2XpLfnVOHPW1ctk+uZ +JeM5Pggkdb8jKlhwvlbKhNyf2t27pDTFjyxXqUrWmOUGb7hpTZnRgc2q2JHWKq8o +JjI10sgRO1u+q1HTh9C9ALV5j7r07JBctWxAWg47ucws8xS26JFpVy1Ny0y2IonS +IP+KcJu9c0OEwVfi4DKVXlB3RuXlNkRSPB01aMGYjNLtIt2PaOsvysoHOdONNJi4 +4u3K/U7knqFUTSsjbjopkMQjmeIql605VmX5uHc7UtP6MVqHmUOguZ9EqjG8FgKD +pQQx80bvvWG1UPAkJO2aL4/GjSjKsXZvfNPOB6s4/1QxC84xXmShwkYLZAuSlvzT +tkRZezppoEEShSS9Cp/4nQmgGD65gLr9eFIP0bXdxg+LrRwU526knIqxk/axdlWY +iGEzKiN0tqKCsZOKQDWP6yKG4JHpM9bmHUiHwNCauGd0pajJzViLuc4+ch0Rc01k +uTV1gAE1B1++zpNkKk868eCJmDcxCyim3cS9upUii1BqEGzFuO3NbZWSMr9lT+AO +tzAQXIwCBF0/pPyUNE8XLTY84SmABr/h0O7EQhLG68nzk24YgMW/Odp2FBiYDddr +oyuLTVJMvfx57V+S3bxAO05kc/pyyzqxXMQVTBhgCgrOoj8mLk6+5XQEo2oXNXIy +0TN+5Ifxmyusdjgum0Qljt8mwMCOeWhQ55YLBc+k8rpN39NMFMIMHXAjmLT7+sVZ +aWeExU9O7Xd043lAUXCo3iFYSCjUpSROkTDIsi5in1FJeX0WnZT25HtmeIU2gNFF +O6sgNdw2A6iEw5KnOpRP8xFOTdVTIaOPmI3PCnlCos/aRTgl4zY0GJLWwNWJXegv +nYv5m7uVrmaAssGZi0ywmpnCvBIVwOGVYBmonXQPYr76RPrm9DBzhP3UfPsjU96C +6cfndFDT3J4rEzuKqX6O94gxHKAcgG57rwVhOp+LbV7MzSPoUQHppsFcRpECvGyc +FAsCJMKtXs1myUvnbzdeia+ZbO9yighPwAiA3Z1HyU18IHDS8bu7q34n1rjYn+Tq +JrdbTxDXulSdkCGc8+GfGwA1xXEpt9ZomKBhu2E0O2up9PpurxahmisnrYD+jUHn +6h6w3Y1/Bd4TqAksmatAvk96/lqSpVeRQd2fSQ77q9X4IQwPxWc3kxJq/CPSn4EI +fGNJMNO3/+IbQWTpKE9ynkOnf44JwjmPq4piUyPbg6r9cPby5U/oltpS6TrKWrZA +JTfnN/esEltC52eudzI+bxe3m/PsgL+3Qzrhmh6UldOitMcyKWm4jozXDsUZdlCC +BbdI9QoL37D4uCoCaCwGieMk6EKNJn7Pk2bk/x/rdDyxbEjreVAwqMBQnQAZDsM8 +FyhVmDFOfH2wiCKsjTXv3dXBsi9NSgvjr+jxwSFjeMZoF6p9WvxxO5wryAojsjtz +KlgN49GnbatwWNYWvRfI+qnsBVpOG0EkNZhsTap0immNtBdA29t2J4deqbH+qbdn +WsN2jhJghylORfPUTT51M1eFtRIXgWMfcIR2a+WDXIwuOZ+A1ntZ/VRGnMEz+Vf5 +feLiMQihHt5QLULPTFVY0P3+T4RZHwp6tmWZpVV2fUXwiXbvOhFvkKbZB8GpxhT3 +1dpy5175rEG1j/ClZhA5wa9qM6zqyEKJg+EX9/TLhYJaru3NSty6RLsTafN1mqQr +kUbmuSGHZhp7Cw/men1RfeI97VXtCrCn2Tw0YUBnKAvv5D7SiezBekc4I7c58rkN +LatLvSaC4oQ93Kl/g/H8WswpZbxSqv+H2HJ4NLPr1LL/+1gujEr9jZbVxT3YxyDE +d0zlXtLjuJmvIs7sFfDv1XgsDDPg6DUcTKLqzSJ1GQr0jJRbMCus9C8qOV5fRxs9 +7kXCUlKw6XTSzFZeFFiM+uDSFNm54+niCbTqpwwmN4M/Mocf+wghT6xaMpWE/PQ9 +pXDkFHPLOz98OsNprNHJdFIrCrQaueTwOAKGn1/fHmkAknaDXj0/Qy3+ABsq80xD +gragd3U5rHoqi/RudGzOkQ2oAQQtl3N3qG6OVMZJhLBzYUmvfkXxFnSjpBHg95To +L0kEAzHBfzCfBAHQTTHgRSuDkJJzWES/fFjdNyOgkayoi5wOP8NhxWQdNsBhvP5G +bbqIE1xg9iSVKIxTJUQn+W8MOrpOX1g4v3Ols2ADdSy7A9OAdmUiQ+LDrFyZNJ3Y ++CwZ1NlnD1H/v9mB87f2o8HShbJJKfHIr03HD4ajB7YE0U3IeiKGqJ1E1seYQkaa +FZEYzo60qUl3FLTZWdxlYRFEq0qNCMXmpLuRNuNSBOchAugtwfcGdkjqrxsg8CW7 +ViyeSTkN9lQg+KLZAoSjEqfakJ9l2+luXmhtLHHpiq3+OhZ/HeyUAqdqyqv/yN0c +kzSyfyNsBk+8eZEI8wYZNNq2WPso7DqspbhgrXt+m7a9Q4IFEV1ZGVunyylD1wbt +yGU278phU4ajkqZ5q2rXQeaxQNNk83dc6Awx/fRcnC4MaRUcqO1E629x1kxFrw1d +gLwGc41pn9RlVHq9f4EptVwVUOft2BYPRiOmxbvPNz7PmdmH9tDq4EaY0r/PpcSd +uNLThw3G+PE4bBLFzTs9+oHP0Vchv9iXk+mmneYs+czCOPl/cnzi2WKFOiNzvrUg +wsArC4nF1L/8ueJHcEiA2iRV2WoyyQhGfB0gnt6+GzJS1bAviYm3YmoeHNmFiMkz +i6MfjgFaItqdZJuhj1EwczA4yW5XHosHM9xbmOilTgu2FiZmXqBnJYFfFjbFDuhK +brc/lPjsTfH/KqYrncWlU9JbJBP6ByB8lDHSB+RNq9M5NjrC5nO/3mO80WW71qbo +NmNCXGWtPJTMCfcvWoDjmgQZFPFr9fYD9m0scSKbLrMm4rL/rg3T4FkxSczKuPh+ +3gsFmD4kdkeC6s4RadUKL/IXwpuQ7NbPe4Y46a4WTQm4MyHz5TlXLYFNny245yat +EDaKxY0yvBDeG6cS492NTtjZTEtH+3nMt8ACQEfm5tlA2+ZMJa8Eg2to9EbMNT90 +eWuHjxbfd+9l4G9fsW2jnHqJyTcBgqIHzjX020qs0K5rynwzEf2ray4zvciBqspU +8uoJd1j4lkXuVvPBM1qcKyzBVcH2EBEZ64aOosx8Y4BYnEYg/h9d38Zny/uIQIil +KMvgBkDHe9cL7lWRhUA/QqG1r6vtfSZvlYJI6SL0rmfWI9n8A3Sa5h4qAfFaWCu2 +qlIvG/FiEUI2Ob4uh3iBS+R4mixGUWihc6eTNQ2QGOt9vnWnso/JoImFmZzpDBRr +uYWsUsl8024s5vAUreITyRstSlxrPDJv74bpDqU/zjL064YMtPHXZYv+pzIhtLjN +nBm9jiYCm9pa2hh7wM8E3C2gfSR8QFVzhw0e0L9BnBRuOLWLB8vVcT6t7CtrR41K +0TRkI+vvpXgFoCoFV+gng7QuoBeRlc5Mc//vI6qZMbwBJxAfXt+d7V/nTXvX2Yxr +M2SuPmMmOaCASJfxQ04q7o3ivUtUHCyHUmvIhNp8J5TVWedndqL+he840xiEplGa ++lAADgpSZSzbtLdDesJI6ZMrM2cRyGM5xu7mcsIwRDcYTC8joj7r2PDM0Vy42UrA +F+F06hvxbTtxg2G3+lmHEmBxhl6jLf/OoYnz3EWnVCwiw///Qn3dnoVnUdT6OGEg +EyALCEVC3OeNYWZfvecQhv3OLQ9ScOLHOWigyvfmkRWxzTH/720QayfA9NaGpd5J +gjETMJO8vZ7GHDTXf5TO1r26rNwadJ3PA/lwOPbIuOETPWo2VGBVVFoBrLZWe7I0 +kYqRyBDd2ozchUZ6/YqO120GRM09VLGIPuHHL4GdIaRsSxdlm9YhNabChHUXTJ+h +XjrCFwy5TPOMAHVXDSX0+/EdPcYn9jLtTfHdUVcic0HBeC4fp3of3bzjSruALqVS +7pWxBixNB5ecar/4KDXD6S+dNxH+r4z5qmjwYwaP/4T8W+gm+akezjcFagWw9Eqn +LxOUo+C6rkIywfgzQHrB9sQNreSZfE591hUBc6U1iBv3Ocqjbd4/yAnoBvA/fqZ/ +uezeauExTcmYMhYKnfVg7Kt0RsHbLovuRjciFeNlDsZJEihyRHPAxGw8dgvfuJiX +8T0m9YVum0xd6wBRx8w+WAE5Ff0pKL9nI1R9zCNM48hBURH/yeX4P6PfDahYH1bZ +O4oqVHkEL151RhS7dW0nZjUQoSMc9K6t938hhBWnJ9dPdRo3JA/VVq+E8KzHeDhS +ojiaEZ+UIotVOtlmsi0Z7ey2TJ+PoB8nALEV4ByJ4UQdPdSuBFvEiQmsLrGziEER +V0oPc2Le0gHQdYVB2ktoVia5E53MYiKtCE8GEqSs+q3SXpxETcpbyu2u4kd9oIVY +wuSOn8/9lEzZn71kIw0adMqGyQlQ2dv9n7+7S7CFfk2blHkq8UTSFkr1t9IzEgT3 +ZNl67u0+h21o+ycBNxw0sgR7kNLBHgfOKPILiPHTbxG38JUy90E8d/H9lsQlVA1G +ItA4Yf9fJ/+I3Dgfu6yBTroJkHGqYGWNoWjtPhUACHHyYCQ585UrRm2v272cu6hz +dNvB1ezqa1Xv614bDClZWiQwwhyD2btQyGJnN/t6YTHVxxhSLbXyan7h+ABxxrtT +WnncOOoVKVp3TI4suzaa47w/6Le5mOWEC+hSD4aqfPAlg2BZOydDlpUza0xHIoMw +/nuqQn6KFuNjg/oWY3yYhLplPQ+je6/zDm2EyXc4oEl4rWrOlpsZA2oD5dnw15nF +xL7w4bwWobyH+UZeH6I15wK2Vmtx6zAUE+Rhc3lnpe+SgVAokycAf7wyIH7VH2T7 +anABAmog53MwvrEtf04iG8g6SFS4hAJvvBl4azBCA2eFqMfrYhHUKRCMWYEzdueb +mQhVgnGnoqSzxaYyVLOVf0eUQc7UBz0ozR90R/WhSL3lK8FYB4atX69cibc9zKXJ +mTxsap6zFlzn5su6qwvgazASUt3dB9NpNxZJIHM1mOBOhYp49YIwpUBtDsyVBf94 +1HuGROk0vF/I320F55Zw5BVhEEKBZVRAX/lIR6ui3dZgrEj5nLYUy9S4qOKeaPKg +mZYJAdRD9ZGY/Y/dkA1vQ4wPcj+cf1DQIGNaRfpaKXDggdiomPeT/XeY56kRPZKP +y0RyFamwFasCmQLX4uIagTCkbRJJtDqwYvUJ0jRQheV+TijEafpoec+94XM4inpE +As8bs/s6Pqx4JvjLLX68O1b8Ih1lpCS1xMLr6k+gOHKrU5rqzoNrHStk109YaVWK +cwmpqcT/yaGnaCWECKuTVzTJHn0CYXNfxxOlTHyLBjsTcpJvOMFmx99ODc8KDhyY +S9q6qNgv7+uCR821FBR3pGdjmyxP/+L7C+idCQqNqSm3ebDt6jyW5tEYn90ICTEf +jP7fFcv/WLNGUDcHDxI2InAK73f06xtkgPfwK4KmmFiRvBoyMykodfbP0sPKB8Wf +OL7tcT68Hstw0MuQOkcBNQXDXTYq/YUEE5sZ8zamlAxE2HvADK4Q4NLmQjRDpa7j +hhOLfmfbJT33CaxeEfmBd5GAeX+5fNB/zA/UyNMvtQYis42VE14OUcdytagIj/Wm +LuGuc/0LPG+/kQ4tTqoY96Rx6Q33ekX8NDsb72FDEwnkgFoCAYOY22hbjAiakdC7 ++yM7wykbi1BPaCLX3TsBzebDxKb9VifIGILKx8apLImAPL2O+ke9zLxdW5YSRbJ9 +es5gl6e5WNyfWnwxZ1jgmE5rJI2T2K/j6nHFDIf3ln4P76L9nBkPu6qgT303h1nB +k+XyNCw0gV6VAHKzUR7J5pgv1nReu6o5CpS6eUf3z+mRhZ0tnPbJDQEfo2rrdVEz +1/9TPjp9bwjnFlv85bjNB9YTziI6kGNzD0vBjsHBDcLzJzHOXMuA6SoTljzZhXul +3KpFtyLLkfwTHVcRfW4dX5m3AZCWQanRirgGlEhRlUIRb34FbPWSzRap/kiz1d85 +qZpxZornth+KiVKLrnHZahAuBroAmy3quQyJOiWJBd6Zdp05zTkQfv3V5yjlo4tQ +88zFKGh9+beizK4+i5AJlGLHgELoK54isM2jSYdo0Lwqgx1n9PsslRY9+iVIHkKS +9Shoo+NAZQksm0DCYxPpGI6IlDpPXKCdFnAsK6jyJ+q5mpuluED4OsyU9DsUzGkj +oWPiFGQoAxUYJXFHh2d7yMYJWCH0SOERkn5lkMwIeat5m5SfPINybXjnMte/Tydb +HveYQg1o3fI+hkOS4w/88xTWeCH4Z2rlad7fAx77Q4iczMiJ5Bj03VGNS8GmTm5Q +h8raLt27t0CpgEtQfKyD9kxNJqnkeRHFa5PSFqPIwNRCgM9gpho1/7q7sEEuu/gP +Y37H48uNQGzIMXtPhOO1gS9yoP4+Y7lfkQxHDtPUKq2XQYVM5eALAETBjGCqutOU +0rLoR7qzs2USRA4xwUlwuXyJz6eZ8sVE9Z2sTMYegxwBfImVRiLuIx8Oj/BtuXiw +pA4pBYht4pCcpfLPo00JGOno5RADtXRiYHaOiD7pqTxlLB+4RqHSc2Tbi60qI3H8 +edlZtwxpGS5YXryJFj8xML9oyQl/UJsThGZItmrgLn9uCTRb4qXI5JCScxRhVR7H +nYOaYzc18kCrAIYMBHyum2hkUZalvPsq9b2bzIcTznPbzGJn/ff6jYTlcATkfdp+ +UGwNVMn1d2lafUBWyAHE2+ZggjwCl359WHkeB289WIoYUKWXStbbWPam2RX/kLWp +0DO1lRZFqu9vHIzeY8AYDw6+EFRtrJsS8dgC0QJr1i8oXYeHtm9aWvS4QAlmKzQd +01AX2C4b7NJYTDolaaU9MK3GDKEViE3eA/KwPSSqO3xVY1lrBJxuTSfbTjPD9/hb +ABApOUBZQItuat24zYr18ukB6Rz+bRMFHV/V25SmS4alKX8HjQDX4gA2dNsxpnnu +j3QlK4e8Zzt38986Dqi/ldEPKSvx5JGqOlbtGxsqhqSmergYRkIBkerggQFcN+Kk +D2OJbekVVuWVvRQtFraUmcqdmTOhiP0Llded1jO+k56z5GQ0jHOCTePmJjJZ4k3m +JmPZqxXuMuy41CyyfCkgMGHbx50Xt0AxEAQH8yx7xkkpkXreD85s4v9e9rYsv58D +2fF9S4+6JWV/9mQzVINzmtjoyCEjNAjONOv8Fmbf6KYZzl4X+IIeb3XJl8ubtoWK +R96QJ9sEi5xcAPM1az1OMGw7Eq24AxcgvzDUAjqO6MB6AYd2TM3gay2yuBHCdUhF +9EKn6ORoGRl2VAywIbsEsnveO0CcdTq//CAjiqA8ck0XD9iqMamuwdDZLiLM39GG +tVyC2zEWQV697LKM8XabmIwY/SfbqS12AdoCBEezOWZJOrbYCCgL7GUoSbNsNKYl +ZiZngrJbeHQntc8KehRRcMQFAhAlTt3QIH6jqgPVOPrudnxgekXK1O5i31OOEjJP +2AX5rkBY8G5HqdIRetyFV7IX6qewuynKQYUX6J0N24ORgqVAADh/nS0fo7jYdnT1 +0efOcszEK6yV4Hk/9gp2Xfhdw8D/ONX1wl3xzIY4hYfHxDpk1wGaMYqhJzuG46od +T6KNdX2IwUaSK7SIl6LZYs+uOMtznxpH6HSiQhV6WbbNNl0jk2kZqPkFU4+WCJ5G +WUGV9CvlNVyWyFu9b88ez83e1aL3ii8QUAqwdzgZtOZVn1myO1ON7GVUngOEsDd0 +W3ZP/0fv4gOzJ+qLCu/XYmQ2KSzFNAeU4mSzPrgYHMGEc3sYDyFwwG7VtWjg73GH +N6SORb1v1i5r/+K9ATpIUnHlKSYfsVs0N2sMKYEES9lDliJ82rRjQZexgMlqAHN+ +u4LUHNoq3eYrSyFK6eqt72nXbygGgO3Il36zIo5kUjf+wkFP9+zVJJUnP49/rJjo +yxkxsSmbK/qIj78jc9RQ9tsamf07qwhglRAsnoQpWB1Pe21Sm1lVi4Ge/5EG90h7 +h2bkmExr1Afh/yNaPdLxWYs9vq5wQHBlXHhicDXcfA6sR4iV7KL0ZUFCk+EHM1zp +7sGxrD4/DhQj3sl9APku6WyCn4eEghuaHYQOoMOL2zjrHbMsrmmqLCCzT3R73lvg +WLai6E0gvDHNxexi2PN6NBnTHTOk6PKYICfz1ii5G4M3zjHHraNEzoLf6a5FwkPJ +25lkuLT7X85NstoBvPGWk0a3pnBaw0Uv8iO+lKKUaRiyQNif9mU06Sd8igAlHjsL +gw2qkAoEBBzwBqRh8+8JCmYRz5BAZg69UHa6XrTDX/x2Um3FqRnx4blwHDRcayqF +z0V5FOz4HvTPYSwQDdX0NwVaBUOnT1RyoN/OeTFapBut3KmUuDtVk9DozyXvSzN9 +6ECBuILSXLGEwece2SgJUzovKwB8GEsqTpZlAPSjuRLG/cFiFcj5LGrG8DlC6smS +pKEUdlDO2vgw43xnOR6YIh41fyhWrgzH0OGY+Jl+4jgFRMK0nB0MCSZT1UMrBBvp +Subm8g+XAWzeBCgfwWTlOmX3FxqYmRsl+LbLyNqI2LnqaprUt14ld0EWUsRewywt +jjIePuJtWgSVCvtdZCWhSEQKfweRQ82xBXSlYB3Bi5JOdxt7WzRkO5qAtR9XgNX3 +6cBJxoZVKaPKkiJmNrpICpWoDKnIgl1xxmrXR6t6QrrxQN8aiuMyiqeixmRjateD +5l42cVx0XXckzalv1GyRq9XgwBZHrWRGrZ1z3/Occtcr160rHtlV+JzYC7CH+hMR +orrGMeFfsfZT5CIbX10EYR213+yPpzkJNaz9cK05b0LHbxMPPdgwdN4FLDs91tdH +XwpYxWxH1tBcLA4Ecoj+KGcgMf+utxETS1W6vPm2tNnexgmiR9pfSur28sm0pUAg +1Rc6jQ0oZQsj9xT/Rd4HdVyj0qNQm+KJ9bkdtABlvNff3fyh9pp1y4tetI9IzNmX +TQhliRv1kYzAGFHLl6XwB09rq6SN2P5evtMcP0iBMYE8K4KWnLSOGDCs05LGkMQG +IM36yDn5DitspzGTZPbJIqc1I4FduLVCsRKLwMLZFIjPIpnB+Y8JzhMmm0QpReEZ +OTfYLpIBXC9eNHEqU+JEtIygr9/SUuzQisVEJDSnyoidd5BCWOGNYFG0JX259TYI +3fOhjXDyJJabw0CRK1xUbQrNaZaCZZcC7zPyubBuWC4ASsafYpME5SORGM954iTg +An3TcFerM2jVzAkXvDjuuJufNkglUmQMl9lb52t4ooPIHjOSi6/Gp1nH8bmML1KY +8fMw2iYhMw0ACii9orPiKqcx0IFbwEUnmkDWbPEpG34jH1McozJ+pHfPNLj4bEnm +uPh6nTJjpyaPvj7t7/l1F6mQ+VsMZG/eQhAQH17IXSwfJpP8sxohkO4ybfcVnr/4 +k7YVXujnSLmqSd5qk1+ceFH0v6TGpWPxe7zT4zJs6iCH8dvXQLKzfKl7VUFz9Tat +OkMjVSqiGjrhipgQ7PqipRa/aIFsJvJ69B0tBmCkTDiPc7Mb4UkmN2GeRqO5EFXd +tRHrR2NgJNPCvmTOhDB457S8vnlBTXuVPh9DPhea7JgIDP5MNmXOo2qRIYZfILhM +tDfZFPlWNH7KbEH5fAzNdkDupvHloYA1Y/TgqZwzs5P74Tc01s+jyrXJ/BVI+Mb6 +Om6wwcKOdmahs5wYoBYopy4jUus754+k3bThoYc46POaqvayfVlAs0jFtpjoRWvE +1Q1BlNGwm6yj6LQlcmJ56ItnIvokCo/xuKxN73z0jcSiO6mk6/TigSyI+5Qb46cu +m9Ahs54q4hzLRjrvWlwB+yL6hNfsAr7BZuCpzti0VWtNw6z1dwDM35Au3u+eswaO +0nM2+0BWs3NGZhgoNu3U5bUErcTlxDlQWQv2MQfhYVbn0YdR/LOuLduiy2CP+6c5 +InYohhwWiXiBGbX3OlAWZfn4Ye69OsBFJFZMSLV9An5RdsjKM/OdERau9Y6701oq +HZQP/OOqX4DJGcBXAA3R8eW+G/esfuoZEjfiQ3OFirCNgka38Nc3Vm0ICntcdVok +lcSzP1Ugm5D+DIxW7JpgfwbZ+aZOyTn2bdHP4DHNGgm61e41aySZwzWoen7tpXg0 +slMQba1syk1JxlUhA2tLM58Vz7ViM6Gd9JKGTrg2tJnu/MMs3U6TVUn5qm4ZbJLZ +8tdwA8q1cJL9FiLwrcGn9wf/rmbe+Q3kSN5HZL06iQ4KK1vdRdMHKL9PHKbsHhmf +DtwRH2ABoNTwLdrgcDHtAOM0oqnudx0Kb5Xa6ZwwicFmWaaAYyyRhUtHDCjay0Cu +1s2rHBFz4DQoC6szf0fZkLpSZajCpDJ/4hWCa1pUTP2EYILAc50/s3vJR8L07rix +EaazVgdlvBQ+2zTzGVcvmtdaKHkherHSIv1DdJsssYVV6jCTx0uvym2kaR2M3Gg5 +mmVrQKWa5Nvj1a2mWISniwyCeGjsnsBecia4qnG27vB/bMxO81Oc0IsaPc0NRgQC +EbpXPdTCsoybzfnxMJ9tmuTRgge7eDEKtriVYagTXHvl3KURvEkBAh4G29yuAeFq +2oDZBjwjof0lwwNWA6rOJ2Vz575hUsmB56vkhJpIkRhdTnzmzQsOfTR70njuK9bC +CzwJDv9S765mvXEN+1Ef0MrjYt8FcXWwBQrF2qpzFTvPZa+ctIP5J2CWo3KXt43I +nEjfntzuFw4uMZnXQYHtCWKg5c+TGPKu4hgRFYsp7o4LNhC5PuMTS3jwtvyYiOam +DogSpdIte/Liboa6IJS66UfOv9sI8JjJ6DhORbJY59Otk94yOzEf/I6f3rgZJkzd +5oWOSZd/hbpjnqJ3JlUl/qMmk6ryTlRhQstqIxH7BZQj4ZUhnYHWEVHnmLS+EO9Q +g352CvKtbMg+tQny2/i7OImcyaju2CdySqfb7aLgQEr5rT4j/lD+FfN4wcRkEmQs +hH7V5Sm2fxeQzlcD/kBxd2gJxJs8rbWJh+TYlS4oovxOUUz+HgfSqbpKkmyVPYLX +owLB8rnKPZvQppkQdPR/Fk9NnM/KJu9Ntmqi+n+AE719NirZDI/E1C1VBWExL5K1 +Ic7zlju4pnWUWF2Ud3hIc/hO0SAmJ+eaT9jx9KTVRwkhTnnXrNeZMryp0J3koADc +aviqZT1+s2MDlFqC2RRKtupaB8FVGCgvKE3oBToRkNFTgXlAHaiCAeJImYr3ybTM +ulg2T5rZKMMyjwtOcsIM7Id2dwHgB9QaUftFeb6TjNS0Kjs6mFp9sPa9vtXtJ65z +oaL4TdM+jVeu8/L3Q7q7D/uX8xxwbGfwWfHnfAFqDlLsWp5FNxAY/mfPS5Zep+Ml +5u8YV3G/PFWftph/jgtkdZVTEjStT7hvRlnfuxStUAyOxbXBnvO8icIk3EJlKIok +y3TY/A6aubx6MhtZJQPVFfcZBEZ8DGqXxOLuXukJNruyaf6TeGSLEuNwYTs7xzii +Inb1YRGzo9F1kC90hvUFolcji4Fa13Y03SeGtrUgVWGjUXfwWnYYM9aYHxh0/Qtn +dauAwh1fIE2G8+H3fNVRONRouHdM6DQ7ysYQCbmR9h752mvFCvc1ebhvV/76gWTl +TuOuhEjuxqNN96Zsh//kTMmoSAzSgv0Se1vWI+ym/WdGtmQqPAw7g1jkl34bz07w +6ilJ5VJXiYWWePPpLzWrhBz9kcKTQBSPdCA17SnZBFjnIl+t5YJrowtwf3pbD1uC +LDnoHCA7100Yb+CFhg8Mf6QYEqmiCOuN+2DKePSOrCrsVo1NPneL1F0XzkatltWK +wfNXwKoEHwuh+i53Cdq1htNfU4/qFLnJ0+r9YBsikn9dOjs0g5lreuoocw4pt4P4 +KtnydXhHiu8E16blcDm7WdFXZbhY2mQaBuxVYlDUdOPfoHNf11rtFi0aSCkKs953 +QrqqehCxmKc4QeH3Qxg/BKgTPW73D+tSeBWECBb3z+XSyp9SwOMoqLARjTPUEG6L +VCUMbae98bDOLujr7Of4gHp5emzQXOHoDMogJ+Ddwfa+Wosx8rXHPcjR0rrphjUv +/uNwoYPDgF6Eqv1022MSRacvCFF3SzZDpwgMXMPe7tu4Io9n4V5QJIFRp1iR0TDb +IhWs8a5ypFatNGBuM/LoiBWZVyDJ0zhT0j/vca54BEmKW9H0GtPe6lk+VRZxNXwi +QRaJtxe0/jRrV006hwr2HPP5iZcvqzhXuKD5wac+7HudyAhfPMiuztBs7JYZmSLR +mDeFB8N4kCpTCG38AJvu0UH5/fXPCf4s/H0xKouYelnNmk93UETUKVs8npWSarA1 +pgneJdEdg19WHHcIr1YVa+ubnrTumbM1q26PAb6zJQkX6cqxeyJQN9j7PFyKLAgH +t3yI32H+Atq03ZN7Gy9u0YoNWZYSwQ0BNhJNJnwk1WthRdwtR2xsKUFWrPsOFEVS +3MqibmZV4zVK4LArtpf8cSqKTwvueH35USFUlu7wzNIZTODl5f1O0RjiQkJPy1od +7QrbvqvzaZ0DdvfwKd9z4IxnGtDa4u7gK5MPxmeEzA9yS8JAql+yDlXnW9Xaa02K +4tb8vAH+Faebui23aU3uNl1R7Z4UoI2w37nB2a31Zd/oiFOFVzwz34rxwWz59QmY +GLGw8kDqbUbVHuWcJgePAvVcOMswEdGzyKRyGq5Z6E2XUn5Og9wx673Y6xdldHKz +VEE7zQBf6mIPQJVk/KXImlb9STlcDpdeac5j0x/KrtdSILuFjbilal7wAtGXXHE8 +LK2rr/X7f6u92MQbOQeCejMXGfOuxD3T9ZcDXr28J9vEQfQVL+uNIwPn/Dvkknk9 +IiCGtCsp9NjGj9zvOMidBKefqCUtG0SmmkhGWU4O3Mte7F/PyMqlAtqG07TjLeYz ++j2CynO4cexUum3fcD76tJ4UMLnxCCQNzqVkBugNzZpU1e2T02/TeSwSuzAetIMm +97WFpuOA1kNogN8c0HGctFNVEryk9lpNQKiA6ddm7p5MoGdnDLRG2tmQGUmyAF+f +umMtdaqr0GJ/CngmP6V43qJ59/3athjNjGMum9zDmSnPblJhgvXJT+auDaZUrp2T +UM6P+arrSZBohyEasqVloLEVFwudbU+QhxBWQ7SIiIOTfg7rWXN12oYdtJ60VYmX +EHKv6bdCgPaobyaktfDqA+ek4kbeUtlL2Yz0n53BmSkpL0tJ+xqKfS7JWjeYkl4p +8wbkZ2RKtg2Ba18Bddme3fdKlZILQiDxIPwsUJPOar4M+6xWBW8mAfyKhTUEjyp9 +pff02zigN5ULsWggn6PZJF+2kotAuFa2lbmrl5Zf+enPGJsKtauB7kwogJsj0gck +Xqof0/ER8iRnXdPh5loFj/cLBFVDMexgB9xiXwq9/Vr6m0ljEOanUOu2uziN1D3l +IbETgm/pFxM6xen0vBas2mP2KUxrkxdtMA1yYQYuF2HNntrjoQsUd7TcZ3luin7y +gYsBDrbSsOnEQ2WjJRofhZWT2BOyZ2dsa8fyisuE3evkxRtUjLXmcYRGkMOhQYUy +SQJdvLYikMF57l0f2FPqa3JpW6heV8FVTrETxAI6bXPB54NtUZn0WXbN3EWzqvrT +V2nzltSmS+TeoLCREFaWNluQKOgKw10sThqL1tUsnJ7hRiyV4YqrPej0VZ9rg62U +/PVDd/cIrVVF1dCY/rT6zBKPeCVGqTegbU1Tmetg2s584ghJihILqypk63lqFzLy +TnVgryzz83G4sqb7QoERzzdw6g47RoOK8+RvA/yoIec= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/siv_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/siv_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..283b5136ac768134fe20afe4e80f18be4a1785bc --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/siv_xcvr_xaui.sv @@ -0,0 +1,706 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +Q+cqHbbM36C+GlYQ5tL9n4FuMRQeeUmOC0KX18uPBrC0Cd8tnCI3J/5QTv/6ByP+ +gzydKyiSlXehO3/bo0Olko7I7616oX2U2SeolMxCbdr2VYgViOtd8d9GniKPZHVp +4QxrFzriT1SM6fQQOs357dBc77+0EBEYPSK5QcQYQRA= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 32144) +BKL0Z5jfVAshiYschCT+AiYqm6rZbGkA+9yx1NWWRgHY7D4HSHCa0MU1GhDG1lvh +qptaUCs+e+c/IGVC4GL1Vi7qkyj5uaoqgwXfnjyTcC+7HAchsj3noU5Wnu20o39I +Zm1QjyAFQE0TOBAUmx0H3Vy/8YCFPt+CPFomUDTjR/CVsArLSc4jmPyd5vnXyfa5 +wj80o4uIbH+Iud+7vOyt79FH78aZanxfur5T9IerTKjEIShose/tdsnB6qYx6GZ8 +NHaBtRWbTOieoBAwhMun97YOnNRJzo6ZCxdGc9WKRd7mIgUgB+nB4s2vPFKgCzFS +GF3BBF0eppEV+UD2Yuz66uyfBmOn7wVOABRbgMVVZepznaMSnaeZjsK8Mw9oLdsa +0sJTdKkZdk8dc87moREGK6z82evrtEcZMpMhUXTPF1mAPirqA5yDtcc2k90FWGad +uInLexn+Arjf3egK6NPjH0TkeGaIP8Ch6cWVOfl7dxJSht7vUZ4GXtEOUVHwLz5V +Qw4l9FUpCISXpAC9hDRmnP+pHv9wnDdQBXAtq+YHS3i83PK1xZy9sATvZu/sdAt+ +I/31nUnllVF11j06mfQoILmP8Ljy708ph0JzEMDiUxZK+qKD85P0PYw72pV2kIyt +IKMfRqtId8bSng/gEx5H0jQy62CqcGtMeJQ+PBch15YrHDGWzOpXuBH49rkcz5NZ +2pq0912ahuVhsKVRypllR6ASxJ6HQXYIvAWBGlOEhzY9PXMSA9rUIK/l2D7kNiNX +wqoicMqs4H+ha0hFJDA8nVMiP0TBkY7MjUDVnSdHkqCjWroa22xVUo+/U3OuPsyd +bZ3+60C/SK3+Tu0lYdNawUx238BjM17w4pHpJT3enTtAOpHAsdnCyS7B334UvVlT +OqeczTpnd9EC6VKuji+s/bao8aSK3DwWk7VBQxenR/fgHFxarB3hDOT5MrNUxg/1 +8pfVaWPG3hOxdpp/GAS5sX2emLk+oyfqc3T3tWUvsrck7keN3oB1W7Rzl4gAZe/J +aAxmlJvLzakC9FPMQpoT9xqQpwnDwYZy6HQnoPCBquPDtDGYwjIoJsfedqzwLhAO +Yfy60ZnhBDB9794RJJteSKOE8Qf3gfQ53RZUMyAYcm0H+NvvkoYtWH8NphsUeaaI +RaKZUQs46vnuDn2a5ZCQhHS3IJtnv7PK44I05KnDeNiBVMn5K3VAwWrx26sQ2uxl +GSl45udaScB9hZyUWp8iOCsM1K/6ohqY7oC8kW8iYjyvjCktryOIlkZ03BZuL+U5 +7iRUje+mFpEvhAcOwPOlThV+qIgo1lL7wG13W9jfrez95MZCKRUZnH6J9oVVf//0 +KmlaQzvDYnM7DDtnfuIRyLzQt+pbpqYnC6Jzc6rUKITOl0eCXoIYFGCw+ZCpoCxb +g0PNl1qMErxVUbpibj46sXOyGxNGicfuOfiihUZOdM0szIuPAatlKxJyn2tqohpJ +cDLRQAK55Xc9uwa8VoxVlo9JtR4wRkfiOPdYhBswZXRDMtAme1bjmvT6XDw6t057 +EckjTG++zzjNuSqd4D/peklSHiyBmToQcYouwO6NVmjAKNWWXoJi3GiCFORJOtkB +WGBVHlgREHCBdtbDpBMZh3mLbi4fU09W3kGoje4ao+kc3EVAVSvbwpaaU5Hfw8oC +o6/Yt/4E8FecFha6Iwsc+/wI9XE05jJdEKpXfjA8LByXN0yk1kFmzUuRu0jfIIqU +sVG/hLAoXW68S0tAbxTrGsW4aZHRT9fJ2aqH3S/wpthmYL6n5EIXBzmOYS15dte0 +WwLgrOsee3McbF2qRbEj4eBhzD8vtkUJL88iz4USTA2VpF5mvUOSFMk33+vATjKd +d8D4LqvgKOkl4BxzLlC3BGR/0fC5IA4tFUZ/F4F2ee8zRji8ItLEkh+/LVdocX9+ +4Usobc4T813RBDrXUfgu6NqBQGBJcdvttb8TaNe28EaXLZlmscvXaATFovVAuBPz +hZBne+7Ikyxyf7jb/U+fDERtVXB9wAf1YgCrqgxZP8S7OLUcFhMBuBt40HyFH7uh +CedPYx+cua9b+DFcd2c4kPY+erQG1htC9g+49pxID8bFIA/H19xUSl3qpuVQOK+0 +ae5ckgE06ClQbKjQauoZ8mH33770QKVzu17H3iyAdD9YzrP6aDpRQwxHog6mcpl+ +98YB1bWD8fvdpaHmVMCiRSN3BlF1GwHcVpdVsJ1dCL+lPJMYjqiZs1LeLyOp9PGJ +HmDXe+c5nOg3QZXRwQBitjisTmq2tXIGE5GX/uuyxvTumKXsBYU2/aKt/0/PMmdv +sPJEEsTjnbpKGG41SH9Stkwrx2OTqd6UKSyor3JwXDfYPGyjljXMw8+8ONO+Q4BN +Y5a6875Gbi8T4H+h4HWfdNJB0Q/9QcVbmrEScSIq3Vgdhh3FWKQ6HrKxIkGmzNrs +Px27oNf1Tei5lk6A+bbuCCln3Nu3FAY3gx3tocko1DTTfIGovTgluGwh+/Q/CC1f +lhnp/BjWSEb5rvlhaOknWDahFxCxrAZ19HutRz3vqHzwn/NoQ872FzN+BCeMmvFa +vMJp243J6wC5n/jl877vpthFDKeXbK+/3IpiEaaMB3FkHSfuX0Lc8UK9/JN0dyYW +p6vu0kU0ubuO4E7VpDb7XZLH3gig0n9LivxBViD61I0snaI4btRP0o+asD2iqaH6 +SNK/PamigCPGUaXWK0yiFl07kPvpuzvTMKdPvEoQOY6znSCl8fZvORPvxI0/22iN +mq051BYIOGC18Wzt/uqv3vbHqUfHWEQCkJXMvy2ojnKNDHiE+GPmzvZL3ns4lVgE +kXQZWH/gO8D1dVHRqop7T57bMkZeIvwYj9SgMIT9P9bcmZApA1v3aWNEBL8XEYLL +1whbV/5lBtqvheebgze6WQUWlrxCV/yZ/PPKFhxX1DGwAG6lDjy5cFmylBrqiNd1 +ujBWgGEa5WqfEyJZtsaoUA+ql/5A+g3nhXtU7ZRl3I4djbjl4YAh0F3Aj4H9rGQP +ZTHOw2wrO3R9BGeLUlkrJylWe2gFZ42lUovV8hNy4QG9FzNu+hVeqAayduqJyb5z +LRlUiysGylWNknlJVWEegWdlUq/xFOXg5LOynANf0/RsX3YfhCeZ+6GpfJGiRE34 +LLtorr+s2WWKCnmadyUmHM/U7+oboG+q7EMQ/K8J7EMWe16s41IS7HEMhNN07jj4 +0ltgG2K9Hsp/4bqLA2XyizJwAk2Twvy7wh5GvDwi8KH+FC7X4iuCTEiShqssYKvi +FW+SpXhMRUvfBuJ76i6Qyjyqy1Fq5jBIezYl+xOvwRpt7pSBVMPIZe8kJURuR28F +6ey4cHvAySXt4XzosjqHoyi26ToRO7ZVp/Dt0vDy5MKatqfb0pMA5vW3EKic3Fyk +uwR4fMY2djXlQIfXnDIsByDPjbgehEJ2TayBI9oWEG5w89yPIy0nMDcCVPks89mC +nUAeYim4EOUqlg8oXau9JYuy1LmXSh2tUVP/dDDmmnEgl+qqLLAhFAkBV58ierUF +YuvFQ2JC2iuoJ5W9onrcPrOAgugLlI9UCMaXTfFy81j7ElHj7d5mR7S9tcB4OamN +GrOaRuvIz5f3j38N/WmrFFtwGEloeNoDWQbIBXDIXPZEoVcuoW+LDW8Hfmu+Iov+ +Jj0XQ3oLst+QdqPyDTs2CSus3E4pXG0nk33LN10JmxbCuFvTBJqEHpRu1kcFd1+d +TrgXm+Sxkb7o1/bHiKb40L6KjQE59VsOmcVu0eQ2muYrAT33KDryFHDsWtQkjm5O +ZgqCW7MzBY7+mKKhHebu4xZTeoECs63AOu8yhl9IgXH2rugOVOYujb2CO+vqTdYQ +yqbPongvRQe388Gy0jKuAMbTkMsW2QpVtUtJV3FsckDnVubBUDqWQ4nU1TQJOasl +1Ay9NV7mj52BQGl1ZF6lPXLt6UlHjVfobg5fNpZRXMi1toB7HnBjHek0azSiReLR +sOowzK0FlMmIk55pmebqNgCagjn/g4NrQqQmavWFCO2HWHoYKr1Uy8phMNsQkOy2 +BDBACUK+TswLyryQjWiN+4NQKdPsFLJyV8MRkZ9DWi9zQFV0ndEfXFPF016FmYJt +w5A8/w5msKmUsW2QHtGE9zLE/3tjjMQWciC1F5oDfzAu3ysZCqRRmShgWcK2Ko5Y +ALISA19IG/Te2Dwjh7STl3OnqY3rCcMLwlhwGdoetIzjYAA4Ln4Zb2kweBlji0HK +VNI1hudWjnRGyzVfV2EL1VS2/oR2zZj0KpjX95r/i3xGMJNK2QQNvUiinzGZPwPM +4eXC/vLMkSiGGmUdQRotJEbTEvah88/dbuUEO6liTH0Jq6jQUtBROSTkFXlQipls +M8GFeXkRhzXyBEMfxReSyZ8sbas/bd7Sc8wz6cmZRfxjL/gcfb05TR8heBUCBvWt ++nfn4641WC1hww9x9Odqz1MMMYLlSh7x/JfGcRGt+2sy/qGzyERODtS5kpoSwg6Y +D5LrrKqBYwBblZ1MNN4wSV7ofiNmNXIF9ydJQYxrPRL3xS7QZBbrRDe0A+My0fW/ +nyHs7pdmG4jCOq8aD4wdDWEoOrVKY9Qctb9N8LYGDbvGKGi20SBHyOD4GFNsMiUj +W1P+yreqC99Uju5huwC/+cDXdctREsiCPGKUF3e2jo5fYNkQF/3AR/x/LaPo5LON +f/qZwX3dC5RXHDrp3a0JSnbJVOjcQpun+Qw3xsqYH6+UjiT1qGXibnElCkcnFew+ +TMr42xVTGGqsPERwz65UABDbe6nVAlxyMLG+Gpns+sR29lqtwVadxBh00ZPIQoms +MMCOR8kWP6v1TgKX97sRxWrZgndlvqrPERsPxnwzVSksMFeuFFzwwkLPdrFF3rCg +CfTv+ks15QdHapo4+JpEX6DCcc5ShoQPgDKBFyfZjqVOAQaJGBBJnTKNd1FR5CxH +nKkOpcM140KrUvqPWVyrATMqaJxkKKpF4j5Db83Mrx57zLO5IlmweXkOFCK/9bg1 +cdftWLWuFXU8gnof0NSoOcR8I8vKbaUJZlSso43EKZDaGjsqrRgfNgH56KuMfubJ +VJzFxm1lt18n6ykrIdUek4WF4sA8AF1a6BP49Zw1TYUbg3xa11CavDxHJVGljbKf +SHprG6LmqBLFMRrFHlT8gSxsl6JfwJJFwIwZ9mRV2ip/n69WrvJkRT78R0A3HJ33 +LU3Tb617hAWp47U0a1O0vmE+XZqO6Oj3VvRHBlfxgLO0um3j5DPUFYW3DR3DbVp/ +a/9ifwlipIBzi53Gadk+jLPnH6gnWgh9kznDelvwel38iC4coarjSjeowMBXfHtJ +y8WYsvc16H2FyqKXKBcemFjvkSitwC+j8ZLC5Ubm5/+sBDTEVlkMisg3DKDIqAew +FeynAIG++kE7ear90dHmVNqItV2/s2lDCpFzDznZvJVrXDHCwTRdmw4FTRjK22zH +1bqfAayzQFBO37hlof4A7apZg6qO/HCHipGB0bRALKGZVsI7Peyk0+RKARWljrUY +CjC9B08Ayy6S7FCvegMBhjMTFuFR+E3wqlDtt/LYO+oaDROmznR27s+VO/tIcmOZ +VJdjMCKAXYV/kkCKEgN0eGSrRBpG0hgqVJ7sceh87ACjx/g8p0SXWHIUsxlSp3gp +I+Q+mx5kCq9JYJHeRor3xGoMn0ZXM/ToTwnusgaevTuM2XYlbl18biXwPg3xc3bv +mySUa7fWuIoxfFRmVhpJij2QkalIo5BvyLyaCQldQGucUoUBG/M5pWmiMrOF2haF +b6/lYwFjGio2KIYLOyT74CLkqVvfD+bXJl3/WSq9OTxFMvCnhQu+coBz9skSpLXN +0D3ONorRyaO1cRj882yPE7VVoQa8MO/2qPWfyiMjiQepamw2y6DLxboip9e2hQ2/ +LLRvZYBqNULVjHAXW3P++kN2cpJ9jd3VM8+emELARITnUpbjQJ9O3AEAqTTVA1yC +J+oOabf1nRNYkSW5qPsAMPQKkPLGVQ1lLdOnN6aayTFcoTbswi6odIv2w6TeIYLM +ShfTUq/o2VgcqrvSxA4/rt9MiWJEcMB2yo9sGnjcExyyxP/OGxJhN+NFmxV/N/Ue +32Kf0l5XVD0cDPOi1o/N7GG2NR86BVG1AdASpNfb+CG2DLsavKZxboCosfufST9v +CXnzOxfetKitoTBvhMqLfZPhN4uPcqvHaakRSoszyLNqWdOY40EgVHbXT1/8jaAp +3GA9ztvm2vYELJUZiXtmZWzXWfaDei3bcjmDOE+pupUM1tyylYE0aZwbDD9ktCpG +qvlEOdP2nDhYTy+EdcLMJVjEZy+BOmvOq0LxBe9Mxd8tGdRH1BGsAuRrxJPv8QdP +cCTKkW0TT3Q3y1ThlnOAvCUmWXKXW6ME7jkQtlylNzp+z4xYhzhRDjNkJXu5VaEz +FrkHwtHUZVkth/lqXcpiUErW10aajtW/gsmkas8IwobdDRml4euC+/jnGuJQIb5s +oBRr7EX7xKgFA4YmXqObw90S+n4is/zUlDYaCRljTahiNrFfpMAejXfaM+S/tshh +hsIyglpbImvuASnPDlMW8t1h1hyE+sfhDc5f4xSL2FVm6hZA3Kdfjjb9MZjhP9EO +b3tnL+g0fj615wcXhGBX8CQhd6yjAtZi8WIacKvh7KaPKLW/7qm426O1z1x8UToP +YtLmEKIext43047D2dNMdjTB2vf/UUejKu9EGXE7elJpgmdy9Cm6stFsbEPoQTrz +CPIlwAePCF/zPeOmktJP3eKiE8SwHyYRkgpBaQHos+F/q+LuhdwW9w+WqiqNmn71 +X5Q6HTaJ6N6HKMyhQ9/KRg9U7svBjLrXlytnpMwtgJYXyANV+gyaYLa4rm6NHvyQ +7TLrhB33kbBw/FPwTWtcpb91XHdtyoITRXJ5UNgUlhESYK1ctCGBtw/GTobwfsAX +E0k8U0ArBGgyt/cwfnRHt6qIeYEM4Bl56HyQNY2X3sA6kerguCJbGSPD9SUyXcii +KrXyU2VFTj/MmX1otkoraslS/X3GFf9AqKSSXaHGNEhBwMIunJ85JGE9tVxepghW +iUNWkxGKG7tofEF9ORtneDljEukVkU0scFJef4MF0sgomG5XHCgvoN7cjZB5wl1V +IaFCnE/2Gou9CaPeGPoGWdzZO7xFDGb1xChcrfa+SBK20z59j2W+eSCasKwpoStx +GdgXD94OyXIgP9rabCpaSd/xzZcnb8BHQ6TQSgjJoOVBmJbzkoqUWwbzu+SF/j4v +e1SCSV3oTV29p5hPjkKgngYSw8LkhB3NegYs+fyixEKM4b2H+NIMyMpYwTAc5Ovw +OQ0dX0I8nOfFvmKFTil5sUqBbpkNwc8qOtFny6mmiBSp4dwIoD56955wI0bM4HKL +NWoU27bdLPTjimC1BL7I5y4xYc5wFH687WdW/JN8c9KwwSgdMGvwfvGLhYo/eDJq +VegEFUAOCuOtL9ylZ+URShkqOzRX7khsz5sqYR1KlCGomtKdG0JJdDI+3G2cscMo +l/qD76KI1CUR/Yq6evIf5iePccCVpuKRZtMmmB9fAUoEymqIxqICI1hlqVtdBRc3 +rwFXy1le1YjnPSJZXeXauSyHyxLSANbOItAK6UiZiUuTzK/smEd/BCM4H96KJPAL +6DUq8DcbqkyINQc25tm8OUl9yI+X+B4Z70bW/yI6MHSFbBm/GAJR715XLmEQ4/Pp +t0Zq0MEkFuy/HtkpI+9OpZtEr9ydFjmNRBYPfbpAlrggrp4fG+wcOajyWofiX6VT +oLWrU55ux48nQ0xpzZxX9pjof77fsSXerRTOt306yMLzMoIkhIBomihMZGJTzkZq +XaARnQ74feKBcrSbr3R+nFIKdKH4TorVMeBwNCFIW67fYeUvNBRlXpeYlrq1qTzz +quvAB/N1290mXpkfZpXRnbeFtITQ26Cxae//pQ5X08QH+8Bhti269hYucfZc56nL +KSqwscew8gBtLx4QEB7kRmpS+XyymVSL4sk914FcWNmIA6fhmR6nADSwQ1J2syBs +lsA6k72BYmZwJn27uw7bhErsrjqX9ssqm+1SZJARXIkU1pYdvsRwx4FWKoStrtIz +VMWPrJ9m8FVM6jikr8DXPvuJBKTLRFdwqszspop/dek5t9zzDPjKjZ7njwT+TX+/ +OF1RTf6gjG7Fldc1QKvLs363aQUg5hP8IHno1gMAjbcfVCWP6jt3sU/qoghJdJkG +uoaGkVEiDFn4qGx+1u5VaBv7SuoT0ntq8/CrCBcPBcDN83hEVnQOSBSrfer4P+uN +ilbY9T3zjNW0EJjnGHxAVUIJJc0j82r8+85mAKEb35PmtslYzZudpaczvmLLTh8S +DoesFEgsvCocp6rF6OzCtgp0nnh+4k0A2f4Nkd5im7GghSOa6GT534N0OnKUR6v5 +aZQeN2BzJ+h3jgNXFbRyw6SifgU3IHmLXL0WMnq52hoqJtQLExta7pSgV3Lt/CPb +z0mQKpgJj9CL6cT8+HolqsquMdQr5bNvCo/EqaijtjXhBXaPkzB6KNDQHapzEmYe +2ellXCQqix/S66XQyoVth9Z4XZR+pMEzzr+rQtdAk7oep+T++ChKI6/lkX9qUI3h +XREqperIHqg/H49J0JuaUFOO+eqwiS20yZaTu+uvCKr7Fr/SgDUU7pbOOdqjxXFx +ZO6KbTxs1XXo+SRKuOLRvNjZTRTIq2k8voqLlv7XUnW//+/0hXLetDqyLF5Onl1C +CQdqlomwn4NIx7pTzG/oGA8a9bxr5spUxSShFTalHVuXasPD0Gtim8X01qZL7F8r +xaW7mQlFMDMKVnERbEs+YNWymDwgIVaIujuG3Ozpe2hMu+spkvvZztpqxHKXr03z +Y4PVUH+X4WmfGjAJt4qWUP1xXAJmQwFjGSoVFmAcQQDEXSNm6lK/xFSjCKVQFTp7 +YPbk3u3XoqRDaHYP26ZrvwrB8ql6pHNqwUeGrwTDb3fcSfo13xF4QF8airEgfPzy +hg7Il4SIytgfdGUGr/3EiAMREhsS3GZx9Gjq+64J72hQRI6uuyFYMK6bZnbC416k +tEv+AoJZIy5IxY/sfqdfej56BZDaeCQX4P6ASJwzrzA4yHGuMvsdbhGF3jqL6rfb +JGhyYqnkg/ip1o9qwJ36zdJNHUnRRX9RDr0b25MEiIeN21ZDjZjk5AHtymnJCDMQ +eiMdzTo8e61Rup9nrL+cjYnsRROuc7LkuvjtsM5p7d2T4NnBp2vz+AZyQgxeHAG1 +zcmwBmmFnycjJPjORVj8O5+hnxx4ULE2FZ/vZ7vaeDQP/KPME4Z6NbFWUMhw5FJk +qRBs26hDTSHhWMOL52AZPjp2aHxCcY8ik0GmlqOtInTst3YLuPwxmbWglyWdSojP +pRjAhQvGtxfAK3ZmJZ6uh46zv/WSR89VQ7XkEczu1cNvYleEBFPKZ0RNCXtBFCnJ +Efnrgwdc6fuseWXRuS2Iy6RzvHfKmVynUus21EbmPRgYqT8yYrGeQ5HQFYzphYjR +5Myi7dk+6lwieD+fB44cQ6b5lhG3nAwyH0VKnoXlby+eJIX+4nWOK99yjxr7IXEQ +/Te2qtYKoFXKVXd5xzbkhDf35nqJKiqqKhbYtVHPvBLvZy8vd4q5YfDqPwOPzHhc +A7J/g55WfT0BmvBDEIigYYdsiFP9KHiITtghZDBIHr/eeGhLDGorWmv/VCmUTNq1 +6Kn0wvn1jJ27t9mIhTqcA/OgYznnN29h0HinWc0GZ5RFofeW4qP4GOUe7Y0aDpmL +bHOOPUAhtcEH/YhbeTJD1l876XuEquxtygQjanZikneLahSipGKMWRo9VRnLDf6D +uZLJh8ocRw80bvNezkyuEdMp3ioYsDULg0WPRNtsQ4IUPaOxEC/z21KSLUpduKYU +qjmG6pUutyqBmnIR+civr6igpNeF8xiJ7h+VHqZbpDwOtO5fxQphwAkH6I7x/0y1 +1NttCdMY5MCO+6FMtbFhCpNcp3uRit6lIGw3Jwvp++Me4gZ/9qVEfbYQNjhKwtce +7v1QTa0+by7BXCqOoKiuzlPb2HwvhgmKAjstHsNIJwZhG5JESu3MpDKpnd/ZW9m3 +dtjtQDBET5kBO27KM+FXdZC66OVEmMNZzwpbhfOoqzf89uCr0j53a0zBXVJ9ONfQ +4aVLUugAbGcd+12xbVWnV5lH+RIpBWXDP4cJ6oB4ha1K2pFVT/Gqb9+Qp3xHJAMF +X0pEYRJn8TA4NiFUPVLSsxyL3eQy3ve9PhYX44b6vr5BXy259BLLlX+R3yiYlASE +N2E/O2CDQxpWfYPSzMTmxGaQcOEhT2lzWIOGLK8d1ecq123C9XjePh2vPJwzEi9V +zZBkY+bdFV5CqCVrCjqS4+DHt2CVHehEkljHGcbgBVSNkJ1Zn3scXKIPELteFr9a +mH9tyHyq5ZsBIeg3Wawp7Z1Ec5uzCWyomOzG3dKvBNOmLaBmuP2EU5KCIdaIALjt +9ji4ucbC1phbDgcWhAQK6fbM/uac3Kdi9nSApHJr906m7d/FRV9Qaz4OzUA3Masg +aEl20vbb6Rpd1n+ADbcT0xsDy8CULsdOrNhcKTUnjyZWPR/5dsKdFBxpLnF8KB70 +n6RI0NLQ1+um+XsQsuLHIRz5r+64P/LAFdshhwo/+ZRRfFRfgpa89w2qJgtotF+8 +D0FOWcHQXRKD/jOAH6m8QRhXfZcaOu+qbviTIWrZNm6Pim6tAHuVlpN4cAs33CFE +5AT2N2d8g/JpZ+p8MaDtxusRfPhFqI93ZF2rmpP2qYOQt2OTyKS3lNnvz7AFHMzU +ELk2AiU39OJJ172DGN7XdM7yryDDcCHTXZioAOOxHRnTkY8Xfqqb0mU+HYubuAoR +4rj5f5DihRLxi8EGgJhoj090ozDxhy2nHiuKASCqC91MIt1LN3iMP4a3X56ZS4hD +OSx20HVBRoRGOmOyZHykGALcxzPo8Sz6GOj8gpAGkLyhqcoIIMaqFyvvdBLYAkwx +6n3Uk/gHA0yHvpUGV6EUnJLMLiDCztbPkcOB0dsNlRU0sP1CKeaIn7POYmEmN8Vi +pg7iC/IzSOWUzYkdqp3PLyoEl5d8xzCd0MLDmv0K+3NmhFImS8/IA89369tH6UdD +IR24xgL1Lk9YoBvDI8Ss6Te3VM+e3nN/xda0FTS+9JjSum1v39C7DNG8ETj9GdIT +O5mPcOxAdhy/hzZFj0yoKANRXnqYywed8i8DOjs9EMdojSiKpZh+ah0aP5XYdaHa +rXAhMyZp/icibCkdd4wZSG5M9QGLAYOjphknA86JsnM8OKRlM9o2/1Sxy8X3tvVe +jqB+8T2roM6/SiuCzXcm7KCZNlfIDv6DGtN71p8AgE+Uje2GNghVlCD2xETNM3c3 +R0FXC5GVbp+TgXVm0/VJLAM2cMeo2lJRq3o/WPE+ZkvapYCo79vutES7OaDo2tjK +qwEnaU2SWe/xlkvH49WddJpaoQohW6Fy1pdTWPIwMlyqk5UtkhM9ZcioBrpQ+nHm +cw5s9RiA5esx4rPAiyXx7IT6HDC9/b0eHNGWj0BrAMvysWT3Pe9cGSw7jyNT+rsr +L+XLDqy9TlTfTVaW9nmhnrf5KecH8W2y8ReN3yVIIwg4SQXvLumYKDx45zTAc8k6 +3wDPkpO4QCJT2oWGbz5nN/wmaj5GVJU9pkBrZ82BuMO23jE+JHrH0YSybMlDA/ct +D1I1aDesx44YaI0IESs1+z+hInkvguUBC0YERqXpBgn2y7gl8NRozN1uGRpWJcf1 +TgFGCh3xZPphF+onInqYxH8Wqlg1SIxpo3l36wbB6h+/6OkxVTtMjbPcPZVKXAEd +1Wp+Oubu8QNWq+j1+e7LzgCkosb6axgsDtNEnjorKOL6ZMRBsWT0xXaTEKAtthO1 +hpvzpB8HPYePhdOfiibs8TVeqLyX2THwm5WrLMJrx9yOMse+8lIxhIJC482lBdMZ +hTcfIxYYY08P6cIRDzpDmcMGpk/nVRGIfM1FD07AWXwqpvORJwRRcvy0/QGbTdpK +GS3hJiUyfl4zCAuqS6YgDFYVhNxejenvH5bdFFKQYbsc02ivezi/H8Nuzp+RFjgq +MpYa4NigRbEeHdWnUkAdo6qYjU2apSPz1EUw/83HaaPrWzhTW3JJMGsPmv1WoFkh +iTUuT4DBZuSChW6kHhHfxrWcy6la9rgQGj0UQMxtAnhf6TA5Tdk8lsXs3TwOd0Yq +QU2SFH0HoZiXfD3WRCLSbnkpSOnNi4ZCTlqOxhP7/WqVGIQ8oAIH5wfegWYceP7Z +Q7l3hz+Aih8ZArvkHZa6Ho0omoUZZM59xx7MJQ2rJ4D2g3JeZaoTCbHYCQOgywgU +cMSez+FOMuQ6/1h6cfwgU/LL2kcraycY4fj44QusWFuvtls7NDSKMrVx8qNbcSTJ +PidjmeKbPmsQ3il4TkiR8pEdIUklLl69g3UjNFdbxFAy9/XAwYD2/AOVU41b2Mtk +kQdHRiS46qp/uHGCuEaWlXKMfHwMOVClrl5qs4gAqich7MV10CG30u2N+3ltXcDP +FR9gWWC/ofxcJmDI0lSdjxui528mFw3vZqyG0ExggCxfeFAQcsSxP4NcWeYP5TCm +1/Q7sGd8KP8HwvNW0Aw8DUOssc66kB12Dh2qlNxUVUCPkn6yYvgas7YweNU8Hl94 +jR1r0KK3Y+8KjZNrLfAs9ex/zfMh+L+3FRmX8e34VeFyXbPNQWOPGFY54cKaMqXq +nrlxCmsFR53li8KV0M/1ysC3fVcxKbLNFALPU/qhee4t79RvhXeZuZECXfgilj+s +LNnTKuwzChM8dd+uVrU34ON6r+TfhqDKSQpHyg7mhZC9aN3m7KFqpmeqZ0BELH7j +PYfiQ0xtkF63SpGVsmlSNs3e4ZxTviSTDJRRZ+4QCXALW/Panx6UFBwnfegjM5hr +Kw6CqbOjUzAE46wm1quZcq02fqWx+xmOn28vAWBqxL5c2Ignjbf5RbVHXCHJWUrG +MQf5zxt/gwhuZY/qKrkGzlslv7793cx0wAsny1sB/hbmFUeYmkwWnxCPETC+c8Y4 +vYzpOHzhQ3Y3R04ITYL0knqGDPySeruheP2YBOYcQxGAYJ5MKu4B+zFIzVaU1G8r +M6FbZvPS0Pkl50T6vhNCASChkxgw4Kse8hOjSthnnPxz66E3NmxOCgjITeD66bVG +vzlVqHo/7XEbhxjO/v7Myw2jv2UHlkX72yJmBnRSvU/2W5Ld0VXJ341eh03hNTqb +OP0jdzkl+cYqhqihhvu2Z/gup9t1HQuHUl8XqQVteD85Azn0Com/JJwTNU9BIa2X +cK6ZXruqivIFQklfepuqk42+1cD4o/msQKOtXxZHz0jeA9lZvLEH9nHRjznjqZvx +D4P4dOE39l6Qk6Pldx/aTsgmz/L/6fNCmVvuG2mD8VChhEtVs//JDWPi90AJc9HF +n/F2qtkCizCz9YmEJmcAyq9W88h3K7lVhb7HXCHVDhRyk74c3ae/19csz0fw63Ik +YXrq274C+cTtNl6T4PQF9ixfTL+YlK8dxb1koRw6j61tEPx760ClkKIN0PyunWgR +6WW5bRKRauetevXoa0v91lX/zYB9EF75blzXIWfaIu/dARnE/4RR5UQaxAmI2AHo +hwCyYCD61ni2xGSYYIl9apLUNyBhJAgIeVVnTQ7OXeXWLWEH+IldQ2UkFgzV9MaC +GYv+KPRT3HAFys641N4SG85Ol+xvIFG1bkVQYWe349juoCYgIKysCNIpE206Mhka +il0Rs+JdhJx1It2/rfg8MXUK5p7WwrFyjBQJQXCJ7qL7Cbnf4ug3ApaWfNx1Gqeb +gbz3WVUg0+BG7B1yJWVNmnzUZvnI4OHq4OAGF+XZ85vwHohjrNj6JPFQp7BBNklh +aqVDs7MdryR4PuWdnMxmOmt02dL1vBPBpEsD8FRFza3mqzTUl/SHuP08wGhxCBn1 +U8DDXe4J9JbKSMg6P/s+f7ioew/HQHjhmCe1rKL7aBxdgzkqi1cPLZSNIsmuyR4x +rQsmxVEJ9/lILtRiSdHp5TaGU9VhfVASKV5YPBOsuXoN6RgO/YoKxYf/Kv7NlMMz +lk5ltdIDo/WKF7+3OEAO24qd4u0DpKTgapjci/qzbjWXbd44h7VwnxfNeFZ+5KRw +LvpE2L9jPkcCPHaPEq3lGsqMPi0FH7jfj86rGqXsmkHJ+on8+LhMheyy1rknbDbV +hQ7G7W4TKf4U5ulAz0nj2HXzlVHWzJ0K7O6GREs70i1FtglDE/CToQnb3kma603H +ZD7/wRontXQ7a+OVO+cMih6IepNKqO8w4ny+xEYdVhHEC3VHRLPFMGCLtQ/caU2B +DFh7sycg3WoyENF4paHSjPkhNlVXgHVAMtJ1Yucv3wlU1w0fpCEakWD4KgWQJ2te +ssjngtkBIFDcKRJ+wwD1cqrSMVNiQ8qnl0MWLki7VOXoPihigLpuZ6JWBZG/MqRC +M60Ea0uJaSrviLlazqfwPgVvJxeOBIqo9Coc91nNb33vwGt+FwtqXd1tr8UD6zss +6SFhkQSk8L9Gs0JlG7YKFZKKnzR9yN7hexfOEmvU9FYe7rKbAYSkrZO6IDmhPnmC +MZ6IL5l8f4pdwNydw2Z/XnVMbdKQTWJlk06ohmI62OkiixbqePdfPLkCU/XML8NN +6x1hRJMirn0otoF+tyMWh0Jt61CPv/5jUQIliCFTMg17dStaU1YId24rzD4oR0fw +p2Rgm+/SSNhnDlgoArDvJgU2YeEsLJbxmwI0n7PaDjrOIXGn3PbnIJJaAS8dEn7S +7xCTZnYVMNRy3zujcLMCRtt9i4f5/DxLrrqrAZmkMI9A7i2AalLAqS5nPzg9ZEGn +xc8m19GobI/ulVMlfux6Yaow6VB4XCf7DVtVy5yVK7aqrl/AVGtvYXaPdmyHVBRr +o6NBYEm5gcsqOJAmhHkurZYcQ2KtvzcgbuuXvfAw/XTBcLY2irK0Q4A150EHw4Br +HdYjGnt/GG+PxGKJQFxXvZwPagRLU7icogSh7IdzbacHKHDMYbDEplLjDHHFrfdZ +p6dzrQrMKi+K0MJOSYAgSAVAkjn8Pv2Tzo86FTZ2PdES0+fvYw+OnTXeW73RMtHx +bn5E6UayE0+ZidCnTfw/9VATa5kRR6iaEsBbBWRz0tvbgJRgmOWnZH407CYAxb9x +t1wglcTavgczhPSFbRjHeOpyAkdEZ+CTXVPQq1ai7AiFhouUizIqMklo4/vyzhWw +DrDPf6Wfzcy9EzslNIwLlA9U2Ku/7CeEnljJkExwX+GUzLUJnOOY4GN0n4TEPG37 +Ns3bbWD7Cns3vKoY3wdUicEFjlfuaY5U+EhL4lS+H1DH+0TDQl7aNpcecQb1spXv +fnv4IGNndx+LjP99pXnEZszk678MWXya7JSXFyA88HRPaURuZ0px0UK9r0cIz3kV +F62AzTrzzNy+JeYMSNmoryj63XEIo1O8GSGF/sJk/ODJ/nSOFxUu0/bj0S3RyP+q +WrljrNVoY/dBBdQyazvUKgCxi9chvsFv1n8XH+2Xtm/qVckzQh5t6E7rJjOYyLgj +vXH5vP7zDYTXOEB0MVGOExolB1KSp6cFCocxsEkEf8B74IfWFnW2ibHZj93UFmjr +N1wte0+TXGMiBB7SSLRdIR4P1dnm1J2xDhuAFMMTBDFbqPnLRVTX7fVGZt6fIRkm +6m4Xf4PCADxyqwoNGBgxEWuf/cpdaUEy7soyQNTIAmLN8wnZREDTV1XBu2bFmDUw +WcuTkY7i1qCKEVh1r6TpBvK54CFjIa64SLVgWVjttU5nAp72p9AytGKcFj77qtm5 +KppaKNaEunGb1iFrZg0U6Wrk4lra/pXPvYHz87Os3AdR2gALfko+gf3dSeRNBQgD ++1VYtg0tVy3Zh8EV7ustG2r1VSx0gObpF9fhyrvQQP+p9T31Y93fsI9wj3Vk1Xd8 +E6Qyg0U7EUOFr56WaEtUjhLOT5+YkcYTWIe3FcL5XhQEriOoAcpsc32M3vdmhRib +UZ0SJNSGydlP2jvx/W4aRg3vUHayJpaEhA7lIGe6NBTsgg9FOZSNMXe5biSee4tL +30CsVheHcenoz1EuznHCPy9Q4i8zsrQMbs7H3Nvu4Gztwfu8oE0TvDQDKIGG+pUW +pzDICqYPnK8AbLy/A+TKUjVxNTWQAq5VmKXcP9x+WC2lf9NinrQgWBUNPonQGhP9 +L2o2uX8jLmxPEStkF04Y8xsRblrzuHa1PRSZ3uRYjN16VRWAFWQ8zCPW/Fu5mRGR +Xs8FLcHKHs0WZI4h+IPD1nNcxPKsMDhqBdzXI19rTTDCcsts+KQJL8ck5M5bY2Y+ +oVkeXmU+jW1WYfpN4DpoVQchXdL7IqPI3Qr+g0HIE8qT5AOutTAlgMGJrFCAoE/R +dshSOY78KB8FDMbE7RdUgUgIXg9NtKsCx8+QycUwyzLkGc3QzlY+PJNM7PeR42tw +EkmoaMSX/SSWRtQggeS03OgEUvy6lZdzMkAbSB2T1gQHqb/Fn+egEpROOP98/M90 +D3+bkbAy6QJr+1elCCwFB4MCEGAM3m9RQOq1VRebgEWGafLes3O85IRrZ3E2VCUS +aXskOSsL4FAMu/XD0yur+Kzv8Qgq9IWCkWorubkzlhJustF2uwGsmzR6uYsuceB3 +cOoJhckFqm5UO1HYsvCRaxoNvindktj1YcGFrBL1OMDryyc7VST9zeU8430ee7Vz +X3Lxr8NYjbncbKWsqeGpEyEXsWxeSrwvhnVRKD7rSl+44/PsAFMVFiUgdd+84n2K +UvMMywpJUh/KcY/UnouEPzoSXTH4L7DYzUmP4TzEXshIcvr+LEWnFeFqLaj+OzrW +b2Ta3l/LXnZLCpFW3hkLqUtN3Ny8nzhCTGf2akbSfZFYcJlAeeKEMvTrswh7OOhh +EfruBnFPODqNBuGbCl/54saewr3BWuCR2rovCJuJaAYtO5L8z94WpcWHLE3NPctF +cTlyKDGOH8txnYjAmtWQVQDgXFsdbM6VZRa7zaUDHDeGeFwuVoDxIz2kP3lxIfrB +WJF4wNVd3BxvjIcU4iih0zmqXUWVc5KixS2KjBz0GAkosfv0kLZZB34+k3DBd8zg +LJGRoQ0swlNms73hpcjw6cW3Xa2FMw7uQQvUCB9FK9LjquZFXlfLb8hq1n9h7W4X +1juBXnLvCCKqpReawuPN4FtfCYBYGG1rG9pii1/knxkZZmJAbxpREolNC09lqGzo +59ffOJQ9Dv47RRRgJchuavGPYECvl9g0SXXg4fcVLEL33dyAGcEDROU5UbChN05l +E/s6w/MWi5kigjHWWBtlXeGP0Z1DgfVU3I14LYxkwb7vd88piBXxFdMPHQ8ogTbt +IGvdtJ1YfBr8Eys+I5IGMLF1jSkBaVxZG6IAt8smRXlOW0hhZ0wyMoS0+Zidakih +2tkS8r+CuQXvE/16UxpTBU27na3i9DHSkzvcIQFVuUGZva7mjLzeTaKAAtWBQX4Y +uYgk/9utvdEbrZDKhsp1QLS+004fkQZN3rleLKcOqJL8+ngsJEKMu+wZlpP33MBG +I8IePJ1dcr78+qJhK0akwqHOuDs3LL7a/KVXxPQb3DCk8nPufQ5H1tGqyVHH2734 +nB0Ho4tG1zGCSWg8++ZhdtIgVAZ6z0tXxc9buCeA9feEPSp0eMcLtjJs/9W4dqWp +64d1jh1kaW9rY2vsf79OVeF5AXoA3GwvQJAcV7DsHALhfnvjYCOtE9avl0bmnDW4 +p3Cws3tXoOLb+kr+t6r5SqPZOJA3PL6TOf6r92dd51VwIbYrsXn/E0l2uolyEhRO +430ENrhQ//07vFWme91zXR+/zLdLjiSlibE7sBWq0OzgpuaHS74vWhKjCxoVAlSV +Mxg2NObiPMvKE1PuQCLnzIB5AK6Jj9RNbMrjZTKjrlH59Aos9m5BVGnEcq3mxFWO +7cV4ubggxIQTizsAolGKDb/dwNFu2D7DwP0+78qttiV/lkULghTeV024/mzjj1kp +3KN/vBPAmmTCwHS3kFOgeSquVgLgO2OndIUR7A7ZtS2zhDT0EvE0JRkDI9a7K6qS +/PrrAfNzhJ9x4JdUn/7KKV8vycDxUExNbJwHoaGv3JxWj/EWuWNaavGb8AubUMcr +s3co4NSwFARV27go9+7JBCmoPXbIE25WrnpxWQbcBh+KrlJ4dg+6WwKTZrVVyjiW +C0q4WhXSMRzQAEZgm3+FT+E/SdmKzYHmP/aMeAzI2PQniKMhxhmYL/s/WwMTJYse +t7kROOVRS6CbuXZG0BQYjqRzZgn4CCuxO+DaOvBquP7RlnAsdBtk4+Wtlh4Mg4Xr ++7j0wrIWz+/aot+92Q2WIIr455Xcq/DUgux7ie5+aYnciYY4gyomRFI48wpbZEeA +IfZU8thPf2fFnNe0/Kog3jBrBjZDIhnM4ZByrOKUUYjwWVaDhLnj+wxokPM08fJc +bP9cTwWAh4YvyiZSq2iiP+Q6zgy5tPeHNITMu1z1Xpy2z5LveSz7QpqRoWSox5h1 +7eiw4lD6p0IDdk4eO1MeiVlHwdab39LSGItICDfvf3/DbtYr8SPBk+sIb4nqmevn +JMWUEnV6rdts1gmyM3aWVaeUDhptZp8LUKXPMfuroKGrwThpNOkYQbBVS2/Kioi5 +Yupvpy4lUJRdJ5JVECkgWXZ46mZY390zboUuzQvm8XGe5J/pkRrHAcqmy5MCYDa1 +Sy5Uqv/3//pWxQgwVBGifjZ4O5zkF12SZY+YH1kuif3ePJJ1fhe8ZaauWpmlQoRQ +wkMAPTF6wNncnLOnI2bg5Z1juKEkU2br93ZUb6iY3TcNy0bS3I1ESnBwHzlCHwXb +weFW2rKLT24j/ZlwstaBvyDMF8YQJvQF61xWbyY4V5F780SDRd6hky6fWZx0/sVB +0CCulpGIMejSS7Tto9ayt2UvtEP7Qz7dtl3KBspmRpCEvSbVm3vAFaNezhsw9Fi5 +9/a3J+V9SdR58T0tubkN9UFinXmRUMyJT51/nMJ7uWdKwHa386bhamUV2kibE8h2 +GGJg+RSLPllxc0IHXk8EeHnI295mRgR6mdMGg4cpov9bTJfQF0EC26XA/1PKeB8s +xlUQ3JzVEyflTHvFyJ9rgQXjeJ0TPSyK9uZuaZkRiRyXxTf45DsDdrWhsGwxonac +bybXUkRwL/uycMErXSzuI6AUE4KOi+NC2Nus+EFHpode9kFRoPm8I2MDN1dxVtD8 +FYswYPqtNuzRGqMEGKlweATap56Pvzqw1+1d8cy1g2sCrjBDEE1WvbFGTT7+I3N+ +Q1FjCkurMMQvdeV+EKwSuKm+iGmhtBmVUA1uu21ebFaKCETh89VeSE0cvmeCUQ2G +SnJYSM88XFI7u2Od9sayQZq/RoQqZQ+mbTj5Q0o4YsBnBKRQDyXyh/CsyQSLfwzk +xhvuWQpmwtiSy85/m3/69H3ad5ROrd7rX6Kh4AeGXqDi5WG3wMCayxv+tqAWODzl +NiKMz3I9vzZtu0hmjVmfpZcTGadNA0vErRa5Y37eDhcIsfl27fhJISTiyu5mx6gv +eo76YXwDS7A3vzXqjlMa7l1yK2rjA8XRJ9O2hRvomFllFJaJogoLFGfbLa6UEjbK +WQaCYrCEcrL1SBoIysZKNlle7jT9VVlMkHx6Tpva6ZiEZyzX8GEvPcDxhzS9h2ws +mYxI7V1v2dr2lK5/gmeb6hu2ISdWnHatBWWut5iJLp4ZoeecjCnJF5kXz7IzMXXZ +61BMRN+EBbgDg5appqQ63vo6z/dz9VVja7LROsou6CxFkC4QUqTpvULaBoKQM5l8 +nrFfiD6zcwj+Su+g8C5NGoH5PEdJFg/rGe6oaGSNQ1caQ2HBQhGmtqiuip6OiDVR +NA52gvTU0CsBIsL4FB3yrKOPIg7MYF8GGppVMQFMnHpOvQ3UmkJGYDbMBlvSpPaV +YrXrFuVuk+LGoZ30v0t1N3DAVh9ztHwovwYpytFN0Ee9Nc0kX61IIgn1o/GP4ttl +V/7Ywwq08UFBrH7Vf0ZA8jMoCKBqX5mmmagnAdlZgJE9kKKuT17WHbusoAY9KZ05 +EqSdLkpp0TAfM7q6m4fA4GAgj+mA7qVAYVUcw1zvbPH6POTSIULEgbLY/n18355M +XB1h73s+ji7zwBZXmNI4MxaI/jjp7aNVscoutO2of+EUTGobvb2kuMM6B017LGiL +7HpEgCXjU9ru8k/tQumJpbecbL4OkxmuWeJfpVi22whfXf1dh1QmFOftz4deLbQu +gCaNCi16q1JL3QSA2Pm+trXgoJRX5A1/PxKfsGPyxh4mUXZQO1Ot3NX6tU5LzT4p +4dftjyF7LhKCChQaRwx3iQfrixazctAXOEaWChqDDKLe/DJNyp7vkJVnRJ+66BGs +sWt7muABV8r9oH5cwOciFYwdjTK6zwmi7sp6YVBPSNjV29douI5DZz1/a1uTiOSO +o1xuQLL5C67cARJPLebLUkMAF2PDCZHukxRLG/ibqnoc2ukJsDOju/hNvfq7xCIR +KtCEXjjCuUnM4YFVzmcofNGSVflbpyQ4DRFVzYicBAZrVtZuBHvulbejMxHTE1XZ +JY93bFSnfbCXFydCdueBS06tpLI4JIbnQzVSXyxwlUcjeYrrId4P1dub8EoaHFKo +gyaH7s8z8dWFG6d/QlT2da3VrfNAOKlacCM+Uz+0SzF76LSUJrBFLpqyjm14Aiqw +p6ol9c641CDuXqx48hkoCFVBbsuGeY8V/IeibYz4DWx6+y0Pq/QkxYcW/rvLJezP +vyVokIQf53g+WoBMezr1awsuMRjVJU/CrptwTo0hKuUoFEnCvttNuSv8GZMwmrjT +fQqnZt5/XqYVr0IWGhMXru1JLX2+y/TLE5srzLVOXddK9+qdCybQMHDASDXQY/bT +LuBIBxURaxpAY2XE2fbOyy8ODUYW1ZFFb3pMewUokPhLVXbQyNHrrkOJdg2YA+yj +9HJX99ZGr7lOGt7Wy4VAJUTwoIqPL97U3x1GRe2o/oO1eq9CB6Sfp7KcOynhRwJ9 +P50NvP0Rw6Q6Obz9pTH6jY7STISxmKTqObObYjAuWGs0DGMCOAx5oxeP/MPXiffi +i7WLhJcD8vTxtSTzYSYznidGGnp2QOKSx4HdsXwvcNVbXxnef1q3XD7wMJQ/g1WK +m2a0sslMUMjgR9gq6+XDrUDhcVNcUto5kjcLI/1Baw2GppZGdZubWWljc8i5LwUR +qIzwXmmiBMlwpzQkzz8JfhWf+56skJTbXCSPzAWFLI7kxC99TIWvXbTZ/SfAhJcy +WSbuMjUpxjM1Y7DDH34SgKV/hiNMV7oG3GQaGfvuJ2VdaNRg0NP3DP51wf8zn7td +FA1+ZHTloPf7UUtTpeUbRx3nzveWB4RaQcDPBZ9uHvPKMw+KUJRiZ4Hw9OFLCzUG +nyzys4AJGkn2D1bPZgcckaOjld/YucJ4WPDF3wBY/sQJ+aO0DLdiT4P4/0FAvx9/ +ucDY7i2fLkrFM1/fkIidp+x2t9/bU44BtKgJ5xfGcRii63ALAKE1/O8ksyBBKCML +bTeA+/nVRcqbR3lig3CGdAWt4OuHW3mEwrxytul7OpLD4eY/a4j60Rc2RdXlrqck +GotH/R4T2yu+t0iMIL+mIMIznK8dPhKjSpKME6sAh/9pSeJCf5J4PsIZeJ4C+pzg +eUX0AIDoNZuKoZez1zFie7d6VA/nVC+tGUTtYoUnCP4MNDcRJkY1iuaGp9J5ItFH +QI15DzM3bVOzjSp77bDLsYXVmZ+RbFGVrIGQKD10ovCIwaKd0VFZ5GpMLo/qZsHX +R+5Btf1rZmPcrztd0+Gny/WpWxlQO20Z7OFiMTmlNSs+y4XOX0YYa+EGxNwh2zBy +dnh2E+zYnZEr3nhs1KiKuY9YJxsrdWQSeGl2kf3ZHEGIh1r5J4z1EbhGnK/y+/i5 +ooEkccICDOPqHpgWR/kPsp+ZRkMIEYVcXelLx10MgxYpqZtKM8Xz4JBnZfcMxT/w +VlxY3AgFx6+4SBi0ePcJG8mhJFHASmbVKYj23MR9j6rgZXZAyJWc78PQLbu3dVD4 +ESvekGYVjgCoYeAjMK2Er8SNQ05sQtfJzs4MIGISNXdbK9T1wvJ8GXDdOXnq3FZA +kW9AXFdWvAGi/eOmrWfyl8UWG/eZD3Egby4d+v53Vnfj8azEI6UWRzmKuOUlFEFD +nf71Uqv9IDM6OozBSBpSyN+MFjWaJtcDz551liZMMKSuky5ZRC9t6UC3qojb4K53 +f/xbpdgn1kC4ZLACd47vMYgi+XaZr1yLxoKZLJLIAq6T3SBJaFD956fi+bHVJcgK +vvQyRnP273BfA7Evqngzrx7aghJcmrXibNfg8rEtyZgzdBIBqU5OPAF+L/2+u2ZA +h0bzjrRvXV+KsAQtZOVXEIj5b7cIe2EoAv18FZ76moouIv6b4yFeiwiv6DyfaLl8 +OAKqkNxxiDOTGqMPPU/Lxzq+v3j8xfFPTQm5kabHONlt557cgGatNERewF7iGc8H +F4BscY2EvoAc5ZBJi0TZ7O+qXMsFIBGVAsEbsJZFVoKvuAJxrbfrga9VVKWpW2Hg +5a7kF0qZMXQhFjRj1bnafBr3SJCvaOjnSUCnv2D6PSq1iUyHueERXjY69r+dzZa3 +vwNrVK7kuUHnBQWaJdu3AgWiJOiI4Owpcr+BUjb7dvjRfhGlJDwF+39+smME8U6p +ayxZ7xXhqrTQWFJzM2+PEkhShoFvI1ss5vtArEzSzed6o/plgKZmGDLwm54huJ1q +f7wiPjQZtrALicKtyeAfTk9S+7TG8nxgB/ERnjT/QqBpxmi3PsE4QbWJ6XPd2Pqa +qax5uM60IAxve2lJZzAo3whLmvQVVbiZDqOdyzPkkBeNTiqHpzbtv2AVRfeahWG0 +TTISi8chocj76ROnfAZbHtppccNw6eXlhOOy8Nn461+F73uIVm+ypgiQI8IaDXPH +POMsZZdGNrESU/hsa9wTEPddtT0q2hmE0onAAGo57obdcgF1V30/C8rzMDlQlyEW +bjGuYqNJkrtt/EkUB1gdJCSwqS5jav4VNbtotaXKhKortJfPfofB8M/eqLVV2zTl +2dKl28tYtJc3x+1EOnbFbhSR2a/7Fzyxyuyfqnhhg8dfhbjMjCl7BPO4uBabyr65 +68VG1l/+Mvfl/L0I33wP3Ul/A11iVexrWiGTdVud7vKGFlOPtpRAu7SqnJlhJzcK +fcka+7YABdqiLMX8uYaEc0ciI3mBEBO1FLSuWu/3eRiKzeMUeEZpStPXyirctPS0 +iYRcrxw10r/5hpoV4lelTY8eTpB1+PxRcreVI3bMPNyQURX45sgOxGeHPJ0miQOe +2jxXXEWGB8aMKRmnYedAKbBxObMOPop2zTM85Qhz9EczW+IgiigDMMQzoD9SYkzv +TiBMoyyEaA69XeT+hodS7Qf/Lz9YpOt46kCdMZCPPSyYnG7vgTQ/UaQIaLguhDBn +VgXIgvOyax1eeFEDwXAlpq1XHa/z3ARJTL1778MQ8eGBAPHUPoVHmGgo+7jivcqC +/cEb0qo/4NiNo9ZPcGNeqFxAPFHRlpVeactnZVrHjWjHGzmpPm4wYrXenUT9fTzs +BNqy7dsCziCLHg3UZOAxlbv6SykjpycEHsOsi3ZV5dJZzhN+HXLnOBhxe9dl+xPd +LUVIEgSokV3yUI0n1Avj2vlcYArz2KEpnCCTAhTBzitmoKRQJqqkI+QM+p0EIj3m +/E3zQ44XsK/a4fXoe36vAa28pXMDT75qeyEx/PXPtFn3krjSjK1t/ZJjfQJhm96Y +k4FsVcMVwKkQ3oCmyiHfVn1viPCPb9MuQHC6r2MB+z1MTbihnhuxjJNSNnPbrDH8 +S7+hoigcLFUSkX3OOdXj7/ae2ycPQSzy1lK67Wr/gamCHujYtV8ljxl458ZlLavO +6/EtV98YcyjTTiBLYLcNm3EW+WSOooT421Pm8iFLg0ZflzWxktu13fUYRJI8ycxu +1hbto6QABvH/NCnn9uvdB+MhO83UTWcycwuKus82T0poct5j9y1mHmG7S9BaJZ3l +7qnYQwns6W0mbSIB/zWpc1XY13L1pTAwI1iG5Cpm1AnUbgFdFrycaEm/VHIinKNP +IItKPB+sT3Mi8BxGR1SkfKeawlDg8VCUpPinF257bUGl/5ht5LcrzTgcXgUizQfo +bbh67lOi1Nn31R0sOWfz5ndCOOLh0vqINCxD9ll8PmvT3vNjd4asRDUdZzAuniBW +WNpOT8jYaO2sbxXddtL6F3/m95PQPKzyRgf+lmPatS9q61H5tFL/4+FvtvZGCqlF +wfrOI4wmcx5GSGDPpeQ1vJ5zuWh/55o74bdYAIqn5vl0pYIQtgSzfWPTiFeZWuzc +9mjTYfWuTShbCmwL4cedYIh8bo0CDINEx38nbgl6PIhpWWjPZCcz3S2CKu6+UKCO +6LzMj0AYkbCf6eVsdzopGVrBs9cruKU+GeRHKZDvjvBauq4O7bp8jHFg7FLYrnwa +kAt4/mxHhlH9gZXJYV0RnBB9tD34ZlKsGBed8H9RRarcukTBmtR2B2MvBuc93DqU +XSycOuINO1owNvD+FWvlamNJzo5V6ZS/C1uGc+w6AiO/OHOyNGHOtK48XoEJyz+e +vx4IJ8oXAKOoYggnXS3tg5YHYYjKUoMFbpILhMlZYrbDrXJQCyyGNlLAK0aoMXEk +3LcwePPM32rQk3raWM47e+5yZgsZaplhUmmRHnbP0KkicGNagAgKKdGn32Sfi+sX +5i+GOoyZtgjL6LQWZvIcqZTVfFuBAlqbHgrmSJwlrTFFkjnkk8DfIuAbHBop32a+ +ti2DytzbhcA2cnHXA3cjm4MzdsaOV7ps3j1P23FRh3kGquAJs7GZVml1M5XpeNo9 +vFJz5NoYPIdFovGjxV43UWX9h8oXwjsZAnnziJEmpjEGzm8j5LzM/cMBhDQ2vhno +auTUi0swcfdhh4mb8KpqYXV3XwqXLHKtDi/7HpTc5bc7AiBpzK4Ej+VhcOgzbePb +t/ziGbIq2SZPYNFVNYHWZ3nPgPKy0I1kezqEjLUDGgS+qBmGqXTmcajrWC5mt6Zj +hNdO7Xhg7FTJSyh6qYuXkM/nnbPUm3ANXnFrz4HbF1mDzmLNcVPGrdrXVWeV5QNs +1hnv8hSxTX3g6uFKYIcJLSDvt0gR5hv1231XZF8WuqOJMr67dz0M3uAs7wJ/YIzS +Zaw/Xrd0yC/fkledEhVSvZdwzLU5CKz3FtDCItzsP8KfNbw19wXEk/RWB7O0wpUl +XMXFwqZzP3uEr/yDXvJofsG/yBww1ZPBHRjotXQjArkh2BrHH8YXwaLZWRUeFZZ1 +gI/9FdPGeKy6ogfeEU8/RbX3lbWrkvt3dRYBcGU/bJ6efpN2GRt4Ii566LQk+fRN +T71VKqbT1laUMLofHxFoJhTREx4JmFomsKV8eAP3avX5tgMgpGApOuBtngwsSTGR +umU+hIDn3JdAGoe9Vf6Q5mNLVyC89HE/k8H6TAwT/XMyrNcWdSWf5h7LA673KpCQ +uE0TjZk2P26ywMIY0v2RPqOCh1gx3d8zZLFKdO55M21J0zwZtiJUFL1EkS0g79TH +/Ct6btmdZQnYcd6rHrjWNXbFhiwblj/oY0DAS1oYPO2LFYRP2woLTNrL9txfBY+y +lgh7uSkF89sTmjXRUtbEJsWXzeAaNfezXr61FGGUyrN+uWZAthYhzUHhJTKeB9f7 +P3x9VUFM1xyKTvzpl275WUsGgz2AIPaY0LgAxKrFKAgDDckN8WQkGSvgcuOmHTJU +FYIvtdJ4ee0kkzsWmO+XdU4/aOOzwRPJ5eMDNgKeo1nvNcqGxBZgLVM/vPqE3AOe +Wrln0ms4mzXJ+eHBynr4mebDxE9ACMS0q8+bBYnfWDmWmPST85KsTYLz8V1Knc6f +duEs3JH3i1Hqhrlb9E//Jk6LFrvHFwNWM+oYCvOJbvowycwc23qeZA5QEr6dkJcf +xYqP+s7SBzFC8JY4JMs0esKbH2JAtmOydQ/TNZLgQTojSU+tn6CQriy+W/Eqh5MD +n48DfacAE4YH9iVSuZFfFRDZm+77tTeIRcHWJFSHcZKMqdLsyR76avASSPbx3jzz +eCU3xqCa5lwUuGI3MZGoP++CsLPAFCG+RQWVOhoO5EHcT1ukmApmyw6BhYvM0b1/ +n5zaVfDJjqCaUWl8c4H0OEod+drZhBhQGM8y3zeYAKTBfBgoWd1sptLj+mrprRP1 +CqpbMGKZuJ5Jl+1OUtgSTIxyf1X68zbakMlZgk4+Zy/XgOPxUdiCeZnnHJAhwQS+ +XuymbP1iEShvEEh9plEL+Nl/12nYg0clQi8D0lUsMinGpJFu2AZriGROIxEVgABg +mqCvU2PStLNbr4rVuy94oe16efEMQ+us727p+Kih+WOv4wcONAj3i5gWYMD/8Bn/ +Udw3EAPoMpD5V/LgJKwWsjHJgCEp+JV9S2yLKQScXCpCCaBtii0A3ah9PTZs4y8P +8T5n8ju4YqoAsOJlo9suvxHK0Y2GjH11rGd6/CXfKn+F8GxynKVOBp0izicW/dRk +s8MMWYvv2hn/8B7AcSDWznOLWu8YEo6uxU7ancC8y90MpHNddEv1PaRFRplQFQS3 +nSsbgkrocngzZbG+7t3N2cOKhZuDvVKjF1TDkJ4nFFkOKy+Wy9HM++GM5wvEeIgf +SfAz5O3lfGq25LualJxn6XSbpCXoaD+xAt/hi/N78iUaCjKDQOv2A88aDuhOnInp ++G3O8/UqPGUf4HJBrKMdChVfm9/ro6FxYUHiJdrbpaDnPA91EPqefAbFG/+3Prov +RmnMj/wiNcT/39v3pgKIroGDdd7wfE4rE7wjxNT2zr6rxvfm6Kp6ZAbnpg2MZ3Ov +JA29yb91N/zaj264R6Re+Gr/cuXGR5+aKwGjXIPLBpa+pyAWXD6JdtPXWu4h23EZ +kw+mqDaESbhZsC9c4drwTsEn3VxCZoZNrGUw36wx7K6EyKn96gc+u3fUqDFJFKbf +tOFI+iRxkXlGfGZhwVGxtcZqtcoqifJdSBrajVDlO9p/lv3FiA3c5h59IllGFfZ2 +/f1odz9ek/wOs6a/NWYsp0cctA2WUz/Bsq3XhdJda6I9yIiY0RuWKE2097sL+K4F +IMLN7vB3F+fF/Xfh8blL2VYvMNhMcrEnKbokppOn1hQthqoXQz+ypr8aBXBrUCew +pTg1suyDrU+vJ6Knm5clhyRy4NvfGzYCjaUS36EzX/kcRIo8ILpVD0RLaX3KlYtJ +BHpki0Q2p9/w5IKvY9PoC4xLkBY7a4M+CAEWMhPYNP4mqKYERNvYjMeKPBWyqUag +QoEcYuCvucV50zvkiWLeh0nySBQCJVeui8VHiAnhlIQ6ALMdgFGGqZnaesKEo4Ia +voypDIXDt4TvgR2rYdiTdsOqEuDpo+zWP2MWc0TPofWV3IMYxQxYD+OfS7nV8pYc +WhkmRhTm7JVyValdDvWC1GO5lCyGQDQ1VURUfwOZ2yZRygmvvKNiUO0Kt3kubsiU +/xiS8AJgPY+X7a3RMh0BxeAEdNGHtZeKK7j81fYtzdfg1LDr37Uv0nhloDRG2w4n +wxBi36N7icw6CmKgI9Xr2f3iwufGySJJLwI37fCNPeyygHeMR8J+uScipQtOX9xF +gGFlRembYVPMjHtSjv/eDZoLhCgAezlLBF8fHqm2bdxyaRBCGFwz0JXLJemWyZTj +vTQs9gvwx38y8TKwF5fCApBMDFGGFzKFlWF07Kqg7AvYgQ09iWVT7Q1093UxgQAc +sQbWcaqer8DkDrEHAP4LBsbQClMIoz5rSDNtJi0IdWyZZ165LUrXSXAVkwBxPmhg +0KmS3c20ZUPnk7jb7RRi6rQIQ9k4sY7pN0b+5XjKFqQlorf4CipmzAUkOWaJ90Gu +cFOl5fqTxvJOrxYb/mBdGC4ztJlya+4vWQyIkKh5Koc5MDnzVft+Jhv2VPm1Gsij +qs1Et0aupsM7NM/HNH40CH/zvcopYL4TPVhM7IoGwtWj+rfo5xMdNXQD6GitTV56 +2sZ6sV8FoBKxwU71Qd8mZ/DQcIJ/oz8bfMZXxp+1FbxTEqADn8iwlZ/P3NkdVJbw +In/hLwymUzhukcLg3x6TJ+4MQ1MgmjOBkxSVXF8NGINolMAAqwX0AUB8WABc6HAb +nyoSu9BiiRpcfAWdcaqoFp8xqdzGrMv1acfm71/U6r0YvMuBGYm0989y+YWj8s9y +a+b7xcy95YgY3c2vbzuEp+XEo59FbDwn22xxMuCSTe/DnIRGBTOguRmZogVS51dd +35B4BbqiXnr6hyZjVet+WWtMwHtnK76XsBFJ8yh8DcnRWlxG6zGcAMSzCEbElGl4 ++A5Bnpscvalxg7jqc6iSvcPeFZWJOenwjsMJO96AvXBg/u9OnAndCYCRxne7SRll +pBuyWA2W3iBZ5qkDFXWYl4gtLMF2g9tnmj+2NP+TC/41H2HeE3DhrqtaaNrO4etH +XKnqMKIt6uGA/YLSpHu2RVP4cmsE3XvsOj2rtly6M7N4JY3vDIgH3QzzqZuwQhQm +1mYcbRPzI+Kh/pFCD5u890cwIaw+IVCto51ebqhs6JpEssd7MgnRkE/vHLxZIioz +9fYXV+77V6l1Y4i+bOfOMcUm5BDeV6Cn/187l2+J2LCv5oUdOkGnmeuE0dLmVEG2 +6kDttsmVcqh18YluukCiflPplIBieVpqCYD5POT5rq/mq+RAmEzuHQ9qB0K6IdMi +/aw/fg3CwgiP/Zf2RtAZlzcU4prjypEgGaWUNwXmQlA36y0AHaPcWOvW1ouVXyYR +MwH81EItj83IsWMRtTcINd7hA1ruPohb9fHrq+UXdxrh5vlqokgw5nmE6TWa5JPb +tzaPX6we4Ezn0nSUfl0zlqxVHWtD8fopyQ4vdr91yopMiMiUJDcNj8pkumJd/t4M +jODpdJ7CmeSKBx+OCLierhsuJCPIg9uu3Lwm15B83+Lb69ZjQQu8IVsc7A2QCiQA +usT2TCj78dssZ4+YRW471PYR4hz/sZcbXg++/YWxkV4s1z8EWeWs4zLU+6FLm0aH +odcK4zc6MYpu8thJSNOX1Nc2/nbqQfRYg1IjaCUaddtVjesf4MBeh7ge4vsXdq80 +TnQBxc1ujHTur5Unx6QYx9+VL1ZSWNMlmXCcJWTUE+1qTplqMk1pxnwwjIsKqfcM +cvTSGFaLNiA1NE+h0cEE1qynIDHv3W0hcYzK7xY1VGf3OLtPtTK5Zs7KDX8jKcnU +G8smMQ1pulieGCAd1mt7jP4j6sOSe/0UyLEGvrOijzuB15nwU8iLez4fDxahyOB+ +tpOC/GwuzqflA5Mu4lIiqJj6dfKWU8jvECEoUEmnqiNHyGAL0Ic4OPx2e7f6XvF3 +uaoCUGp45p1M2am9gc85kPXf6h7/2p9etQ/qwk058+6hPHRte9Kfocdpk/qw/gdx +tir4UD8brmWx0Kr+aQ0Z5AL3bHEKT7tPAQPSla9rUSWNR7ZYtnZyjnALEhVdq7Qf +3FWgNuyHtYUBCwjbNNYWG26Ye5NhHeVhkyzYpq8esgbr8E+uMX1QwdFjn/Xh4W4h +EWmDLmk0HhuP3U11jWcrGWeyF/8aEHEnDFhIkFaKT5BLXC7FhRtLswIpplO6Grn2 +SZdW1iz3GFtX+wS3UuMlJLqYeOAabdGGOPQSpMdkGO7FKuP/cl5ZMOHOD1GlyTwv +PzKFe8QRFZnzcFhWBHJUGZ7hlT56eQx0ud0VBi6GWgdY92n3O+2v6ezhYaqCoXj1 +yXZ29po7U3cpNY3v587MMtmHsJjJbxmfKzK5ZImkmW7qavjs33unUGNL1U4pvBVT +HJq6W7RhBGemN406lkM6Z9hQNpynezbE2mwz/Ik+SW6vc3r9v8WiK9omG0+b14fF +DGU7k54jrNq8qhLX5u9ljVxq0C2hMBX2+LLhVRWqBTulhWNZ6/1x+G+OGGcoJjK2 +Uk3U6HIZaqZzsgd3sBiAcbE3wNUDwFsSi0eBdn8Is0+x+3ZbAseB6QSMUeS4ve+l +fqXexoU9DebQ0LLdqb8If6ra9zLMUXHXJIH7BMLadEblYRJB01BIMc2AgFdTPclN +2JZ/z4BwnLhcYQdnXqLiMKlR+KEbNnTVcvXgmMf09sI34ar9wB5IQLMUjF7Nh8ce +utdgxcZu/lclMt3F9KOJQQFhBSCK16IpzOaLBCjm0xDI8ZQwc+lUymLh3wl2Ncbx +jYQTnIwQUMfWNdfQ5pYepZLDTUV9bhOGX1Sz3w/Y1rEJgwqbjRlgWmmphidrDzuZ +l+ovwGNpNP1VdLjyb/9n1Qk/KdQGnNZES10Rv1gn2R8lhoNPzGQfm4ErsZ3CFxye +ZaOJEcSEXpTmtSKUcAVbimKFC/SKtxkznbNqp5J8JEmMktXm00iYefJt/G/3A4e/ +2/1IbbhzcdB7Nzisg4oVUmTxbTlNNfQrpGU8L55MfZVzi1ZRwhRQfLdbl3caRH6k +3g2BNre1V5nedMf3ctunVIdt5dJd68pMuBRa7ZfWwF2gX7J81QuSBiyMSZogBnWB +NXgNqSR5Pg+NI/0WUX+UVYVi1pw50pVaqupRud5kR/HoWUAQNDsMPFeMjSpw3hJp +Uo1N90krl9pUXrs6bj06oOfXzKGbEtwxumFf3iA0Z0ZHhVWNKVtfb5bhlkdKteMa +pgIztDaCB+fTv6+hnnsLNd48JfffecZrigGESlaqN9O7xVtW0DI9UfX+vHT2YdZx +odGmuzeBq0M6sKDA5bjJk0bVv+wwX3vSj0vqaAgj8a72Hjmo+4iKG7Awrrg2Bg3h +vha0Su3FcgPohdP2Jlyn9LzeR9qDsSrKWa20m+eI69lmVVEd1E2TC5KASbCqDlJm +MZ9YXuAlX9PqzpYy49ErSeTb6xdu5+iX2tADzzOOd8cuq9g77ibkl2piRqlzlOtO +XsgBZcYAv+gUkTJ9m314Jd4vGZ5iAeXJryhFEaS+MOs07laqaV/hLJSbIQKp9mLU +oGovkDh6T+Plee8e1++yeqylcwCZf7xf67Uay7X3j0BpCUwx22YkNWu3k5kiyNZg +RQpV7UszYINOqiaFHrVK3KVXELJu7yL/j7+G60ofsyoASqUgEBbWoako44E2aTVQ +5/+I470agI06SItbBS/ytyjWWodbVJCPzJ+QTuuQ6oCrogEWCJz+0cmVvLyjfNdh +LxRB0PnAddapwQDypBRocjKZA/JnR8J0denNcIjiBePF1VOMeS+fiHan6kXUNqzN +TXij5Sh2ESVRUfZFC/JL1y947xQbJbcJOJv7FPkuqoa21s+S9ueqawDvnsImkGcl +WUx8u0I55vpzu+jMJxUzikNNZMK5sgf2ZDZCyePm8GUV7AXPjx3de6Wt2e5Y3+pE +ZvJJWFpVd+ho6EbWZXCWGzA+iG2KVrBKeAHCSMrR+/+u+BQfX4FgK3M/36pxFmqm +8XzSb4nPLc8xIDky076rXmZujFsJlJ5nqVWdVQy7722giPEWD/5uISm14VPqXTgl +t/GC6Z/TUpWJwB8IsEF4UtV2kRvBe3hchgLMN06qwo8C6//oHlaBVEWox2SkNVoW +K3rLNunk02YWIH68l/lR/BsybdfYDNQ8x7XXRQMimiKCEMzqgE+z0uterrbT6yMn +6aad8Y6d05g643aGbDiWB/EsUGlU7HRcNbrNySTDBWC6W+nsbf1y90pmLyJNMWhi +TaHR5gTYj8xOMu1+GJc5z9Iuy0ntsWRcKn3xkrxuRzuIdWklEiF7/9Ki+DHedP0I +3h7sCI11BV5XJ4ymXbKezRLKcmEti35kw+5lmElROMRvGHE5Kqih1OawvfeIKvIq +/LK1HIZqz9sNAvqiL903MXOTP4XDAAWe35An2wR+FSpScM4OcoQA1+bu+BLHB01d +my7M6Y3J8BDhjbM+3oi8liO4Un1rYj9MHopg12oJvF36is87vBQbq2ua/ErvPoy2 +zb946wO0H0ORH57C91UFDw5oVFRx37EqGajGjvtj797fDpxd3l/JS+xZ6P2BgQex +8jPcjfP+hKjfufDFN1V3NI6qOVXl750RG8wt0NgGbTtYcFpOj8G1xwGEHiHqgobz +ADfXPLM5Ip/4jIIrn3ANj0RgNoa29umuruw/jdY8KFGu+lCdEN1/MC8F1tcYE8OM +CtbrzrD8Flw11PIUVzJRftmX4AXdzcvAbaZbI+0tXWER3zqeDRpwkwSBPa6zMqg6 +tnsFej1745/sywX0VLbLUFJlLNJaw3EkT+1ouUqy8KZuDQhDM4H0uotGhwr8TvOG +tImjh8KpvfpV9YqmWZQiIYjLcvmVlgB9osK6kkqWGVkPYhLRjJ5l3B8JKbEQjp6s +9Pnm3C7WXwCet9wGGTUxXhlhJ7+xELOmeGqKFOsB4ce5JtClY7V6yJ5HEzZ/dTs9 +PUuVmBsX0tYyYJn5Bndy/wrjYhUfiHewqgddRT8arEYg0FS30j34ItPDxJ1whKfY +C0F/hxyOIhuLtZme2pYCQ5nm67Ueq2TfAmjpoQIIp6mq2LC2YSP3B3qnCvVBq82Z +2hzot/HZaFVUI3F4n5OkL3WIk+JXR8GIbnrie0WNVGE7D8WkR29FvCpsgIbTgkoi +lKmLg9g4js5CPc0srunE87omjmc3pwjxzlmZ9TM228kYZazynxQfv8ULVqZXYWFx +krXUf2IQ1nukxeqrYd6LkrNWbTn2eIyeYiVhJkq4lPXha1JR2Cd1Ynuglg5IYHWK +OJ2rNC6g3ZWONtRXjBWoUQwShDhZuU684TTW19NueNiu/q7LCDU2GypIk+sUjBu/ +2fXOZs7KjHOLP+vnJHs8S8l2u1hhHEIAQPyLZwi1zGpbVAnwfuI/XerfEa4oyGAf +eSWvQxm/Xnh+wEz56hU5TAqE6uWTJzxdkSgE04Jbxyk3VxgwGKuyHKmevOS5yJZa +kWXtjE4FxZCPqTeAJ2MnwY/c60aEVM4RuTMrB1pR/K3/cpfH+5jFoohvgNfMXMOf +ixca3w1yMlsK0zJbGBvdp70vWFo8FRTXV2/4LgSKcZmoU1HO8L9SfL5KnqdBGv7h +PmZ3b25MbLV38G/tGYQjKwgy6wJGeKHZroyCwm7kDqHo1avFrChuLcKpr11oKDA3 +ICR/mBVhL2o/01Spx3gztOCG3rFF3JiQFuZXhip6uTYZlh9vzxH4dTVihdM7ed+o +/WcN616R6H30J848blGdhAH/VEmcnlqD8f11LEhItSP5fjJ7rbwqs1FQm4rxWuFg +gzsyoMI0Dm21QUWbblH32C6Ew3rj6XdKemWuDAuhuVv/+rycEDAbODxh5GMvrtwA ++kfORM9dWf5rPZgZ2yFSrVSbVD9l51yTVYlyOAJrQ5b0rd7GA0RZEOiufgsnnTH4 +ywSh81ET9vu1FIdSjaGfF+nLIczBzVyBaM7j7lVJ0ek9LTY8IHPMK2ACx1CB/Hr+ +C3EFRxA+fRM722gmZC1rnZG0ekREYf3L5v3Skzfs+kEyXTWbMprtn66VB5xuBHRp +FgL+E6lPAfb+NTRSRSWsF0H4B+eChY6OgjZkuwdhNXgfF146aNdR5GuagvfRMQfG +UM6R3iSSrAztwHGg18zNvOk62ttO0xn9Qi2WP9/FCRwx44/H22W71BtkVrrznyKP +7FKwJA1SUzOh70AwNXEs+/90HsFEN7iUgz4xJHnpyxc3axmTCYLb+Gm1Oj6nvvDn +ZnQ9CeNGMwBwLnTownxo5dbc3wDyHbc127OpSIibVmmEVN8OklBQEN+ALe0/Us6i +xgPg+yql3gTfhX6ZxmV3s1ZreSm0tWuRzy3K7P6eq9xNXbEUuL1Kd5BaTvk7eZhb +4bY+CIXG1Hcjohh6LhoCfTFag1cwBQKM3LUPZru4UtfTx1VnBRUGZoWSPUAb9K54 +NcsF8A0eWlZkNBP+yd1pv6XYR8bi8MvR9WJIq+d01bK2letQhJtTANtqSoG2yHP2 +OgTuogf89Bw/megLmQeus9Xf23fvSo8S8ZNd9UaE45DvFrGW7qMhtufcSDCkiJDG +3NnoAQkXDfeUbcNp9meVmsZuh85eLMcg+SZ+P5btSW0QbIMj09/LoP6u/ZEBE8nh +Bjgq457Bii5ST1Qx5C8FIBMJjtLxZijhB0EK48jmPFLNBDhsRG4iIWrc+gemrPix +6Vr+UxfiZpCmZXLnHKzpcdA1C2uikP4VMFWVU/V0Gk856edLaSLA6ACZVhKxfkMs +CMt6BdnHFHUHLxx/lnWIIx48iCiWUIlqpnZi+LgZd5YEL1Hdvi78h5rLnxdawwbc +jaazvUB7efdp1FWEymg4smgO92qOLB5/pfy+V5vjUPryYuKmxgdE1bkemJcfYqsh +c2L4NwB04usxJlvY/+zbLDnFmGeN4SAmgvf/+C9TM95RpwBNJ57Ub+chUPiycZre +7yV8em8rOWjZLDMzzp5Ew9sFLkfHE4eDHjOPXQpGbEfXXJB/soqQDOLLAMu+Q30t +YfNEozRKi+pD00oDfLUaOiQXQzAmxWh0LtYgRLXdZZ4QvmPaJ6czNJQ1Yf7s9rkI +wF415aC5/2BPkxrMqtKqBqhf1SozE6lvy5XcRb587//2ISuVqneCG3x2wqy4VO31 +0UGqn6YaKKVmBfyPU2knFEmZiQcArtD4TV9KYjJV0ddaXS9GfO1ysckAyq49lpon +YTn/W00MOL1RGJEcqs7DOUswaky8reSE+/uJEx3ysoCKDQy6JxK1YYfk6K4WuKZ8 +3Q5BPVp6rOCO6C2nsZxZLRFYFwKJbF/J75Ws33+Yi5ogncdfGrpMMeE3HJVuDB9m +jD3mSwG357cdIqUw3kgeZeeUKqXna1ieN/FnADOO0g3BXutzV5d8aWtICbIE3pWb +m4PfbduPKzjMUQ5xn/X7u0KZd8M6bxiBuUD0FRhlSQzaNCtrGQod6zvHYGwqJiSL +Q4fOyEI9qfM4BCub8TklYzB8E1aqpRamqFVlBYCJP0NUo/TPaLGC0FR6agnpJ79G +RRDj90lWxLL7cjC2GUteIPAKpfnSCpxrhCth4RTvbB478fiKFs/ShzeklL6mDCLz +Wq6uzoVsfB5Bicdi/3uMPNSWgqoGR6am08n473nV/6FnOpM2zIaR21ydVB74OGhd ++AedkFj8VlBblXI7oQnWABbNUFjfyJoE0cC0DPk949qVeNZ9fEx9h3jbdRMI9zeP +bkEZK0ZF+OZX76859UugbLJ12vzAilnJfqLMD0qpoXzTm6TA3nepGzfIjubp/VQ2 +uAHbzzPQubT4mK5UQlH8o7+ghSgYNYfHtl5CZaS80MwWrf+UpuDjJVwUmTumXOi4 +hY2shPCUwqVBg20hlymSUcOLZknkacoWpc3Cqz8dY2eTvoRc/bS/1oyDgEavK5iS +5u5YIGYb8bqjQFN7YSHvkiJRdzbCf/qYJXNe8tWfAbGyP0dAW4R5CeyAVbtr0jWu +Kkqx2o5XsszdWT1VaVGo7jp8GNVuqWaj6q7Uxg6m6dC/mNyXVLq69LHZbhf/wknP +oZg9Kk4SrjS/VaQotqrpcBoWtd/k0NPfnEd7TNy6wSdNELF2VlZOA4c/9JmQxgpU +6D1ZzTtXsRQAheEjyAIJzU1tMr3QrB9BkI9sNBINlfpkao82bIhyLPoIDIzGEx0e +DmTet2TH7B54yxapCIac3zd47uYFTheuBFKFh2VDyapcFHXTt1QhuXsHrtypMa83 +eAzu8R2v14f3MNGYokqQk39fprpYOwDP8zNCyEWcb1j3Fa75oQAhO9t17KV1r2iK +gwFVJY+t+tas5t9q8Sb7r95/Nu982BtHsJloWzh41wTUWjlk9LYOrSOtRReR+pLV +9LSSdUX5XFyeD4K6594V+Qq4JB44GyBZdJb7uG+wjNKdxXksjs35LIqlh92LSLYp +/kE+YNYvzndvSnxb/0vVwd0pJNpLbBT4OJeDLbo5qgm+MSpyWSqghh25m9Ua2z13 +rRZDFWseixPFOHc4UKJBLNOEn50ZPrJTGiBsK9vlf/vifaDkSZnQ8ol5+JDcDvVB +GCNEMbbIfQbjKRmhNSO5qvpjlKyh6wZBIdxtFDLLfwBmf/ZevN1bq0/eotQXUOYI +mTDXmKn2pEHaZEaQ2tkAMIUbt/30b+n8ZmHuwzPytsRVglut3K7JN9pzB+3yNMoh +cyVqn7a8saUAQB7NIcitegcXYZDboYxet/Fpg3iNof2d7sLWo6qd2Clhh01ypBXm +kJX4tXnP6/Z5cA+rhf1LXrvBKd83hI6r9rc6vjqGonnKWePyh6WGmDubj09rxwFf +ehV1jMF5OOOJpK4DGulsCGZuvguGSaHnTtCJ+r4cY6fC8+ms3FLVUESoT54YtfCK +x9hqwq2m7lDrHk5BuBPdDswBPe0LpxKAmzeR/G1C0JnWJ1A8BRJhOplNt0dHc7NQ +qM0NjG8lVCUG+VTNTdpdkFYe9So+8o28m/zx9P/yKuBim4bi01n2M+p5K+W/m+K8 +Tj9bUb9WkPitxGBNjKOP3taWPBwu75n7mNB9mVyBPODjgOOkC8Xm3+qh2ZofajgE +7G5fPL2gfbrLiK/b0/h/TVpb/RUZu63ZDsuu/AxpwPhOIUyYbjk0CJMuhWyAau/M +vOVQj1WHLxMsU7uEixzW8SaANCjpaOfS7wulPrXHzEOo52Zr1ixYpzGbUF9SrglZ +3CqwueMhVRBHQjpAszu9TfAuQG38IgIxgVhm3Y5aWaMpgEaHv+9MZF/0dsxvDpQA +FZXyDopdCzDSsKzgmzU56o2+PJX3BtvoCeUB6D3a7g4FZC7B7KCiupss2QfCwUEs +tBhNDXmZtSBt2jVqLloXvfA/2wGiobhVib8gkqEylMJZjnYbIWNBArjJrWi0NTYZ +mcE7AvWAxNNKpSvXHGJOytivmMNWMUzOdZVk8Pi/mC69sAioo930gGL5kYFTEDZi +drF+IsyaZkqmsEQSs74QbvbegBtu2LOuXiVQosFAEv1MohCwLOyYgM7fzX3DWtte +yt2v96lxYXkuJ0fKZy3I3oBLg39II9ErJe9NvXob/btbCk2eBbLGdLt01nPwSNwL +s+TXsK3c9HL8AXoT5JWetp1YJBruZG6O0/yGMS9RtguVavuhUKyoHGS9XjKjT4Wb +m21PBX1R+a3EsFBNlHp5/kxUTXzCugW696mve2CcGe0fx/r3UPa4Q8rTWcoWh/5+ +0yS2JxMe9VfxMMl13hol8acx4Yhp6QoTWUlpqC8n8Ppe0QmbxMBTbL0gAK1L1wsH +k06K75GY4MQ/CtTYIsl+BpxDu+9zDFH+2Gv0eMRqYnfoy6b0JfyUSR2+7AGWZ83p +VviPUt+zZfe7tTR/nsQDhV9Qq7rMh6+aPJvmkGJ/PQKwguR1TTYHtl5jgMSiWWzY +4IJHYnaVuvtV32kUhDtX5h3nqzzrHbn1u/5zeUARnidOrhlMnfVHBX1Vpn8gkSRn +9E/tTdbAYlun5QqkUDmZF2vh8lxh1XrIseHkOIUhTSibOLbyk25hQgVHi5pkpz7D +jWunWwFT1EoLGBxY2BsoHEJOZ2W85qY+bhcuBKSt1KT0pYQk+LksloTak2I2SI8L +3vnrh8cD5Ifol2Cn8firpl94MP7o0q6JGHzltPtPsceLFPPqaoC7Lo3FRjGi2cxh +wDhmxTe/GsYVJKhWh6EoiPHgRVFcdNDz9QPVhAwxKAVsyZ4CdQsY8s8xiT9WaiD4 +CR8AOIEwP1m89aLlZLVNkKN1hg3rUmt20lwHEAbD5szOCbBhEjx16BAf6Ktun138 +yXzGoJXUdLNvpFOmoDJQfmippEbwmUq/UZkiFhuMYurgyHdeBVPRUUzTAY83YhVV +J9uESh8eVpAjBtabOebuZR3EU77r4RgeBqIzcEZaojKFY62BssfS00GesHMLUBx6 +wabyX5jpDRXkNRGIWCzqeLHPUhYTP22+ROqSOiCRV8jOY7TDC8sDgHhkGBJfROfZ +1dGe/15YF0X6hj3x9P9xO9fslbsa+ti4CpJAgBw4u8zisE5HMr98Stjn6FNQQqBa +pGYsEbUX6bdzM0NS331zHbt/w9zuSpZtE4eknHQOiqT3KueeX2gdhORH1/cx7oBR +H0hxVWz+AruJ7SulgNhjTX7li1Z48TxQ4VaZ4qoBpMIhg2FcPJU0UmWTEhn7wV2n +mE3YWW8CdqOZG+YHPjS4uch9nuuLJiHd3BF068wNFbUEUJnP+lk8oeESNZ9CvXYV +OM2oa0rJbPGdFCBO1hvuEVeu1s2dX51Zq3+n+aQkkiOQLIPAt2mjz6xsB7T5MH4/ +pivqD8V4P5afIqVNSVwIbj9lBz3o1mT4UOCB/a0gWUrWEbF2E64OMwmeIlufZFVE +cAarMFZTcBnH6BUFRUuVaN0kk+hyygayBf91HSeSpWIdEC4NP3NejXrz7jFtZZtS +lDO3L0OHQ61rPr1pRQI14nzYqZlzAlcTViX8txFmZvvFH43ZNsM+OFGO3F/dxvT7 +mOoHQC3YZHG4IWB4QqAG0eRgrNac/u4uSGj5PCw2EV4vtRg+QML2jNJz0Kx3gzcL +pAFd3SLhxiaItYdl8ZaV0DW2jLV2d94EFpRC+ofrNXDIIvnKktlIKhN7xHP5G+TE +jHNQMKGub53hvJbjcLAkQI5j0gUIpGD8tja4vEHkd8zq34JXXpR71J9HNQpRaZ6A +KdxAtipqFjnVdYbCrgJyaDS7EauDImZwCKiSlCAgXUKxulbjBNYWVjz5W3n+ENS9 +9KmQ0qQMW1orcqw4P76c9JV8Pj6WbMZQP+dE3g8HxUQPal6B4dkTp91etoTcLAMe +S7ycBNbPS1G/NkJiFOyf39y3sVdt0DPVzWHPQFGd+HWSFdisEXwdnlGoXU2zVl23 +kdvDjoYQHR4R8DEnguZhKvGpKs4pJ6DiWsTucIqR9ZaSNEjNCLqM7fyGAXgnIQUd +MmzLu5F4EEd/JieAY4ifW/U8ksub4cRWnw0dMCJ+lHgjGXV3qKIZngtAOb2beCB2 +UYYt+iBSxlCHwTmPOoU6te4nzDoBTE0Grm6f7vhOjI24wowyX8ZrKtFnadaDjN7U +aMgGqe3tUC47G1qx+GCDZd9T5OnuI0VhflTJT3dVUpwmjqWAYyr5orgTDaw2FCom +LwYLpfYYHpyePiiW6fGRGznp07+R1M9ILUGQTr/P8T8tpBOvUBjAuVz0iSdtjHG/ +GTSyFujYZcSOl9QfjOmhHw+9hmLRKKNIZcPgnB7n7+jCrN06p1CnkfU88QEBCPrg +ln920ZIBGlLnXArva4IvCoIOtJqmA1tv8HZEFmSARMwVAqUqVpvjhrzDXmQjzH/9 +zwl9Xntyg5ggyn2cBn4hJGUPSJ2bYwGmBsEFG7FkgeVSDZWQgJ3k3L5oDrmKRWa1 +03kppexsDChQtAY1rJpJjZHl9jWtFxsLhxvhLyxqelYcx2D9JGYBzKLCORopFxWs +68jW/AXz7GOCxGAWAIE7WP1FVi2XxIGTS2E0ZDzPUSvtNk6kK0yd1QCUy6szif5H +rU3U4zSRUKQ55I6NcG1+hghqWVKWngVw9TIa9GnKmWVtkF5kUk762ebU0bh9/RGv +NBC12bJQBWOAEQbsNTw2TEnVQT4G8Bhocwcl9wHibrRYMd4Yrk6f52cF1Y2Id0Ab +lYVpwv2cvV+H7MlrOGnp1NFNr8DhCXuE3wYWsWUcsuXgoU9m/SN4k/TJG4BoGte7 +6DIDAgPoULLzKLVCFE/U4aSEjfc02RXXgxFyyUWiBdhcfWcGJL0kGMftfVVwF139 +rz0gll/hg1AOm0s/uEm5vDdFJOoA5Bzvi2HueZJT6Ft7cVwPySgsSaNROk/mRctK +WVAvT5PRjCSNrOQ/48ElDnCpN8Qngi7ZnUg2vs4/PrMObHEog0/KZhH3ZAcONLyR +embOFFD6AjpZb1Mp5Lel/s9GHSQphoJgMGh0z3OdY1fsZfdANnI36qgdvC/SX5rB +tb3gEeC7gi4ZhQRuqLc9HUbC8B85VmKyYitVxPrHw6wuORWohCfxH0cC5ymQltBP +T0k2O5nZwRFQ9TpV1nPjaaTF4fUMCTmUzeEkXKV+2m2/UYrA9dATRqXY0E/5Elyw +lRvoyET8GsTIDJAJ6MoT7fA8HQRM/faTCUfIgh2CpRhGfvW8bH+esv7GV/MfmfpZ +x125XkhMcibmDAoa2EIuMATt1wzzskRXmczDrEP0yuu2l8K+py2GUQYa3gErTy61 +iyOHVeQMgs4m5Es40GU/zC8fYIESI9JRb5qd39tSy0XdII9cMYAI5/LulBXQGQbh +Ffjtk2vD+acd2rvZ6D0yKpgrm0WtDHvKUzvtqYTQnE4OOadFTuhcXh0Y1vd0M8G0 +y6n2KIsKvj6NLaT6Noha/wIJG5S8hdFh8hCo9zMuNnug68rv+jPlp0iD5iB4s3jV +k3Ym4+M0pKMvIrsNAHffaX/DgK+ZTUpGSgZxdqdEP+ZXYVUKApicze5q8dDANMYd +VxHFK1wNPCW7Xie7xYEHZo6kMZEeEPcWcPbqCaff09+5cBBRhyH+eNkTXo4Tb8L+ +6VMd6e0HiHhbeBwjJe/5PoCgC6BwoQ8Wz8Ca6YkVQC+KRmrof2PXxY7iazqWsDDT +PncBBfHVezbfjwz2bRCH5jl1f9VFIQhPIVvlEndxD222OOo2sPeg+0K0DOghshPv +D2PJcIY2kFl4OHlz04OBcifowLdLzWgUI6n2iAnr1WB//YG31QAMBpaRBJrVw/5U +qgFfT2gcVh8gqiMZFobHP2Hp1knAuokHte7nsrsU7R0kEwIgdEQGWYj0HF1R7ceE +ZAop8HJY8rGciX6Qub0g19+EHTw8aIq/KHuT46kun2f3km5AR+ZIv3Q8EP10O+pM +s6Ryyix6+wVHVRFy6Y+71GI/4kh1Jtrojek3X+m8QKLFvw/s+5gHCjdRPDox21Tp +Yhnye1HR0Hml1p9AFHtsTWaxBbqTuJRSl0c4HUVil6BXwa5ds7LTg3s2c6+YusoP +4gleRyn5g3BmQfRbHJuronMteKoUAZ51hAcFXCS2/0oNiv7Et3Wi+DRauCLwJQmX +GH4dhpQMubfpW4TCk0W3UtXmpq068mGQ9OLQSSwMAbjcGV5Xl4K5BJIzrcuVbtLa +X+7Ib+sHk4HE3oezfeOwTSJb5HBFUVTGd8UiKShpGK3UeUlKNAux2Koi/dN00afm +tX4hlucXnF0ttRaYiOTHUPJapbdd0hZ0Zv9+VTXxGT2cW/ezSbAU1Wpl2G88iFuy +Y0yTA4lf7LXN612SFaWUjeSLGrE7OUN6P5dNcpKkO+hyX+aRV/bZgNqmInwxidlt ++dlqAweJFq151KY4AF8TA5oFEZrPy6Kbsy7DSiP8Bsknntb6jpo1OzfNvy+7lZkE +4SxxW/OICzXYfnaBNwLv6Oisfr6ODxXaxtcEYD4bvaItrlbHuquI1xdqPHuZXH++ +LhbkmWSNpxCe5e9Wzfmu8rsYdrWRGXG2oiuzAfISiqr5S67zo9AqND18RzEljVAa +a52z+5XS+9TpmLYn+RHGMLR8CXdxiFQWyBeDWhwqOEGYuchDa5y7kz9vKO4p9eSD +bP+25svOjQ4V2Rgy8RcGJm2EiSFz5aSkQcAO9s+9CI1BIZIZXkzKE/GbGNrXpeUw +6plYgf2lsxl2+KLXeaWAVCPeDOIE2B6gpekRgI3ETVUXsM/Wvfx/ZoaEhTNLJoG0 +0pkJx7f3tzOk9j11SQKdHGyGH7hY4PgdhWhD2iMUY9ItIwwrelsu0iT1HG9Iep+8 +N3D9qY5e9F8rQsdbwOqr5EQlTQNllxGPaqaLHWgm4SZLNu9KuPxdbH97kqLqHc7W +5iFXlq4WTa16jLsF8AVe0nWH3zx5FPq0jRNHvr+P7eLWZyCxTKKDF8SHy/6kBzlU +uHP1B8G8V6dhdJL+CqsJSTgXTuW1F+UgIJ6AICdeX826j6VQXxyujvCxRYXbagsN +Vja1n5QXlRJNR5FPM1qCmjlsn8EMhT/zZSJlSGQl97PWLPsEn2VAnbvXXGwcy+mS +uJuBMGzHH/8dlC25UxMDEgosYMfFeWKKheJirUo7feRcbxRjnIcPTN0yfEId8fvN +Q77H+6NWMs9BtXBm43oF5QNZcWDC+WZxzvZwfeIjpytWc5eyGDy5VVN9TWHISebI +tACf9IK6Z0rgk6ctWys0D9YXkUmoqG0N/WlpqWSFhlMVMY6OJkaexb9ZR336Cq3I +c+eyjioxw7/LMpXr92VoI1smwvSOLR7nFYj9SkJbHdA//kxYv5k5pl/6sJUujOz3 +RdvNzqk6eXzpujcyMLhys0uDaVz4tBH51OxJYllXCmZnQV36Drp3F4fAzX0iSpu1 +Nyzt0yLU+QR0uVOaYLK6g9+MXTJJbCBW+pPs9Bf4qyPNCt7V8avnrXdualawFFV+ +5jvIlyWrsmqEmcKEXf+XKmTImJfhdO8wndQ6cRFJLBRnsRMlJM5LJAbo03+cZFtD +cSLLreciaP60QIU/7eHqVetv+nhQ47DWbCF+VRLKwEtPeVxVcp+hUp366iHjNLlP ++xU3dVYJm/1cGO4LZlviRkZXDfYBhuRY/Rnsb2h6IH+OC3sRH/LDIVZBlcTZnhNc +/PXjKPG6HKINzxUtNnYpB9XxiqG82LGjRZofMeUPZIvj5dI9Wq06Hzhx7bhuYel8 +S9Oqlw6Qnfg4zB/Q4JhJPOFg6YqiELUSUqygLoCwipr67nhLZtyZr3ZW6IXt6dhY ++/2HMi2dyb4BhETw3zxe81E3ITHnOHfQAVFhz6jsuohMpwYS3bHhaxWOdkXSKSd6 +wgKyhA3MrzbYcgAkk9yUwoVMYndFEh686H0J+b8BtVP3Od258SBLvdl7b9hO/p0b +7kD6noQ37olMf5oIyJTNoV4G58FGSkUQ7nUCeehsfEfBhbR6tI/79JfmgENyiHR1 +rZYIxUMRKL5zNvZlpy9nUNUDN2EafMupBDriFb4r7S0wyi2u67q+906ilq8SAYgy +MWkxRY55fgEvysJA5pDT+4XSwH0N5NDnLr3h3pPzglmSvsugEf4ZSS+5zFs/T4Ld +W3uDWqXAAdWYk3igkpmZd6zw3qntZ48kvXPAMIObw7s= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/sxaui.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/sxaui.v new file mode 100644 index 0000000000000000000000000000000000000000..3da21bae3ee5bf175c5e28c87d8589fe96b8ea8d --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor/sxaui.v @@ -0,0 +1,252 @@ +// Copyright (C) Altera Corporation. All rights reserved. +// This simulation model contains highly confidential and +// proprietary information of Altera and is being provided +// in accordance with and subject to the protections of the +// applicable Altera Program License Subscription Agreement +// which governs its use and disclosure. Your use of Altera +// Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, +// and any output files any of the foregoing (including device +// programming or simulation files), and any associated +// documentation or information are expressly subject to the +// terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other +// applicable license agreement, including, without limitation, +// that your use is for the sole purpose of simulating designs +// for use exclusively in logic devices manufactured by Altera and sold +// by Altera or its authorized distributors. Please refer to the +// applicable agreement for further details. Altera products and +// services are protected under numerous U.S. and foreign patents, +// maskwork rights, copyrights and other intellectual property laws. +// Altera assumes no responsibility or liability arising out of the +// application or use of this simulation model. +// ACDS 11.1sp2 linux32 Build 259 01/26/2012 +// encrypted_file_type : mentor_tagged +`pragma protect begin_protected +`pragma protect version = 1 +`pragma protect encrypt_agent = "Model Technology", encrypt_agent_info = "6.6c" +`pragma protect author = "Altera" +`pragma protect data_method = "aes128-cbc" +`pragma protect key_keyowner = "MTI" , key_keyname = "MGC-DVT-MTI" , key_method = "rsa" +`pragma protect key_block encoding = (enctype = "base64", line_length = 64, bytes = 128) +lJ4V2gAg4Xm27rf925b/MaDa4hbdABg1IhD4ydoNHVWzGmJRRZJ8v1fZprggrfSC +JF22S7hzv00ClYrlmdDdpqbOy28o0TY+tthEWBxZmxMSJWUFq/IFSxwE2sA/v0d8 +uXHwjaVV6v4UNxb0EqMN8iSq7kb5vm50eCyL9QdreiQ= +`pragma protect data_block encoding = (enctype = "base64", line_length = 64, bytes = 10352) +ZhYdrVp4+oCnXOZhAKoa/MQRDfLDhHylKFP0CYYDJcT2tcDxUG6KjA+To2qPR1U+ +3adNBnJ/U8fFmMuwBrsWP0i6sRhO7Xkm9xUIZ9RzhSsmEvRFE+uxutz1ptzOrVIs +9ewYyVjv9AAUTiOKFBF/Yo+gv0QQGvfm+dQoSdSsWv5SQTQszTbGMAeY9KseXxhX +QfJARzmLcmSlfUvIIwKpKXZb5OzS6LwCNbtsFgj00zGMMJ0rxibEwDxKt/g9EVER +FZaxOsmcZI0k1np8ml0iarGiLlyn0QkZddXKahELycirr+5DRflRf2XOWemCKgdq +AT7k0KpjwKYEDZzR1dO5XECg3wMDXy9Bi2Y5yjiaWe748gI9D4PL4Kur+AkTzkMS +ylknDGYn11MnsSkz5gY8jibzcLn4KahlHOq5fx9bvyqWUIqy9ZF2ZJXCF2VdruQ5 +tSdZWjYdOB41s/nB26oJ+U9KR5WpU+/GOTXulFPhwwRbxCqeTuMVoUOWHK6Y1dR7 +lBtg23TreU033pjlI7tkogSxX9eWZ8OW+eKmGkbptXrP8u0Rk5uwLT9EO++xXbSw +bCMS5xa52KpMV34HWxltbBy3phiSUHAV6B8TswSfihVTAndaaqZC5bjlqHclMIHr +sUD9RYV/hp20v/EVCjY/RGGTLE0tviRj+nf+fTXB7r9TV6UA+mP9hVLKqp1Vcsb2 +7q0BRPNCd9CbDtOg0xR3QnH8MSzt8BBhYMXyI6YOTNHvscGiw/CZ0B/PmRAQyTuB +xLIQnkgO2xUGY1xf7VUr0ln3d9rzudkuEAC4YFzCiAFXXfqp3IsNPzFmL/nnt/2d +Xlcxt4m1PzoS8wgLB6pftFWSGbbCHpSsNEkN6rvYuJGItj6Zoa0gV7slfPMj4BYs +ksH2h6Ue8VsAa0S3MbGYo2mrEvthHqWjtKpInS+MdPobztsO0suqyAYikEtErQbk +3plTvK6/XsSIBqxWxIkbT79tikqxK42RIYE6ohoYryF6I0mni5pzSK/+JnzfZDS7 +a50fqEBsizx+NgXndR4pylljT7Uo++FOwOyQo/EksRuCDgdwnPf6PI153Mk0/ROl +GLYaT1wlYBQ1aTcsB1+f+pzgFPQXjRvYRnopBg5NK4sMa2+8dPgj9iDt8vte87OU +pKehwyNMdyAm4IFnz5PmiCK1MvDNaIFR8HHNaMiDmQZSRAjZFuOsgFXFoBEnV9re +ynEwjWnGKaxOBhqXvbzrPhqp8ZdjN+B4gkAQracc2Bq68FrXv2n9NSxDet6mJ7tw +NzajDNuZQO5MkYnETk+W7u497hfOTW9HPJyRf3G+l9roRTV0W7oNWUYxB/q6h9bp +EhjAXCuNUDG1Sa9juAigw9IERJTLV0GFT4goBSVMp2QG1UoCq1eD2SAmwAx9sq5c +efIRPfAigT/wxxOxUKjmK10H0JLlrVRL1rfV83/UduAwX/Og+U3XFhr6CeoqEvuK +FuvQbcSSzXeSRv5gJjFmcz3K7IUNuoWe453u2M2oFUe94RxOKJUcLGSSut0/9avO +W/We0iKp2N5RhJ7QzBpV5U1kcqpYkBaOpsG30xTwUcJe0VMrxAZ/XkogmD+nQqgr +yhSQ/DLdCLJzKQ7eP05Q+l0ERR2pHeJ+3z6/GBSqeeB86HdVJ3O1l74EKUleQZmi +PK+LJG+fkYMKII9ybPCf3mjS39PPAL8BDo7gB7yMF3mWpgsrXx0xeLPm1Vk5RY5q +nVtSpl+O7oYy2b4G3FvXRIjYmiD3/Eb1MtShV5y0xq8vkEz3xD89Zkk+CeXQjHJN +IcfPXJNmQzBEx6zc6vVO3v1VfpnN2vmf91vERi3PJ2aDClWSP3g9dGcoLErNhSTt +iLJU5vCfaZQUZK2/FPTCF1tJO0b24Lk+MqHkUGPDeR69FtpMJ33Ay5EjVr1pOk/u +gsiuRvwVV0W9v3ajF43Ab12gANq2BSWmwU8BbzNBV9NTX/o/iU1+5s7I76w49pmg +tkVrSyccAt2wXR0ezwmp/xPi5dIFgwu7Q8WjQqObWyg7kcuzK/9D7BNnRgrei5dk +ogHDkkogpMzKsqxkBMCR3bt+OAemRfMG4R9+q4TXpuna4vVhkBIybjRyc2Hktke1 +0BIeRnlNHb86obapM4FVhPPrik2aEV8Ha+Db1xwSpRHsbObxqOI13poG0GAhn1d8 +P2ML8iusbsZyU57Lw9vzENuDaBhcEAUSo4KjYps/p6UxOotpBsTbyWL6fSo03gzX +YqF60Od9FW15ERgRzd/VSED1HX3FZPhAI0bou7fORUwNdxa4vM5yy44VUQUP6WXs ++UnqTWOvP8CRhGCMJY1LVGe4pdsoee0s0w4vM6/x1UnkRzPm+JbhzsR5zcDhaKEQ +Nesutljqehvel1RYJTiQqetYAQSNU/a+TrlGqdYFjhMKVbP32ft3vcwpUifvgQyi +DYR7dLwyo/f0KcZRG57JcYtUpsPTKaZ3j839+dE+Sd5hKs1PsxikyA38u3CD3pEf +0uoQatIuCyKwEKZNdpbx/xhwKkO05Ae5m++KppQ9W1K6dG0gUdZx946gTgqZmixV +oFok2Vh+oNvWyA3b/LhMr5cC83Jy6yiHB1IuVj/BLOYAe6N2ZcFYVTHEDiJXbo3V +6aNcvQKc46qmmYQ+OvK8JMvZF+jEPHqTXny3I6HnrRBC5PrLoOLEXThUGhWtR6wq +1dWszHlUeVa+cVulC9eRPkw0hu9Ey9LC8Ruc4nxE99pvKJn8GrdyoBlbmQaOEnQ3 +0jch1I2OTBpzUzMpFeqoKEQgrruF8u5aH1TF12yiSi8aTUpvF+VuqYsQ3Vih6NcH +AsKrsILrH15hMBJ+VGifpWYMC60HuLe8APmRhc2OC68WDgW+MPrnMGgiVeWS6hiz +6Z2FY8v8bHPqyeM5Vc8454ch3sXubzOmzy0AR/RyZSizyY41D2hr40tAQRO/N2dd +fghENxlnfqeK1tR80ci6ZKSdbDTjTrWxubfUkVkWZePW/FhtRL67Mca0dKARAL7a +jppmIg3SO9uD6U08lxxU524ZLqRXSPt9toNh9jDQAG627ukDAfLFMrGJ8vw/Yy1c +8iwinhJ5T2PDn9Dp7jrDXShjBRY37072ikmsVGIFxTXRNpveDnUb0kf5zw2RBTs8 +2KlIpbGRZ48KV+0c7YQ8Aqkmy1Nd3YCuSBtkBzF6tokPddd5RnoDqoDGL97V18Q3 +2V0qZMQvSq0lczGSNhuSvMKC4owgPW42KO+VhsbnlzKrPct2N6oG9n7EKQdW37Kd +tRiG0jfaIxoJ6lwX9FKuRCjnT7gIrAr5G9JEygIuWyobMpSDDEmRAR+/D5AqclCt +atyjW32hpsLSwSH6NKKrKtNK3SBZE3l7TBi3pu2YC8ZvYoxuBYBqtmQeKOo8V4nK +wulApvvszmot30aOv+30vY/B5+Ed+UmYFoxE78TGnTOEEZlY5rBlEPAbuCvSJcuY +9WmhKY5mmlRteaOcSOamjrQTA/ijBH5B136vj3Jr74qJ0oONtdzd4sriSW469irS +vFILu0rTAiBLi+bjVA4oJG25Q025/J1NS7BZ1hGQLoRn/YueFzr4V22CfZJrbM3m +ArhxnduM5laDHAPnBLqHW7ZfbgHJ923Fbb6rHjWGbgOrXAdeqEqpV+6+qyPjo5pl +Tk65TglkssoI97vfsOM/3RP8U58rG/E+Vn1bZZa3Bj9OZpbvjeme9yMLK4kp9z+X +7jD8NWgZApGhzSwk2Y5+K3gQsHsELSiavt5jzt1TJVlHGR75VlFlS60rvl4Do1j7 +261qnIVD5X06V0KKJHC2NozmyzLFKWKcinIaWX6PxONCNzNeO+MlcRxqCO93Tnta +mXnI9TR02PpMqWFY+uzfCu0qYtLzkFHzUGj151W95yIzpzRArTcg3YHv+7Yzgpv/ +uXlzL62IjtwdoD5WPWF+pHgbwpaDPTFgr0s3ZVHzrJEM0OMHPtcVXG2AUK45WpjQ +uhcMIRPjbDaKGD/rRjNiAXP2whwYE3kjnxRXaYdMlA7eNRDZVC/sj41RokDp1em5 +KppmU5oz8Me3LTA792jOTCSIR5GQN86mJs4V0a32fCCQxCvhqKS+b1Viwo/CQ655 +JUQrcZKXmkoqwDY6cPnVv46Pfcap1/h9F/t7Te0yXN/DOgD1qm43noxztTFI2U68 +NaDcidfRAEkJoA5P0Oou/oW/porj2aw6iVy3TsyjAA7ZWDX7TNGzphmLO/FSbYES +2q9ozFLiM8dETAfAN3zZ8hDBU7/pZOD0fDBhaTVM3KS781qKGPGsO8QXizcUFGGg +TBF2SInA/u8hbM+KjGbMjzT/1gcxVmrlIttenCxBXPD28qiTaGzvPi+hpj3vnj9v +hAjPZmoVPUZijMZMhJR9F3NJe6cIEiJij4Sbob/zRghFGngZdjvhqsB8h7ZKRm71 +sUgyw6i590DKw4bR6pIK9rtaZtFfOxQNmDRERLUgSXSwEtSVeUSTyzqSDnfiu3If +dnFaiPNZXLjeDLmbieL2syQwdWc5GYX2wwgAUZpDQmxXUNUu03EqVDOSb4I7FsX5 +UjWnSMvXuibU4iMC58m0CCz/qfGoTNsmDavFpAr3TsCqRV+/VfJVmbsXoJo5Ck2E +xz5duBwSICD7fASqv3wCZ2P11f1sY8juMuolF4eqAyDkRa42+wXF57jHj1vZ+xu0 +cHEHqH9IvvDqSAiBTxEBMFZWJynV2jO2HP75zmlXkDKksRD/0EmsPgCAqbKmDSQC +rXGfKuKjFb8WnjcLJAOYFVMzDZWJLhUHG69mWzM39ZdRzDz1Qzpskm2QB9HujLpa +sdCz03kRkNjOnNlMBPWH6JmPZMLrFmGsnEqup/dAqo/6NjUxma1TwWHmqESP47yd +JpTNE9MQ7vJnkbbKq4pj0YHgTZTv3x0lsxAtC5OgiAgbK6re/kTZ8LQA+swnTXuj +dQMBBl+fD3aSRtpyJCS+dM8AuGMy2xQa96AIGFznqcpk7LFa32umb+f2ckmSi+qV +rqGzFSqDVaF/bychJFMFq8ZiXOjo/zzMmCFWv8xuPpPOLT3eonk/UrOOhbuFMRuz +E1qeMp5EngZq5yGm1gGSteH2F2OndQIuKfuj9v4ds6UCOYFSJAjavK6F1UsxyqvP +HORz03245VBTCNalnufUOqC1E/7A0S2PBKuk4doV3FhRx6fZvBq50vzlLfPAgOiy +kvEhR8GyFvjViM/sQ0MkiW0eVTTYx/G7ik0f9fvZEKw6ln51NvBh3AC+eoXbkHG5 +eVTxpkt6ZotZfr3ldmOyc4knPDWQfoywyNqp5VfhT4ocCjtQe1lF1ZKgWoOqukGR +9XBsaNi3au2t2m49QHhq6vEKRb8EJ6CUf0+gNcp7DRsFepj029cTyI9gzAEvno6r +4IyLGtrcafl8xsTGkIvfvbO/R47riVz0MsvqnalLGlnojUNKg/yl7MnOCMDCUXnz +C92+ucbV56cOU+Y5HXctVkbElQHKgcK32V77SujQvBMbcLlrPGeMFCOH5sPoKG+c +xir0QV+EpeGQVRqNVDSulKReFMR4R8jQBrl5d5qF99gEPUMrlPBq8695Ifydv2qj +WDyVgIXxmZbX5BShKug6GvcK7zMn4feVsaG2P0ItLc3mc+xmW93xlJW483ZykVta +TzVxmLJJsaCjE8Xbx4Cc8EAi1jJnU2EZEhH7fpxiWsixguyW9+dXUfJWvryIWKKf +4/24+SERoqFfXNUxRhz0qrZvwesjdfbNWIaRX1xeuq2AThkmmIiwa0V+gSnx5vOT +yLqSqIEp0EmqLdYRxvpqYJOhz/uipiYjPX5pSOUfftlPdzADvJk/2btZflu4S4a2 +xZZELA7yMPrEQ6PtoAqnJexY4eIKH+fLm4xBXmNkS3X8myc1xLdvfnZkM/Biwsfi +PWWTSk+WIfpnuhfXfLuQEYUCZelNw+qoEv+XhKZkW4LnHdEwKiEz0YUHOETPR7VC +N06SCf62DdmHz8jomXuzJFkSmDLbra/FrQ0x6hVQ9E1025QS6lEZNA4tNEDYawJF +pgIv28a8WHoF0GlOjRRzdnLvaWfJJoW1e8e+6YCgrQDAbueUrbQn6KJ7Zimw24yW +CBT1eaGtMdHri0A1VK6LEbG3683+o/4nw7y+YDYqd6t8ugDgmS9OQUd2k5uOxc2I +ZrsqeIZ5Pi8S962/m2jm67DoEhQgMjTOiAdQYrB+QESHQdczypbjIXejjyse9U58 +eUwRufT5gNfIzztvEe0M7rPZuuHpFvuNYNVD/P40M6flNLSLduxT2W0RxcGzNUDa +hyYq8Cd/wFVLIU2QWESZhIFKnMMbfGOWQTgq58KjqkzFfi+aTWFpIk/l+o3kZoKO +8nQ/ZqD3bznt/wnE/SQsLs+MK80+Lsk85UHbODHKvX95rtsE6qke/XXnnEgI/EQH +/IXbE3bLIogh35H9aRLmejaa0Od82qKIyD3ghssnw9jCGQHbHWk+7Q39yKFmsvAR +JO0kHnpASh9RyeET/xO0RfEu/s6u+x2qioy1gooxpmtbifiMP2Mdr4F4hHfanHft +b9SUc0z3gAc6ZMZSOUf9Fv1cXRwpPfPnL8CaViodV71seK4scIV+S4slj0n3ZNgA +g5f5naW3zvtK5PNA5IbFl8CsRRQ10uIPSpVHlTbJzOSoY8nPExUsqReiIPoR6+cW +RJyQeZK3wZ9Yjkk8eefWjcGJVOi88eX6FxpdP4GNxkay3LSQglIqRBHfuBrPxGpM +Y4F6lQvtEgZlc0rqODnvqOG9j1DR/WkvHfDELdoULgutCUVhd5rCUbXY1Gi58Kvr +9y5yfEjD8n2HycYOHQglXHbAlDKYPVpf9LxmjzMJWexiO9gmNO4m2lWelhxfKKXd +L+q+u4jtImOXtevO5fn+13PQNFGtYt1T/lUTMPCoKYvlBEIlBWQx8bJVAg9c9j4M +ruzVtNZ+KkJbEtTj008W33cV3ZcyzahKvdNAYb7ZGX5Q1hWZEbiV3cDSi4ni5ryo +V/9zqsTdUs59JhfRUGvkCCWsFNJesoQ50lXcHpptdjL41cDzFSGLny7A9CAouHsE +vvAayPkz46fDXmdq/8mrIsd/WfQMe7qd6WzTm9LaRtTYuT/tT6oBIoTfwSLkAYx1 +cffgUnEJQa1Z59vsmgAw9LHNLrHRtMefsTHoisvibr/7XSZ70EskaqnnotqR8TyU +Z5oVyX7kraJh6oaPRO4SW1rDu9nza7Yku/FBpM56cUn4dGLNfeOYOOvyKeuquZNs +kF+EA9fVZS01oalyBMrAxtBCiH3pmoeJ+zFkQGRwGegZ31yZXv441mj/F8fTzedk +O0VghOzqqasnBJNRJDHhUmtFxUMfwYFjKflfs9W8VAb1EeeUvYtHZaTCkzXLEJyH +fb+JBeNhaXCK/8Zze5WSm/IzGR3rBPeA3r9S6ASWpMtA11UTuoRcMahhHBLp1KXh +GLPMCmwgR3lMMOSvj3ns5iNuCrg/XzyCHPDf68sWjtOEUS9XR91rzumOUZsif5EA +e5K/e6bQKk1B5KxuQUccgNYcqo7wgBEqVGwF291KqBUse8FvBQAxXmdoSQ+sIKGq +wEjXCKbCleW9BSQ4qx6iCakr3hM8CnT6SN4KDNtlOpqTDrV+ny3tfpT2xDd3l2eE +gz1aIPTcK5VWa1RRKY32jx0azRLcM3O0xrqdBzyytlByVB7oVPejgexr16GXMGZZ +l5OiYYQYo+PO+oq2eyRIUaY/pSySQJ7EVTpY7vsBBU9UXrgnWySHecixOpEx/OV+ +ikqcLYHi4tlmymV3YXIDvvWAGr06u+Mf0bm6zKZBoKfXIZ6uhZBTqjxkp/QY3Ou8 +c9orAmi4jeO+yLapGiydBwuPr9ZeGF2ronq1JyproIYjXBAMz2gbH1dyXjB5kO8n +32s4tgs8VD6A3TIyCJd0zRkyR6PpoCSs554B+Q2IlTAhDx5A3XCLcAENvTe7mJIz +w9FQ1hAHlXeBYH3W40iFIsO5yG56rL9v3jqPpn/pBRMkFDB8+0qf+byWgmk6VCB9 +drp+gF6PCDkd4W+qb6D3Kh/bDtww6Hg0vCxyuCd3tw56mWHujc/On1/0zbwzyQB4 +SymwXKRFCWtCx+3WRtzQd2aBNv/lg+ahcuREmULDAOzq7hjh2/Ay+WV16STIDB7u +JWkLbzMlueReYl1TfyRVzJLx9oumDETr/fr/PTzckPA72756r8OQCnLIokWRDUAd +viloBZCSDkTqhJQmUruvvoDYA8nEf20KgO3ABm+9mt8/UJ3SuO+u7aICEVEdbrJH +EkHAV8/CPdDkBSgMA6FakCB2DlkrhP6Vln3R9SOf2U5XDQ4rYvuXSdUEh6oyPfba +ceGS2eGQdvfXkDXsOOgzTUiKXTPw7f5Pgy2iP2wQ9S/WtatjtBYEuP8BwkUBn43k +jzJ/+UAAAv2NJQiXEXbDtEj6cbxEt3/atRoSWsywcSeHwchBsjZGzsDU08MpOFeY +3IHHrwSu8MmF5i8elwj3eooAYRLQJ+QmsbtQO3w5KKFIisl3W8sv5SzSd3hSl/6d +/iZVnNydhvWq6w4IFVpLvGOFoQkJNdr24Hrg0n6yalWbe5rMhEv7CuBDVx77kKDR +ptR+S8wgVpxTPdhGv5VlW/Ax1hzz+BjBQAgYfoQnzQj09uG7gHddqjUTUAgstTsI +1G9FQnpHoFY3mh4wvCjRQeRKjNvHSA+QCmqGqQ2iRh0r59WhcETWRGUgE9BqsGNN +mJzn7g6Qm/6TeBG2UA/+QPyh8m+z/kVOlYIa0iSwxTFtnCXzjxeKXKFuAVBqvBbr +ix9uG2PBhil4iIrLgRQZXg2RbabhdbeCxRXflSCeIRph1UxU+lap3B2d8CClQRcz +mHGLrPWTsNa+nISAOh05X+pawwUKPc4xd/O5zG4iV/tzUIfb354dgZ+Qm+QCyi9f +HbpCZ7ugqrYSBKnx2OcWSxN7CRnhxWZevL+Iik8bpIyGLKU9A1QsKke+fzbQa/jX +/VnH0m+71qRcV0EF5kwYhbHyRMuvYqpH2OWjne790TcGvf26pA9g3rXdJwVW9y+Q +V9yYUXdXh/HD9ZXi3ypbtgUeKDQDPmss+KRjDvSnxEEuAzJ3vbUwjoFyiADeckmO +S2vv5kWy7Tsme3pihlUveBjlLB5hn92xn7HpDr70Bpo9gLA5Qx21SFtUL0hsQsXQ +Crv4wQKWgDlmBgxLRS6OvSW6p9xzQGKWaXEdwlQjDRQlKgdrewt9/XlQXWod6dP1 +SV9WF0HeVwRcb0zsNM38pYAlciS57OKfu0s7FDsNRXF442C4PrjdBqNIx3WdZ8EN +yb3VSfQYJd5rT4CLw6nduBU8XH8etm/EC2l8t170N58ulVj49jmAOXT7L1P0hiST +2apUi0HWECA2RwvK08QLrMKUQG/7PyDE2BndQMguKvn8S7xWIplroOVA5sOKkCrb +ta1l2gBsGpxibG11CY6DgmrmxVGFI/L9Tgl5bPDcI0QOTBgAej8lIeLl8VST0/4E +R+VF4bcXDyrIb1eQmSzMujBHb7VP/1g1HfUqkFBLMUJRuFKrLMEvYSSxkq9mU6WQ +HrT7XibViqiOZNJpYjNNIWJNW0wvCQrL+8TyYOXrXlBBaajXhQ+XnBqiB3hJ6LrM +DEMXp/9A6vS+Lyu2uu5aKxfOTn7FAaml/MDZQ/ge2XhZgmNwPLLBgyVYrx8cXt3Q +hRDYQlC7h9s+HzsAQ1lU1hBQPQE/ffERKCyPuyr640B5bvoGP6TwJzx81tVcEENQ +PD/fTekSgagpo20sBtilxv5YfBxPBhe216/DC2uEf3N88vYJY9LfYu/JC4SYEQ61 +uJkLZUvThy9n/MDN0EWMvjSaPcs6N7Sy5qaKwn/PF9r9ZAP3xUp5hToTxO11zVEw +IYLxCAz7c0593B50nNg+xVBrXOivK7ZSBiIGOFc14afMx38ZeVn7utIOz4JLXPDY +naTezYe1qiZi+Y2hvDOqRl9vgHoLC0hoetVoTkRr7gYjF+EUMJCiojAGaE/qf07X +AuSg1SIVcjJHR8Zyj/ic1t74hcZsRApIUJZ+X5mYhLFCkVyNh281rTL/FIlk8nc6 +gnr21hO+ki6vh7J4uQNXqeraXWOhCnU6Rxk6ooeHVw+Dpbmgc+ICir3nXRl/EAnD +qwUKNUUekApON403xtJnp+iHgqloqurp2Nx2fzQjkNl4I3YprC3VBHI4zgFma5pK +UermqAodkKQP+t3Y3fYbX/eyXMmVqJjjf7caw7nkmBmd9OrnCC6c6qCkIZvB0lIe +WZRSLNk/1hvP61tLvtjzcm+XpjT2lv+btsCN4qSvGF/821s/jlZRLDiq6voBeDcR +9aLATprWMmg7hIoAUw4ad3VDo43TYdiBv5fFeNYTyYRMnRSHthe+YHbxp4V8PkX0 +LDvQaFDdBrHZw2MgTEyTMMDAzXP2Npbntg3krCh9CTHT3ynod88Yh3A2Jz0qEOH9 +RtCJRSRk7Km3wmOH2f/AeSVjsQjmhMBHPATi7KmlGHz9OUzJDyvsG7bFHE/CXrUe +gZxiTJ6kJYjnv4Tnagd34lt/TSIuueFQhwpJ8rfdInM8kV/zCf62fGLc4Kg62oqu +sWRGQ7ifVcsdp0yy9LXAqYJxrw7x9Vo1t8jiWT7Tbljq2mVbpigbBEhtVy2byWmW +NjHeiXhY//0pchhsZm8HNQ4vOWcWxyRsJ6Gp++CbByPUVv7PgB/nsRW7Rd44glFM +VOo+Tk/49Lvu6qMmP85VmAqk/jSeyG5HrywneDgxZzkE6yn65y497HfnfDAZzWDB +zhpUedzt0SVSRXlBmu9/JVIYKwwlB5Ss+n6xv2YzK2THmq3TtomwGGiYsFZYSQSc +gI1+keyO0hkU4HMFBekgY7pE1Q/IsOyJvj74lxPjSg3/+NsYSS8Luapsfhe76EuX +q6e93obeOo6rxyYwVlSOXff8HqYHDSGeQgr7egQBptIyY0isH3/4k/IkXDw5p1aO +5gXM86whzOEFkrQAxu6hMQ7bs1y0z0qWb98Y/foigXOLlM5Evrvn4Zzu6brUG2dz +ljxId7PAV854raiONJkYrxjpWleeSWOasEbdS2lDVHoFyjmWPx7cXs/IOJSsNb7i +6h2XM9YtkRZ1ThlQpm/kFUOoKwnaxQvlQMPnYYjmJPYOxy/gZSIJGhwinGNLURtz +eDUanRLsmpO4Kt+lQug9l+0tn3l6o264mfwvt2I3uZG4pWa34FR67a5YDviJTRkX +BsirRw6yH9iB/gQw7GU2c6oatMWXRMBs1fCh7224GHZ9K6YgXzprFjlyPvGyIkfQ +YTEhUF6ouOVGxd2LGysmO2SYWe4cV21rczPqW8WdGSqVZb2x+0CqQId4riCuLFdV +drXHyG3vbOYDLiBTIWRQDPx+yLygUdiLbbq8R6tw7HFUrcg4xW1jDsc/cY8+JRRD +HM8UKbVjRnS7uCwmNIZqzvxK3et6I9G/8WepBXn0vTU/jrDU+E8aw4BBgo9Mg4V5 +JhKv6kJ62uVXzQNrhaDE1yKtgewqE49FpkWjIH4Vm3G7PPm+azJE60I9EQYaLbhr +899DC8hDRU8/jfc4AAWEoUTJ7zYdwJUdZ28zSWOu7T9Cji5wdvsfMT5LPnrUrAvH +MP2pmd7rpqUhpMiw2zCraONqgFlqwsLeewqgaQjPuJDqHI14OCVfgYurfLEm6k2o +CQblUqO5T4qgobZdXk8kVf3vExetX451yzXmWdFWjh0a6yCpr4Nul9Lli9p43Qe2 +igr9fmA+f3ooQzB0xy85qenNXpWV3u+Y0HLF4M2bIP4W0zKdfZwlF7x685tzZ4SN +e6rFlx7u8fl8uWQDP0Zox0PIIL+a88Efy6zUFkOkM6iNFD8vkozNyDl8TSqcSENp +m7iDOD2Q/GETtre8ZpSnNvPAXM7vzQLdwvXdhy2wvjzb9yZVTDKlVXUqAWm2WwJR +BjhIUrZnr3Vrmo1LNBtEvznC7cJDytt+rDkup+OfV7tk7GUZLyErKsqDOi0AN7Z9 +mgwJzOwsqpETJkoXV4PBDbCcpMXyI5HXLHMbxC7ZTJ8lUed7qbWHlsAbq/cpMb04 +7xoo53Ehblj3D1Ik7B1CVK8QQV+ivBRm7aa+ebabFYh685/tW5+niVVCokrcB6Bw +a71eHM3fbNkAwplZP8d4ZBs6HyHCmfI9634j4ChZaoOMt96Ekn3hmEQh1Lp+cv3N +DM1cAHgqgKBU8voG7k5DZCdy8p7QYfF4Nz6kFF/Kj2EE5c1cRqz4t6yuUJYRXPxw +l7GezRA98jR8hiVFY1MhSfb2YGd8ro5ClWvg56VjH7PDJ9Dm1GQG05+QuO4Sww0r +24VNu6rpbgSLPFpK1uOUvqu/35yPXA/m1dmOvjbIhI8npiOn3IJRtsqJftBfWhl5 +EPqyRO1EtLlKd9XAFW0SqgGVWOYLWGcCaL2qrAM1vJL6QihpM+NksSZ53GtBWymD +sdazYauMyQ2nZLudmWt1Xc9rBTGyvXRgGCbK8H/J8vj1sbusRKF/YSf54S4Jv3mE +3IHNFYW++KU5GbIZvlYnOQ+nGL0QgTHMx8HwfDROenNpL15SXUdQEt9LrMqEoMFr +JRqbxN3hqfkGz28D3cA6yycRNo/DS3z0feoQjj+K3RWebo36RY7D1t4C8AprVxsk +9GgJ6EQTeWviAXVUve8rZngk8v0XmGQW2Bfd9RdxSIYpGK7UBnav9+Uli1yRM9g1 +5Yg/Sir5yrS3Dc+7uhZNxxbCveiVjfoJTq9Hc0hJ0nJmwbDCU3zsl1PJaRE29eV9 +3v95MyMlDjo1Ppf3pNCnSqgetqg7eJ31PU5qF0MbqHVAL//nAqhixGSBloE0zwq6 +0rcIGYGhCcMTaAd+nEbiFNYf3MjDvXyol2liHlljGFZrJSMtm+rX5KoZGbl/KFxj +hUe8inDZo+LoTYIz/+hPey3rKyxroIl0Iv5Ny9zZlfQHkHsh2axctmjLh0YKUcbx +is7d41y3iwQtKEuv+BfOc+mY1/qMLCO0+5JgWwpQMJ4KymxERVSOK6dwUeaVeAWT +FLi0EzB3ILAXpHej+Bhw43IsQptMnEWUY2pb5se5HtWorZLEnTXZ0DGLTU3Xxf2S +DBM20BtBuxFegn0meIIiqM/SekjPFiOQ9BlKEPnRKXGYbc++i3EUetIxNPlndN/g +1Mbu1OOUQPoLrSna4uHKjaYyP4XWYzwNaUE9xt8lWHnrsSNoMCtsyoyN2nNbQaTk +D1tWBA6UqNX6G7aDqSVm6wvHrNZoENzpa8HwD8PzaD+hP4AMAgpNbltBrERqx5/7 +I7JMnJ/PHAVpKROwuLb5ur4viI/UNnejlUJM81jfsMfDESy8YykJdky7FUsPjxu6 +dl+P6dybESQGkDDbbm9W19kQRBFueK9SfUyRRqqBoxElKDj3DgzxulNc918WTDOy +R+A16lGhSMyWdZ1f2PnvI3ymq1WOL4n/S+8IbO4FVEpz+quiV7Ly2LZuEWC8puXE +Q7rx3Ho8+JtKM6bXPTjTntY9CmA2VrQ5+dNhKulwTtmi+6V6dWBTzbESwgiOo5Gi +0ViToGNc1VBTpZVhsM5ozjwhg47fV8+T3QZHZWxMcCIHLwIuYFKzo29HXJr75DPM +vljT5M9k+OviDh1iP5X3BoaCKgLjjNWm8Yw+7Ts6CPeKgg43wvvKVHIYCXMaUo1O +jBrwWBrUm9GSOqbmZQlLuOv2YhKRF2Dd6yXEas2eHJmYSJgiVy2JTI8g0t9qNsCI +snPN4/kYanlmfnQVRHoN9ExROBRJGCovBK2yIsE0UdHHgh0qZUUCqx6hf1OspwdZ +goeR40PJp2zUmGW91ae2NIaY0h5baiNsDNM427EC5Ns= +`pragma protect end_protected diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..39c052374ca76eb6ee2614d410f7eab733c163bd --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/mentor_files.txt @@ -0,0 +1,59 @@ +./mentor/altera_xcvr_functions.sv +./mentor/alt_pma_functions.sv +./mentor/altera_xcvr_xaui.sv +./mentor/hxaui_csr_h.sv +./mentor/hxaui_csr.sv +./mentor/alt_xcvr_mgmt2dec_phyreconfig.sv +./mentor/alt_xcvr_mgmt2dec_xaui.sv +./mentor/alt_pma_ch_controller_tgx.v +./mentor/alt_pma_controller_tgx.v +./mentor/alt_reset_ctrl_lego.sv +./mentor/alt_reset_ctrl_tgx_cdrauto.sv +./mentor/alt_xcvr_resync.sv +./mentor/alt_xcvr_csr_common_h.sv +./mentor/alt_xcvr_csr_common.sv +./mentor/alt_xcvr_csr_pcs8g_h.sv +./mentor/alt_xcvr_csr_pcs8g.sv +./mentor/alt_xcvr_csr_selector.sv +./mentor/alt_xcvr_mgmt2dec.sv +./mentor/altera_wait_generate.v +./mentor/alt_soft_xaui_pcs.v +./mentor/alt_soft_xaui_reset.v +./mentor/alt_soft_xaui_rx.v +./mentor/alt_soft_xaui_rx_8b10b_dec.v +./mentor/alt_soft_xaui_rx_channel_synch.v +./mentor/alt_soft_xaui_rx_deskew.v +./mentor/alt_soft_xaui_rx_deskew_channel.v +./mentor/alt_soft_xaui_rx_deskew_ram.v +./mentor/alt_soft_xaui_rx_invalid_code_det.v +./mentor/alt_soft_xaui_rx_parity.v +./mentor/alt_soft_xaui_rx_parity_4b.v +./mentor/alt_soft_xaui_rx_parity_6b.v +./mentor/alt_soft_xaui_rx_rate_match.v +./mentor/alt_soft_xaui_rx_rate_match_ram.v +./mentor/alt_soft_xaui_rx_rl_chk_6g.v +./mentor/alt_soft_xaui_rx_sm.v +./mentor/alt_soft_xaui_tx.v +./mentor/alt_soft_xaui_tx_8b10b_enc.v +./mentor/alt_soft_xaui_tx_idle_conv.v +./mentor/l_modules.v +./mentor/serdes_4_unit_lc_siv.v +./mentor/serdes_4_unit_siv.v +./mentor/serdes_4unit.v +./mentor/sxaui.v +./mentor/siv_xcvr_low_latency_phy_nr.sv +./mentor/siv_xcvr_xaui.sv +./mentor/alt4gxb_vo.v +./mentor/alt_xcvr_reconfig_h.sv +./mentor/alt_xcvr_reconfig_siv.sv +./mentor/alt_xcvr_reconfig_analog.sv +./mentor/alt_xcvr_reconfig_analog_tgx.v +./mentor/alt_xcvr_reconfig_offset_cancellation.sv +./mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v +./mentor/alt_xcvr_reconfig_eyemon_tgx.sv +./mentor/alt_xcvr_reconfig_dfe_tgx.sv +./mentor/alt_xcvr_reconfig_basic_tgx.v +./mentor/alt_mutex_acq.v +./mentor/alt_dprio.v +./mentor/alt_xcvr_arbiter.sv +./mentor/alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/modelsim_sim_script_example.tcl b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/modelsim_sim_script_example.tcl new file mode 100644 index 0000000000000000000000000000000000000000..64f09857ea3ab03830ff65cce67d58db4b3c3eed --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/modelsim_sim_script_example.tcl @@ -0,0 +1,380 @@ +# (C) 2001-2012 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions and other +# software and tools, and its AMPP partner logic functions, and any output +# files any of the foregoing (including device programming or simulation +# files), and any associated documentation or information are expressly subject +# to the terms and conditions of the Altera Program License Subscription +# Agreement, Altera MegaCore Function License Agreement, or other applicable +# license agreement, including, without limitation, that your use is for the +# sole purpose of programming logic devices manufactured by Altera and sold by +# Altera or its authorized distributors. Please refer to the applicable +# agreement for further details. + + +########################################### +# +# This is an example file for compilation/simulation of 10GBASER-R PHY instance in Modelsim +# +# You can modify this script and use it to compile/simulate your design depending on +# the following Modelsim license options: +# (1) Mixed language license +# - Top-level PHY IP variant can be in Verilog or VHDL +# - Underlying PHY IP files are in plaintext Verilog +# - Testbench can be in Verilog or VHDL +# +# (2) Non-mixed language license +# (a) Verilog Only: +# - Top-level PHY IP variant should be in Verilog +# - Underlying PHY IP files are in plaintext Verilog +# - Testbench should be in Verilog +# +# (b) VHDL Only: +# - Top-level PHY IP variant should be in VHDL +# - Underlying PHY IP files are in encrypted Verilog +# - Testbench should be in VHDL +# +# (3) Quartus encrypted some files for copyright. Those file will be encrypted for different simulator +# +# Please do the following changes in this script in order to use it: +# - Set the language (verilog or vhdl) of the generated PHY IP variant +# - Set your top-level PHY IP variant name +# - Set your top-level testbench name +# - Compile your other design files and your testbench. You would use vlog or vcom according +# to the language of your design files/testbench and your Modelsim license. +# +# Note that the PHY IP files are correctly compiled in this script according to the the +# "language" variable you set. +# +############################################################################################## + +set QUARTUS_ROOTDIR $env(QUARTUS_ROOTDIR) +################################################################################# +## +## Set your language, simulator and top level design name here +## e.g. vsim -c -do "do ./test_sim/modelsim_example_script.tcl verilog stratixiv modelsim test test_tst hard" +## +################################################################################# +# language = verilog (verilog variant of the PHY IP) or vhdl (vhdl variant of the PHY IP) +# defaulted to verilog +set language $1 +if {$language != "verilog" && $language != "vhdl"} { + echo "invalid setting for language: $language. valid settings are verilog and vhdl" + exit +} + +# family = +# defaulted to stratixv +set family $2 +if {$family != "stratixiv" && $family != "stratixv" && $family != "cycloneiv" && $family != "arriaiigx" && $family != "arriaiigz" && $family != "hardcopyiv"} { + echo "invalid setting for family: $family. valid settings are stratixiv,stratixv,cycloneiv,arriaiigx,arriaiigz,hardcopyiv" + exit +} + +# simulator = modelsim or VCS +# defaulted to modelsim +# This file not support VCS yet, you can use this file as reference for VCS +set simulator $3 +if {$simulator != "modelsim"} { + echo "invalid setting for simulator: $simulator. valid settings are modelsim" + exit +} +## Set your top level design name here +##set dut_name <top level design name as generted in Quartus or Qsys> +set dut_name $4 +if {$dut_name == ""} { + echo "Missing top level design name: $dut_name" +} +## Set your test bench name here +##set tb_name <test bench> +set tb_name $5 +if {$tb_name == ""} { + echo "Missing test bench name: $tb_name" +} + +## Set your XAUI type +##set xaui_type soft or hard +set xaui_type $6 +if {$xaui_type == ""} { + echo "Missing test xaui_type: $xaui_type" +} + +puts " simulator=$simulator" +puts " language=$language" +puts " family=$family" +################################################################################# +## Set directory path according to simulator +## unenc_file_dir for Quartus unecrypted files +## enc_file_dir is for Quartus ecrypted files +## This file only support modelsim simulation. You can use the following path +## as reference of other simulator +################################################################################# +## Modelsim Verilog/Modelsim VHDL with Mixed language license +if { $simulator == "modelsim" } { + set enc_file_dir ./${dut_name}_sim/altera_xcvr_xaui/mentor + if {$language == "verilog"} { + set unenc_file_dir ./${dut_name}_sim/altera_xcvr_xaui + } else { + ## Modelsim-AE / Models + set unenc_file_dir ./${dut_name}_sim/altera_xcvr_xaui/mentor + } + +} elseif { $simulator == "VCS" } { + set unenc_file_dir ${dut_name}_sim + set enc_file_dir $dut_name_sim/synopsys + +} elseif { $simulator == "Aldec" } { + set unenc_file_dir ${dut_name}_sim + set enc_file_dir $dut_name_sim/Aldec + +} elseif { $simulator == "VCS" } { + set unenc_file_dir ./${dut_name}_sim + set enc_file_dir ./${dut_name}_sim/synopsys + +} elseif { $simulator == "NCSIM" } { + set unenc_file_dir ./${dut_name}_sim + set enc_file_dir ./${dut_name}_sim/cadence + +} + +exec rm -rf work +vlib work +puts " unenc_file_dir=$unenc_file_dir" +puts " enc_file_dir=$enc_file_dir" + +########################################### +# Library files +########################################### +if {$language == "verilog"} { + vlog $QUARTUS_ROOTDIR/eda/sim_lib/220model.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/altera_primitives.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/sgate.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/altera_mf.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_hssi_atoms.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixii_atoms.v + + if {$family == "stratixiv" || $family == "arriaiigx" || $family == "arriaiigz" || $family == "hardcopyiv"} { + ########################################### + # Stratix IV library files + ########################################### + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_hssi_atoms.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_atoms.v + vlog $QUARTUS_ROOTDIR/libraries/megafunctions/alt_cal_c3gxb.v + } + if {$family == "cycloneiv"} { + ########################################### + # Cyclone IV library files + ########################################### + vlog $QUARTUS_ROOTDIR/eda/sim_lib/cycloneiv_hssi_atoms.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/cycloneiv_atoms.v + vlog $QUARTUS_ROOTDIR/libraries/megafunctions/alt_cal_c3gxb.v + } + if {$family == "stratixv"} { + ########################################### + # Stratix V library files + ########################################### + vlog -sv $QUARTUS_ROOTDIR/eda/sim_lib/altera_lnsim.sv + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/stratixv_atoms_ncrypt.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixv_atoms.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/stratixv_hssi_atoms_ncrypt.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/stratixv_hssi_atoms.v + } +} else { + vmap altera work + vmap altera_mf work + vmap lpm work + vmap sgate work + vmap stratixiv_hssi work + vcom $QUARTUS_ROOTDIR/eda/sim_lib/220pack.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/220model.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/altera_primitives_components.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/altera_primitives.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/sgate_pack.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/sgate.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/altera_mf_components.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/altera_mf.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/stratixii_atoms.vhd + + if {$family == "stratixiv" || $family == "arriaiigx" || $family == "arriaiigz"} { + ########################################### + # Stratix IV library files + ########################################### + vcom $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_hssi_components.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/stratixiv_hssi_atoms.vhd + vcom $QUARTUS_ROOTDIR/libraries/megafunctions/alt_cal_c3gxb.vhd + } + if {$family == "cycloneiv"} { + ########################################### + # Cyclone IV library files + ########################################### + vcom $QUARTUS_ROOTDIR/eda/sim_lib/cycloneiv_hssi_components.vhd + vcom $QUARTUS_ROOTDIR/eda/sim_lib/cycloneiv_hssi_atoms.vhd + vcom $QUARTUS_ROOTDIR/libraries/megafunctions/alt_cal_c3gxb.vhd + } + if {$family == "stratixv"} { + ########################################### + # Stratix V library files + ########################################### + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/altera_lnsim_for_vhdl.sv + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/stratixv_atoms_for_vhdl.v + vlog $QUARTUS_ROOTDIR/eda/sim_lib/mentor/stratixv_hssi_atoms_for_vhdl.v + } +} + +if {$xaui_type == "hard" } { + vlog -sv $unenc_file_dir/alt_xcvr_csr_common_h.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_common.sv + vlog $unenc_file_dir/alt_dprio.v + vlog $unenc_file_dir/alt_mutex_acq.v + vlog $unenc_file_dir/alt_pma_ch_controller_tgx.v + vlog $unenc_file_dir/alt_pma_controller_tgx.v + vlog -sv $unenc_file_dir/altera_xcvr_functions.sv + vlog -sv $unenc_file_dir/alt_reset_ctrl_lego.sv + vlog -sv $unenc_file_dir/alt_reset_ctrl_tgx_cdrauto.sv + vlog -sv $unenc_file_dir/alt_xcvr_resync.sv + vlog -sv $unenc_file_dir/altera_xcvr_xaui.sv + vlog -sv $unenc_file_dir/alt_xcvr_arbiter.sv + vlog -sv $unenc_file_dir/alt_xcvr_m2s.sv + vlog -sv $unenc_file_dir/alt_xcvr_mgmt2dec_phyreconfig.sv + vlog -sv $unenc_file_dir/alt_xcvr_mgmt2dec_xaui.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_pcs8g_h.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_pcs8g.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_selector.sv + vlog $unenc_file_dir/altera_wait_generate.v + vlog $unenc_file_dir/hxaui.v + vlog $unenc_file_dir/hxaui_csr_h.sv + vlog +incdir+$unenc_file_dir $unenc_file_dir/hxaui_csr.sv + if {$family == "stratixiv" || $family == "arriaiigx" || $family == "arriaiigz" || $family == "hardcopyiv"} { + ########################################### + # Use this section for Stratix IV/Arria II GX Hard XAUI + ########################################### + vlog -sv $unenc_file_dir/siv_xcvr_xaui.sv + vlog $unenc_file_dir/alt_dprio.v + vlog $unenc_file_dir/alt_xcvr_reconfig*.v + vlog -sv $unenc_file_dir/alt_xcvr_reconfig*.sv + vlog $unenc_file_dir/hxaui_alt4gxb.v + } + if {$family == "cycloneiv"} { + ########################################### + # Use this section for Cyclone IV GX Hard XAUI + ########################################### + vlog $unenc_file_dir/civ_xcvr_xaui.v + vlog $unenc_file_dir/alt_xcvr_reconfig*.v + vlog -sv $unenc_file_dir/alt_xcvr_reconfig*.sv + vlog $unenc_file_dir/hxaui_alt_c3gxb.v + } + } else { + vlog -sv $unenc_file_dir/alt_xcvr_csr_common_h.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_common.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_pcs8g_h.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_pcs8g.sv + vlog -sv $unenc_file_dir/alt_xcvr_csr_selector.sv + vlog -sv $unenc_file_dir/alt_xcvr_m2s.sv + vlog -sv $unenc_file_dir/alt_xcvr_mgmt2dec_phyreconfig.sv + vlog -sv $unenc_file_dir/alt_xcvr_mgmt2dec_xaui.sv + vlog -sv $unenc_file_dir/alt_xcvr_arbiter.sv + vlog -sv $unenc_file_dir/altera_xcvr_functions.sv + vlog $enc_file_dir/alt_soft_xaui_pcs.v + vlog $enc_file_dir/alt_soft_xaui_reset.v + vlog $enc_file_dir/alt_soft_xaui_rx.v + vlog $enc_file_dir/alt_soft_xaui_rx_8b10b_dec.v + vlog $enc_file_dir/alt_soft_xaui_rx_channel_synch.v + vlog $enc_file_dir/alt_soft_xaui_rx_deskew.v + vlog $enc_file_dir/alt_soft_xaui_rx_deskew_channel.v + vlog $enc_file_dir/alt_soft_xaui_rx_deskew_ram.v + vlog $enc_file_dir/alt_soft_xaui_rx_invalid_code_det.v + vlog $enc_file_dir/alt_soft_xaui_rx_parity.v + vlog $enc_file_dir/alt_soft_xaui_rx_parity_4b.v + vlog $enc_file_dir/alt_soft_xaui_rx_parity_6b.v + vlog $enc_file_dir/alt_soft_xaui_rx_rate_match.v + vlog $enc_file_dir/alt_soft_xaui_rx_rate_match_ram.v + vlog $enc_file_dir/alt_soft_xaui_rx_rl_chk_6g.v + vlog $enc_file_dir/alt_soft_xaui_rx_sm.v + vlog $enc_file_dir/alt_soft_xaui_tx.v + vlog $enc_file_dir/alt_soft_xaui_tx_8b10b_enc.v + vlog $enc_file_dir/alt_soft_xaui_tx_idle_conv.v + vlog $enc_file_dir/l_modules.v + vlog $unenc_file_dir/hxaui_csr_h.sv + vlog +incdir+$unenc_file_dir $unenc_file_dir/hxaui_csr.sv + vlog $unenc_file_dir/sxaui.v + vlog -sv $unenc_file_dir/alt_reset_ctrl_lego.sv + vlog $unenc_file_dir/altera_wait_generate.v + vlog -sv $unenc_file_dir/altera_xcvr_xaui.sv + vlog -sv $unenc_file_dir/alt_reset_ctrl_tgx_cdrauto.sv + vlog -sv $unenc_file_dir/alt_xcvr_resync.sv + if {$family == "stratixiv" } { + ########################################### + # Use this section for Stratix IV Soft XAUI + ########################################### + vlog -sv $unenc_file_dir/siv_xcvr_xaui.sv + vlog $unenc_file_dir/alt4gxb_vo.v + vlog $unenc_file_dir/alt_mutex_acq.v + vlog $unenc_file_dir/alt_pma_ch_controller_tgx.v + vlog $unenc_file_dir/alt_pma_controller_tgx.v + vlog -sv $unenc_file_dir/alt_pma_functions.sv + vlog -sv $unenc_file_dir/siv_xcvr_low_latency_phy_nr.sv + vlog $unenc_file_dir/alt_dprio.v + vlog $unenc_file_dir/alt_xcvr_reconfig*.v + vlog -sv $unenc_file_dir/alt_xcvr_reconfig*.sv + } else { + + ########################################### + # Use this section for Stratix V Soft XAUI + ########################################### + + vlog -sv $unenc_file_dir/sv_xcvr_h.sv + vlog -sv $unenc_file_dir/sv_xcvr_xaui.sv + vlog -sv $unenc_file_dir/sv_xcvr_plls.sv + vlog $unenc_file_dir/alt_pma_ch_controller_tgx.v + vlog $unenc_file_dir/alt_pma_controller_tgx.v + vlog -sv $unenc_file_dir/alt_pma_functions.sv + vlog -sv $unenc_file_dir/sv_xcvr_low_latency_phy_nr.sv + vlog -sv $unenc_file_dir/sv_xcvr_avmm.sv + vlog -sv $unenc_file_dir/sv_xcvr_avmm_csr.sv + vlog -sv $unenc_file_dir/sv_xcvr_avmm_dcd.sv + vlog -sv $unenc_file_dir/sv_xcvr_custom_native.sv + vlog -sv $unenc_file_dir/sv_xcvr_data_adapter.sv + vlog -sv $unenc_file_dir/sv_xcvr_native.sv + vlog -sv $unenc_file_dir/sv_reconfig_bundle_to_xcvr.sv + vlog -sv $unenc_file_dir/sv_reconfig_bundle_merger.sv + vlog -sv $unenc_file_dir/sv_reconfig_bundle_to_ip.sv + vlog -sv $unenc_file_dir/sv_pcs.sv + vlog -sv $unenc_file_dir/sv_pcs_ch.sv + vlog -sv $unenc_file_dir/sv_pma.sv + vlog -sv $unenc_file_dir/sv_rx_pma.sv + vlog -sv $unenc_file_dir/sv_tx_pma.sv + vlog -sv $unenc_file_dir/sv_tx_pma_ch.sv + vlog -sv $unenc_file_dir/sv_pcs_ch.sv + vlog -sv $unenc_file_dir/sv_pcs_ch.sv + vlog -sv $unenc_file_dir/stratixv_hssi_8g_rx_pcs_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_8g_tx_pcs_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_common_pcs_pma_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_common_pld_pcs_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_rx_pcs_pma_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_rx_pld_pcs_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_tx_pcs_pma_interface_rbc.sv + vlog -sv $unenc_file_dir/stratixv_hssi_tx_pld_pcs_interface_rbc.sv + } + } + + +################################################################# +# Add your custom library compilation here and testbench +################################################################# +set custom_compilation custom_lib.tcl +if {[file exists $custom_compilation]} { + source $custom_compilation +} + +########################################### +# Add your test bench here +########################################### +vlog ${dut_name}.v +vsim -c -t 1ps $tb_name -novopt + + +########################################### +# Run and Quit your simulator +########################################### +run -all +quit -sim +exit diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/plain_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/plain_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..4c25ca083f6ec1f6f001faabdca100f7abb426e2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/plain_files.txt @@ -0,0 +1,59 @@ +./altera_xcvr_functions.sv +./alt_pma_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./aldec/alt_soft_xaui_pcs.v +./aldec/alt_soft_xaui_reset.v +./aldec/alt_soft_xaui_rx.v +./aldec/alt_soft_xaui_rx_8b10b_dec.v +./aldec/alt_soft_xaui_rx_channel_synch.v +./aldec/alt_soft_xaui_rx_deskew.v +./aldec/alt_soft_xaui_rx_deskew_channel.v +./aldec/alt_soft_xaui_rx_deskew_ram.v +./aldec/alt_soft_xaui_rx_invalid_code_det.v +./aldec/alt_soft_xaui_rx_parity.v +./aldec/alt_soft_xaui_rx_parity_4b.v +./aldec/alt_soft_xaui_rx_parity_6b.v +./aldec/alt_soft_xaui_rx_rate_match.v +./aldec/alt_soft_xaui_rx_rate_match_ram.v +./aldec/alt_soft_xaui_rx_rl_chk_6g.v +./aldec/alt_soft_xaui_rx_sm.v +./aldec/alt_soft_xaui_tx.v +./aldec/alt_soft_xaui_tx_8b10b_enc.v +./aldec/alt_soft_xaui_tx_idle_conv.v +./aldec/l_modules.v +./aldec/serdes_4_unit_lc_siv.v +./aldec/serdes_4_unit_siv.v +./aldec/serdes_4unit.v +./sxaui.v +./siv_xcvr_low_latency_phy_nr.sv +./siv_xcvr_xaui.sv +./alt4gxb_vo.v +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/sim_script_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/sim_script_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..4c25ca083f6ec1f6f001faabdca100f7abb426e2 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/sim_script_files.txt @@ -0,0 +1,59 @@ +./altera_xcvr_functions.sv +./alt_pma_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./aldec/alt_soft_xaui_pcs.v +./aldec/alt_soft_xaui_reset.v +./aldec/alt_soft_xaui_rx.v +./aldec/alt_soft_xaui_rx_8b10b_dec.v +./aldec/alt_soft_xaui_rx_channel_synch.v +./aldec/alt_soft_xaui_rx_deskew.v +./aldec/alt_soft_xaui_rx_deskew_channel.v +./aldec/alt_soft_xaui_rx_deskew_ram.v +./aldec/alt_soft_xaui_rx_invalid_code_det.v +./aldec/alt_soft_xaui_rx_parity.v +./aldec/alt_soft_xaui_rx_parity_4b.v +./aldec/alt_soft_xaui_rx_parity_6b.v +./aldec/alt_soft_xaui_rx_rate_match.v +./aldec/alt_soft_xaui_rx_rate_match_ram.v +./aldec/alt_soft_xaui_rx_rl_chk_6g.v +./aldec/alt_soft_xaui_rx_sm.v +./aldec/alt_soft_xaui_tx.v +./aldec/alt_soft_xaui_tx_8b10b_enc.v +./aldec/alt_soft_xaui_tx_idle_conv.v +./aldec/l_modules.v +./aldec/serdes_4_unit_lc_siv.v +./aldec/serdes_4_unit_siv.v +./aldec/serdes_4unit.v +./sxaui.v +./siv_xcvr_low_latency_phy_nr.sv +./siv_xcvr_xaui.sv +./alt4gxb_vo.v +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/siv_xcvr_low_latency_phy_nr.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/siv_xcvr_low_latency_phy_nr.sv new file mode 100644 index 0000000000000000000000000000000000000000..de32c92263ec21c27299b5fa15160844b80dba64 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/siv_xcvr_low_latency_phy_nr.sv @@ -0,0 +1,352 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +// +// PMA-direct component for TGX-style transceiver architectures +// +// $Header$ +// +`timescale 1 ps / 1 ps +module siv_xcvr_low_latency_phy_nr +#( + + //reserved parameter, don't change them. + parameter device_family="Stratix IV", + intended_device_variant ="ANY", + + //must have parameters + parameter number_of_channels = 1, + parameter number_of_reconfig_interface = 1,//it's number of quad for S4 + parameter operation_mode = "DUPLEX", //TX, RX, DUPLEX + parameter phase_comp_fifo_mode = "NONE",//EMBEDDED, NONE + parameter serialization_factor = 16,//8,10,16,20,32,40 + parameter data_rate = "3125 Mbps", + parameter pll_input_frequency = "156.25 MHz", + + //additonal system parameters + parameter number_pll_inclks = 1,//reconfig may need more than one reference clock + parameter pll_inclk_select = 0,//0-number_of_ref_clks + parameter pll_type = "CMU",//ATX|CMU + parameter bonded_mode = "TRUE", + parameter starting_channel_number = 0,//0,4,8,12 ... + parameter support_reconfig = 1, + parameter rx_use_cruclk = "FALSE", + + //analog parameters + parameter gx_analog_power = "AUTO",//AUTO|2.5v|3.0v|3.3v|3.9v + + parameter pll_lock_speed = "AUTO",//AUTO|LOW|MEDIUM|HIGH + parameter tx_analog_power = "AUTO",//AUTO|1.4V|1.5V + parameter tx_slew_rate = "LOW",//AUTO,LOW,MEDIUM,HIGH + parameter tx_termination = "OCT_100_OHMS",//OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter tx_use_external_termination = "FALSE", //FALSE|TRUE + parameter tx_common_mode = "0.65V", //"0.65V" + + parameter rx_pll_lock_speed = "AUTO",//AUTO|LOW|MEDIUM|HIGH + parameter rx_common_mode = "0.82v", //TRISTATE|0.82v|1.1v + parameter rx_signal_detect_threshold = 2, + parameter rx_ppmselect = 32, + parameter rx_termination = "OCT_100_OHMS", //OCT_85_OHMS,OCT_100_OHMS,OCT_120_OHMS,OCT_150_OHMS + parameter rx_use_external_termination = "FALSE", //FALSE|TRUE + + parameter tx_preemp_pretap = 0,//0-7 + parameter tx_preemp_pretap_inv = "FALSE",//TRUE” or FALSE”. Determine whether the pre-emphasis control signal for the pretap needed to be inverted or not.“true” – Invert the pre-emphasis control signal for the pre tap.“false” – Do not invert the pre-emphasis control signal for the pretap. + parameter tx_preemp_tap_1 = 5,//0-15 + parameter tx_preemp_tap_2 = 0,//0-7 + parameter tx_preemp_tap_2_inv = "FALSE",//TRUE” or FALSE”. + parameter tx_vod_selection = 1,//0-7 + + parameter rx_eq_dc_gain = 0, //0-4 + parameter rx_eq_ctrl = 14,//0-16 + + parameter sys_clk_in_mhz = 150, // used to calculate reset controller delays as system clock cycle counts + + parameter loopback_mode = "NONE"//NONE|SLB|PLB|PRECDR_RSLB|POSTCDR_RSLB|RPLB +) +( + + // user data (avalon-MM slave interface) //for all the channel rst, powerdown, rx serilize loopback enable + input wire rst, + input wire clk, + input wire [5:0] ch_mgmt_address, + input wire ch_mgmt_read, + output wire [31:0] ch_mgmt_readdata, + input wire ch_mgmt_write, + input wire [31:0] ch_mgmt_writedata, + output wire ch_mgmt_waitrequest, + + // avalon-ST interface with PMA controller + input wire cal_blk_clk, + input wire cal_blk_pdn, + input wire gx_pdn,//sync with clk + input wire tx_rst_digital, // digital reset + input wire rx_rst_digital, // digital reset + output wire tx_pma_ready, // pma tx pll_locked + output wire rx_pma_ready, // pma rx pll is locked to data + + input wire pll_pdn, //sync with clk + output wire pll_locked, //conduit + + // avalon-ST interface with reconfig controller + input wire reconfig_clk, + input wire [3:0] reconfig_to_gxb, //sync with reconfig_clk + output wire [17* number_of_reconfig_interface -1:0] reconfig_from_gxb, //sync with reconfig_clk. 17 bit per quad +// input wire [24 * number_of_reconfig_interface -1 : 0] aeq_to_gxb, //sync with reconfig_clk +// output wire [8*number_of_reconfig_interface -1:0] aeq_from_gxb, //sync with reconfig_clk. + + + //channel related avalon-clock interface + input wire [number_pll_inclks-1:0] pll_ref_clk, + input wire [number_of_channels-1:0] rx_cdr_ref_clk, + + //channel related avalon-ST interface, tx + input wire [number_of_channels * serialization_factor -1:0] tx_parallel_data,// sync with tx_clkout_clk + output wire [number_of_channels-1:0] tx_serial_data, // canduit + output wire [number_of_channels-1:0] tx_out_clk, + + //channel related AVALON-st INTERFACE, rx + input wire [number_of_channels-1:0] rx_serial_data,//canduit + output wire [number_of_channels * serialization_factor -1:0] rx_parallel_data,// sync with rx_clkout_clk + output wire [number_of_channels-1:0] rx_recovered_clk, + + output wire [number_of_channels-1:0] rx_is_lockedtodata,//conduit + output wire [number_of_channels-1:0] rx_is_lockedtoref,//conduit + + output wire [number_of_channels-1:0] tx_digital_rst, // output digital reset for soft PCS, this reset can be controlled in AVMM + output wire [number_of_channels-1:0] rx_digital_rst // output digital reset for soft PCS, this reset can be controlled in AVMM + +); + +// instantiate package with common functions +import alt_pma_functions::*; + +//derived parameters +localparam tx_inclk0_input_period_loc = freq2ps(pll_input_frequency) ; +localparam use6g= (((mega2k(data_rate) > 1000000) && ((serialization_factor == 32) || (serialization_factor == 40))) || ((serialization_factor != 8) && (serialization_factor != 10)))? "TRUE" : "FALSE"; +localparam pma_serialization_factor = (phase_comp_fifo_mode != "EMBEDDED") ? serialization_factor : + ((serialization_factor>20)? (serialization_factor/2): + ((serialization_factor<16)? serialization_factor : + ((use6g == "TRUE")? serialization_factor : serialization_factor /2))); +//localparam RX_INCLK_INPUT_PERIOD_LOC = ( RX_INCLK_INPUT_PERIOD == 0) ? freq2ps(RX_pll_input_frequency) : RX_INCLK_INPUT_PERIOD; +//localparam rx_inclk_input_frequency_loc = ( operation_mode == "DUPLEX") ? ((RX_INCLK_INPUT_FREQUENCY == 0) ? INCLK_INPUT_FREQUENCY : RX_INCLK_INPUT_FREQUENCY) :0; +localparam double_serialization_mode=(serialization_factor/pma_serialization_factor == 2) ? "TRUE":"FALSE"; +localparam rx_eqa_ctrl = (device_family=="Stratix IV") ? ((rx_eq_ctrl >10)? 7 :0) : ((rx_eq_ctrl >1)? 1:0); +localparam rx_eqb_ctrl = (device_family=="Stratix IV") ? ((rx_eq_ctrl >6)? 7 :0) : ((rx_eq_ctrl >3)? 1:0); +localparam rx_eqc_ctrl = (device_family=="Stratix IV") ? ((rx_eq_ctrl >3)? 7 :0) : 0; +localparam rx_eqd_ctrl = (device_family=="Stratix IV") ? ((rx_eq_ctrl >0)? 7 :0) : 0; +localparam rx_eqv_ctrl = (device_family=="Stratix IV") ? + ((rx_eq_ctrl==2 | rx_eq_ctrl==5 | rx_eq_ctrl==8 | rx_eq_ctrl==13)? 4 : + ((rx_eq_ctrl==3 | rx_eq_ctrl==6 | rx_eq_ctrl==10 | rx_eq_ctrl==15)? 7 : + ((rx_eq_ctrl==9 | rx_eq_ctrl==14 )? 5 : + (rx_eq_ctrl==12)? 3 : 0))) : + ((rx_eq_ctrl==0 | rx_eq_ctrl==2 | rx_eq_ctrl==4 )? 1 :0 ); +localparam number_of_ctrl_bus=(bonded_mode == "FALSE")? number_of_reconfig_interface : 1; + +localparam enable_lc_tx_pll_param = (pll_type == "CMU")? "FALSE" : "TRUE"; +localparam enable_pma_direct_param = (phase_comp_fifo_mode == "EMBEDDED")? "FALSE":"TRUE"; +localparam dwidth_factor = (use6g == "TRUE")? (double_serialization_mode == "TRUE" ? 4:2):(double_serialization_mode == "TRUE" ? 2:1); +localparam reconfig_dprio_mode = (support_reconfig == 0)? 0 : 1; + +localparam control_signal_width = (bonded_mode == "TRUE") ? 1: number_of_channels; +localparam pma_direct_xn = (phase_comp_fifo_mode == "NONE")? bonded_mode : "FALSE"; +localparam pma_bonding = (phase_comp_fifo_mode == "EMBEDDED")? bonded_mode : "FALSE"; + +wire [control_signal_width-1:0] gxb_pdn_loc; +generate +genvar i; +for (i=0; i<control_signal_width; i=i+1) +begin: gxb_pdn_bus +assign gxb_pdn_loc[i] = gx_pdn; +end +endgenerate + +wire [control_signal_width-1:0] pll_pdn_loc; +generate +genvar j; +for (j=0; j<control_signal_width; j=j+1) +begin: pll_pdn_bus +assign pll_pdn_loc[j] = pll_pdn; +end +endgenerate + +wire [number_of_channels-1:0] rx_set_locktodata; +wire [number_of_channels-1:0] rx_set_locktoref; + +wire [number_of_channels-1:0] rx_analog_rst; +wire [number_of_channels-1:0] rx_seriallpbken; + + + +alt4gxb #( + .cbx_hdl_language ("Verilog"), + .enable_pma_xn_bonding (pma_direct_xn), + .cmu_pll_inclk_log_index (pll_inclk_select), + .cmu_pll_log_index (0), + .device_family (device_family), + .intended_device_variant (intended_device_variant), + .effective_data_rate (data_rate), + .enable_lc_tx_pll (enable_lc_tx_pll_param), + .enable_pma_direct (enable_pma_direct_param), + .enable_pll_inclk_drive_rx_cru((rx_use_cruclk == "FALSE")? "TRUE" : "FALSE"), + .equalizer_ctrl_a_setting (rx_eqa_ctrl), + .equalizer_ctrl_b_setting (rx_eqb_ctrl), + .equalizer_ctrl_c_setting (rx_eqc_ctrl), + .equalizer_ctrl_d_setting (rx_eqd_ctrl), + .equalizer_ctrl_v_setting (rx_eqv_ctrl), + .equalizer_dcgain_setting (rx_eq_dc_gain), + .gen_reconfig_pll ("FALSE"), + .gx_channel_type ("AUTO"), + .gxb_analog_power (gx_analog_power), + .gxb_powerdown_width (control_signal_width), + .input_clock_frequency (pll_input_frequency), + .loopback_mode (loopback_mode), + .number_of_channels (number_of_channels), + .number_of_quads (number_of_reconfig_interface), + .operation_mode (operation_mode), + .pll_control_width (number_of_ctrl_bus), + .protocol ("BASIC"), + .use_global_clk_divider (pma_bonding), + .receiver_termination (rx_termination), + .rx_use_external_termination (rx_use_external_termination), + .reconfig_calibration ("TRUE"), + .reconfig_dprio_mode (reconfig_dprio_mode), + .reconfig_fromgxb_port_width (17*number_of_reconfig_interface ), + .reconfig_pll_inclk_width ((support_reconfig == 0)? 1: number_pll_inclks), + .reconfig_protocol ("BASIC"), + .reconfig_togxb_port_width (4), + .rx_use_cruclk (rx_use_cruclk), + .rx_channel_width (serialization_factor), + .rx_common_mode (rx_common_mode), + .rx_cru_bandwidth_type (rx_pll_lock_speed), + .rx_digitalreset_port_width (number_of_channels), + .rx_enable_lock_to_data_sig ("true"), + .rx_enable_lock_to_refclk_sig ("true"), + .rx_ppmselect (rx_ppmselect), + .rx_reconfig_clk_scheme ("indv_clk_source"), + .rx_signal_detect_threshold (rx_signal_detect_threshold), + .rx_use_clkout ("TRUE"), + .rx_use_coreclk ("false"), + .rx_use_deserializer_double_data_mode(use6g), + .rx_use_double_data_mode (double_serialization_mode), + .starting_channel_number (starting_channel_number), + .transmitter_termination (tx_termination), + .tx_use_external_termination (tx_use_external_termination), + .tx_dwidth_factor (dwidth_factor), + .tx_analog_power (tx_analog_power), + .tx_channel_width (serialization_factor), + .tx_clkout_width (number_of_channels), + .tx_common_mode (tx_common_mode), + .tx_pll_bandwidth_type (pll_lock_speed), + .tx_pll_inclk0_period (tx_inclk0_input_period_loc), + .tx_pll_type (pll_type), + .tx_reconfig_clk_scheme ("tx_ch0_clk_source"), + .tx_slew_rate (tx_slew_rate), + .tx_transmit_protocol ("basic"), + .tx_use_coreclk ("false"), + .tx_use_double_data_mode (double_serialization_mode), + .tx_use_serializer_double_data_mode (use6g), + .preemphasis_ctrl_pretap_setting (tx_preemp_pretap), + .preemphasis_ctrl_pretap_inv_setting (tx_preemp_pretap_inv), + .preemphasis_ctrl_1stposttap_setting (tx_preemp_tap_1), + .preemphasis_ctrl_2ndposttap_setting (tx_preemp_tap_2), + .preemphasis_ctrl_2ndposttap_inv_setting (tx_preemp_tap_2_inv), + .use_calibration_block ("TRUE"), + .vod_ctrl_setting (tx_vod_selection), + .tx_digitalreset_port_width (number_of_channels), + .rx_use_align_state_machine ("true"),//must have + .tx_datapath_low_latency_mode ("true"), + .rx_use_deskew_fifo ("false"), + .rx_word_aligner_num_byte (2), + .rx_rate_match_fifo_mode ("none"), + .tx_enable_bit_reversal ("false"), + .tx_enable_self_test_mode ("false"), + .tx_flip_tx_in ("false"), + .rx_dwidth_factor (dwidth_factor), + .rx_8b_10b_mode ("none"), + .rx_allow_align_polarity_inversion ("false"), + .rx_allow_pipe_polarity_inversion ("false"), + .tx_bitslip_enable ("false"), + .rx_bitslip_enable ("true"), + .rx_byte_ordering_mode ("none"), + .rx_enable_self_test_mode ("false"), + .rx_flip_rx_out ("false"), + .rx_force_signal_detect ("true"), + .rx_phfiforegmode ("false"), + .rx_datapath_low_latency_mode ("true"), + .rx_datapath_protocol ("basic"), + .rx_enable_bit_reversal ("false"), + .rx_enable_deep_align_byte_swap("false") + ) pma_direct ( + .cal_blk_clk (cal_blk_clk), + .cal_blk_powerdown (cal_blk_pdn), + .gxb_powerdown (gxb_pdn_loc), + .pll_inclk(pll_ref_clk), + //.pll_inclk_rx_cruclk((support_reconfig == 0)? 0 : pll_ref_clk), + .rx_cruclk ((rx_use_cruclk == "TRUE")? rx_cdr_ref_clk[number_of_channels - 1:0] : {number_of_channels{1'b0}}), + .pll_locked (pll_locked), + .pll_powerdown (pll_pdn_loc), + .reconfig_clk (reconfig_clk), + .reconfig_fromgxb (reconfig_from_gxb), + .reconfig_togxb (reconfig_to_gxb), + .rx_analogreset (rx_analog_rst[number_of_channels-1:0]), + .rx_seriallpbken ((loopback_mode == "SLB")? rx_seriallpbken[number_of_channels-1:0] : 0), + .tx_digitalreset ((phase_comp_fifo_mode == "EMBEDDED")? tx_digital_rst[number_of_channels-1:0] : {number_of_channels{1'b0}}), + .rx_digitalreset ((phase_comp_fifo_mode == "EMBEDDED")? rx_digital_rst[number_of_channels-1:0] : {number_of_channels{1'b0}}), + .rx_clkout (rx_recovered_clk[number_of_channels-1:0]), + .rx_datain (rx_serial_data[number_of_channels-1:0]), + .rx_dataout (rx_parallel_data[serialization_factor * number_of_channels-1:0]), + .rx_freqlocked (rx_is_lockedtodata[number_of_channels-1:0]), + .rx_locktodata (rx_set_locktodata[number_of_channels-1:0]), + .rx_locktorefclk (rx_set_locktoref[number_of_channels-1:0]), + .rx_pll_locked (rx_is_lockedtoref[number_of_channels-1:0]), + .tx_clkout (tx_out_clk[number_of_channels-1:0]), + .tx_datain (tx_parallel_data[serialization_factor * number_of_channels-1:0]), + .tx_dataout (tx_serial_data[number_of_channels-1:0]) + ); + +alt_pma_ch_controller_tgx #( + .number_of_channels (number_of_channels), + .sys_clk_in_mhz (sys_clk_in_mhz), + .sync_depth (2) +) channel_ctrl ( + .rst(rst), + .tx_rst_digital (tx_rst_digital), // tx_digitalreset + .rx_rst_digital (rx_rst_digital), // rx_digitalreset + .tx_pma_ready (tx_pma_ready), // pma tx pll_locked + .rx_pma_ready (rx_pma_ready), // pma rx pll is locked to data + .rx_cal_busy (reconfig_to_gxb[3]), // rx_ocilator busy + .tx_cal_busy (1'b0), // rx_ocilator busy + .pll_locked (pll_locked), // tx pll_is_locked + + .ch_mgmt_address (ch_mgmt_address), + .ch_mgmt_read (ch_mgmt_read), + .ch_mgmt_readdata (ch_mgmt_readdata), + .ch_mgmt_write (ch_mgmt_write), + .ch_mgmt_writedata (ch_mgmt_writedata), + .clk (clk), + .ch_mgmt_waitrequest(ch_mgmt_waitrequest), + + .rx_is_lockedtodata (rx_is_lockedtodata), + .rx_is_lockedtoref (rx_is_lockedtoref), + + .rx_set_locktodata (rx_set_locktodata), + .rx_set_locktoref (rx_set_locktoref), + + .rx_analog_rst (rx_analog_rst), + .rx_seriallpbken (rx_seriallpbken), + .tx_digital_rst (tx_digital_rst), + .rx_digital_rst (rx_digital_rst), + .pll_powerdown () +); + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/siv_xcvr_xaui.sv b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/siv_xcvr_xaui.sv new file mode 100644 index 0000000000000000000000000000000000000000..16ad2c9b0c247529918db040a9c41da5f8639e0c --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/siv_xcvr_xaui.sv @@ -0,0 +1,539 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: hxaui static verilog for Stratix IV +// +// Authors: bauyeung 7-Sep-2010 +// +// Copyright (c) Altera Corporation 1997 - 2010 +// All rights reserved. +// +//----------------------------------------------------------------------------- + /*ALTERA_ATTRIBUTE = "-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" " */ + +module siv_xcvr_xaui #( + parameter device_family = "Stratix IV", + parameter starting_channel_number = 0, + parameter interface_type = "Hard XAUI", + parameter number_of_interfaces = 1, + parameter sys_clk_in_mhz = 50, + parameter xaui_pll_type = "CMU", + parameter reconfig_interfaces = 1, + parameter use_control_and_status_ports = 0, + parameter external_pma_ctrl_reconf = 0, + parameter tx_termination = "OCT_150_OHMS", + parameter tx_vod_selection = 1, + parameter tx_preemp_pretap = 0, + parameter tx_preemp_pretap_inv = 0, + parameter tx_preemp_tap_1 = 5, + parameter tx_preemp_tap_2 = 0, + parameter tx_preemp_tap_2_inv = 0, + parameter rx_common_mode = "0.82v", + parameter rx_termination = "OCT_150_OHMS", + parameter rx_eq_dc_gain = 0, + parameter rx_eq_ctrl = 14 +) ( + input wire pll_ref_clk, // refclk.clk + input wire xgmii_tx_clk, // xgmii_tx_clk.clk + output wire xgmii_rx_clk, // xgmii_rx_clk.clk + input wire phy_mgmt_clk, // mgmt_clk.clk + input wire phy_mgmt_clk_reset, // mgmt_clk_rst.reset_n + input wire [7:0] phy_mgmt_address, // phy_mgmt.address + output wire phy_mgmt_waitrequest, // .waitrequest + input wire phy_mgmt_read, // .read + output wire [31:0] phy_mgmt_readdata, // .readdata + input wire phy_mgmt_write, // .write + input wire [31:0] phy_mgmt_writedata, // .writedata + input wire [71:0] xgmii_tx_dc, // xgmii_tx_dc.data + output wire [71:0] xgmii_rx_dc, // xgmii_rx_dc.data + output wire [3:0] xaui_tx_serial_data, // xaui_tx_serial.export + input wire [3:0] xaui_rx_serial_data, // xaui_rx_serial.export + output wire rx_ready, // rx_pma_ready.data + output wire tx_ready, // tx_pma_ready.data + output tri0 [altera_xcvr_functions::get_reconfig_from_width(device_family,reconfig_interfaces)-1:0] reconfig_from_xcvr, + input tri0 [altera_xcvr_functions::get_reconfig_to_width(device_family,reconfig_interfaces) -1:0] reconfig_to_xcvr, + + output wire [3:0] rx_recovered_clk, // rx recovered clock from cdr +// optional control and status ports + input wire rx_analogreset, + input wire rx_digitalreset, + input wire tx_digitalreset, + output wire rx_channelaligned, + input wire [3:0] rx_invpolarity, + input wire [3:0] rx_set_locktodata, + input wire [3:0] rx_set_locktoref, + input wire [3:0] rx_seriallpbken, + input wire [3:0] tx_invpolarity, + output wire [3:0] rx_is_lockedtodata, + output wire [3:0] rx_phase_comp_fifo_error, + output wire [3:0] rx_is_lockedtoref, + output wire [3:0] rx_rlv, + output wire [3:0] rx_rmfifoempty, + output wire [3:0] rx_rmfifofull, + output wire [3:0] tx_phase_comp_fifo_error, + output wire [7:0] rx_disperr, + output wire [7:0] rx_errdetect, + output wire [7:0] rx_patterndetect, + output wire [7:0] rx_rmfifodatadeleted, + output wire [7:0] rx_rmfifodatainserted, + output wire [7:0] rx_runningdisp, + output wire [7:0] rx_syncstatus, + +// external_pma_ctrl_reconf + output wire pll_locked, + input wire cal_blk_powerdown, + input wire gxb_powerdown, + input wire pll_powerdown +); + +import altera_xcvr_functions::*; + + wire alt_pma_controller_0_cal_blk_pdn_data; + wire alt_pma_controller_0_pll_pdn0_data; + wire alt_pma_controller_0_gx_pdn_data; + wire pll_locked_data; + wire [7:0] rx_disperr_data; + wire [7:0] rx_errdetect_data; + wire [7:0] rx_patterndetect_data; + wire [3:0] rx_phase_comp_fifo_error_data; + wire [3:0] rx_rlv_data; + wire [7:0] rx_rmfifodatadeleted_data; + wire [7:0] rx_rmfifodatainserted_data; + wire [3:0] rx_rmfifoempty_data; + wire [3:0] rx_rmfifofull_data; + wire [7:0] rx_runningdisp_data; + wire [7:0] rx_syncstatus_data; + wire [3:0] tx_phase_comp_fifo_error_data; + wire [3:0] alt_pma_ch_controller_0_rx_set_locktodata_data; + wire [3:0] alt_pma_ch_controller_0_rx_set_locktoref_data; + wire [3:0] alt_pma_ch_controller_0_rx_seriallpbken_data; + wire [3:0] alt_pma_ch_controller_0_rx_analog_rst_data; + wire [3:0] rx_is_lockedtodata_data; + wire [3:0] rx_is_lockedtoref_data; + wire [3:0] alt_pma_ch_controller_0_tx_digital_rst_data; + wire [3:0] alt_pma_ch_controller_0_rx_digital_rst_data; + wire hxaui_csr_r_rx_digitalreset_data; + wire [3:0] hxaui_csr_r_rx_invpolarity_data; + wire hxaui_csr_r_tx_digitalreset_data; + wire [3:0] hxaui_csr_r_tx_invpolarity_data; + wire hxaui_csr_simulation_flag_data; + wire alt_pma_controller_0_pll_pdn; + wire [3:0] alt_pma_0_tx_out_clk_clk; + wire [3:0] alt_pma_0_rx_recovered_clk_clk; + wire [79:0] sxaui_0_tx_parallel_data_data; + wire [79:0] alt_pma_0_rx_parallel_data_data; + wire [3:0] alt_pma_0_rx_is_lockedtodata_data; + wire rx_pma_ready; + wire sxaui_rst_done; + + + wire [5:0] sc_pma_ch_controller_address; + wire sc_pma_ch_controller_read; + wire [31:0] sc_pma_ch_controller_readdata; + wire sc_pma_ch_controller_waitrequest; + wire sc_pma_ch_controller_write; + + + wire [1:0] sc_pma_controller_address; + wire sc_pma_controller_read; + wire [31:0] sc_pma_controller_readdata; + wire sc_pma_controller_waitrequest; + wire sc_pma_controller_write; + + wire [4:0] sc_csr_address; + wire sc_csr_read; + wire [31:0] sc_csr_readdata; + wire sc_csr_write; + +// assign output wires for status ports - whether or not they are used will be decided by the top level + assign rx_is_lockedtodata = rx_is_lockedtodata_data; + assign rx_phase_comp_fifo_error = rx_phase_comp_fifo_error_data; + assign rx_is_lockedtoref = rx_is_lockedtoref_data; + assign rx_rlv = rx_rlv_data; + assign rx_rmfifoempty = rx_rmfifoempty_data; + assign rx_rmfifofull = rx_rmfifofull_data; + assign tx_phase_comp_fifo_error = tx_phase_comp_fifo_error_data; + assign rx_disperr = rx_disperr_data; + assign rx_errdetect = rx_errdetect_data; + assign rx_patterndetect = rx_patterndetect_data; + assign rx_rmfifodatadeleted = rx_rmfifodatadeleted_data; + assign rx_rmfifodatainserted = rx_rmfifodatainserted_data; + assign rx_runningdisp = rx_runningdisp_data; + assign rx_syncstatus = rx_syncstatus_data; + assign rx_recovered_clk = alt_pma_0_rx_recovered_clk_clk; + + // assign output wires for external pma_ctrl - whether or not they are used will be decided by the top level + assign pll_locked = pll_locked_data; + assign rx_ready = rx_pma_ready & sxaui_rst_done; // pma and PCS must be both ready + + /////////////////////////////////////////////////////////////////////// + // Decoder for multiple slaves of pma_ch_control,pma_control,hxaui i/f + /////////////////////////////////////////////////////////////////////// + alt_xcvr_mgmt2dec_xaui mgmtdec_xaui ( + .mgmt_clk_reset (phy_mgmt_clk_reset), + .mgmt_clk (phy_mgmt_clk), + .mgmt_address (phy_mgmt_address), + .mgmt_read (phy_mgmt_read), + .mgmt_write (phy_mgmt_write), + .mgmt_readdata (phy_mgmt_readdata), + .mgmt_waitrequest (phy_mgmt_waitrequest), + + // internal interface to 'top' pma ch controller block + .sc_pma_ch_controller_readdata (sc_pma_ch_controller_readdata), + .sc_pma_ch_controller_waitrequest (sc_pma_ch_controller_waitrequest), + .sc_pma_ch_controller_address (sc_pma_ch_controller_address), //6 bit wide + .sc_pma_ch_controller_read (sc_pma_ch_controller_read), + .sc_pma_ch_controller_write (sc_pma_ch_controller_write), + + // internal interface to 'top' pma controller block + .sc_pma_controller_readdata (sc_pma_controller_readdata), + .sc_pma_controller_waitrequest (sc_pma_controller_waitrequest), + .sc_pma_controller_address (sc_pma_controller_address), //2 bit wide + .sc_pma_controller_read (sc_pma_controller_read), + .sc_pma_controller_write (sc_pma_controller_write), + + // internal interface to 'top' hxaui csr block + .sc_csr_readdata (sc_csr_readdata), + .sc_csr_waitrequest (1'b0), // PCS CSR is always ready + .sc_csr_address (sc_csr_address), //5 bit wide + .sc_csr_read (sc_csr_read), + .sc_csr_write (sc_csr_write) + ); + + /////////////////////////////////////////////////////////////////////// + // PMA Channel Controller - only for HXAUI + /////////////////////////////////////////////////////////////////////// +// add generate for hxaui/sxaui - only use ch_controller for hxaui + generate + if (interface_type == "Hard XAUI") begin + alt_pma_ch_controller_tgx #( + .number_of_channels (4), + .sync_depth (2), + .sys_clk_in_mhz (sys_clk_in_mhz) + ) alt_pma_ch_controller_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .ch_mgmt_address (sc_pma_ch_controller_address), + .ch_mgmt_read (sc_pma_ch_controller_read), + .ch_mgmt_readdata (sc_pma_ch_controller_readdata), + .ch_mgmt_write (sc_pma_ch_controller_write), + .ch_mgmt_writedata (phy_mgmt_writedata), + .ch_mgmt_waitrequest (sc_pma_ch_controller_waitrequest), + .rx_pma_ready (rx_pma_ready), + .tx_pma_ready (tx_ready), + .rx_is_lockedtodata (rx_is_lockedtodata_data), + .rx_is_lockedtoref (rx_is_lockedtoref_data), + .rx_set_locktodata (alt_pma_ch_controller_0_rx_set_locktodata_data), + .rx_set_locktoref (alt_pma_ch_controller_0_rx_set_locktoref_data), + .rx_seriallpbken (alt_pma_ch_controller_0_rx_seriallpbken_data), + .rx_analog_rst (alt_pma_ch_controller_0_rx_analog_rst_data), + .tx_digital_rst (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_digital_rst (alt_pma_ch_controller_0_rx_digital_rst_data), + .rx_rst_digital (rx_digitalreset), // optional user triggered rx_digitalreset + .tx_rst_digital (tx_digitalreset), // optional user triggered tx_digitalreset + //reconfig_to_xcvr bit configuration + //Bit | Value + //------------------------------------------- + //3 | offset_cancellation_is_busy | + //2 | dprio_load | + //1 | dprio_disable | + //0 | dprio_in | + //------------------------------------------- + // .rx_oc_busy (reconfig_to_xcvr[3]), // rx_oc_busy.data + .rx_cal_busy (reconfig_to_xcvr[3]), // rx_oc_busy.data + .tx_cal_busy (1'b0), // rx_oc_busy.data + .pll_locked (pll_locked_data) + ); + end else if (interface_type == "Soft XAUI") begin + siv_xcvr_low_latency_phy_nr #( + .device_family (device_family), + .intended_device_variant ("ANY"), + .number_of_channels (4), + .number_of_reconfig_interface (reconfig_interfaces), + .operation_mode ("DUPLEX"), + .phase_comp_fifo_mode ("NONE"), + .serialization_factor (20), + .data_rate ("3125 Mbps"), + .pll_input_frequency ("156.25 MHz"), + .number_pll_inclks (1), + .pll_inclk_select (0), + .pll_type (xaui_pll_type), + .starting_channel_number (starting_channel_number), + .bonded_mode ("TRUE"), + .sys_clk_in_mhz (sys_clk_in_mhz), + //.rx_bitslip_en (0), + //.tx_bitslip_en (0), + //.select_10g_pcs (0), + //.tx_use_coreclk (0), + //.rx_use_coreclk (0), + .gx_analog_power ("AUTO"), + .pll_lock_speed ("AUTO"), + .tx_analog_power ("AUTO"), + .tx_slew_rate ("OFF"), + .tx_termination (tx_termination), + .tx_common_mode ("0.65V"), + .rx_pll_lock_speed ("AUTO"), + .rx_common_mode (rx_common_mode), + .rx_signal_detect_threshold (2), + .rx_ppmselect (32), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv ((tx_preemp_pretap_inv==1)? "TRUE" : "FALSE"), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv ((tx_preemp_tap_2_inv==1)? "TRUE" : "FALSE"), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_use_cruclk ("FALSE"), + .loopback_mode ("SLB") + ) alt_pma_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .gx_pdn (alt_pma_controller_0_gx_pdn_data), + .ch_mgmt_address (sc_pma_ch_controller_address), + .ch_mgmt_read (sc_pma_ch_controller_read), + .ch_mgmt_readdata (sc_pma_ch_controller_readdata), + .ch_mgmt_write (sc_pma_ch_controller_write), + .ch_mgmt_writedata (phy_mgmt_writedata), + .ch_mgmt_waitrequest (sc_pma_ch_controller_waitrequest), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_pdn (alt_pma_controller_0_cal_blk_pdn_data), + .pll_ref_clk (pll_ref_clk), + .tx_out_clk (alt_pma_0_tx_out_clk_clk), + .tx_parallel_data (sxaui_0_tx_parallel_data_data), + .tx_serial_data (xaui_tx_serial_data), + .tx_pma_ready (tx_ready), + .pll_pdn (alt_pma_controller_0_pll_pdn0_data), + .pll_locked (pll_locked_data), + .rx_is_lockedtodata (alt_pma_0_rx_is_lockedtodata_data), + .rx_is_lockedtoref (), + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), + .rx_serial_data (xaui_rx_serial_data), + .rx_parallel_data (alt_pma_0_rx_parallel_data_data), + .rx_cdr_ref_clk (), + .rx_pma_ready (rx_pma_ready), + .reconfig_clk (phy_mgmt_clk), + .reconfig_to_gxb (reconfig_to_xcvr), + .reconfig_from_gxb (reconfig_from_xcvr), + .rx_rst_digital (rx_digitalreset), //optional user triggered rx_digitalreset + .tx_rst_digital (tx_digitalreset), //optional user triggered tx_digitalreset + //.tx_bitslip (28'b0), + //.tx_coreclk (4'b0), + //.rx_offset_cancellation_done (1'b1), + //.rx_bitslip (4'b0), + //.rx_parallel_data_read (4'b0), + //.rx_coreclk (4'b0), + //.aeq_to_gxb (96'b0), + //.aeq_from_gxb (), + .tx_digital_rst (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_digital_rst (alt_pma_ch_controller_0_rx_digital_rst_data) + ); + end + // don't instantiate anything if the interface type is invalid + endgenerate + + /////////////////////////////////////////////////////////////////////// + // PMA Controller + /////////////////////////////////////////////////////////////////////// + generate + if (external_pma_ctrl_reconf == 0) begin + alt_pma_controller_tgx #( + .number_of_plls (1), + .sync_depth (2), + .tx_pll_reset_hold_time (20) + ) alt_pma_controller_0 ( + .clk (phy_mgmt_clk), + .rst (phy_mgmt_clk_reset), + .pma_mgmt_address (sc_pma_controller_address), + .pma_mgmt_read (sc_pma_controller_read), + .pma_mgmt_readdata (sc_pma_controller_readdata), + .pma_mgmt_write (sc_pma_controller_write), + .pma_mgmt_writedata (phy_mgmt_writedata), + .pma_mgmt_waitrequest (sc_pma_controller_waitrequest), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_pdn (alt_pma_controller_0_cal_blk_pdn_data), + .tx_pll_ready (), + .gx_pdn (alt_pma_controller_0_gx_pdn_data), + .pll_pdn (alt_pma_controller_0_pll_pdn0_data), + .pll_locked (pll_locked_data) + ); + end else begin + assign alt_pma_controller_0_cal_blk_pdn_data = cal_blk_powerdown; + assign alt_pma_controller_0_gx_pdn_data = gxb_powerdown; + assign alt_pma_controller_0_pll_pdn0_data = pll_powerdown; + end + endgenerate + + + /////////////////////////////////////////////////////////////////////// + // HXAUI CSR + /////////////////////////////////////////////////////////////////////// +// should be consistent across all device families and interface types +// -might need a generate statement for some of the connections that don't exist between hxaui/sxaui + hxaui_csr hxaui_csr ( + .clk (phy_mgmt_clk), + .reset (phy_mgmt_clk_reset), + .address (sc_csr_address), + .byteenable (4'b1111), // .byteenable (Tie byteenable to all 1s) + .read (sc_csr_read), + .readdata (sc_csr_readdata), + .write (sc_csr_write), + .writedata (phy_mgmt_writedata), + .rx_patterndetect (rx_patterndetect_data), + .rx_syncstatus (rx_syncstatus_data), + .rx_runningdisp (rx_runningdisp_data), + .rx_errdetect (rx_errdetect_data), + .rx_disperr (rx_disperr_data), + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error_data), + .rx_rlv (rx_rlv_data), + .rx_rmfifodatadeleted (rx_rmfifodatadeleted_data), + .rx_rmfifodatainserted (rx_rmfifodatainserted_data), + .rx_rmfifoempty (rx_rmfifoempty_data), + .rx_rmfifofull (rx_rmfifofull_data), + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error_data), + .r_rx_invpolarity (hxaui_csr_r_rx_invpolarity_data), + .r_tx_invpolarity (hxaui_csr_r_tx_invpolarity_data), + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), + .simulation_flag (hxaui_csr_simulation_flag_data) // only for soft_xaui + ); + + + /////////////////////////////////////////////////////////////////////// + // HXAUI - Interface to alt4gxb megafunction block + /////////////////////////////////////////////////////////////////////// +// need to add generate to pick between sxaui and hxaui + generate + if (interface_type == "Hard XAUI") begin + (* ALTERA_ATTRIBUTE = {"-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*fifo*flow] -to [get_registers *xaui_phy*hxaui_csr*tx_phase_comp_fifo_error_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers *hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component*] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[*]}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*alt_pma_ch_controller_0*rc*}] -to [get_registers {*xaui_phy*hxaui_0*hxaui_alt4gxb*hxaui_alt4gxb_alt4gxb_dksa_component|*digitalreset*}]\""} *) + hxaui #( + .device_family (device_family), + .starting_channel_number (starting_channel_number), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports), + .external_pma_ctrl_reconf (external_pma_ctrl_reconf), + .tx_termination (tx_termination), + .rx_termination (rx_termination), + .tx_preemp_pretap (tx_preemp_pretap), + .tx_preemp_pretap_inv (tx_preemp_pretap_inv), + .tx_preemp_tap_1 (tx_preemp_tap_1), + .tx_preemp_tap_2 (tx_preemp_tap_2), + .tx_preemp_tap_2_inv (tx_preemp_tap_2_inv), + .tx_vod_selection (tx_vod_selection), + .rx_eq_dc_gain (rx_eq_dc_gain), + .rx_eq_ctrl (rx_eq_ctrl), + .rx_common_mode (rx_common_mode) + ) hxaui_0 ( + .xgmii_tx_clk (xgmii_tx_clk), + .xgmii_tx_dc (xgmii_tx_dc), + .xgmii_rx_clk (xgmii_rx_clk), + .xgmii_rx_dc (xgmii_rx_dc), + .refclk (pll_ref_clk), + .xaui_tx_serial (xaui_tx_serial_data), + .xaui_rx_serial (xaui_rx_serial_data), + .rx_analogreset (rx_analogreset), // use_cs_ports, input + .rx_digitalreset (alt_pma_ch_controller_0_rx_digital_rst_data), + .tx_digitalreset (alt_pma_ch_controller_0_tx_digital_rst_data), + .rx_channelaligned (rx_channelaligned), // use_cs_ports, output + .rx_invpolarity (rx_invpolarity), // use_cs_ports, input + .rx_set_locktodata (rx_set_locktodata), // use_cs_ports, input + .rx_set_locktoref (rx_set_locktoref), // use_cs_ports, input + .rx_seriallpbken (rx_seriallpbken), // use_cs_ports, input + .tx_invpolarity (tx_invpolarity), // use_cs_ports, input + .rx_is_lockedtodata (rx_is_lockedtodata_data), // use_cs_ports, output + .rx_phase_comp_fifo_error (rx_phase_comp_fifo_error_data), // use_cs_ports, output + .rx_is_lockedtoref (rx_is_lockedtoref_data), // use_cs_ports, output + .rx_rlv (rx_rlv_data), // use_cs_ports, output + .rx_rmfifoempty (rx_rmfifoempty_data), // use_cs_ports, output + .rx_rmfifofull (rx_rmfifofull_data), // use_cs_ports, output + .tx_phase_comp_fifo_error (tx_phase_comp_fifo_error_data), // use_cs_ports, output + .rx_disperr (rx_disperr_data), // use_cs_ports, output + .rx_errdetect (rx_errdetect_data), // use_cs_ports, output + .rx_patterndetect (rx_patterndetect_data), // use_cs_ports, output + .rx_rmfifodatadeleted (rx_rmfifodatadeleted_data), // use_cs_ports, output + .rx_rmfifodatainserted (rx_rmfifodatainserted_data), // use_cs_ports, output + .rx_runningdisp (rx_runningdisp_data), // use_cs_ports, output + .rx_syncstatus (rx_syncstatus_data), // use_cs_ports, output + .reconfig_clk (phy_mgmt_clk), + .reconfig_togxb (reconfig_to_xcvr), // external_pma_ctrl_reconf + .reconfig_fromgxb (reconfig_from_xcvr[16:0]), // external_pma_ctrl_reconf + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), + .cal_blk_clk (phy_mgmt_clk), + .cal_blk_powerdown (1'b0), // not used, should remove + .gxb_powerdown (1'b0), // not used, should remove + .pll_powerdown (1'b0), // not used, should remove + .pll_locked (pll_locked_data), + .r_cal_blk_powerdown (alt_pma_controller_0_cal_blk_pdn_data), + .r_gxb_powerdown (alt_pma_controller_0_gx_pdn_data), + .r_pll_powerdown (alt_pma_controller_0_pll_pdn0_data), + .r_rx_set_locktodata (alt_pma_ch_controller_0_rx_set_locktodata_data), + .r_rx_set_locktoref (alt_pma_ch_controller_0_rx_set_locktoref_data), + .r_rx_seriallpbken (alt_pma_ch_controller_0_rx_seriallpbken_data), + .r_rx_analogreset (alt_pma_ch_controller_0_rx_analog_rst_data), + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), + .r_rx_invpolarity (hxaui_csr_r_rx_invpolarity_data), + .r_tx_invpolarity (hxaui_csr_r_tx_invpolarity_data) + ); + assign sxaui_rst_done = 1'b1; // if using hard xaui, assign this to 1 to always be ready + end else if (interface_type == "Soft XAUI") begin + (* ALTERA_ATTRIBUTE = {" -name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*disp_err_delay[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*pcs_rx_syncstatus[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*channel_align_synchclk[*]}] -to [get_registers *xaui_phy*hxaui_csr*rx*_c[*]]\" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[1]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*tx_reset*] \" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*siv_xcvr_xaui*hxaui_csr*hxaui_csr_reset0q[1]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*rx_reset*] \" ;-name SDC_STATEMENT \"set_false_path -from [get_registers {*sxaui_0*alt_soft_xaui_pcs*xaui_rx*rd_ptr_latched_del[*]}] -to [get_registers *sxaui_0*alt_soft_xaui_pcs*xaui_rx*rd_ptr_wr[*]]\""} *) + sxaui #( + .starting_channel_number (starting_channel_number), + .xaui_pll_type (xaui_pll_type), + .use_control_and_status_ports (use_control_and_status_ports) + ) sxaui_0 ( + .xgmii_tx_clk (xgmii_tx_clk), // xgmii_tx_clk.clk + .xgmii_tx_dc (xgmii_tx_dc), // xgmii_tx_dc.data + .xgmii_rx_clk (xgmii_rx_clk), // xgmii_rx_clk.clk + .xgmii_rx_dc (xgmii_rx_dc), // xgmii_rx_dc.data + .refclk (pll_ref_clk), // refclk.clk + .mgmt_clk (phy_mgmt_clk), // mgmt_clk.clk + .tx_out_clk (alt_pma_0_tx_out_clk_clk), // tx_out_clk.clk + .rx_recovered_clk (alt_pma_0_rx_recovered_clk_clk), // rx_recovered_clk.clk + .tx_parallel_data (sxaui_0_tx_parallel_data_data), // tx_parallel_data.data + .rx_parallel_data (alt_pma_0_rx_parallel_data_data), // rx_parallel_data.data + .rx_is_lockedtodata (alt_pma_0_rx_is_lockedtodata_data), // rx_is_lockedtodata.data + .rx_digitalreset (alt_pma_ch_controller_0_rx_digital_rst_data[0] ), // rx_digitalreset from cahnnel controller in alt_pma + .tx_digitalreset (alt_pma_ch_controller_0_tx_digital_rst_data[0] ), // tx_digitalreset from cahnnel controller in alt_pma + .pll_locked (pll_locked_data), // pll_locked.data + .rx_syncstatus (rx_syncstatus_data), // rx_syncstatus.data + .rx_channelaligned (rx_channelaligned), // rx_channelaligned.data + .rx_disperr (rx_disperr_data), // rx_disperr.data + .rx_errdetect (rx_errdetect_data), // rx_errdetect.data + .r_rx_digitalreset (hxaui_csr_r_rx_digitalreset_data), // r_rx_digitalreset.data + .r_tx_digitalreset (hxaui_csr_r_tx_digitalreset_data), // r_tx_digitalreset.data + .pma_stat_rst_done (sxaui_rst_done), // soft reset done + .simulation_flag (hxaui_csr_simulation_flag_data) // simulation_flag.data + ); + assign rx_patterndetect_data = 8'b0; // not supported by soft PCS + assign rx_runningdisp_data = 8'b0; // not supported by soft PCS + assign rx_phase_comp_fifo_error_data = 4'b0; // not supported by soft PCS + assign rx_rlv_data = 4'b0; // not supported by soft PCS + assign rx_rmfifodatadeleted_data = 8'b0; // not supported by soft PCS + assign rx_rmfifodatainserted_data = 8'b0; // not supported by soft PCS + assign rx_rmfifoempty_data = 4'b0; // not supported by soft PCS + assign rx_rmfifofull_data = 4'b0; // not supported by soft PCS + assign tx_phase_comp_fifo_error_data = 4'b0; // not supported by soft PCS + assign hxaui_csr_r_rx_invpolarity_data = 4'b0; // not supported by soft PCS + assign hxaui_csr_r_tx_invpolarity_data = 4'b0; // not supported by soft PCS + assign rx_is_lockedtodata_data = 4'b0; // not supported by soft PCS + assign rx_is_lockedtoref_data = 4'b0; // not supported by soft PCS + end + // don't instantiate anything if the interface type is invalid + endgenerate + +endmodule diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/sxaui.v b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/sxaui.v new file mode 100644 index 0000000000000000000000000000000000000000..d0832e15d12cf3ef8977dcce01ac2f75f7947cae --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/sxaui.v @@ -0,0 +1,230 @@ +// (C) 2001-2012 Altera Corporation. All rights reserved. +// Your use of Altera Corporation's design tools, logic functions and other +// software and tools, and its AMPP partner logic functions, and any output +// files any of the foregoing (including device programming or simulation +// files), and any associated documentation or information are expressly subject +// to the terms and conditions of the Altera Program License Subscription +// Agreement, Altera MegaCore Function License Agreement, or other applicable +// license agreement, including, without limitation, that your use is for the +// sole purpose of programming logic devices manufactured by Altera and sold by +// Altera or its authorized distributors. Please refer to the applicable +// agreement for further details. + + +//----------------------------------------------------------------------------- +// +// Description: soft xaui pcs +// +// Authors: ishimony 14-Jan-2009 +// +// Copyright (c) Altera Corporation 1997 - 2009 +// All rights reserved. +// +// +//----------------------------------------------------------------------------- + +`timescale 1 ps / 1 ps + +module sxaui( + xgmii_tx_clk, xgmii_tx_dc, xgmii_rx_clk, xgmii_rx_dc, refclk, mgmt_clk, tx_out_clk, + rx_recovered_clk, tx_parallel_data, rx_parallel_data, rx_is_lockedtodata, + rx_digitalreset, tx_digitalreset, pll_locked, + rx_syncstatus, rx_channelaligned, rx_disperr, rx_errdetect, + r_rx_digitalreset, r_tx_digitalreset, pma_stat_rst_done, simulation_flag +); // module sxaui + +// parameters -------------------------------------------------------------- +parameter starting_channel_number = 0; +parameter xaui_pll_type = "CMU"; // values: CMU/LCTANK +parameter use_control_and_status_ports = "true"; + +// ports ------------------------------------------------------------------- + +// xgmii +input xgmii_tx_clk; +input [71:0] xgmii_tx_dc; +output xgmii_rx_clk; +output [71:0] xgmii_rx_dc; + +// pma +input refclk; +input mgmt_clk; +input [3:0] tx_out_clk; +input [3:0] rx_recovered_clk; +output [79:0] tx_parallel_data; +input [79:0] rx_parallel_data; +input [3:0] rx_is_lockedtodata; + +// ctrl_stat: control and status +input rx_digitalreset; +input tx_digitalreset; //nc in sxaui +input pll_locked; +output [7:0] rx_syncstatus; +output rx_channelaligned; +output [7:0] rx_disperr; +output [7:0] rx_errdetect; + +// register file version +input r_rx_digitalreset; +input r_tx_digitalreset; +output pma_stat_rst_done; + +input simulation_flag; // '1' shortens reset and loss_timer length + +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + +// ports ------------------------------------------------------------------- +wire xgmii_tx_clk; +wire [71:0] xgmii_tx_dc; +wire [71:0] xgmii_rx_dc; +wire xgmii_rx_clk; +wire [79:0] tx_parallel_data; +wire [79:0] rx_parallel_data; +wire [3:0] tx_out_clk; +wire [3:0] rx_recovered_clk; +wire [3:0] rx_is_lockedtodata; +wire rx_digitalreset; +wire tx_digitalreset; +wire pll_locked; +wire [7:0] rx_syncstatus; +wire rx_channelaligned; +wire [7:0] rx_disperr; +wire [7:0] rx_errdetect; +wire simulation_flag; + +// locals ------------------------------------------------------------------ +wire [7:0] xgmii_tx_c; +wire [63:0] xgmii_tx_d; +wire [7:0] xgmii_rx_c; +wire [63:0] xgmii_rx_d; + +// local version +wire l_rx_digitalreset; + +// register file version +wire r_rx_digitalreset; +wire r_tx_digitalreset; + +// soft xaui signals ------------------------------------------------------- +wire [63:0] xgmii_tx_datain; // input +wire [7:0] xgmii_tx_ctrlin; // input +wire [63:0] xgmii_rx_dataout; // output +wire [7:0] xgmii_rx_ctrlout; // output +wire reset_n; // input +wire [3:0] pma_tx_dataout; // output +//wire pma_gxb_powerdown; // input +wire pma_pll_inclk; // input +wire pma_reconfig_clk; // input +wire [3:0] pma_reconfig_togxb; // input +wire [16:0] pma_reconfig_fromgxb; // output +wire pma_pll_locked; // output +wire pma_stat_rst_done; // output +wire [3:0] pma_rx_clkout; // output nc: /// +wire [3:0] pma_tx_clkout; // output nc: /// +wire pma_rx_analogreset; +wire [79:0] pma_pcs_tx_data; //Data from TX soft PCS to PMA +wire [79:0] pma_pcs_rx_data; //Data from PMA to RX soft PCS +wire [3:0] pma_rx_is_lockedtodata; + +// output: this is high per lane if the synchronization has been met. As we +// only have 4 lanes, we will double their value +wire [7:0] pcs_rx_syncstatus; +// output: this is high if the channel aligner (resynch) has aligned all +// the lanes and the correct number of align characters have been received +wire pcs_rx_channelaligned; +// output: this is high if a disparity error has occured +wire [7:0] pcs_rx_disperr; +// output: this is high if an invalid character has been detected. +wire [7:0] pcs_rx_errdetect; + +// body -------------------------------------------------------------------- + +// Convert to/from Avalon Streaming Interface single bus to data + control +genvar g; +generate + for (g = 0; g < 8; g = g + 1) begin : st_to_dc_b + assign xgmii_tx_d [g*8 +: 8] = xgmii_tx_dc[g*9 +: 8]; + assign xgmii_tx_c [g] = xgmii_tx_dc[g*9 + 8]; + assign xgmii_rx_dc[g*9 +: 8] = xgmii_rx_d [g*8 +: 8]; + assign xgmii_rx_dc[g*9 + 8] = xgmii_rx_c [g]; + end +endgenerate + +// Default values in case ports are not and without control/status registers +generate + if (use_control_and_status_ports == "true") begin: use_cs_ports_true + assign l_rx_digitalreset = rx_digitalreset | r_rx_digitalreset; + end else begin: use_cs_ports_false + assign l_rx_digitalreset = r_rx_digitalreset; + end +endgenerate + +// soft xaui --------------------------------------------------------------- + + // translate signal names +assign xgmii_tx_datain = xgmii_tx_d; +assign xgmii_tx_ctrlin = xgmii_tx_c; +assign xgmii_rx_d = xgmii_rx_dataout; +assign xgmii_rx_c = xgmii_rx_ctrlout; +assign pma_pll_inclk = refclk; + +assign tx_parallel_data = pma_pcs_tx_data; +assign pma_pcs_rx_data = rx_parallel_data; +assign pma_tx_clkout = tx_out_clk; +assign pma_rx_clkout = rx_recovered_clk; +assign pma_rx_is_lockedtodata = rx_is_lockedtodata; + +assign reset_n = ~l_rx_digitalreset; +assign pma_pll_locked = pll_locked; + +assign rx_syncstatus = pcs_rx_syncstatus; +assign rx_channelaligned = pcs_rx_channelaligned; +assign rx_disperr = pcs_rx_disperr; +assign rx_errdetect = pcs_rx_errdetect; + +//assign pma_gxb_powerdown = 1'b0; + +// soft pcs +alt_soft_xaui_pcs alt_soft_xaui_pcs( + .xgmii_tx_datain (xgmii_tx_datain), // i + .xgmii_tx_ctrlin (xgmii_tx_ctrlin), // i + .xgmii_rx_dataout (xgmii_rx_dataout), // o + .xgmii_rx_ctrlout (xgmii_rx_ctrlout), // o + .xgmii_tx_clk (xgmii_tx_clk), // i - must be tied to xgmii_rx_clk at top level, only for interface consistency does this exist + .sysclk (xgmii_rx_clk), // o + .reset_n (reset_n), // i +// .pma_rx_datain (pma_rx_datain), // i +// .pma_tx_dataout (pma_tx_dataout), // o +// .pma_cal_blk_clk (pma_cal_blk_clk), // i +// .pma_gxb_powerdown (pma_gxb_powerdown), // i + .pma_pll_inclk (pma_pll_inclk), // i +// .pma_reconfig_clk (pma_reconfig_clk), // i +// .pma_reconfig_togxb (pma_reconfig_togxb), // i +// .pma_reconfig_fromgxb (pma_reconfig_fromgxb), // o + .pma_pll_locked (pma_pll_locked), // i +// .pma_rx_pll_locked (pma_rx_is_lockedtoref), // i + .pma_rx_freqlocked (pma_rx_is_lockedtodata), // i + .pma_stat_rst_done (pma_stat_rst_done), // o + .pma_rx_clkout (pma_rx_clkout), // i + .pma_tx_clkout (pma_tx_clkout), // i + .pcs_rx_syncstatus (pcs_rx_syncstatus), // o + .pcs_rx_channelaligned (pcs_rx_channelaligned), // o + .pcs_rx_disperr (pcs_rx_disperr), // o + .pcs_rx_errdetect (pcs_rx_errdetect), // o + .pma_rx_analogreset (pma_rx_analogreset), // o + .pma_pcs_tx_data (pma_pcs_tx_data), // o + .pma_pcs_rx_data (pma_pcs_rx_data), // i + .simulation_flag (simulation_flag) // i +); // module alt_soft_xaui_pcs +defparam + alt_soft_xaui_pcs.p_family_id = "df_stratixIV", + alt_soft_xaui_pcs.p_USE_GXB_RECONFIG = 0, + alt_soft_xaui_pcs.starting_channel_number= starting_channel_number, + alt_soft_xaui_pcs.reconfig_togxb_port_size = 4, + alt_soft_xaui_pcs.reconfig_fromgxb_port_size = 17, + alt_soft_xaui_pcs.p_SOFTXAUI_PLL = xaui_pll_type; // CMU/LCTANK + +endmodule + diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/synopsys_files.txt b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/synopsys_files.txt new file mode 100644 index 0000000000000000000000000000000000000000..4bd73c0085a4e1232356cb606fc036f1fe99b4e0 --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/altera_xcvr_xaui/synopsys_files.txt @@ -0,0 +1,59 @@ +./altera_xcvr_functions.sv +./alt_pma_functions.sv +./altera_xcvr_xaui.sv +./hxaui_csr_h.sv +./hxaui_csr.sv +./alt_xcvr_mgmt2dec_phyreconfig.sv +./alt_xcvr_mgmt2dec_xaui.sv +./alt_pma_ch_controller_tgx.v +./alt_pma_controller_tgx.v +./alt_reset_ctrl_lego.sv +./alt_reset_ctrl_tgx_cdrauto.sv +./alt_xcvr_resync.sv +./alt_xcvr_csr_common_h.sv +./alt_xcvr_csr_common.sv +./alt_xcvr_csr_pcs8g_h.sv +./alt_xcvr_csr_pcs8g.sv +./alt_xcvr_csr_selector.sv +./alt_xcvr_mgmt2dec.sv +./altera_wait_generate.v +./synopsys/alt_soft_xaui_pcs.v +./synopsys/alt_soft_xaui_reset.v +./synopsys/alt_soft_xaui_rx.v +./synopsys/alt_soft_xaui_rx_8b10b_dec.v +./synopsys/alt_soft_xaui_rx_channel_synch.v +./synopsys/alt_soft_xaui_rx_deskew.v +./synopsys/alt_soft_xaui_rx_deskew_channel.v +./synopsys/alt_soft_xaui_rx_deskew_ram.v +./synopsys/alt_soft_xaui_rx_invalid_code_det.v +./synopsys/alt_soft_xaui_rx_parity.v +./synopsys/alt_soft_xaui_rx_parity_4b.v +./synopsys/alt_soft_xaui_rx_parity_6b.v +./synopsys/alt_soft_xaui_rx_rate_match.v +./synopsys/alt_soft_xaui_rx_rate_match_ram.v +./synopsys/alt_soft_xaui_rx_rl_chk_6g.v +./synopsys/alt_soft_xaui_rx_sm.v +./synopsys/alt_soft_xaui_tx.v +./synopsys/alt_soft_xaui_tx_8b10b_enc.v +./synopsys/alt_soft_xaui_tx_idle_conv.v +./synopsys/l_modules.v +./synopsys/serdes_4_unit_lc_siv.v +./synopsys/serdes_4_unit_siv.v +./synopsys/serdes_4unit.v +./sxaui.v +./siv_xcvr_low_latency_phy_nr.sv +./siv_xcvr_xaui.sv +./alt4gxb_vo.v +./alt_xcvr_reconfig_h.sv +./alt_xcvr_reconfig_siv.sv +./alt_xcvr_reconfig_analog.sv +./alt_xcvr_reconfig_analog_tgx.v +./alt_xcvr_reconfig_offset_cancellation.sv +./alt_xcvr_reconfig_offset_cancellation_tgx.v +./alt_xcvr_reconfig_eyemon_tgx.sv +./alt_xcvr_reconfig_dfe_tgx.sv +./alt_xcvr_reconfig_basic_tgx.v +./alt_mutex_acq.v +./alt_dprio.v +./alt_xcvr_arbiter.sv +./alt_xcvr_m2s.sv diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/ip_stratixiv_phy_xaui_soft.vhd b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/ip_stratixiv_phy_xaui_soft.vhd new file mode 100644 index 0000000000000000000000000000000000000000..2975d799b3b68a98ce7a88f1eb09c715928250ae --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/ip_stratixiv_phy_xaui_soft.vhd @@ -0,0 +1,169 @@ +-- ip_stratixiv_phy_xaui_soft.vhd + +-- Generated using ACDS version 11.1sp2 259 at 2014.09.29.14:01:40 + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity ip_stratixiv_phy_xaui_soft is + port ( + pll_ref_clk : in std_logic := '0'; -- pll_ref_clk.clk + xgmii_tx_clk : in std_logic := '0'; -- xgmii_tx_clk.clk + xgmii_rx_clk : out std_logic; -- xgmii_rx_clk.clk + xgmii_rx_dc : out std_logic_vector(71 downto 0); -- xgmii_rx_dc.data + xgmii_tx_dc : in std_logic_vector(71 downto 0) := (others => '0'); -- xgmii_tx_dc.data + xaui_rx_serial_data : in std_logic_vector(3 downto 0) := (others => '0'); -- xaui_rx_serial_data.export + xaui_tx_serial_data : out std_logic_vector(3 downto 0); -- xaui_tx_serial_data.export + rx_ready : out std_logic; -- rx_ready.export + tx_ready : out std_logic; -- tx_ready.export + phy_mgmt_clk : in std_logic := '0'; -- phy_mgmt_clk.clk + phy_mgmt_clk_reset : in std_logic := '0'; -- phy_mgmt_clk_reset.reset + phy_mgmt_address : in std_logic_vector(8 downto 0) := (others => '0'); -- phy_mgmt.address + phy_mgmt_read : in std_logic := '0'; -- .read + phy_mgmt_readdata : out std_logic_vector(31 downto 0); -- .readdata + phy_mgmt_write : in std_logic := '0'; -- .write + phy_mgmt_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata + phy_mgmt_waitrequest : out std_logic; -- .waitrequest + rx_digitalreset : in std_logic := '0'; -- rx_digitalreset.data + tx_digitalreset : in std_logic := '0'; -- tx_digitalreset.data + rx_channelaligned : out std_logic; -- rx_channelaligned.data + rx_syncstatus : out std_logic_vector(7 downto 0); -- rx_syncstatus.data + rx_disperr : out std_logic_vector(7 downto 0); -- rx_disperr.data + rx_errdetect : out std_logic_vector(7 downto 0); -- rx_errdetect.data + cal_blk_powerdown : in std_logic := '0'; -- cal_blk_powerdown.data + pll_powerdown : in std_logic := '0'; -- pll_powerdown.data + gxb_powerdown : in std_logic := '0'; -- gxb_powerdown.data + pll_locked : out std_logic; -- pll_locked.data + reconfig_from_xcvr : out std_logic_vector(67 downto 0); -- reconfig_from_xcvr.data + reconfig_to_xcvr : in std_logic_vector(3 downto 0) := (others => '0') -- reconfig_to_xcvr.data + ); +end entity ip_stratixiv_phy_xaui_soft; + +architecture rtl of ip_stratixiv_phy_xaui_soft is + component altera_xcvr_xaui is + generic ( + device_family : string := "Stratix IV"; + starting_channel_number : integer := 0; + interface_type : string := "Hard XAUI"; + data_rate : string := "3125 Mbps"; + xaui_pll_type : string := "AUTO"; + BASE_DATA_RATE : string := ""; + use_control_and_status_ports : integer := 0; + external_pma_ctrl_reconf : integer := 0; + recovered_clk_out : integer := 0; + number_of_interfaces : integer := 1; + reconfig_interfaces : integer := 1; + use_rx_rate_match : integer := 0; + tx_termination : string := "OCT_100_OHMS"; + tx_vod_selection : integer := 4; + tx_preemp_pretap : integer := 0; + tx_preemp_pretap_inv : integer := 0; + tx_preemp_tap_1 : integer := 0; + tx_preemp_tap_2 : integer := 0; + tx_preemp_tap_2_inv : integer := 0; + rx_common_mode : string := "0.82v"; + rx_termination : string := "OCT_100_OHMS"; + rx_eq_dc_gain : integer := 0; + rx_eq_ctrl : integer := 0; + mgmt_clk_in_mhz : integer := 150 + ); + port ( + pll_ref_clk : in std_logic := 'X'; -- clk + xgmii_tx_clk : in std_logic := 'X'; -- clk + xgmii_rx_clk : out std_logic; -- clk + xgmii_rx_dc : out std_logic_vector(71 downto 0); -- data + xgmii_tx_dc : in std_logic_vector(71 downto 0) := (others => 'X'); -- data + xaui_rx_serial_data : in std_logic_vector(3 downto 0) := (others => 'X'); -- export + xaui_tx_serial_data : out std_logic_vector(3 downto 0); -- export + rx_ready : out std_logic; -- export + tx_ready : out std_logic; -- export + phy_mgmt_clk : in std_logic := 'X'; -- clk + phy_mgmt_clk_reset : in std_logic := 'X'; -- reset + phy_mgmt_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address + phy_mgmt_read : in std_logic := 'X'; -- read + phy_mgmt_readdata : out std_logic_vector(31 downto 0); -- readdata + phy_mgmt_write : in std_logic := 'X'; -- write + phy_mgmt_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata + phy_mgmt_waitrequest : out std_logic; -- waitrequest + rx_digitalreset : in std_logic := 'X'; -- data + tx_digitalreset : in std_logic := 'X'; -- data + rx_channelaligned : out std_logic; -- data + rx_syncstatus : out std_logic_vector(7 downto 0); -- data + rx_disperr : out std_logic_vector(7 downto 0); -- data + rx_errdetect : out std_logic_vector(7 downto 0); -- data + cal_blk_powerdown : in std_logic := 'X'; -- data + pll_powerdown : in std_logic := 'X'; -- data + gxb_powerdown : in std_logic := 'X'; -- data + pll_locked : out std_logic; -- data + reconfig_from_xcvr : out std_logic_vector(67 downto 0); -- data + reconfig_to_xcvr : in std_logic_vector(3 downto 0) := (others => 'X'); -- data + rx_recovered_clk : out std_logic_vector(3 downto 0); -- export + tx_clk312_5 : out std_logic -- export + ); + end component altera_xcvr_xaui; + +begin + + ip_stratixiv_phy_xaui_soft_inst : component altera_xcvr_xaui + generic map ( + device_family => "Stratix IV", + starting_channel_number => 12, + interface_type => "Soft XAUI", + data_rate => "3125 Mbps", + xaui_pll_type => "ATX", + BASE_DATA_RATE => "", + use_control_and_status_ports => 1, + external_pma_ctrl_reconf => 1, + recovered_clk_out => 0, + number_of_interfaces => 1, + reconfig_interfaces => 4, + use_rx_rate_match => 0, + tx_termination => "OCT_100_OHMS", + tx_vod_selection => 4, + tx_preemp_pretap => 0, + tx_preemp_pretap_inv => 0, + tx_preemp_tap_1 => 0, + tx_preemp_tap_2 => 0, + tx_preemp_tap_2_inv => 0, + rx_common_mode => "0.82v", + rx_termination => "OCT_100_OHMS", + rx_eq_dc_gain => 0, + rx_eq_ctrl => 0, + mgmt_clk_in_mhz => 150 + ) + port map ( + pll_ref_clk => pll_ref_clk, -- pll_ref_clk.clk + xgmii_tx_clk => xgmii_tx_clk, -- xgmii_tx_clk.clk + xgmii_rx_clk => xgmii_rx_clk, -- xgmii_rx_clk.clk + xgmii_rx_dc => xgmii_rx_dc, -- xgmii_rx_dc.data + xgmii_tx_dc => xgmii_tx_dc, -- xgmii_tx_dc.data + xaui_rx_serial_data => xaui_rx_serial_data, -- xaui_rx_serial_data.export + xaui_tx_serial_data => xaui_tx_serial_data, -- xaui_tx_serial_data.export + rx_ready => rx_ready, -- rx_ready.export + tx_ready => tx_ready, -- tx_ready.export + phy_mgmt_clk => phy_mgmt_clk, -- phy_mgmt_clk.clk + phy_mgmt_clk_reset => phy_mgmt_clk_reset, -- phy_mgmt_clk_reset.reset + phy_mgmt_address => phy_mgmt_address, -- phy_mgmt.address + phy_mgmt_read => phy_mgmt_read, -- .read + phy_mgmt_readdata => phy_mgmt_readdata, -- .readdata + phy_mgmt_write => phy_mgmt_write, -- .write + phy_mgmt_writedata => phy_mgmt_writedata, -- .writedata + phy_mgmt_waitrequest => phy_mgmt_waitrequest, -- .waitrequest + rx_digitalreset => rx_digitalreset, -- rx_digitalreset.data + tx_digitalreset => tx_digitalreset, -- tx_digitalreset.data + rx_channelaligned => rx_channelaligned, -- rx_channelaligned.data + rx_syncstatus => rx_syncstatus, -- rx_syncstatus.data + rx_disperr => rx_disperr, -- rx_disperr.data + rx_errdetect => rx_errdetect, -- rx_errdetect.data + cal_blk_powerdown => cal_blk_powerdown, -- cal_blk_powerdown.data + pll_powerdown => pll_powerdown, -- pll_powerdown.data + gxb_powerdown => gxb_powerdown, -- gxb_powerdown.data + pll_locked => pll_locked, -- pll_locked.data + reconfig_from_xcvr => reconfig_from_xcvr, -- reconfig_from_xcvr.data + reconfig_to_xcvr => reconfig_to_xcvr, -- reconfig_to_xcvr.data + rx_recovered_clk => open, -- (terminated) + tx_clk312_5 => open -- (terminated) + ); + +end architecture rtl; -- of ip_stratixiv_phy_xaui_soft diff --git a/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/mentor/msim_setup.tcl b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/mentor/msim_setup.tcl new file mode 100644 index 0000000000000000000000000000000000000000..4c03220d0d8ad10469ae5b21e348907a8558fdce --- /dev/null +++ b/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim/mentor/msim_setup.tcl @@ -0,0 +1,279 @@ + +# (C) 2001-2014 Altera Corporation. All rights reserved. +# Your use of Altera Corporation's design tools, logic functions and +# other software and tools, and its AMPP partner logic functions, and +# any output files any of the foregoing (including device programming +# or simulation files), and any associated documentation or information +# are expressly subject to the terms and conditions of the Altera +# Program License Subscription Agreement, Altera MegaCore Function +# License Agreement, or other applicable license agreement, including, +# without limitation, that your use is for the sole purpose of +# programming logic devices manufactured by Altera and sold by Altera +# or its authorized distributors. Please refer to the applicable +# agreement for further details. + +# ---------------------------------------- +# Auto-generated simulation script + +# ---------------------------------------- +# Initialize the variable +if ![info exists SYSTEM_INSTANCE_NAME] { + set SYSTEM_INSTANCE_NAME "" +} elseif { ![ string match "" $SYSTEM_INSTANCE_NAME ] } { + set SYSTEM_INSTANCE_NAME "/$SYSTEM_INSTANCE_NAME" +} + +if ![info exists TOP_LEVEL_NAME] { + set TOP_LEVEL_NAME "ip_stratixiv_phy_xaui_soft" +} elseif { ![ string match "" $TOP_LEVEL_NAME ] } { + set TOP_LEVEL_NAME "$TOP_LEVEL_NAME" +} + +if ![info exists QSYS_SIMDIR] { + set QSYS_SIMDIR "./../" +} elseif { ![ string match "" $QSYS_SIMDIR ] } { + set QSYS_SIMDIR "$QSYS_SIMDIR" +} + + +# ---------------------------------------- +# Copy ROM/RAM files to simulation directory + +# ---------------------------------------- +# Create compilation libraries +proc ensure_lib { lib } { if ![file isdirectory $lib] { vlib $lib } } +ensure_lib ./libraries/ +ensure_lib ./libraries/work/ +vmap work ./libraries/work/ +if { ![ string match "*ModelSim ALTERA*" [ vsim -version ] ] } { + ensure_lib ./libraries/altera_ver/ + vmap altera_ver ./libraries/altera_ver/ + ensure_lib ./libraries/lpm_ver/ + vmap lpm_ver ./libraries/lpm_ver/ + ensure_lib ./libraries/sgate_ver/ + vmap sgate_ver ./libraries/sgate_ver/ + ensure_lib ./libraries/altera_mf_ver/ + vmap altera_mf_ver ./libraries/altera_mf_ver/ + ensure_lib ./libraries/altera_lnsim_ver/ + vmap altera_lnsim_ver ./libraries/altera_lnsim_ver/ + ensure_lib ./libraries/stratixiv_hssi_ver/ + vmap stratixiv_hssi_ver ./libraries/stratixiv_hssi_ver/ + ensure_lib ./libraries/stratixiv_pcie_hip_ver/ + vmap stratixiv_pcie_hip_ver ./libraries/stratixiv_pcie_hip_ver/ + ensure_lib ./libraries/stratixiv_ver/ + vmap stratixiv_ver ./libraries/stratixiv_ver/ + ensure_lib ./libraries/altera/ + vmap altera ./libraries/altera/ + ensure_lib ./libraries/lpm/ + vmap lpm ./libraries/lpm/ + ensure_lib ./libraries/sgate/ + vmap sgate ./libraries/sgate/ + ensure_lib ./libraries/altera_mf/ + vmap altera_mf ./libraries/altera_mf/ + ensure_lib ./libraries/altera_lnsim/ + vmap altera_lnsim ./libraries/altera_lnsim/ + ensure_lib ./libraries/stratixiv_hssi/ + vmap stratixiv_hssi ./libraries/stratixiv_hssi/ + ensure_lib ./libraries/stratixiv_pcie_hip/ + vmap stratixiv_pcie_hip ./libraries/stratixiv_pcie_hip/ + ensure_lib ./libraries/stratixiv/ + vmap stratixiv ./libraries/stratixiv/ +} +ensure_lib ./libraries/ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft/ +vmap ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft ./libraries/ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft/ + +# ---------------------------------------- +# Compile device library files +alias dev_com { + echo "\[exec\] dev_com" + if { ![ string match "*ModelSim ALTERA*" [ vsim -version ] ] } { + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_primitives.v" -work altera_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/220model.v" -work lpm_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/sgate.v" -work sgate_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_mf.v" -work altera_mf_ver + vlog -sv "/home/software/Altera/11.1/quartus/eda/sim_lib/mentor/altera_lnsim_for_vhdl.sv" -work altera_lnsim_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_hssi_atoms.v" -work stratixiv_hssi_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_pcie_hip_atoms.v" -work stratixiv_pcie_hip_ver + vlog "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_atoms.v" -work stratixiv_ver + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_syn_attributes.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_standard_functions.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/alt_dspbuilder_package.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_europa_support_lib.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_primitives_components.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_primitives.vhd" -work altera + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/220pack.vhd" -work lpm + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/220model.vhd" -work lpm + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/sgate_pack.vhd" -work sgate + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/sgate.vhd" -work sgate + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_mf_components.vhd" -work altera_mf + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_mf.vhd" -work altera_mf + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim_components.vhd" -work altera_lnsim + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_hssi_components.vhd" -work stratixiv_hssi + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_hssi_atoms.vhd" -work stratixiv_hssi + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_pcie_hip_components.vhd" -work stratixiv_pcie_hip + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_pcie_hip_atoms.vhd" -work stratixiv_pcie_hip + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_atoms.vhd" -work stratixiv + vcom "/home/software/Altera/11.1/quartus/eda/sim_lib/stratixiv_components.vhd" -work stratixiv + } +} + +# ---------------------------------------- +# Compile the design files in correct order +alias com { + echo "\[exec\] com" + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/altera_xcvr_functions.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/altera_xcvr_functions.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_pma_functions.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_pma_functions.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/altera_xcvr_xaui.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/hxaui_csr_h.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/hxaui_csr.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_mgmt2dec_phyreconfig.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_mgmt2dec_xaui.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/altera_xcvr_xaui.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/hxaui_csr_h.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/hxaui_csr.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_phyreconfig.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec_xaui.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_pma_ch_controller_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_pma_ch_controller_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_pma_controller_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_pma_controller_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_reset_ctrl_lego.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_reset_ctrl_tgx_cdrauto.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_resync.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_common_h.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_common.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_pcs8g_h.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_pcs8g.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_csr_selector.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_mgmt2dec.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/altera_wait_generate.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_reset_ctrl_lego.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_reset_ctrl_tgx_cdrauto.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_resync.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_common_h.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_common.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g_h.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_pcs8g.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_csr_selector.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_mgmt2dec.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/altera_wait_generate.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_pcs.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_reset.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_8b10b_dec.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_channel_synch.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew_channel.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_deskew_ram.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_invalid_code_det.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity_4b.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_parity_6b.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rate_match.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rate_match_ram.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_rl_chk_6g.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_rx_sm.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_tx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_tx_8b10b_enc.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_soft_xaui_tx_idle_conv.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/l_modules.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/serdes_4_unit_lc_siv.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/serdes_4_unit_siv.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/serdes_4unit.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/sxaui.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/sxaui.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/siv_xcvr_low_latency_phy_nr.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/siv_xcvr_low_latency_phy_nr.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/siv_xcvr_xaui.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/siv_xcvr_xaui.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt4gxb_vo.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt4gxb_vo.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_h.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_h.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_siv.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_siv.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_analog.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_analog_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_analog_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_offset_cancellation_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_offset_cancellation_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_eyemon_tgx.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_eyemon_tgx.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_dfe_tgx.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_dfe_tgx.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_reconfig_basic_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_mutex_acq.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/alt_dprio.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_reconfig_basic_tgx.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_mutex_acq.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_dprio.v" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_arbiter.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/alt_xcvr_m2s.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_arbiter.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vlog -sv "$QSYS_SIMDIR/altera_xcvr_xaui/mentor/alt_xcvr_m2s.sv" -work ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft + vcom "$QSYS_SIMDIR/ip_stratixiv_phy_xaui_soft.vhd" +} + +# ---------------------------------------- +# Elaborate top level design +alias elab { + echo "\[exec\] elab" + vsim -t ps -L work -L ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L stratixiv_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv_hssi -L stratixiv_pcie_hip -L stratixiv $TOP_LEVEL_NAME +} + +# ---------------------------------------- +# Elaborate the top level design with novopt option +alias elab_debug { + echo "\[exec\] elab_debug" + vsim -novopt -t ps -L work -L ip_stratixiv_phy_xaui_soft_ip_stratixiv_phy_xaui_soft -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L stratixiv_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv_hssi -L stratixiv_pcie_hip -L stratixiv $TOP_LEVEL_NAME +} + +# ---------------------------------------- +# Compile all the design files and elaborate the top level design +alias ld " + dev_com + com + elab +" + +# ---------------------------------------- +# Compile all the design files and elaborate the top level design with -novopt +alias ld_debug " + dev_com + com + elab_debug +" + +# ---------------------------------------- +# Print out user commmand line aliases +alias h { + echo "List Of Command Line Aliases" + echo + echo "dev_com -- Compile device library files" + echo + echo "com -- Compile the design files in correct order" + echo + echo "elab -- Elaborate top level design" + echo + echo "elab_debug -- Elaborate the top level design with novopt option" + echo + echo "ld -- Compile all the design files and elaborate the top level design" + echo + echo "ld_debug -- Compile all the design files and elaborate the top level design with -novopt" + echo + echo + echo + echo "List Of Variables" + echo + echo "TOP_LEVEL_NAME -- Top level module name." + echo + echo "SYSTEM_INSTANCE_NAME -- Instantiated system module name inside top level module." + echo + echo "QSYS_SIMDIR -- Qsys base simulation directory." +} +h diff --git a/libraries/technology/ip_stratixiv/phy_xaui/hdllib.cfg b/libraries/technology/ip_stratixiv/phy_xaui/hdllib.cfg index f85419113d803c3c616cbb05ab124fabb3334304..846ee6b24c4ce1ebe56e87b9298745b7f697f081 100644 --- a/libraries/technology/ip_stratixiv/phy_xaui/hdllib.cfg +++ b/libraries/technology/ip_stratixiv/phy_xaui/hdllib.cfg @@ -1,5 +1,5 @@ -hdl_lib_name = ip_stratixiv_xaui -hdl_library_clause_name = ip_stratixiv_xaui_lib +hdl_lib_name = ip_stratixiv_phy_xaui +hdl_library_clause_name = ip_stratixiv_phy_xaui_lib hdl_lib_uses = hdl_lib_technology = ip_stratixiv @@ -7,15 +7,23 @@ build_sim_dir = $HDL_BUILD_DIR build_synth_dir = $HDL_BUILD_DIR modelsim_compile_ip_files = - $UNB/Firmware/modules/tr_xaui/build/sim/modelsim/compile_ip.tcl - $UNB/Firmware/modules/tr_xaui/build/sim/modelsim/compile_ip_soft.tcl + $RADIOHDL/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl + $RADIOHDL/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl synth_files = + ip_stratixiv_phy_xaui_0.vhd + ip_stratixiv_phy_xaui_1.vhd + ip_stratixiv_phy_xaui_2.vhd + ip_stratixiv_phy_xaui_soft.vhd test_bench_files = +synth_copy_files = + quartus_vhdl_files = quartus_sdc_files = quartus_qip_files = + generated/ip_stratixiv_phy_xaui_0.qip + ip_stratixiv_phy_xaui_soft.qip \ No newline at end of file